1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-06-01 05:41:31 +00:00
8bitworkshop/presets/arm32/vidfill.vasm

17 lines
284 B
Plaintext
Raw Permalink Normal View History

2021-06-06 05:50:45 +00:00
.set VIDMEM, 0x40000000
2021-06-06 05:50:45 +00:00
mov r0, #0xff880000 ; RGB value
mov r1, #VIDMEM ; memory start
LOOP2:
mov r2, #160*128 ; word count
LOOP:
str r0, [r1, r2, lsl #2]
sub r2, r2, #1
cmp r2, #0
bge LOOP
2021-06-06 05:50:45 +00:00
add r0, r0, #0xf002
cmp r0, #0
2021-06-06 05:50:45 +00:00
blt LOOP2
.ualong 0x11223344 ; illegal instruction