1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-06-01 05:41:31 +00:00
8bitworkshop/presets/verilog/Makefile

14 lines
324 B
Makefile
Raw Normal View History

check:
verilator --top-module frame_buffer_top --lint-only *.v
iverilog -tnull *.v
deps.dot:
grep \`include *.v | sed "s/:/ /g" | awk '{ print "\"" $1 "\" -> " $3 ";" }'
2018-10-01 23:43:06 +00:00
%.bin: %.v
yosys -p "synth_ice40 -blif $*.blif" $*.v
2018-10-01 23:43:06 +00:00
arachne-pnr -d 1k -p icestick.pcf $*.blif -o $*.asc
icepack $*.asc $*.bin
#iceprog $*.bin