1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-06-02 12:41:30 +00:00
8bitworkshop/Makefile

35 lines
602 B
Makefile
Raw Normal View History

2017-04-09 00:43:46 +00:00
TSC=./node_modules/typescript/bin/tsc
all:
cp nanoasm/src/assembler.ts src/worker/
$(TSC)
cd jsnes && npm i
z80: src/cpu/z80fast.js
src/cpu/z80.js: src/cpu/z80.coffee
coffee -c $<
src/cpu/z80fast.js: src/cpu/buildz80.js src/cpu/z80.js
node $< > $@
2017-04-09 00:43:46 +00:00
check:
closure-compiler src/*.js src/cpu/*.js src/platform/*.js > /dev/null
lint:
gjslint -r src
web:
ifconfig | grep inet
2019-08-31 19:36:50 +00:00
python3 scripts/serveit.py 2>> /dev/null #http.out
2018-07-04 15:36:32 +00:00
tsweb:
ifconfig | grep inet
$(TSC) -w &
2019-08-31 19:36:50 +00:00
python3 scripts/serveit.py 2>> /dev/null #http.out
astrolibre.b64.txt: astrolibre.rom
lzg -9 $< | base64 -w 0 > $@