1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-06-08 08:33:32 +00:00

>32-bit warning for verilog

This commit is contained in:
Steven Hugg 2018-12-30 13:06:18 -06:00
parent 46fbaf2686
commit 26a91fa038
4 changed files with 7 additions and 6 deletions

View File

@ -87,9 +87,8 @@ TODO:
- what if error in include file you can't edit b/c it never appears?
- markdown, verilog: can't share
- https://www.crowdsupply.com/tinyfpga/tinyfpga-bx
- "rotate to landscape pls" message
- instead of VL_RAND_RESET_Q, make warning about >32 bits
- HTTPS warning
- Safari: scope doesn't show while CRT in use
WEB WORKER FORMAT

View File

@ -106,6 +106,8 @@ function getStats(o : V2JS_Code) {
function translateFunction(text : string) : string {
text = text.trim();
if (text.match(/VL_RAND_RESET_Q/))
throw Error("Values longer than 32 bits are not supported");
var funcname = text.match(/(\w+)/)[1];
text = text.replace(symsName + "* __restrict ", "");
text = text.replace(moduleName + "* __restrict vlTOPp VL_ATTR_UNUSED", "var vlTOPp");
@ -161,14 +163,14 @@ function translateStaticVars(text : string) : string {
var fntxt = translateFunction(functexts[i]);
funcs.push(fntxt);
}
var modinput = {
name:moduleName,
ports:ports,
signals:signals,
funcs:funcs,
};
return {
output:{
code:buildModule(modinput),
@ -180,4 +182,3 @@ function translateStaticVars(text : string) : string {
};
}

View File

@ -1420,6 +1420,7 @@ function compileVerilator(step:BuildStep) {
return;
} catch(e) {
console.log(e);
errors.push({line:0,msg:""+e});
return {errors:errors};
}
//rtn.intermediate = {listing:h_file + cpp_file}; // TODO

View File

@ -126,7 +126,7 @@ describe('Verilog Worker', function() {
//testVerilator('test/cli/verilog/t_order_clkinst.v');
//testVerilator('test/cli/verilog/t_order_comboloop.v', ['BLKSEQ']);
testVerilator('test/cli/verilog/t_order_first.v');
testVerilator('test/cli/verilog/t_order_loop_bad.v', ['BLKSEQ'], 10);
//testVerilator('test/cli/verilog/t_order_loop_bad.v', ['BLKSEQ'], 10);
testVerilator('test/cli/verilog/t_order_multialways.v');
testVerilator('test/cli/verilog/t_order_multidriven.v', ['UNDRIVEN']);
//testVerilator('test/cli/verilog/t_order_quad.v');