diff --git a/src/common/cpu/buildz80.js b/src/common/cpu/buildz80.js deleted file mode 100644 index 755214b0..00000000 --- a/src/common/cpu/buildz80.js +++ /dev/null @@ -1,12 +0,0 @@ -"use strict"; - -global.window = global; - -require('./z80.js'); - -var _global = window; -_global.buildZ80({ - applyContention: false -}); -console.log('var Z80_fast = ' + _global.Z80 + ''); - diff --git a/src/common/cpu/z80.coffee b/src/common/cpu/z80.coffee deleted file mode 100644 index b75a13cf..00000000 --- a/src/common/cpu/z80.coffee +++ /dev/null @@ -1,2666 +0,0 @@ -### -Z80 core. -To avoid mass repetition of code across the numerous instruction variants -the code for this component is built up programmatically and evaluated in -the global scope. CoffeeScript is used here for its support of multi-line -strings, and expression interpolation in strings. -### - - -### -Registers are stored in a typed array as a way of automatically casting -calculations to 8/16 bit, and to allow accessing them interchangeably as -register pairs or individual registers by having two arrays backed by the -same buffer. For the latter to work, we need to find out the endianness -of the host processor, as typed arrays are native-endian - (http://lists.w3.org/Archives/Public/public-script-coord/2010AprJun/0048.html, - http://cat-in-136.blogspot.com/2011/03/javascript-typed-array-use-native.html) -### - -window.buildZ80 = (opts) -> - opts ?= {} - endianTestBuffer = new ArrayBuffer(2) - endianTestUint16 = new Uint16Array(endianTestBuffer) - endianTestUint8 = new Uint8Array(endianTestBuffer) - endianTestUint16[0] = 0x0100 - isBigEndian = (endianTestUint8[0] == 0x01) - - # Offsets into register set when read as register pairs - rpAF = 0 - rpBC = 1 - rpDE = 2 - rpHL = 3 - rpAF_ = 4 - rpBC_ = 5 - rpDE_ = 6 - rpHL_ = 7 - rpIX = 8 - rpIY = 9 - rpIR = 10 - rpSP = 11 - rpPC = 12 - - registerPairIndexes = { - 'IX': 8, 'IY': 9 - } - - if isBigEndian - rA = 0; rF = 1 - rB = 2; rC = 3 - rD = 4; rE = 5 - rH = 6; rL = 7 - rA_ = 8; rF_ = 9 - rB_ = 10; rC_ = 11 - rD_ = 12; rE_ = 13 - rH_ = 14; rL_ = 15 - rIXH = 16; rIXL = 17 - rIYH = 18; rIYL = 19 - rI = 20; rR = 21 - registerIndexes = { - A: 0, F: 1 - B: 2, C: 3 - D: 4, E: 5 - H: 6, L: 7 - IXH: 16, IXL: 17 - IYH: 18, IYL: 19 - } - else - # little-endian - rF = 0; rA = 1 - rC = 2; rB = 3 - rE = 4; rD = 5 - rL = 6; rH = 7 - rF_ = 8; rA_ = 9 - rC_ = 10; rB_ = 11 - rE_ = 12; rD_ = 13 - rL_ = 14; rH_ = 15 - rIXL = 16; rIXH = 17 - rIYL = 18; rIYH = 19 - rR = 20; rI = 21 - registerIndexes = { - F: 0, A: 1 - C: 2, B: 3 - E: 4, D: 5 - L: 6, H: 7 - IXL: 16, IXH: 17 - IYL: 18, IYH: 19 - } - - FLAG_C = 0x01 - FLAG_N = 0x02 - FLAG_P = 0x04 - FLAG_V = 0x04 - FLAG_3 = 0x08 - FLAG_H = 0x10 - FLAG_5 = 0x20 - FLAG_Z = 0x40 - FLAG_S = 0x80 - - # JS block setting up internal Z80 state and lookup tables - - setUpStateJS = """ - var memory = opts.memory; - var ioBus = opts.ioBus; - var display = opts.display; - - var registerBuffer = new ArrayBuffer(26); - /* Expose registerBuffer as both register pairs and individual registers */ - var regPairs = new Uint16Array(registerBuffer); - var regs = new Uint8Array(registerBuffer); - - var tstates = 0; /* number of tstates since start of this frame */ - var iff1 = 0; - var iff2 = 0; - var im = 0; - var halted = false; - - /* tables for setting Z80 flags */ - - /* - Whether a half carry occurred or not can be determined by looking at - the 3rd bit of the two arguments and the result; these are hashed - into this table in the form r12, where r is the 3rd bit of the - result, 1 is the 3rd bit of the 1st argument and 2 is the - third bit of the 2nd argument; the tables differ for add and subtract - operations - */ - var halfcarryAddTable = new Uint8Array([0, #{FLAG_H}, #{FLAG_H}, #{FLAG_H}, 0, 0, 0, #{FLAG_H}]); - var halfcarrySubTable = new Uint8Array([0, 0, #{FLAG_H}, 0, #{FLAG_H}, 0, #{FLAG_H}, #{FLAG_H}]); - - /* - Similarly, overflow can be determined by looking at the 7th bits; again - the hash into this table is r12 - */ - var overflowAddTable = new Uint8Array([0, 0, 0, #{FLAG_V}, #{FLAG_V}, 0, 0, 0]); - var overflowSubTable = new Uint8Array([0, #{FLAG_V}, 0, 0, 0, 0, #{FLAG_V}, 0]); - - var sz53Table = new Uint8Array(0x100); /* The S, Z, 5 and 3 bits of the index */ - var parityTable = new Uint8Array(0x100); /* The parity of the lookup value */ - var sz53pTable = new Uint8Array(0x100); /* OR the above two tables together */ - - for (var i = 0; i < 0x100; i++) { - sz53Table[i] = i & ( #{FLAG_3 | FLAG_5 | FLAG_S} ); - var j = i; - var parity = 0; - for (var k = 0; k < 8; k++) { - parity ^= j & 1; - j >>=1; - } - - parityTable[i] = (parity ? 0 : #{FLAG_P}); - sz53pTable[i] = sz53Table[i] | parityTable[i]; - - sz53Table[0] |= #{FLAG_Z}; - sz53pTable[0] |= #{FLAG_Z}; - } - - var interruptible = true; - var interruptPending = false; - var interruptDataBus = 0; - var opcodePrefix = ''; - """ - - ### - Boilerplate generator: a helper to deal with classes of opcodes which perform - the same task on different types of operands: e.g. XOR B, XOR (HL), XOR nn, XOR (IX+nn). - This function accepts the parameter in question, and returns a set of canned strings - for use in the opcode runner body: - 'getter': a block of code that performs any necessary memory access etc in order to - make 'v' a valid expression; - 'v': an expression with no side effects, evaluating to the operand's value. (Must also be a valid lvalue for assignment) - 'trunc': an expression such as '& 0xff' to truncate v back to its proper range, if appropriate - 'setter': a block of code that writes an updated value back to its proper location, if any - - Passing hasIXOffsetAlready = true indicates that we have already read the offset value of (IX+nn)/(IY+nn) - into a variable 'offset' (necessary because DDCB/FFCB instructions put this before the final opcode byte). - ### - getParamBoilerplate = (param, hasIXOffsetAlready = false) -> - if param.match(/^[AFBCDEHL]|I[XY][HL]$/) - regNum = registerIndexes[param] - { - 'getter': '' - 'v': "regs[#{regNum}]" - 'trunc': '' - 'setter': '' - } - else if param == '(HL)' - { - 'getter': "var val = READMEM(regPairs[#{rpHL}]);" - 'v': 'val' - 'trunc': '& 0xff' - 'setter': """ - CONTEND_READ_NO_MREQ(regPairs[#{rpHL}], 1); - WRITEMEM(regPairs[#{rpHL}], val); - """ - } - else if param == 'nn' - { - 'getter': "var val = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++;" - 'v': 'val' - 'trunc': '& 0xff' - 'setter': '' - } - else if (match = param.match(/^\((I[XY])\+nn\)$/)) - rp = registerPairIndexes[match[1]] - if hasIXOffsetAlready - getter = '' - else - getter = """ - var offset = READMEM(regPairs[#{rpPC}]); - if (offset & 0x80) offset -= 0x100; - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - regPairs[#{rpPC}]++; - """ - getter += """ - var addr = (regPairs[#{rp}] + offset) & 0xffff; - var val = READMEM(addr); - """ - { - 'getter': getter - 'v': 'val' - 'trunc': '& 0xff' - 'setter': """ - CONTEND_READ_NO_MREQ(addr, 1); - WRITEMEM(addr, val); - """ - } - else if param == 'add' - # special case for incorporating ADD/SUB into DAA calculation using a custom variable 'add' - { - 'getter': '' - 'v': 'add' - 'trunc': '' - 'setter': '' - } - else - throw "Unknown param format: #{param}" - - ### - Opcode generator functions: each returns a string of Javascript that performs the opcode - when executed within this module's scope. Note that instructions with DDCBnn opcodes also - require an 'offset' variable to be defined as nn (as a signed byte). - ### - ADC_A = (param) -> - operand = getParamBoilerplate(param) - """ - #{operand.getter} - - var adctemp = regs[#{rA}] + #{operand.v} + (regs[#{rF}] & #{FLAG_C}); - var lookup = ( (regs[#{rA}] & 0x88) >> 3 ) | ( (#{operand.v} & 0x88) >> 2 ) | ( (adctemp & 0x88) >> 1 ); - regs[#{rA}] = adctemp; - regs[#{rF}] = ( adctemp & 0x100 ? #{FLAG_C} : 0 ) | halfcarryAddTable[lookup & 0x07] | overflowAddTable[lookup >> 4] | sz53Table[regs[#{rA}]]; - """ - - ADC_HL_RR = (rp2) -> - """ - var add16temp = regPairs[#{rpHL}] + regPairs[#{rp2}] + (regs[#{rF}] & #{FLAG_C}); - var lookup = ( - ( (regPairs[#{rpHL}] & 0x8800) >> 11 ) | - ( (regPairs[#{rp2}] & 0x8800) >> 10 ) | - ( (add16temp & 0x8800) >> 9 ) - ); - regPairs[#{rpHL}] = add16temp; - regs[#{rF}] = ( - (add16temp & 0x10000 ? #{FLAG_C} : 0) | - overflowAddTable[lookup >> 4] | - (regs[#{rH}] & #{FLAG_3 | FLAG_5 | FLAG_S}) | - halfcarryAddTable[lookup & 0x07] | - (regPairs[#{rpHL}] ? 0 : #{FLAG_Z}) - ); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - """ - - ADD_A = (param) -> - operand = getParamBoilerplate(param) - """ - #{operand.getter} - - var addtemp = regs[#{rA}] + #{operand.v}; - var lookup = ( (regs[#{rA}] & 0x88) >> 3 ) | ( (#{operand.v} & 0x88) >> 2 ) | ( (addtemp & 0x88) >> 1 ); - regs[#{rA}] = addtemp; - regs[#{rF}] = ( addtemp & 0x100 ? #{FLAG_C} : 0 ) | halfcarryAddTable[lookup & 0x07] | overflowAddTable[lookup >> 4] | sz53Table[regs[#{rA}]]; - """ - - ADD_RR_RR = (rp1, rp2) -> - """ - var add16temp = regPairs[#{rp1}] + regPairs[#{rp2}]; - var lookup = ( (regPairs[#{rp1}] & 0x0800) >> 11 ) | ( (regPairs[#{rp2}] & 0x0800) >> 10 ) | ( (add16temp & 0x0800) >> 9 ); - regPairs[#{rp1}] = add16temp; - regs[#{rF}] = ( regs[#{rF}] & ( #{FLAG_V | FLAG_Z | FLAG_S} ) ) | ( add16temp & 0x10000 ? #{FLAG_C} : 0 ) | ( ( add16temp >> 8 ) & ( #{FLAG_3 | FLAG_5} ) ) | halfcarryAddTable[lookup]; - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - """ - - AND_A = (param) -> - operand = getParamBoilerplate(param) - """ - #{operand.getter} - - regs[#{rA}] &= #{operand.v}; - regs[#{rF}] = #{FLAG_H} | sz53pTable[regs[#{rA}]]; - """ - - BIT_N_iRRpNNi = (bit, rp) -> # requires 'offset' - if bit == 7 - updateSignFlag = "if (value & 0x80) regs[#{rF}] |= #{FLAG_S};" - else - updateSignFlag = "" - - """ - var addr = (regPairs[#{rp}] + offset) & 0xffff; - var value = READMEM(addr); - regs[#{rF}] = ( regs[#{rF}] & #{FLAG_C} ) | #{FLAG_H} | ( ( addr >> 8 ) & #{FLAG_3 | FLAG_5} ); - if ( !(value & #{0x01 << bit}) ) regs[#{rF}] |= #{FLAG_P | FLAG_Z}; - #{updateSignFlag} - CONTEND_READ_NO_MREQ(addr, 1); - """ - - BIT_N_iHLi = (bit) -> - if bit == 7 - updateSignFlag = "if (value & 0x80) regs[#{rF}] |= #{FLAG_S};" - else - updateSignFlag = "" - - """ - var addr = regPairs[#{rpHL}]; - var value = READMEM(addr); - CONTEND_READ_NO_MREQ(addr, 1); - regs[#{rF}] = ( regs[#{rF}] & #{FLAG_C} ) | #{FLAG_H} | ( value & #{FLAG_3 | FLAG_5} ); - if( !(value & #{0x01 << bit}) ) regs[#{rF}] |= #{FLAG_P | FLAG_Z}; - #{updateSignFlag} - """ - - BIT_N_R = (bit, r) -> - if bit == 7 - updateSignFlag = "if (regs[#{r}] & 0x80) regs[#{rF}] |= #{FLAG_S};" - else - updateSignFlag = "" - """ - regs[#{rF}] = ( regs[#{rF}] & #{FLAG_C} ) | #{FLAG_H} | ( regs[#{r}] & #{FLAG_3 | FLAG_5} ); - if( !(regs[#{r}] & #{0x01 << bit}) ) regs[#{rF}] |= #{FLAG_P | FLAG_Z}; - #{updateSignFlag} - """ - - CALL_C_NN = (flag, sense) -> - condition = "regs[#{rF}] & #{flag}" - condition = "!(#{condition})" if not sense - """ - if (#{condition}) { - var l = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - var h = READMEM(regPairs[#{rpPC}]); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - regPairs[#{rpPC}]++; - regPairs[#{rpSP}]--; WRITEMEM(regPairs[#{rpSP}], regPairs[#{rpPC}] >> 8); - regPairs[#{rpSP}]--; WRITEMEM(regPairs[#{rpSP}], regPairs[#{rpPC}] & 0xff); - regPairs[#{rpPC}] = (h<<8) | l; - } else { - CONTEND_READ(regPairs[#{rpPC}], 3); - regPairs[#{rpPC}]++; - CONTEND_READ(regPairs[#{rpPC}], 3); - regPairs[#{rpPC}]++; - } - """ - - CALL_NN = () -> - """ - var l = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - var h = READMEM(regPairs[#{rpPC}]); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - regPairs[#{rpPC}]++; - regPairs[#{rpSP}]--; WRITEMEM(regPairs[#{rpSP}], regPairs[#{rpPC}] >> 8); - regPairs[#{rpSP}]--; WRITEMEM(regPairs[#{rpSP}], regPairs[#{rpPC}] & 0xff); - regPairs[#{rpPC}] = (h<<8) | l; - """ - - CCF = () -> - """ - regs[#{rF}] = ( regs[#{rF}] & #{FLAG_P | FLAG_Z | FLAG_S} ) | ( (regs[#{rF}] & #{FLAG_C}) ? #{FLAG_H} : #{FLAG_C} ) | ( regs[#{rA}] & #{FLAG_3 | FLAG_5} ); - """ - - CP_A = (param) -> - operand = getParamBoilerplate(param) - """ - #{operand.getter} - - var cptemp = regs[#{rA}] - #{operand.v}; - var lookup = ( (regs[#{rA}] & 0x88) >> 3 ) | ( (#{operand.v} & 0x88) >> 2 ) | ( (cptemp & 0x88) >> 1 ); - regs[#{rF}] = ( cptemp & 0x100 ? #{FLAG_C} : ( cptemp ? 0 : #{FLAG_Z} ) ) | #{FLAG_N} | halfcarrySubTable[lookup & 0x07] | overflowSubTable[lookup >> 4] | ( #{operand.v} & #{FLAG_3 | FLAG_5} ) | ( cptemp & #{FLAG_S} ); - """ - - CPI_CPD = (modifier) -> - """ - var value = READMEM(regPairs[#{rpHL}]); - var bytetemp = (regs[#{rA}] - value) & 0xff; - var lookup = ((regs[#{rA}] & 0x08) >> 3) | ((value & 0x08) >> 2) | ((bytetemp & 0x08) >> 1); - var originalHL = regPairs[#{rpHL}]; - CONTEND_READ_NO_MREQ(originalHL, 1); - CONTEND_READ_NO_MREQ(originalHL, 1); - CONTEND_READ_NO_MREQ(originalHL, 1); - CONTEND_READ_NO_MREQ(originalHL, 1); - CONTEND_READ_NO_MREQ(originalHL, 1); - regPairs[#{rpHL}]#{modifier}; regPairs[#{rpBC}]--; - regs[#{rF}] = (regs[#{rF}] & #{FLAG_C}) | (regPairs[#{rpBC}] ? #{FLAG_V | FLAG_N} : #{FLAG_N}) | halfcarrySubTable[lookup] | (bytetemp ? 0 : #{FLAG_Z}) | (bytetemp & #{FLAG_S}); - if (regs[#{rF}] & #{FLAG_H}) bytetemp--; - regs[#{rF}] |= (bytetemp & #{FLAG_3}) | ( (bytetemp & 0x02) ? #{FLAG_5} : 0 ); - """ - - CPIR_CPDR = (modifier) -> - """ - #{CPI_CPD(modifier)} - if ((regs[#{rF}] & #{FLAG_V | FLAG_Z}) == #{FLAG_V}) { - regPairs[#{rpPC}] -= 2; - CONTEND_READ_NO_MREQ(originalHL, 1); - CONTEND_READ_NO_MREQ(originalHL, 1); - CONTEND_READ_NO_MREQ(originalHL, 1); - CONTEND_READ_NO_MREQ(originalHL, 1); - CONTEND_READ_NO_MREQ(originalHL, 1); - } - """ - - CPD = () -> - CPI_CPD('--') - - CPI = () -> - CPI_CPD('++') - - CPDR = () -> - CPIR_CPDR('--') - - CPIR = () -> - CPIR_CPDR('++') - - - DAA = () -> - subClause = SUB_A('add') - addClause = ADD_A('add') - """ - var add = 0; - var carry = regs[#{rF}] & #{FLAG_C}; - if( ( regs[#{rF}] & #{FLAG_H} ) || ( ( regs[#{rA}] & 0x0f ) > 9 ) ) add = 6; - if( carry || ( regs[#{rA}] > 0x99 ) ) add |= 0x60; - if( regs[#{rA}] > 0x99 ) carry = #{FLAG_C}; - if( regs[#{rF}] & #{FLAG_N} ) { - #{subClause} - } else { - #{addClause} - } - regs[#{rF}] = ( regs[#{rF}] & #{~( FLAG_C | FLAG_P )} ) | carry | parityTable[regs[#{rA}]]; - """ - - CPL = () -> - """ - regs[#{rA}] ^= 0xff; - regs[#{rF}] = (regs[#{rF}] & #{FLAG_C | FLAG_P | FLAG_Z | FLAG_S}) | (regs[#{rA}] & #{FLAG_3 | FLAG_5}) | #{FLAG_N | FLAG_H}; - """ - - DEC = (param) -> - operand = getParamBoilerplate(param) - """ - #{operand.getter} - - regs[#{rF}] = (regs[#{rF}] & #{FLAG_C} ) | ( #{operand.v} & 0x0f ? 0 : #{FLAG_H} ) | #{FLAG_N}; - #{operand.v} = (#{operand.v} - 1) #{operand.trunc}; - - #{operand.setter} - regs[#{rF}] |= (#{operand.v} == 0x7f ? #{FLAG_V} : 0) | sz53Table[#{operand.v}]; - """ - - DEC_RR = (rp) -> - """ - regPairs[#{rp}]--; - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - """ - - DI = () -> - """ - iff1 = iff2 = 0; - """ - - DJNZ_N = () -> - """ - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - regs[#{rB}]--; - if (regs[#{rB}]) { - /* take branch */ - var offset = READMEM(regPairs[#{rpPC}]); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - regPairs[#{rpPC}]++; - regPairs[#{rpPC}] += (offset & 0x80 ? offset - 0x100 : offset); - } else { - /* do not take branch */ - CONTEND_READ(regPairs[#{rpPC}], 3); - regPairs[#{rpPC}]++; - } - """ - - EI = () -> - """ - iff1 = iff2 = 1; - interruptible = false; - """ - - EX_iSPi_RR = (rp) -> - """ - var l = READMEM(regPairs[#{rpSP}]); - var spPlus1 = (regPairs[#{rpSP}] + 1) & 0xffff; - var h = READMEM(spPlus1); - CONTEND_READ_NO_MREQ(spPlus1, 1); - WRITEMEM(spPlus1, regPairs[#{rp}] >> 8); - WRITEMEM(regPairs[#{rpSP}], regPairs[#{rp}] & 0xff); - regPairs[#{rp}] = (h<<8) | l; - CONTEND_WRITE_NO_MREQ(regPairs[#{rpSP}], 1); - CONTEND_WRITE_NO_MREQ(regPairs[#{rpSP}], 1); - """ - - EX_RR_RR = (rp1, rp2) -> - """ - var temp = regPairs[#{rp1}]; - regPairs[#{rp1}] = regPairs[#{rp2}]; - regPairs[#{rp2}] = temp; - """ - - EXX = () -> - """ - var wordtemp; - wordtemp = regPairs[#{rpBC}]; regPairs[#{rpBC}] = regPairs[#{rpBC_}]; regPairs[#{rpBC_}] = wordtemp; - wordtemp = regPairs[#{rpDE}]; regPairs[#{rpDE}] = regPairs[#{rpDE_}]; regPairs[#{rpDE_}] = wordtemp; - wordtemp = regPairs[#{rpHL}]; regPairs[#{rpHL}] = regPairs[#{rpHL_}]; regPairs[#{rpHL_}] = wordtemp; - """ - - HALT = () -> - """ - halted = true; - regPairs[#{rpPC}]--; - """ - - IM = (val) -> - """ - im = #{val}; - """ - - IN_A_N = () -> - """ - var val = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - var port = (regs[#{rA}] << 8) | val; - CONTEND_PORT_EARLY(port); - regs[#{rA}] = ioBus.read(port); - CONTEND_PORT_LATE(port); - """ - - IN_F_iCi = () -> - # as IN_R_iCi, but result is written to a local variable rather than a register - """ - var port = regPairs[#{rpBC}]; - CONTEND_PORT_EARLY(port); - var result = ioBus.read(port); - CONTEND_PORT_LATE(port); - regs[#{rF}] = (regs[#{rF}] & #{FLAG_C}) | sz53pTable[result]; - """ - - IN_R_iCi = (r) -> - """ - var port = regPairs[#{rpBC}]; - CONTEND_PORT_EARLY(port); - regs[#{r}] = ioBus.read(port); - CONTEND_PORT_LATE(port); - regs[#{rF}] = (regs[#{rF}] & #{FLAG_C}) | sz53pTable[regs[#{r}]]; - """ - - INC = (param) -> - operand = getParamBoilerplate(param) - """ - #{operand.getter} - - regs[#{rF}] = (regs[#{rF}] & #{FLAG_C}) | (#{operand.v} & 0x0f ? 0 : #{FLAG_H}) | #{FLAG_N}; - #{operand.v} = (#{operand.v} + 1) #{operand.trunc}; - - #{operand.setter} - regs[#{rF}] = (regs[#{rF}] & #{FLAG_C}) | ( #{operand.v} == 0x80 ? #{FLAG_V} : 0 ) | ( #{operand.v} & 0x0f ? 0 : #{FLAG_H} ) | sz53Table[#{operand.v}]; - """ - - INC_RR = (rp) -> - """ - regPairs[#{rp}]++; - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - """ - - INI_IND = (modifier) -> - """ - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_PORT_EARLY(regPairs[#{rpBC}]); - var initemp = ioBus.read(regPairs[#{rpBC}]); - CONTEND_PORT_LATE(regPairs[#{rpBC}]); - WRITEMEM(regPairs[#{rpHL}], initemp); - regs[#{rB}]--; - var originalHL = regPairs[#{rpHL}]; - regPairs[#{rpHL}]#{modifier}#{modifier}; - var initemp2 = (initemp + regs[#{rC}] #{modifier} 1) & 0xff; - - regs[#{rF}] = (initemp & 0x80 ? #{FLAG_N} : 0) | ((initemp2 < initemp) ? #{FLAG_H | FLAG_C} : 0 ) | ( parityTable[ (initemp2 & 0x07) ^ regs[#{rB}] ] ? #{FLAG_P} : 0 ) | sz53Table[regs[#{rB}]]; - """ - - INIR_INDR = (modifier) -> - """ - #{INI_IND(modifier)} - if (regs[#{rB}]) { - CONTEND_WRITE_NO_MREQ(originalHL, 1); - CONTEND_WRITE_NO_MREQ(originalHL, 1); - CONTEND_WRITE_NO_MREQ(originalHL, 1); - CONTEND_WRITE_NO_MREQ(originalHL, 1); - CONTEND_WRITE_NO_MREQ(originalHL, 1); - regPairs[#{rpPC}] -= 2; - } - """ - - INI = () -> - INI_IND('+') - - IND = () -> - INI_IND('-') - - INIR = () -> - INIR_INDR('+') - - INDR = () -> - INIR_INDR('-') - - JP_C_NN = (flag, sense) -> - condition = "regs[#{rF}] & #{flag}" - condition = "!(#{condition})" if not sense - """ - if (#{condition}) { - var l = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - var h = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - regPairs[#{rpPC}] = (h<<8) | l; - } else { - CONTEND_READ(regPairs[#{rpPC}], 3); - regPairs[#{rpPC}]++; - CONTEND_READ(regPairs[#{rpPC}], 3); - regPairs[#{rpPC}]++; - } - """ - - JP_RR = (rp) -> - """ - regPairs[#{rpPC}] = regPairs[#{rp}]; - """ - - JP_NN = () -> - """ - var l = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - var h = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - regPairs[#{rpPC}] = (h<<8) | l; - """ - - JR_C_N = (flag, sense) -> - condition = "regs[#{rF}] & #{flag}" - condition = "!(#{condition})" if not sense - """ - if (#{condition}) { - var offset = READMEM(regPairs[#{rpPC}]); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - regPairs[#{rpPC}]++; - regPairs[#{rpPC}] += (offset & 0x80 ? offset - 0x100 : offset); - } else { - CONTEND_READ(regPairs[#{rpPC}], 3); - regPairs[#{rpPC}]++; /* skip past offset byte */ - } - """ - - JR_N = () -> - """ - var offset = READMEM(regPairs[#{rpPC}]); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - regPairs[#{rpPC}]++; - regPairs[#{rpPC}] += (offset & 0x80 ? offset - 0x100 : offset); - """ - - LD_A_iNNi = () -> - """ - var l = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - var h = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - var addr = (h<<8) | l; - regs[#{rA}] = READMEM(addr); - """ - - LD_iNNi_A = () -> - """ - var l = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - var h = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - var addr = (h<<8) | l; - WRITEMEM(addr, regs[#{rA}]); - """ - - LD_iNNi_RR = (rp) -> - """ - var l = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - var h = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - var addr = (h<<8) | l; - WRITEMEM(addr, regPairs[#{rp}] & 0xff); - addr = (addr + 1) & 0xffff; - WRITEMEM(addr, regPairs[#{rp}] >> 8); - """ - - LD_iRRi_N = (rp) -> - """ - var n = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - WRITEMEM(regPairs[#{rp}], n); - """ - - LD_iRRi_R = (rp, r) -> - """ - WRITEMEM(regPairs[#{rp}], regs[#{r}]); - """ - - LD_iRRpNNi_N = (rp) -> - """ - var offset = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - if (offset & 0x80) offset -= 0x100; - var addr = (regPairs[#{rp}] + offset) & 0xffff; - - var val = READMEM(regPairs[#{rpPC}]); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - regPairs[#{rpPC}]++; - WRITEMEM(addr, val); - """ - - LD_iRRpNNi_R = (rp, r) -> - """ - var offset = READMEM(regPairs[#{rpPC}]); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - regPairs[#{rpPC}]++; - if (offset & 0x80) offset -= 0x100; - var addr = (regPairs[#{rp}] + offset) & 0xffff; - - WRITEMEM(addr, regs[#{r}]); - """ - - LD_R_iRRi = (r, rp) -> - """ - regs[#{r}] = READMEM(regPairs[#{rp}]); - """ - - LD_R_iRRpNNi = (r, rp) -> - """ - var offset = READMEM(regPairs[#{rpPC}]); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - regPairs[#{rpPC}]++; - if (offset & 0x80) offset -= 0x100; - var addr = (regPairs[#{rp}] + offset) & 0xffff; - - regs[#{r}] = READMEM(addr); - """ - - LD_R_N = (r) -> - """ - regs[#{r}] = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - """ - - LD_R_R = (r1, r2) -> - if r1 == rI || r2 == rI || r1 == rR || r2 == rR - output = """ - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - regs[#{r1}] = regs[#{r2}]; - """ - if (r1 == rA) - output += """ - regs[#{rF}] = (regs[#{rF}] & #{FLAG_C}) | sz53Table[regs[#{rA}]] | ( iff2 ? #{FLAG_V} : 0 ); - """ - output - else - """ - regs[#{r1}] = regs[#{r2}]; - """ - - LD_RR_iNNi = (rp, shifted) -> - """ - var l = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - var h = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - var addr = (h<<8) | l; - l = READMEM(addr); - addr = (addr + 1) & 0xffff; - h = READMEM(addr); - regPairs[#{rp}] = (h<<8) | l; - """ - - LD_RR_NN = (rp) -> - """ - var l = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - var h = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - regPairs[#{rp}] = (h<<8) | l; - """ - - LD_RR_RR = (rp1, rp2) -> - # only used for LD SP,HL/IX/IY - """ - regPairs[#{rp1}] = regPairs[#{rp2}]; - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - """ - - LDBITOP = (regName, opcode, bit, rp) -> - # load (rp+nn) into register regName, perform opcode, write back to (rp+nn) - regNum = registerIndexes[regName] - """ - var addr = (regPairs[#{rp}] + offset) & 0xffff; - regs[#{regNum}] = READMEM(addr); - #{opcode(bit, regName)} - CONTEND_READ_NO_MREQ(addr, 1); - WRITEMEM(addr, regs[#{regNum}]); - """ - - LDI_LDD = (modifier) -> - """ - var bytetemp = READMEM(regPairs[#{rpHL}]); - regPairs[#{rpBC}]--; - WRITEMEM(regPairs[#{rpDE}],bytetemp); - var originalDE = regPairs[#{rpDE}]; - regPairs[#{rpDE}]#{modifier}; regPairs[#{rpHL}]#{modifier}; - bytetemp = (bytetemp + regs[#{rA}]) & 0xff; - regs[#{rF}] = (regs[#{rF}] & #{FLAG_C | FLAG_Z | FLAG_S}) | (regPairs[#{rpBC}] ? #{FLAG_V} : 0) | (bytetemp & #{FLAG_3}) | ((bytetemp & 0x02) ? #{FLAG_5} : 0); - CONTEND_READ_NO_MREQ(originalDE, 1); - CONTEND_READ_NO_MREQ(originalDE, 1); - """ - - LDIR_LDDR = (modifier) -> - """ - #{LDI_LDD(modifier)} - if (regPairs[#{rpBC}]) { - regPairs[#{rpPC}]-=2; - CONTEND_READ_NO_MREQ(originalDE, 1); - CONTEND_READ_NO_MREQ(originalDE, 1); - CONTEND_READ_NO_MREQ(originalDE, 1); - CONTEND_READ_NO_MREQ(originalDE, 1); - CONTEND_READ_NO_MREQ(originalDE, 1); - } - """ - - LDI = () -> - LDI_LDD('++') - - LDD = () -> - LDI_LDD('--') - - LDIR = () -> - LDIR_LDDR('++') - - LDDR = () -> - LDIR_LDDR('--') - - LDSHIFTOP = (regName, opcode, rp) -> - # load (rp+nn) into register regName, perform opcode, write back to (rp+nn) - regNum = registerIndexes[regName] - """ - var addr = (regPairs[#{rp}] + offset) & 0xffff; - regs[#{regNum}] = READMEM(addr); - #{opcode(regName)} - CONTEND_READ_NO_MREQ(addr, 1); - WRITEMEM(addr, regs[#{regNum}]); - """ - - NEG = () -> - """ - var val = regs[#{rA}]; - var subtemp = -val; - var lookup = ( (val & 0x88) >> 2 ) | ( (subtemp & 0x88) >> 1 ); - regs[#{rA}] = subtemp; - regs[#{rF}] = ( subtemp & 0x100 ? #{FLAG_C} : 0 ) | #{FLAG_N} | halfcarrySubTable[lookup & 0x07] | overflowSubTable[lookup >> 4] | sz53Table[regs[#{rA}]]; - """ - - NOP = () -> - """ - """ - - OR_A = (param) -> - operand = getParamBoilerplate(param) - """ - #{operand.getter} - - regs[#{rA}] |= #{operand.v}; - regs[#{rF}] = sz53pTable[regs[#{rA}]]; - """ - - OUT_iCi_0 = (r) -> - """ - CONTEND_PORT_EARLY(regPairs[#{rpBC}]); - ioBus.write(regPairs[#{rpBC}], 0, tstates); - CONTEND_PORT_LATE(regPairs[#{rpBC}]); - """ - - OUT_iCi_R = (r) -> - """ - CONTEND_PORT_EARLY(regPairs[#{rpBC}]); - ioBus.write(regPairs[#{rpBC}], regs[#{r}], tstates); - CONTEND_PORT_LATE(regPairs[#{rpBC}]); - """ - - OUT_iNi_A = () -> - """ - var port = (regs[#{rA}] << 8) | READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - CONTEND_PORT_EARLY(port); - ioBus.write(port, regs[#{rA}], tstates); - CONTEND_PORT_LATE(port); - """ - - OUTI_OUTD = (modifier) -> - """ - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - var outitemp = READMEM(regPairs[#{rpHL}]); - regs[#{rB}]--; /* This does happen first, despite what the specs say */ - CONTEND_PORT_EARLY(regPairs[#{rpBC}]); - ioBus.write(regPairs[#{rpBC}], outitemp, tstates); - CONTEND_PORT_LATE(regPairs[#{rpBC}]); - - regPairs[#{rpHL}]#{modifier}; - outitemp2 = (outitemp + regs[#{rL}]) & 0xff; - regs[#{rF}] = (outitemp & 0x80 ? #{FLAG_N} : 0) | ( (outitemp2 < outitemp) ? #{FLAG_H | FLAG_C} : 0) | (parityTable[ (outitemp2 & 0x07) ^ regs[#{rB}] ] ? #{FLAG_P} : 0 ) | sz53Table[ regs[#{rB}] ]; - """ - - OTIR_OTDR = (modifier) -> - """ - #{OUTI_OUTD(modifier)} - if (regs[#{rB}]) { - regPairs[#{rpPC}]-=2; - CONTEND_READ_NO_MREQ(regPairs[#{rpBC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpBC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpBC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpBC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpBC}], 1); - } - """ - - OUTD = () -> - OUTI_OUTD('--'); - - OUTI = () -> - OUTI_OUTD('++'); - - OTDR = () -> - OTIR_OTDR('--'); - - OTIR = () -> - OTIR_OTDR('++'); - - POP_RR = (rp) -> - """ - var l = READMEM(regPairs[#{rpSP}]); regPairs[#{rpSP}]++; - var h = READMEM(regPairs[#{rpSP}]); regPairs[#{rpSP}]++; - regPairs[#{rp}] = (h<<8) | l; - """ - - PUSH_RR = (rp) -> - """ - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - regPairs[#{rpSP}]--; WRITEMEM(regPairs[#{rpSP}], regPairs[#{rp}] >> 8); - regPairs[#{rpSP}]--; WRITEMEM(regPairs[#{rpSP}], regPairs[#{rp}] & 0xff); - """ - - RES = (bit, param) -> - operand = getParamBoilerplate(param, true) - hexMask = 0xff ^ (1 << bit) - """ - #{operand.getter} - #{operand.v} &= #{hexMask}; - #{operand.setter} - """ - - RET = () -> - """ - var l = READMEM(regPairs[#{rpSP}]); regPairs[#{rpSP}]++; - var h = READMEM(regPairs[#{rpSP}]); regPairs[#{rpSP}]++; - regPairs[#{rpPC}] = (h<<8) | l; - """ - - RET_C = (flag, sense) -> - condition = "regs[#{rF}] & #{flag}" - condition = "!(#{condition})" if not sense - """ - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - if (#{condition}) { - var l = READMEM(regPairs[#{rpSP}]); regPairs[#{rpSP}]++; - var h = READMEM(regPairs[#{rpSP}]); regPairs[#{rpSP}]++; - regPairs[#{rpPC}] = (h<<8) | l; - } - """ - - RETN = () -> - """ - iff1 = iff2; - #{RET()} - """ - - RL = (param) -> - operand = getParamBoilerplate(param, true) - """ - #{operand.getter} - var rltemp = #{operand.v}; - #{operand.v} = ( (#{operand.v} << 1) | (regs[#{rF}] & #{FLAG_C}) ) #{operand.trunc}; - regs[#{rF}] = ( rltemp >> 7 ) | sz53pTable[#{operand.v}]; - #{operand.setter} - """ - - RLA = () -> - """ - var bytetemp = regs[#{rA}]; - regs[#{rA}] = (regs[#{rA}] << 1) | (regs[#{rF}] & #{FLAG_C}); - regs[#{rF}] = (regs[#{rF}] & #{FLAG_P | FLAG_Z | FLAG_S}) | (regs[#{rA}] & #{FLAG_3 | FLAG_5}) | (bytetemp >> 7); - """ - - RLC = (param) -> - operand = getParamBoilerplate(param, true) - """ - #{operand.getter} - #{operand.v} = ( (#{operand.v} << 1) | (#{operand.v} >> 7) ) #{operand.trunc}; - regs[#{rF}] = (#{operand.v} & #{FLAG_C}) | sz53pTable[#{operand.v}]; - #{operand.setter} - """ - - RLD = () -> - """ - var bytetemp = READMEM(regPairs[#{rpHL}]); - CONTEND_READ_NO_MREQ(regPairs[#{rpHL}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpHL}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpHL}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpHL}], 1); - var val = (bytetemp << 4) | (regs[#{rA}] & 0x0f); - WRITEMEM(regPairs[#{rpHL}], val); - regs[#{rA}] = (regs[#{rA}] & 0xf0) | (bytetemp >> 4); - regs[#{rF}] = (regs[#{rF}] & #{FLAG_C}) | sz53pTable[regs[#{rA}]]; - """ - - RLCA = () -> - """ - regs[#{rA}] = (regs[#{rA}] << 1) | (regs[#{rA}] >> 7); - regs[#{rF}] = (regs[#{rF}] & #{FLAG_P | FLAG_Z | FLAG_S}) | (regs[#{rA}] & #{FLAG_C | FLAG_3 | FLAG_5}); - """ - - RR = (param) -> - operand = getParamBoilerplate(param, true) - """ - #{operand.getter} - var rrtemp = #{operand.v}; - #{operand.v} = ( (#{operand.v} >> 1) | ( regs[#{rF}] << 7 ) ) #{operand.trunc}; - regs[#{rF}] = (rrtemp & #{FLAG_C}) | sz53pTable[#{operand.v}]; - #{operand.setter} - """ - - RRA = () -> - """ - var bytetemp = regs[#{rA}]; - regs[#{rA}] = (bytetemp >> 1) | (regs[#{rF}] << 7); - regs[#{rF}] = (regs[#{rF}] & #{FLAG_P | FLAG_Z | FLAG_S}) | (regs[#{rA}] & #{FLAG_3 | FLAG_5}) | (bytetemp & #{FLAG_C}); - """ - - RRC = (param) -> - operand = getParamBoilerplate(param, true) - """ - #{operand.getter} - regs[#{rF}] = #{operand.v} & #{FLAG_C}; - #{operand.v} = ( (#{operand.v} >> 1) | (#{operand.v} << 7) ) #{operand.trunc}; - regs[#{rF}] |= sz53pTable[#{operand.v}]; - #{operand.setter} - """ - - RRCA = () -> - """ - regs[#{rF}] = (regs[#{rF}] & #{FLAG_P | FLAG_Z | FLAG_S}) | (regs[#{rA}] & #{FLAG_C}); - regs[#{rA}] = (regs[#{rA}] >> 1) | (regs[#{rA}] << 7); - regs[#{rF}] |= (regs[#{rA}] & #{FLAG_3 | FLAG_5}); - """ - - RRD = () -> - """ - var bytetemp = READMEM(regPairs[#{rpHL}]); - CONTEND_READ_NO_MREQ(regPairs[#{rpHL}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpHL}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpHL}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpHL}], 1); - var val = (regs[#{rA}] << 4) | (bytetemp >> 4); - WRITEMEM(regPairs[#{rpHL}], val); - regs[#{rA}] = (regs[#{rA}] & 0xf0) | (bytetemp & 0x0f); - regs[#{rF}] = (regs[#{rF}] & #{FLAG_C}) | sz53pTable[regs[#{rA}]]; - """ - - RST = (addr) -> - """ - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - regPairs[#{rpSP}]--; WRITEMEM(regPairs[#{rpSP}], regPairs[#{rpPC}] >> 8); - regPairs[#{rpSP}]--; WRITEMEM(regPairs[#{rpSP}], regPairs[#{rpPC}] & 0xff); - regPairs[#{rpPC}] = #{addr}; - """ - - SBC_A = (param) -> - operand = getParamBoilerplate(param) - """ - #{operand.getter} - var sbctemp = regs[#{rA}] - #{operand.v} - (regs[#{rF}] & #{FLAG_C}); - var lookup = ( (regs[#{rA}] & 0x88) >> 3 ) | ( (#{operand.v} & 0x88) >> 2 ) | ( (sbctemp & 0x88) >> 1 ); - regs[#{rA}] = sbctemp; - regs[#{rF}] = ( sbctemp & 0x100 ? #{FLAG_C} : 0 ) | #{FLAG_N} | halfcarrySubTable[lookup & 0x07] | overflowSubTable[lookup >> 4] | sz53Table[regs[#{rA}]]; - """ - - SBC_HL_RR = (rp) -> - """ - var sub16temp = regPairs[#{rpHL}] - regPairs[#{rp}] - (regs[#{rF}] & #{FLAG_C}); - var lookup = ( (regPairs[#{rpHL}] & 0x8800) >> 11 ) | ( (regPairs[#{rp}] & 0x8800) >> 10 ) | ( (sub16temp & 0x8800) >> 9 ); - regPairs[#{rpHL}] = sub16temp; - regs[#{rF}] = ( sub16temp & 0x10000 ? #{FLAG_C} : 0 ) | #{FLAG_N} | overflowSubTable[lookup >> 4] | (regs[#{rH}] & #{FLAG_3 | FLAG_5 | FLAG_S}) | halfcarrySubTable[lookup&0x07] | (regPairs[#{rpHL}] ? 0 : #{FLAG_Z}); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpIR}], 1); - """ - - SCF = () -> - """ - regs[#{rF}] = (regs[#{rF}] & #{FLAG_P | FLAG_Z | FLAG_S}) | (regs[#{rA}] & #{FLAG_3 | FLAG_5}) | #{FLAG_C}; - """ - - SET = (bit, param) -> - hexMask = 1 << bit - operand = getParamBoilerplate(param, true) - """ - #{operand.getter} - #{operand.v} |= #{hexMask}; - #{operand.setter} - """ - - SHIFT = (prefix) -> - # Fake instruction for shifted opcodes - passes control to a secondary opcode table - """ - opcodePrefix = '#{prefix}'; - interruptible = false; - """ - - SLA = (param) -> - operand = getParamBoilerplate(param, true) - """ - #{operand.getter} - regs[#{rF}] = #{operand.v} >> 7; - #{operand.v} = (#{operand.v} << 1) #{operand.trunc}; - regs[#{rF}] |= sz53pTable[#{operand.v}]; - #{operand.setter} - """ - - SLL = (param) -> - operand = getParamBoilerplate(param, true) - """ - #{operand.getter} - regs[#{rF}] = #{operand.v} >> 7; - #{operand.v} = (((#{operand.v}) << 1) #{operand.trunc}) | 0x01; - regs[#{rF}] |= sz53pTable[#{operand.v}]; - #{operand.setter} - """ - - SRA = (param) -> - operand = getParamBoilerplate(param, true) - """ - #{operand.getter} - regs[#{rF}] = #{operand.v} & #{FLAG_C}; - #{operand.v} = ( (#{operand.v} & 0x80) | (#{operand.v} >> 1) ) #{operand.trunc}; - regs[#{rF}] |= sz53pTable[#{operand.v}]; - #{operand.setter} - """ - - SRL = (param) -> - operand = getParamBoilerplate(param, true) - """ - #{operand.getter} - regs[#{rF}] = #{operand.v} & #{FLAG_C}; - #{operand.v} >>= 1; - regs[#{rF}] |= sz53pTable[#{operand.v}]; - #{operand.setter} - """ - - SUB_A = (param) -> - operand = getParamBoilerplate(param) - """ - #{operand.getter} - var subtemp = regs[#{rA}] - #{operand.v}; - var lookup = ( (regs[#{rA}] & 0x88) >> 3 ) | ( (#{operand.v} & 0x88) >> 2 ) | ( (subtemp & 0x88) >> 1 ); - regs[#{rA}] = subtemp; - regs[#{rF}] = ( subtemp & 0x100 ? #{FLAG_C} : 0 ) | #{FLAG_N} | halfcarrySubTable[lookup & 0x07] | overflowSubTable[lookup >> 4] | sz53Table[regs[#{rA}]]; - """ - - XOR_A = (param) -> - operand = getParamBoilerplate(param) - """ - #{operand.getter} - regs[#{rA}] ^= #{operand.v}; - regs[#{rF}] = sz53pTable[regs[#{rA}]]; - """ - - ### - Given a table mapping opcodes to Javascript snippets (and optionally a fallback - table for opcodes that aren't defined in the first one), build an enormous - switch statement for them - ### - opcodeSwitch = (runStringTable, fallbackTable = {}, traps = []) -> - clauses = [] - for i in [0...0x100] - runString = runStringTable[i] - if not runString? - runString = fallbackTable[i] - if runString? - relevantTraps = ([address, action] for [address, opcode, action] in traps when opcode == i) - trapCode = ( - "if (regPairs[#{rpPC}] == #{(address + 1) & 0xffff} && !(#{action})) break;" for [address, action] in relevantTraps - ) - clauses.push """ - case #{i}: { var fn#{i} = function() { - #{trapCode.join("\n")} - #{runString} - }; fn#{i}(); } - break; - """ - """ - switch (opcode) { - #{clauses.join('')} - default: - var addr = regPairs[#{rpPC}] - 1; - throw("Unimplemented opcode " + opcode + " in page #{runStringTable[0x100]} - PC = " + addr); - } - """ - - # Tables mapping opcodes to Javascript snippets - OPCODE_RUN_STRINGS_CB = { - 0x00: RLC "B" # RLC B - 0x01: RLC "C" # RLC C - 0x02: RLC "D" # RLC D - 0x03: RLC "E" # RLC E - 0x04: RLC "H" # RLC H - 0x05: RLC "L" # RLC L - 0x06: RLC "(HL)" # RLC (HL) - 0x07: RLC "A" # RLC A - 0x08: RRC "B" # RRC B - 0x09: RRC "C" # RRC C - 0x0a: RRC "D" # RRC D - 0x0b: RRC "E" # RRC E - 0x0c: RRC "H" # RRC H - 0x0d: RRC "L" # RRC L - 0x0e: RRC "(HL)" # RRC (HL) - 0x0f: RRC "A" # RRC A - 0x10: RL 'B' # RL B - 0x11: RL 'C' # RL C - 0x12: RL 'D' # RL D - 0x13: RL 'E' # RL E - 0x14: RL 'H' # RL H - 0x15: RL 'L' # RL L - 0x16: RL '(HL)' # RL (HL) - 0x17: RL 'A' # RL A - 0x18: RR 'B' # RR B - 0x19: RR 'C' # RR C - 0x1a: RR 'D' # RR D - 0x1b: RR 'E' # RR E - 0x1c: RR 'H' # RR H - 0x1d: RR 'L' # RR L - 0x1e: RR '(HL)' # RR (HL) - 0x1f: RR 'A' # RR A - 0x20: SLA 'B' # SLA B - 0x21: SLA 'C' # SLA C - 0x22: SLA 'D' # SLA D - 0x23: SLA 'E' # SLA E - 0x24: SLA 'H' # SLA H - 0x25: SLA 'L' # SLA L - 0x26: SLA '(HL)' # SLA (HL) - 0x27: SLA 'A' # SLA A - 0x28: SRA 'B' # SRA B - 0x29: SRA 'C' # SRA C - 0x2a: SRA 'D' # SRA D - 0x2b: SRA 'E' # SRA E - 0x2c: SRA 'H' # SRA H - 0x2d: SRA 'L' # SRA L - 0x2e: SRA '(HL)' # SRA (HL) - 0x2f: SRA 'A' # SRA A - 0x30: SLL 'B' # SLL B - 0x31: SLL 'C' # SLL C - 0x32: SLL 'D' # SLL D - 0x33: SLL 'E' # SLL E - 0x34: SLL 'H' # SLL H - 0x35: SLL 'L' # SLL L - 0x36: SLL '(HL)' # SLL (HL) - 0x37: SLL 'A' # SLL A - 0x38: SRL 'B' # SRL B - 0x39: SRL 'C' # SRL C - 0x3a: SRL 'D' # SRL D - 0x3b: SRL 'E' # SRL E - 0x3c: SRL 'H' # SRL H - 0x3d: SRL 'L' # SRL L - 0x3e: SRL '(HL)' # SRL (HL) - 0x3f: SRL 'A' # SRL A - 0x40: BIT_N_R(0, rB) # BIT 0,B - 0x41: BIT_N_R(0, rC) # BIT 0,C - 0x42: BIT_N_R(0, rD) # BIT 0,D - 0x43: BIT_N_R(0, rE) # BIT 0,E - 0x44: BIT_N_R(0, rH) # BIT 0,H - 0x45: BIT_N_R(0, rL) # BIT 0,L - 0x46: BIT_N_iHLi(0) # BIT 0,(HL) - 0x47: BIT_N_R(0, rA) # BIT 0,A - 0x48: BIT_N_R(1, rB) # BIT 1,B - 0x49: BIT_N_R(1, rC) # BIT 1,C - 0x4A: BIT_N_R(1, rD) # BIT 1,D - 0x4B: BIT_N_R(1, rE) # BIT 1,E - 0x4C: BIT_N_R(1, rH) # BIT 1,H - 0x4D: BIT_N_R(1, rL) # BIT 1,L - 0x4E: BIT_N_iHLi(1) # BIT 1,(HL) - 0x4F: BIT_N_R(1, rA) # BIT 1,A - 0x50: BIT_N_R(2, rB) # BIT 2,B - 0x51: BIT_N_R(2, rC) # BIT 2,C - 0x52: BIT_N_R(2, rD) # BIT 2,D - 0x53: BIT_N_R(2, rE) # BIT 2,E - 0x54: BIT_N_R(2, rH) # BIT 2,H - 0x55: BIT_N_R(2, rL) # BIT 2,L - 0x56: BIT_N_iHLi(2) # BIT 2,(HL) - 0x57: BIT_N_R(2, rA) # BIT 2,A - 0x58: BIT_N_R(3, rB) # BIT 3,B - 0x59: BIT_N_R(3, rC) # BIT 3,C - 0x5A: BIT_N_R(3, rD) # BIT 3,D - 0x5B: BIT_N_R(3, rE) # BIT 3,E - 0x5C: BIT_N_R(3, rH) # BIT 3,H - 0x5D: BIT_N_R(3, rL) # BIT 3,L - 0x5E: BIT_N_iHLi(3) # BIT 3,(HL) - 0x5F: BIT_N_R(3, rA) # BIT 3,A - 0x60: BIT_N_R(4, rB) # BIT 4,B - 0x61: BIT_N_R(4, rC) # BIT 4,C - 0x62: BIT_N_R(4, rD) # BIT 4,D - 0x63: BIT_N_R(4, rE) # BIT 4,E - 0x64: BIT_N_R(4, rH) # BIT 4,H - 0x65: BIT_N_R(4, rL) # BIT 4,L - 0x66: BIT_N_iHLi(4) # BIT 4,(HL) - 0x67: BIT_N_R(4, rA) # BIT 4,A - 0x68: BIT_N_R(5, rB) # BIT 5,B - 0x69: BIT_N_R(5, rC) # BIT 5,C - 0x6A: BIT_N_R(5, rD) # BIT 5,D - 0x6B: BIT_N_R(5, rE) # BIT 5,E - 0x6C: BIT_N_R(5, rH) # BIT 5,H - 0x6D: BIT_N_R(5, rL) # BIT 5,L - 0x6E: BIT_N_iHLi(5) # BIT 5,(HL) - 0x6F: BIT_N_R(5, rA) # BIT 5,A - 0x70: BIT_N_R(6, rB) # BIT 6,B - 0x71: BIT_N_R(6, rC) # BIT 6,C - 0x72: BIT_N_R(6, rD) # BIT 6,D - 0x73: BIT_N_R(6, rE) # BIT 6,E - 0x74: BIT_N_R(6, rH) # BIT 6,H - 0x75: BIT_N_R(6, rL) # BIT 6,L - 0x76: BIT_N_iHLi(6) # BIT 6,(HL) - 0x77: BIT_N_R(6, rA) # BIT 6,A - 0x78: BIT_N_R(7, rB) # BIT 7,B - 0x79: BIT_N_R(7, rC) # BIT 7,C - 0x7A: BIT_N_R(7, rD) # BIT 7,D - 0x7B: BIT_N_R(7, rE) # BIT 7,E - 0x7C: BIT_N_R(7, rH) # BIT 7,H - 0x7D: BIT_N_R(7, rL) # BIT 7,L - 0x7E: BIT_N_iHLi(7) # BIT 7,(HL) - 0x7F: BIT_N_R(7, rA) # BIT 7,A - 0x80: RES 0, 'B' # RES 0,B - 0x81: RES 0, 'C' # RES 0,C - 0x82: RES 0, 'D' # RES 0,D - 0x83: RES 0, 'E' # RES 0,E - 0x84: RES 0, 'H' # RES 0,H - 0x85: RES 0, 'L' # RES 0,L - 0x86: RES 0, '(HL)' # RES 0,(HL) - 0x87: RES 0, 'A' # RES 0,A - 0x88: RES 1, 'B' # RES 1,B - 0x89: RES 1, 'C' # RES 1,C - 0x8A: RES 1, 'D' # RES 1,D - 0x8B: RES 1, 'E' # RES 1,E - 0x8C: RES 1, 'H' # RES 1,H - 0x8D: RES 1, 'L' # RES 1,L - 0x8E: RES 1, '(HL)' # RES 1,(HL) - 0x8F: RES 1, 'A' # RES 1,A - 0x90: RES 2, 'B' # RES 2,B - 0x91: RES 2, 'C' # RES 2,C - 0x92: RES 2, 'D' # RES 2,D - 0x93: RES 2, 'E' # RES 2,E - 0x94: RES 2, 'H' # RES 2,H - 0x95: RES 2, 'L' # RES 2,L - 0x96: RES 2, '(HL)' # RES 2,(HL) - 0x97: RES 2, 'A' # RES 2,A - 0x98: RES 3, 'B' # RES 3,B - 0x99: RES 3, 'C' # RES 3,C - 0x9A: RES 3, 'D' # RES 3,D - 0x9B: RES 3, 'E' # RES 3,E - 0x9C: RES 3, 'H' # RES 3,H - 0x9D: RES 3, 'L' # RES 3,L - 0x9E: RES 3, '(HL)' # RES 3,(HL) - 0x9F: RES 3, 'A' # RES 3,A - 0xA0: RES 4, 'B' # RES 4,B - 0xA1: RES 4, 'C' # RES 4,C - 0xA2: RES 4, 'D' # RES 4,D - 0xA3: RES 4, 'E' # RES 4,E - 0xA4: RES 4, 'H' # RES 4,H - 0xA5: RES 4, 'L' # RES 4,L - 0xA6: RES 4, '(HL)' # RES 4,(HL) - 0xA7: RES 4, 'A' # RES 4,A - 0xA8: RES 5, 'B' # RES 5,B - 0xA9: RES 5, 'C' # RES 5,C - 0xAA: RES 5, 'D' # RES 5,D - 0xAB: RES 5, 'E' # RES 5,E - 0xAC: RES 5, 'H' # RES 5,H - 0xAD: RES 5, 'L' # RES 5,L - 0xAE: RES 5, '(HL)' # RES 5,(HL) - 0xAF: RES 5, 'A' # RES 5,A - 0xB0: RES 6, 'B' # RES 6,B - 0xB1: RES 6, 'C' # RES 6,C - 0xB2: RES 6, 'D' # RES 6,D - 0xB3: RES 6, 'E' # RES 6,E - 0xB4: RES 6, 'H' # RES 6,H - 0xB5: RES 6, 'L' # RES 6,L - 0xB6: RES 6, '(HL)' # RES 6,(HL) - 0xB7: RES 6, 'A' # RES 6,A - 0xB8: RES 7, 'B' # RES 7,B - 0xB9: RES 7, 'C' # RES 7,C - 0xBA: RES 7, 'D' # RES 7,D - 0xBB: RES 7, 'E' # RES 7,E - 0xBC: RES 7, 'H' # RES 7,H - 0xBD: RES 7, 'L' # RES 7,L - 0xBE: RES 7, '(HL)' # RES 7,(HL) - 0xBF: RES 7, 'A' # RES 7,A - 0xC0: SET 0, 'B' # SET 0,B - 0xC1: SET 0, 'C' # SET 0,C - 0xC2: SET 0, 'D' # SET 0,D - 0xC3: SET 0, 'E' # SET 0,E - 0xC4: SET 0, 'H' # SET 0,H - 0xC5: SET 0, 'L' # SET 0,L - 0xC6: SET 0, '(HL)' # SET 0,(HL) - 0xC7: SET 0, 'A' # SET 0,A - 0xC8: SET 1, 'B' # SET 1,B - 0xC9: SET 1, 'C' # SET 1,C - 0xCA: SET 1, 'D' # SET 1,D - 0xCB: SET 1, 'E' # SET 1,E - 0xCC: SET 1, 'H' # SET 1,H - 0xCD: SET 1, 'L' # SET 1,L - 0xCE: SET 1, '(HL)' # SET 1,(HL) - 0xCF: SET 1, 'A' # SET 1,A - 0xD0: SET 2, 'B' # SET 2,B - 0xD1: SET 2, 'C' # SET 2,C - 0xD2: SET 2, 'D' # SET 2,D - 0xD3: SET 2, 'E' # SET 2,E - 0xD4: SET 2, 'H' # SET 2,H - 0xD5: SET 2, 'L' # SET 2,L - 0xD6: SET 2, '(HL)' # SET 2,(HL) - 0xD7: SET 2, 'A' # SET 2,A - 0xD8: SET 3, 'B' # SET 3,B - 0xD9: SET 3, 'C' # SET 3,C - 0xDA: SET 3, 'D' # SET 3,D - 0xDB: SET 3, 'E' # SET 3,E - 0xDC: SET 3, 'H' # SET 3,H - 0xDD: SET 3, 'L' # SET 3,L - 0xDE: SET 3, '(HL)' # SET 3,(HL) - 0xDF: SET 3, 'A' # SET 3,A - 0xE0: SET 4, 'B' # SET 4,B - 0xE1: SET 4, 'C' # SET 4,C - 0xE2: SET 4, 'D' # SET 4,D - 0xE3: SET 4, 'E' # SET 4,E - 0xE4: SET 4, 'H' # SET 4,H - 0xE5: SET 4, 'L' # SET 4,L - 0xE6: SET 4, '(HL)' # SET 4,(HL) - 0xE7: SET 4, 'A' # SET 4,A - 0xE8: SET 5, 'B' # SET 5,B - 0xE9: SET 5, 'C' # SET 5,C - 0xEA: SET 5, 'D' # SET 5,D - 0xEB: SET 5, 'E' # SET 5,E - 0xEC: SET 5, 'H' # SET 5,H - 0xED: SET 5, 'L' # SET 5,L - 0xEE: SET 5, '(HL)' # SET 5,(HL) - 0xEF: SET 5, 'A' # SET 5,A - 0xF0: SET 6, 'B' # SET 6,B - 0xF1: SET 6, 'C' # SET 6,C - 0xF2: SET 6, 'D' # SET 6,D - 0xF3: SET 6, 'E' # SET 6,E - 0xF4: SET 6, 'H' # SET 6,H - 0xF5: SET 6, 'L' # SET 6,L - 0xF6: SET 6, '(HL)' # SET 6,(HL) - 0xF7: SET 6, 'A' # SET 6,A - 0xF8: SET 7, 'B' # SET 7,B - 0xF9: SET 7, 'C' # SET 7,C - 0xFA: SET 7, 'D' # SET 7,D - 0xFB: SET 7, 'E' # SET 7,E - 0xFC: SET 7, 'H' # SET 7,H - 0xFD: SET 7, 'L' # SET 7,L - 0xFE: SET 7, '(HL)' # SET 7,(HL) - 0xFF: SET 7, 'A' # SET 7,A - 0x100: 'cb' - } - - # Generate the opcode runner lookup table for either the DDCB or FDCB set - generateddfdcbOpcodeSet = (prefix) -> - if prefix == 'DDCB' - rp = rpIX - rh = rIXH - rl = rIXL - - rpn = 'IX' - rhn = 'IXH' - rln = 'IXL' - else # prefix == 'FDCB' - rp = rpIY - rh = rIYH - rl = rIYL - - rpn = 'IY' - rhn = 'IYH' - rln = 'IYL' - return { - 0x00: LDSHIFTOP('B', RLC, rp) # LD B,RLC (REGISTER+dd) - 0x01: LDSHIFTOP('C', RLC, rp) # LD C,RLC (REGISTER+dd) - 0x02: LDSHIFTOP('D', RLC, rp) # LD D,RLC (REGISTER+dd) - 0x03: LDSHIFTOP('E', RLC, rp) # LD E,RLC (REGISTER+dd) - 0x04: LDSHIFTOP('H', RLC, rp) # LD H,RLC (REGISTER+dd) - 0x05: LDSHIFTOP('L', RLC, rp) # LD L,RLC (REGISTER+dd) - 0x06: RLC "(#{rpn}+nn)" # RLC (IX+nn) - 0x07: LDSHIFTOP('A', RLC, rp) # LD A,RLC (REGISTER+dd) - 0x08: LDSHIFTOP('B', RRC, rp) # LD B,RRC (REGISTER+dd) - 0x09: LDSHIFTOP('C', RRC, rp) # LD C,RRC (REGISTER+dd) - 0x0A: LDSHIFTOP('D', RRC, rp) # LD D,RRC (REGISTER+dd) - 0x0B: LDSHIFTOP('E', RRC, rp) # LD E,RRC (REGISTER+dd) - 0x0C: LDSHIFTOP('H', RRC, rp) # LD H,RRC (REGISTER+dd) - 0x0D: LDSHIFTOP('L', RRC, rp) # LD L,RRC (REGISTER+dd) - 0x0E: RRC "(#{rpn}+nn)" # RRC (IX+nn) - 0x0F: LDSHIFTOP('A', RRC, rp) # LD A,RRC (REGISTER+dd) - 0x10: LDSHIFTOP('B', RL, rp) # LD B,RL (REGISTER+dd) - 0x11: LDSHIFTOP('C', RL, rp) # LD C,RL (REGISTER+dd) - 0x12: LDSHIFTOP('D', RL, rp) # LD D,RL (REGISTER+dd) - 0x13: LDSHIFTOP('E', RL, rp) # LD E,RL (REGISTER+dd) - 0x14: LDSHIFTOP('H', RL, rp) # LD H,RL (REGISTER+dd) - 0x15: LDSHIFTOP('L', RL, rp) # LD L,RL (REGISTER+dd) - 0x16: RL "(#{rpn}+nn)" # RL (IX+nn) - 0x17: LDSHIFTOP('A', RL, rp) # LD A,RL (REGISTER+dd) - 0x18: LDSHIFTOP('B', RR, rp) # LD B,RR (REGISTER+dd) - 0x19: LDSHIFTOP('C', RR, rp) # LD C,RR (REGISTER+dd) - 0x1A: LDSHIFTOP('D', RR, rp) # LD D,RR (REGISTER+dd) - 0x1B: LDSHIFTOP('E', RR, rp) # LD E,RR (REGISTER+dd) - 0x1C: LDSHIFTOP('H', RR, rp) # LD H,RR (REGISTER+dd) - 0x1D: LDSHIFTOP('L', RR, rp) # LD L,RR (REGISTER+dd) - 0x1E: RR "(#{rpn}+nn)" # RR (IX+nn) - 0x1F: LDSHIFTOP('A', RR, rp) # LD A,RR (REGISTER+dd) - 0x20: LDSHIFTOP('B', SLA, rp) # LD B,SLA (REGISTER+dd) - 0x21: LDSHIFTOP('C', SLA, rp) # LD C,SLA (REGISTER+dd) - 0x22: LDSHIFTOP('D', SLA, rp) # LD D,SLA (REGISTER+dd) - 0x23: LDSHIFTOP('E', SLA, rp) # LD E,SLA (REGISTER+dd) - 0x24: LDSHIFTOP('H', SLA, rp) # LD H,SLA (REGISTER+dd) - 0x25: LDSHIFTOP('L', SLA, rp) # LD L,SLA (REGISTER+dd) - 0x26: SLA "(#{rpn}+nn)" # SLA (IX+nn) - 0x27: LDSHIFTOP('A', SLA, rp) # LD A,SLA (REGISTER+dd) - 0x28: LDSHIFTOP('B', SRA, rp) # LD B,SRA (REGISTER+dd) - 0x29: LDSHIFTOP('C', SRA, rp) # LD C,SRA (REGISTER+dd) - 0x2A: LDSHIFTOP('D', SRA, rp) # LD D,SRA (REGISTER+dd) - 0x2B: LDSHIFTOP('E', SRA, rp) # LD E,SRA (REGISTER+dd) - 0x2C: LDSHIFTOP('H', SRA, rp) # LD H,SRA (REGISTER+dd) - 0x2D: LDSHIFTOP('L', SRA, rp) # LD L,SRA (REGISTER+dd) - 0x2E: SRA "(#{rpn}+nn)" # SRA (IX+nn) - 0x2F: LDSHIFTOP('A', SRA, rp) # LD A,SRA (REGISTER+dd) - 0x30: LDSHIFTOP('B', SLL, rp) # LD B,SLL (REGISTER+dd) - 0x31: LDSHIFTOP('C', SLL, rp) # LD C,SLL (REGISTER+dd) - 0x32: LDSHIFTOP('D', SLL, rp) # LD D,SLL (REGISTER+dd) - 0x33: LDSHIFTOP('E', SLL, rp) # LD E,SLL (REGISTER+dd) - 0x34: LDSHIFTOP('H', SLL, rp) # LD H,SLL (REGISTER+dd) - 0x35: LDSHIFTOP('L', SLL, rp) # LD L,SLL (REGISTER+dd) - 0x36: SLL "(#{rpn}+nn)" # SLL (IX+nn) - 0x37: LDSHIFTOP('A', SLL, rp) # LD A,SLL (REGISTER+dd) - 0x38: LDSHIFTOP('B', SRL, rp) # LD B,SRL (REGISTER+dd) - 0x39: LDSHIFTOP('C', SRL, rp) # LD C,SRL (REGISTER+dd) - 0x3A: LDSHIFTOP('D', SRL, rp) # LD D,SRL (REGISTER+dd) - 0x3B: LDSHIFTOP('E', SRL, rp) # LD E,SRL (REGISTER+dd) - 0x3C: LDSHIFTOP('H', SRL, rp) # LD H,SRL (REGISTER+dd) - 0x3D: LDSHIFTOP('L', SRL, rp) # LD L,SRL (REGISTER+dd) - 0x3E: SRL "(#{rpn}+nn)" # SRL (IX+nn) - 0x3F: LDSHIFTOP('A', SRL, rp) # LD A,SRL (REGISTER+dd) - 0x40: BIT_N_iRRpNNi(0, rp) # BIT 0,(IX+nn) - 0x41: BIT_N_iRRpNNi(0, rp) # BIT 0,(IX+nn) - 0x42: BIT_N_iRRpNNi(0, rp) # BIT 0,(IX+nn) - 0x43: BIT_N_iRRpNNi(0, rp) # BIT 0,(IX+nn) - 0x44: BIT_N_iRRpNNi(0, rp) # BIT 0,(IX+nn) - 0x45: BIT_N_iRRpNNi(0, rp) # BIT 0,(IX+nn) - 0x46: BIT_N_iRRpNNi(0, rp) # BIT 0,(IX+nn) - 0x47: BIT_N_iRRpNNi(0, rp) # BIT 0,(IX+nn) - 0x48: BIT_N_iRRpNNi(1, rp) # BIT 1,(IX+nn) - 0x49: BIT_N_iRRpNNi(1, rp) # BIT 1,(IX+nn) - 0x4A: BIT_N_iRRpNNi(1, rp) # BIT 1,(IX+nn) - 0x4B: BIT_N_iRRpNNi(1, rp) # BIT 1,(IX+nn) - 0x4C: BIT_N_iRRpNNi(1, rp) # BIT 1,(IX+nn) - 0x4D: BIT_N_iRRpNNi(1, rp) # BIT 1,(IX+nn) - 0x4E: BIT_N_iRRpNNi(1, rp) # BIT 1,(IX+nn) - 0x4F: BIT_N_iRRpNNi(1, rp) # BIT 1,(IX+nn) - 0x50: BIT_N_iRRpNNi(2, rp) # BIT 2,(IX+nn) - 0x51: BIT_N_iRRpNNi(2, rp) # BIT 2,(IX+nn) - 0x52: BIT_N_iRRpNNi(2, rp) # BIT 2,(IX+nn) - 0x53: BIT_N_iRRpNNi(2, rp) # BIT 2,(IX+nn) - 0x54: BIT_N_iRRpNNi(2, rp) # BIT 2,(IX+nn) - 0x55: BIT_N_iRRpNNi(2, rp) # BIT 2,(IX+nn) - 0x56: BIT_N_iRRpNNi(2, rp) # BIT 2,(IX+nn) - 0x57: BIT_N_iRRpNNi(2, rp) # BIT 2,(IX+nn) - 0x58: BIT_N_iRRpNNi(3, rp) # BIT 3,(IX+nn) - 0x59: BIT_N_iRRpNNi(3, rp) # BIT 3,(IX+nn) - 0x5A: BIT_N_iRRpNNi(3, rp) # BIT 3,(IX+nn) - 0x5B: BIT_N_iRRpNNi(3, rp) # BIT 3,(IX+nn) - 0x5C: BIT_N_iRRpNNi(3, rp) # BIT 3,(IX+nn) - 0x5D: BIT_N_iRRpNNi(3, rp) # BIT 3,(IX+nn) - 0x5E: BIT_N_iRRpNNi(3, rp) # BIT 3,(IX+nn) - 0x5F: BIT_N_iRRpNNi(3, rp) # BIT 3,(IX+nn) - 0x60: BIT_N_iRRpNNi(4, rp) # BIT 4,(IX+nn) - 0x61: BIT_N_iRRpNNi(4, rp) # BIT 4,(IX+nn) - 0x62: BIT_N_iRRpNNi(4, rp) # BIT 4,(IX+nn) - 0x63: BIT_N_iRRpNNi(4, rp) # BIT 4,(IX+nn) - 0x64: BIT_N_iRRpNNi(4, rp) # BIT 4,(IX+nn) - 0x65: BIT_N_iRRpNNi(4, rp) # BIT 4,(IX+nn) - 0x66: BIT_N_iRRpNNi(4, rp) # BIT 4,(IX+nn) - 0x67: BIT_N_iRRpNNi(4, rp) # BIT 4,(IX+nn) - 0x68: BIT_N_iRRpNNi(5, rp) # BIT 5,(IX+nn) - 0x69: BIT_N_iRRpNNi(5, rp) # BIT 5,(IX+nn) - 0x6A: BIT_N_iRRpNNi(5, rp) # BIT 5,(IX+nn) - 0x6B: BIT_N_iRRpNNi(5, rp) # BIT 5,(IX+nn) - 0x6C: BIT_N_iRRpNNi(5, rp) # BIT 5,(IX+nn) - 0x6D: BIT_N_iRRpNNi(5, rp) # BIT 5,(IX+nn) - 0x6E: BIT_N_iRRpNNi(5, rp) # BIT 5,(IX+nn) - 0x6F: BIT_N_iRRpNNi(5, rp) # BIT 5,(IX+nn) - 0x70: BIT_N_iRRpNNi(6, rp) # BIT 6,(IX+nn) - 0x71: BIT_N_iRRpNNi(6, rp) # BIT 6,(IX+nn) - 0x72: BIT_N_iRRpNNi(6, rp) # BIT 6,(IX+nn) - 0x73: BIT_N_iRRpNNi(6, rp) # BIT 6,(IX+nn) - 0x74: BIT_N_iRRpNNi(6, rp) # BIT 6,(IX+nn) - 0x75: BIT_N_iRRpNNi(6, rp) # BIT 6,(IX+nn) - 0x76: BIT_N_iRRpNNi(6, rp) # BIT 6,(IX+nn) - 0x77: BIT_N_iRRpNNi(6, rp) # BIT 6,(IX+nn) - 0x78: BIT_N_iRRpNNi(7, rp) # BIT 7,(IX+nn) - 0x79: BIT_N_iRRpNNi(7, rp) # BIT 7,(IX+nn) - 0x7A: BIT_N_iRRpNNi(7, rp) # BIT 7,(IX+nn) - 0x7B: BIT_N_iRRpNNi(7, rp) # BIT 7,(IX+nn) - 0x7C: BIT_N_iRRpNNi(7, rp) # BIT 7,(IX+nn) - 0x7D: BIT_N_iRRpNNi(7, rp) # BIT 7,(IX+nn) - 0x7E: BIT_N_iRRpNNi(7, rp) # BIT 7,(IX+nn) - 0x7F: BIT_N_iRRpNNi(7, rp) # BIT 7,(IX+nn) - 0x80: LDBITOP('B', RES, 0, rp) # LD B,RES 0,(IX+dd) - 0x81: LDBITOP('C', RES, 0, rp) # LD C,RES 0,(IX+dd) - 0x82: LDBITOP('D', RES, 0, rp) # LD D,RES 0,(IX+dd) - 0x83: LDBITOP('E', RES, 0, rp) # LD E,RES 0,(IX+dd) - 0x84: LDBITOP('H', RES, 0, rp) # LD H,RES 0,(IX+dd) - 0x85: LDBITOP('L', RES, 0, rp) # LD L,RES 0,(IX+dd) - 0x86: RES 0, "(#{rpn}+nn)" # RES 0,(IX+nn) - 0x87: LDBITOP('A', RES, 0, rp) # LD A,RES 0,(IX+dd) - 0x88: LDBITOP('B', RES, 1, rp) # LD B,RES 1,(IX+dd) - 0x89: LDBITOP('C', RES, 1, rp) # LD C,RES 1,(IX+dd) - 0x8A: LDBITOP('D', RES, 1, rp) # LD D,RES 1,(IX+dd) - 0x8B: LDBITOP('E', RES, 1, rp) # LD E,RES 1,(IX+dd) - 0x8C: LDBITOP('H', RES, 1, rp) # LD H,RES 1,(IX+dd) - 0x8D: LDBITOP('L', RES, 1, rp) # LD L,RES 1,(IX+dd) - 0x8E: RES 1, "(#{rpn}+nn)" # RES 1,(IX+nn) - 0x8F: LDBITOP('A', RES, 1, rp) # LD A,RES 1,(IX+dd) - 0x90: LDBITOP('B', RES, 2, rp) # LD B,RES 2,(IX+dd) - 0x91: LDBITOP('C', RES, 2, rp) # LD C,RES 2,(IX+dd) - 0x92: LDBITOP('D', RES, 2, rp) # LD D,RES 2,(IX+dd) - 0x93: LDBITOP('E', RES, 2, rp) # LD E,RES 2,(IX+dd) - 0x94: LDBITOP('H', RES, 2, rp) # LD H,RES 2,(IX+dd) - 0x95: LDBITOP('L', RES, 2, rp) # LD L,RES 2,(IX+dd) - 0x96: RES 2, "(#{rpn}+nn)" # RES 2,(IX+nn) - 0x97: LDBITOP('A', RES, 2, rp) # LD A,RES 2,(IX+dd) - 0x98: LDBITOP('B', RES, 3, rp) # LD B,RES 3,(IX+dd) - 0x99: LDBITOP('C', RES, 3, rp) # LD C,RES 3,(IX+dd) - 0x9A: LDBITOP('D', RES, 3, rp) # LD D,RES 3,(IX+dd) - 0x9B: LDBITOP('E', RES, 3, rp) # LD E,RES 3,(IX+dd) - 0x9C: LDBITOP('H', RES, 3, rp) # LD H,RES 3,(IX+dd) - 0x9D: LDBITOP('L', RES, 3, rp) # LD L,RES 3,(IX+dd) - 0x9E: RES 3, "(#{rpn}+nn)" # RES 3,(IX+nn) - 0x9F: LDBITOP('A', RES, 3, rp) # LD A,RES 3,(IX+dd) - 0xA0: LDBITOP('B', RES, 4, rp) # LD B,RES 4,(IX+dd) - 0xA1: LDBITOP('C', RES, 4, rp) # LD C,RES 4,(IX+dd) - 0xA2: LDBITOP('D', RES, 4, rp) # LD D,RES 4,(IX+dd) - 0xA3: LDBITOP('E', RES, 4, rp) # LD E,RES 4,(IX+dd) - 0xA4: LDBITOP('H', RES, 4, rp) # LD H,RES 4,(IX+dd) - 0xA5: LDBITOP('L', RES, 4, rp) # LD L,RES 4,(IX+dd) - 0xA6: RES 4, "(#{rpn}+nn)" # RES 4,(IX+nn) - 0xA7: LDBITOP('A', RES, 4, rp) # LD A,RES 4,(IX+dd) - 0xA8: LDBITOP('B', RES, 5, rp) # LD B,RES 5,(IX+dd) - 0xA9: LDBITOP('C', RES, 5, rp) # LD C,RES 5,(IX+dd) - 0xAA: LDBITOP('D', RES, 5, rp) # LD D,RES 5,(IX+dd) - 0xAB: LDBITOP('E', RES, 5, rp) # LD E,RES 5,(IX+dd) - 0xAC: LDBITOP('H', RES, 5, rp) # LD H,RES 5,(IX+dd) - 0xAD: LDBITOP('L', RES, 5, rp) # LD L,RES 5,(IX+dd) - 0xAE: RES 5, "(#{rpn}+nn)" # RES 5,(IX+nn) - 0xAF: LDBITOP('A', RES, 5, rp) # LD A,RES 5,(IX+dd) - 0xB0: LDBITOP('B', RES, 6, rp) # LD B,RES 6,(IX+dd) - 0xB1: LDBITOP('C', RES, 6, rp) # LD C,RES 6,(IX+dd) - 0xB2: LDBITOP('D', RES, 6, rp) # LD D,RES 6,(IX+dd) - 0xB3: LDBITOP('E', RES, 6, rp) # LD E,RES 6,(IX+dd) - 0xB4: LDBITOP('H', RES, 6, rp) # LD H,RES 6,(IX+dd) - 0xB5: LDBITOP('L', RES, 6, rp) # LD L,RES 6,(IX+dd) - 0xB6: RES 6, "(#{rpn}+nn)" # RES 6,(IX+nn) - 0xB7: LDBITOP('A', RES, 6, rp) # LD A,RES 6,(IX+dd) - 0xB8: LDBITOP('B', RES, 7, rp) # LD B,RES 7,(IX+dd) - 0xB9: LDBITOP('C', RES, 7, rp) # LD C,RES 7,(IX+dd) - 0xBA: LDBITOP('D', RES, 7, rp) # LD D,RES 7,(IX+dd) - 0xBB: LDBITOP('E', RES, 7, rp) # LD E,RES 7,(IX+dd) - 0xBC: LDBITOP('H', RES, 7, rp) # LD H,RES 7,(IX+dd) - 0xBD: LDBITOP('L', RES, 7, rp) # LD L,RES 7,(IX+dd) - 0xBE: RES 7, "(#{rpn}+nn)" # RES 7,(IX+nn) - 0xBF: LDBITOP('A', RES, 7, rp) # LD A,RES 7,(IX+dd) - 0xC0: LDBITOP('B', SET, 0, rp) # LD B,SET 0,(IX+dd) - 0xC1: LDBITOP('C', SET, 0, rp) # LD C,SET 0,(IX+dd) - 0xC2: LDBITOP('D', SET, 0, rp) # LD D,SET 0,(IX+dd) - 0xC3: LDBITOP('E', SET, 0, rp) # LD E,SET 0,(IX+dd) - 0xC4: LDBITOP('H', SET, 0, rp) # LD H,SET 0,(IX+dd) - 0xC5: LDBITOP('L', SET, 0, rp) # LD L,SET 0,(IX+dd) - 0xC6: SET 0, "(#{rpn}+nn)" # SET 0,(IX+nn) - 0xC7: LDBITOP('A', SET, 0, rp) # LD A,SET 0,(IX+dd) - 0xC8: LDBITOP('B', SET, 1, rp) # LD B,SET 1,(IX+dd) - 0xC9: LDBITOP('C', SET, 1, rp) # LD C,SET 1,(IX+dd) - 0xCA: LDBITOP('D', SET, 1, rp) # LD D,SET 1,(IX+dd) - 0xCB: LDBITOP('E', SET, 1, rp) # LD E,SET 1,(IX+dd) - 0xCC: LDBITOP('H', SET, 1, rp) # LD H,SET 1,(IX+dd) - 0xCD: LDBITOP('L', SET, 1, rp) # LD L,SET 1,(IX+dd) - 0xCE: SET 1, "(#{rpn}+nn)" # SET 1,(IX+nn) - 0xCF: LDBITOP('A', SET, 1, rp) # LD A,SET 1,(IX+dd) - 0xD0: LDBITOP('B', SET, 2, rp) # LD B,SET 2,(IX+dd) - 0xD1: LDBITOP('C', SET, 2, rp) # LD C,SET 2,(IX+dd) - 0xD2: LDBITOP('D', SET, 2, rp) # LD D,SET 2,(IX+dd) - 0xD3: LDBITOP('E', SET, 2, rp) # LD E,SET 2,(IX+dd) - 0xD4: LDBITOP('H', SET, 2, rp) # LD H,SET 2,(IX+dd) - 0xD5: LDBITOP('L', SET, 2, rp) # LD L,SET 2,(IX+dd) - 0xD6: SET 2, "(#{rpn}+nn)" # SET 2,(IX+nn) - 0xD7: LDBITOP('A', SET, 2, rp) # LD A,SET 2,(IX+dd) - 0xD8: LDBITOP('B', SET, 3, rp) # LD B,SET 3,(IX+dd) - 0xD9: LDBITOP('C', SET, 3, rp) # LD C,SET 3,(IX+dd) - 0xDA: LDBITOP('D', SET, 3, rp) # LD D,SET 3,(IX+dd) - 0xDB: LDBITOP('E', SET, 3, rp) # LD E,SET 3,(IX+dd) - 0xDC: LDBITOP('H', SET, 3, rp) # LD H,SET 3,(IX+dd) - 0xDD: LDBITOP('L', SET, 3, rp) # LD L,SET 3,(IX+dd) - 0xDE: SET 3, "(#{rpn}+nn)" # SET 3,(IX+nn) - 0xDF: LDBITOP('A', SET, 3, rp) # LD A,SET 3,(IX+dd) - 0xE0: LDBITOP('B', SET, 4, rp) # LD B,SET 4,(IX+dd) - 0xE1: LDBITOP('C', SET, 4, rp) # LD C,SET 4,(IX+dd) - 0xE2: LDBITOP('D', SET, 4, rp) # LD D,SET 4,(IX+dd) - 0xE3: LDBITOP('E', SET, 4, rp) # LD E,SET 4,(IX+dd) - 0xE4: LDBITOP('H', SET, 4, rp) # LD H,SET 4,(IX+dd) - 0xE5: LDBITOP('L', SET, 4, rp) # LD L,SET 4,(IX+dd) - 0xE6: SET 4, "(#{rpn}+nn)" # SET 4,(IX+nn) - 0xE7: LDBITOP('A', SET, 4, rp) # LD A,SET 4,(IX+dd) - 0xE8: LDBITOP('B', SET, 5, rp) # LD B,SET 5,(IX+dd) - 0xE9: LDBITOP('C', SET, 5, rp) # LD C,SET 5,(IX+dd) - 0xEA: LDBITOP('D', SET, 5, rp) # LD D,SET 5,(IX+dd) - 0xEB: LDBITOP('E', SET, 5, rp) # LD E,SET 5,(IX+dd) - 0xEC: LDBITOP('H', SET, 5, rp) # LD H,SET 5,(IX+dd) - 0xED: LDBITOP('L', SET, 5, rp) # LD L,SET 5,(IX+dd) - 0xEE: SET 5, "(#{rpn}+nn)" # SET 5,(IX+nn) - 0xEF: LDBITOP('A', SET, 5, rp) # LD A,SET 5,(IX+dd) - 0xF0: LDBITOP('B', SET, 6, rp) # LD B,SET 6,(IX+dd) - 0xF1: LDBITOP('C', SET, 6, rp) # LD C,SET 6,(IX+dd) - 0xF2: LDBITOP('D', SET, 6, rp) # LD D,SET 6,(IX+dd) - 0xF3: LDBITOP('E', SET, 6, rp) # LD E,SET 6,(IX+dd) - 0xF4: LDBITOP('H', SET, 6, rp) # LD H,SET 6,(IX+dd) - 0xF5: LDBITOP('L', SET, 6, rp) # LD L,SET 6,(IX+dd) - 0xF6: SET 6, "(#{rpn}+nn)" # SET 6,(IX+nn) - 0xF7: LDBITOP('A', SET, 6, rp) # LD A,SET 6,(IX+dd) - 0xF8: LDBITOP('B', SET, 7, rp) # LD B,SET 7,(IX+dd) - 0xF9: LDBITOP('C', SET, 7, rp) # LD C,SET 7,(IX+dd) - 0xFA: LDBITOP('D', SET, 7, rp) # LD D,SET 7,(IX+dd) - 0xFB: LDBITOP('E', SET, 7, rp) # LD E,SET 7,(IX+dd) - 0xFC: LDBITOP('H', SET, 7, rp) # LD H,SET 7,(IX+dd) - 0xFD: LDBITOP('L', SET, 7, rp) # LD L,SET 7,(IX+dd) - 0xFE: SET 7, "(#{rpn}+nn)" # SET 7,(IX+nn) - 0xFF: LDBITOP('A', SET, 7, rp) # LD A,SET 7,(IX+dd) - 0x100: 'ddcb' - } - - OPCODE_RUN_STRINGS_DDCB = generateddfdcbOpcodeSet('DDCB') - OPCODE_RUN_STRINGS_FDCB = generateddfdcbOpcodeSet('FDCB') - - # Generate the opcode runner lookup table for either the DD or FD set, acting on the - # specified register pair (IX or IY) - generateddfdOpcodeSet = (prefix) -> - if prefix == 'DD' - rp = rpIX - rh = rIXH - rl = rIXL - - rpn = 'IX' - rhn = 'IXH' - rln = 'IXL' - else # prefix == 'FD' - rp = rpIY - rh = rIYH - rl = rIYL - - rpn = 'IY' - rhn = 'IYH' - rln = 'IYL' - return { - 0x09: ADD_RR_RR(rp, rpBC) # ADD IX,BC - - 0x19: ADD_RR_RR(rp, rpDE) # ADD IX,DE - - 0x21: LD_RR_NN(rp) # LD IX,nnnn - 0x22: LD_iNNi_RR(rp) # LD (nnnn),IX - 0x23: INC_RR(rp) # INC IX - 0x24: INC rhn # INC IXh - 0x25: DEC rhn # DEC IXh - 0x26: LD_R_N(rh) # LD IXh, nn - - 0x29: ADD_RR_RR(rp, rp) # ADD IX,IX - 0x2A: LD_RR_iNNi(rp) # LD IX,(nnnn) - 0x2B: DEC_RR(rp) # DEC IX - 0x2C: INC rln # INC IXl - 0x2D: DEC rln # DEC IXl - 0x2E: LD_R_N(rl) # LD IXl, nn - - 0x34: INC "(#{rpn}+nn)" # INC (IX+nn) - 0x35: DEC "(#{rpn}+nn)" # DEC (IX+nn) - 0x36: LD_iRRpNNi_N(rp) # LD (IX+nn),nn - - 0x39: ADD_RR_RR(rp, rpSP) # ADD IX,SP - - 0x44: LD_R_R(rB, rh) # LD B,IXh - 0x45: LD_R_R(rB, rl) # LD B,IXl - 0x46: LD_R_iRRpNNi(rB, rp) # LD B,(IX+nn) - - 0x4C: LD_R_R(rC, rh) # LD C,IXh - 0x4D: LD_R_R(rC, rl) # LD C,IXl - 0x4E: LD_R_iRRpNNi(rC, rp) # LD C,(IX+nn) - - 0x54: LD_R_R(rD, rh) # LD D,IXh - 0x55: LD_R_R(rD, rl) # LD D,IXl - 0x56: LD_R_iRRpNNi(rD, rp) # LD D,(IX+nn) - - 0x5C: LD_R_R(rE, rh) # LD E,IXh - 0x5D: LD_R_R(rE, rl) # LD E,IXl - 0x5E: LD_R_iRRpNNi(rE, rp) # LD E,(IX+nn) - - 0x60: LD_R_R(rh, rB) # LD IXh,B - 0x61: LD_R_R(rh, rC) # LD IXh,C - 0x62: LD_R_R(rh, rD) # LD IXh,D - 0x63: LD_R_R(rh, rE) # LD IXh,E - 0x64: LD_R_R(rh, rh) # LD IXh,IXh - 0x65: LD_R_R(rh, rl) # LD IXh,IXl - 0x66: LD_R_iRRpNNi(rH, rp) # LD H,(IX+nn) - 0x67: LD_R_R(rh, rA) # LD IXh,A - 0x68: LD_R_R(rl, rB) # LD IXl,B - 0x69: LD_R_R(rl, rC) # LD IXl,C - 0x6A: LD_R_R(rl, rD) # LD IXl,D - 0x6B: LD_R_R(rl, rE) # LD IXl,E - 0x6C: LD_R_R(rl, rh) # LD IXl,IXh - 0x6D: LD_R_R(rl, rl) # LD IXl,IXl - 0x6E: LD_R_iRRpNNi(rL, rp) # LD L,(IX+nn) - 0x6F: LD_R_R(rl, rA) # LD IXl,A - 0x70: LD_iRRpNNi_R(rp, rB) # LD (IX+nn),B - 0x71: LD_iRRpNNi_R(rp, rC) # LD (IX+nn),C - 0x72: LD_iRRpNNi_R(rp, rD) # LD (IX+nn),D - 0x73: LD_iRRpNNi_R(rp, rE) # LD (IX+nn),E - 0x74: LD_iRRpNNi_R(rp, rH) # LD (IX+nn),H - 0x75: LD_iRRpNNi_R(rp, rL) # LD (IX+nn),L - 0x77: LD_iRRpNNi_R(rp, rA) # LD (IX+nn),A - - 0x7C: LD_R_R(rA, rh) # LD A,IXh - 0x7D: LD_R_R(rA, rl) # LD A,IXl - 0x7E: LD_R_iRRpNNi(rA, rp) # LD A,(IX+nn) - - 0x84: ADD_A rhn # ADD A,IXh - 0x85: ADD_A rln # ADD A,IXl - 0x86: ADD_A "(#{rpn}+nn)" # ADD A,(IX+nn) - - 0x8C: ADC_A rhn # ADC A,IXh - 0x8D: ADC_A rln # ADC A,IXl - 0x8E: ADC_A "(#{rpn}+nn)" # ADC A,(IX+nn) - - 0x94: SUB_A rhn # SUB IXh - 0x95: SUB_A rln # SUB IXl - 0x96: SUB_A "(#{rpn}+nn)" # SUB A,(IX+dd) - - 0x9C: SBC_A rhn # SBC IXh - 0x9D: SBC_A rln # SBC IXl - 0x9E: SBC_A "(#{rpn}+nn)" # SBC A,(IX+dd) - - 0xA4: AND_A rhn # AND IXh - 0xA5: AND_A rln # AND IXl - 0xA6: AND_A "(#{rpn}+nn)" # AND (IX+dd) - - 0xAC: XOR_A rhn # XOR IXh - 0xAD: XOR_A rln # XOR IXl - 0xAE: XOR_A "(#{rpn}+nn)" # XOR A,(IX+dd) - - 0xB4: OR_A rhn # OR IXh - 0xB5: OR_A rln # OR IXl - 0xB6: OR_A "(#{rpn}+nn)" # OR A,(IX+dd) - - 0xBC: CP_A rhn # CP IXh - 0xBD: CP_A rln # CP IXl - 0xBE: CP_A "(#{rpn}+nn)" # CP (IX+dd) - - 0xCB: SHIFT(prefix + 'CB') # shift code - - 0xDD: SHIFT('DD') # shift code - - 0xE1: POP_RR(rp) # POP IX - - 0xE3: EX_iSPi_RR(rp) # EX (SP),IX - - 0xE5: PUSH_RR(rp) # PUSH IX - - 0xE9: JP_RR(rp) # JP (IX) - - 0xF9: LD_RR_RR(rpSP, rp) # LD SP,IX - - 0xFD: SHIFT('FD') # shift code - - 0x100: 'dd' - } - - OPCODE_RUN_STRINGS_DD = generateddfdOpcodeSet('DD') - - OPCODE_RUN_STRINGS_ED = { - - 0x40: IN_R_iCi(rB) # IN B,(C) - 0x41: OUT_iCi_R(rB) # OUT (C),B - 0x42: SBC_HL_RR(rpBC) # SBC HL,BC - 0x43: LD_iNNi_RR(rpBC) # LD (nnnn),BC - 0x44: NEG() # NEG - 0x45: RETN() # RETN - 0x46: IM(0) # IM 0 - 0x47: LD_R_R(rI, rA) # LD I,A - 0x48: IN_R_iCi(rC) # IN C,(C) - 0x49: OUT_iCi_R(rC) # OUT (C),C - 0x4A: ADC_HL_RR(rpBC) # ADC HL,BC - 0x4B: LD_RR_iNNi(rpBC) # LD BC,(nnnn) - 0x4C: NEG() # NEG - 0x4D: RETN() # RETN - 0x4E: IM(0) # IM 0 - 0x4F: LD_R_R(rR, rA) # LD R,A - 0x50: IN_R_iCi(rD) # IN D,(C) - 0x51: OUT_iCi_R(rD) # OUT (C),D - 0x52: SBC_HL_RR(rpDE) # SBC HL,DE - 0x53: LD_iNNi_RR(rpDE) # LD (nnnn),DE - 0x54: NEG() # NEG - 0x55: RETN() # RETN - 0x56: IM(1) # IM 1 - 0x57: LD_R_R(rA, rI) # LD A,I - 0x58: IN_R_iCi(rE) # IN E,(C) - 0x59: OUT_iCi_R(rE) # OUT (C),E - 0x5A: ADC_HL_RR(rpDE) # ADC HL,DE - 0x5B: LD_RR_iNNi(rpDE) # LD DE,(nnnn) - 0x5C: NEG() # NEG - 0x5D: RETN() # RETN - 0x5E: IM(2) # IM 2 - 0x5F: LD_R_R(rA, rR) # LD A,R - 0x60: IN_R_iCi(rH) # IN H,(C) - 0x61: OUT_iCi_R(rH) # OUT (C),H - 0x62: SBC_HL_RR(rpHL) # SBC HL,HL - 0x63: LD_iNNi_RR(rpHL) # LD (nnnn),HL - 0x64: NEG() # NEG - 0x65: RETN() # RETN - 0x66: IM(0) # IM 0 - 0x67: RRD() # RRD - 0x68: IN_R_iCi(rL) # IN L,(C) - 0x69: OUT_iCi_R(rL) # OUT (C),L - 0x6A: ADC_HL_RR(rpHL) # ADC HL,HL - 0x6B: LD_RR_iNNi(rpHL, true) # LD HL,(nnnn) - 0x6C: NEG() # NEG - 0x6D: RETN() # RETN - 0x6E: IM(0) # IM 0 - 0x6F: RLD() # RLD - 0x70: IN_F_iCi() # IN F,(C) - 0x71: OUT_iCi_0() # OUT (C),0 - 0x72: SBC_HL_RR(rpSP) # SBC HL,SP - 0x73: LD_iNNi_RR(rpSP) # LD (nnnn),SP - 0x74: NEG() # NEG - 0x75: RETN() # RETN - 0x76: IM(1) # IM 1 - - 0x78: IN_R_iCi(rA) # IN A,(C) - 0x79: OUT_iCi_R(rA) # OUT (C),A - 0x7A: ADC_HL_RR(rpSP) # ADC HL,SP - 0x7B: LD_RR_iNNi(rpSP) # LD SP,(nnnn) - 0x7C: NEG() # NEG - 0x7D: RETN() # RETN - 0x7E: IM(2) # IM 2 - - 0xA0: LDI() # LDI - 0xA1: CPI() # CPI - 0xA2: INI() # INI - 0xA3: OUTI() # OUTI - - 0xA8: LDD() # LDD - 0xA9: CPD() # CPD - 0xAA: IND() # IND - 0xAB: OUTD() # OUTD - - 0xB0: LDIR() # LDIR - 0xb1: CPIR() # CPIR - 0xB2: INIR() # INIR - 0xB3: OTIR() # OTIR - - 0xB8: LDDR() # LDDR - 0xb9: CPDR() # CPDR - 0xBA: INDR() # INDR - 0xBB: OTDR() # OTDR - - 0x100: 'ed' - } - - OPCODE_RUN_STRINGS_FD = generateddfdOpcodeSet('FD') - - OPCODE_RUN_STRINGS = { - 0x00: NOP() # NOP - 0x01: LD_RR_NN(rpBC) # LD BC,nnnn - 0x02: LD_iRRi_R(rpBC, rA) # LD (BC),A - 0x03: INC_RR(rpBC) # INC BC - 0x04: INC "B" # INC B - 0x05: DEC "B" # DEC B - 0x06: LD_R_N(rB) # LD B,nn - 0x07: RLCA() # RLCA - 0x08: EX_RR_RR(rpAF, rpAF_) # EX AF,AF' - 0x09: ADD_RR_RR(rpHL, rpBC) # ADD HL,BC - 0x0A: LD_R_iRRi(rA, rpBC) # LD A,(BC) - 0x0B: DEC_RR(rpBC) # DEC BC - 0x0C: INC "C" # INC C - 0x0D: DEC "C" # DEC C - 0x0E: LD_R_N(rC) # LD C,nn - 0x0F: RRCA() # RRCA - 0x10: DJNZ_N() # DJNZ nn - 0x11: LD_RR_NN(rpDE) # LD DE,nnnn - 0x12: LD_iRRi_R(rpDE, rA) # LD (DE),A - 0x13: INC_RR(rpDE) # INC DE - 0x14: INC "D" # INC D - 0x15: DEC "D" # DEC D - 0x16: LD_R_N(rD) # LD D,nn - 0x17: RLA() # RLA - 0x18: JR_N() # JR nn - 0x19: ADD_RR_RR(rpHL, rpDE) # ADD HL,DE - 0x1A: LD_R_iRRi(rA, rpDE) # LD A,(DE) - 0x1B: DEC_RR(rpDE) # DEC DE - 0x1C: INC "E" # INC E - 0x1D: DEC "E" # DEC E - 0x1E: LD_R_N(rE) # LD E,nn - 0x1F: RRA() # RRA - 0x20: JR_C_N(FLAG_Z, false) # JR NZ,nn - 0x21: LD_RR_NN(rpHL) # LD HL,nnnn - 0x22: LD_iNNi_RR(rpHL) # LD (nnnn),HL - 0x23: INC_RR(rpHL) # INC HL - 0x24: INC "H" # INC H - 0x25: DEC "H" # DEC H - 0x26: LD_R_N(rH) # LD H,nn - 0x27: DAA() # DAA - 0x28: JR_C_N(FLAG_Z, true) # JR Z,nn - 0x29: ADD_RR_RR(rpHL, rpHL) # ADD HL,HL - 0x2A: LD_RR_iNNi(rpHL) # LD HL,(nnnn) - 0x2B: DEC_RR(rpHL) # DEC HL - 0x2C: INC "L" # INC L - 0x2D: DEC "L" # DEC L - 0x2E: LD_R_N(rL) # LD L,nn - 0x2F: CPL() # CPL - 0x30: JR_C_N(FLAG_C, false) # JR NC,nn - 0x31: LD_RR_NN(rpSP) # LD SP,nnnn - 0x32: LD_iNNi_A() # LD (nnnn),a - 0x33: INC_RR(rpSP) # INC SP - 0x34: INC "(HL)" # INC (HL) - 0x35: DEC "(HL)" # DEC (HL) - 0x36: LD_iRRi_N(rpHL) # LD (HL),nn - 0x37: SCF() # SCF - 0x38: JR_C_N(FLAG_C, true) # JR C,nn - 0x39: ADD_RR_RR(rpHL, rpSP) # ADD HL,SP - 0x3A: LD_A_iNNi() # LD A,(nnnn) - 0x3B: DEC_RR(rpSP) # DEC SP - 0x3C: INC "A" # INC A - 0x3D: DEC "A" # DEC A - 0x3E: LD_R_N(rA) # LD A,nn - 0x3F: CCF() # CCF - 0x40: LD_R_R(rB, rB) # LD B,B - 0x41: LD_R_R(rB, rC) # LD B,C - 0x42: LD_R_R(rB, rD) # LD B,D - 0x43: LD_R_R(rB, rE) # LD B,E - 0x44: LD_R_R(rB, rH) # LD B,H - 0x45: LD_R_R(rB, rL) # LD B,L - 0x46: LD_R_iRRi(rB, rpHL) # LD B,(HL) - 0x47: LD_R_R(rB, rA) # LD B,A - 0x48: LD_R_R(rC, rB) # LD C,B - 0x49: LD_R_R(rC, rC) # LD C,C - 0x4a: LD_R_R(rC, rD) # LD C,D - 0x4b: LD_R_R(rC, rE) # LD C,E - 0x4c: LD_R_R(rC, rH) # LD C,H - 0x4d: LD_R_R(rC, rL) # LD C,L - 0x4e: LD_R_iRRi(rC, rpHL) # LD C,(HL) - 0x4f: LD_R_R(rC, rA) # LD C,A - 0x50: LD_R_R(rD, rB) # LD D,B - 0x51: LD_R_R(rD, rC) # LD D,C - 0x52: LD_R_R(rD, rD) # LD D,D - 0x53: LD_R_R(rD, rE) # LD D,E - 0x54: LD_R_R(rD, rH) # LD D,H - 0x55: LD_R_R(rD, rL) # LD D,L - 0x56: LD_R_iRRi(rD, rpHL) # LD D,(HL) - 0x57: LD_R_R(rD, rA) # LD D,A - 0x58: LD_R_R(rE, rB) # LD E,B - 0x59: LD_R_R(rE, rC) # LD E,C - 0x5a: LD_R_R(rE, rD) # LD E,D - 0x5b: LD_R_R(rE, rE) # LD E,E - 0x5c: LD_R_R(rE, rH) # LD E,H - 0x5d: LD_R_R(rE, rL) # LD E,L - 0x5e: LD_R_iRRi(rE, rpHL) # LD E,(HL) - 0x5f: LD_R_R(rE, rA) # LD E,A - 0x60: LD_R_R(rH, rB) # LD H,B - 0x61: LD_R_R(rH, rC) # LD H,C - 0x62: LD_R_R(rH, rD) # LD H,D - 0x63: LD_R_R(rH, rE) # LD H,E - 0x64: LD_R_R(rH, rH) # LD H,H - 0x65: LD_R_R(rH, rL) # LD H,L - 0x66: LD_R_iRRi(rH, rpHL) # LD H,(HL) - 0x67: LD_R_R(rH, rA) # LD H,A - 0x68: LD_R_R(rL, rB) # LD L,B - 0x69: LD_R_R(rL, rC) # LD L,C - 0x6a: LD_R_R(rL, rD) # LD L,D - 0x6b: LD_R_R(rL, rE) # LD L,E - 0x6c: LD_R_R(rL, rH) # LD L,H - 0x6d: LD_R_R(rL, rL) # LD L,L - 0x6e: LD_R_iRRi(rL, rpHL) # LD L,(HL) - 0x6f: LD_R_R(rL, rA) # LD L,A - 0x70: LD_iRRi_R(rpHL, rB) # LD (HL),B - 0x71: LD_iRRi_R(rpHL, rC) # LD (HL),C - 0x72: LD_iRRi_R(rpHL, rD) # LD (HL),D - 0x73: LD_iRRi_R(rpHL, rE) # LD (HL),E - 0x74: LD_iRRi_R(rpHL, rH) # LD (HL),H - 0x75: LD_iRRi_R(rpHL, rL) # LD (HL),L - 0x76: HALT() # HALT - 0x77: LD_iRRi_R(rpHL, rA) # LD (HL),A - 0x78: LD_R_R(rA, rB) # LD A,B - 0x79: LD_R_R(rA, rC) # LD A,C - 0x7a: LD_R_R(rA, rD) # LD A,D - 0x7b: LD_R_R(rA, rE) # LD A,E - 0x7c: LD_R_R(rA, rH) # LD A,H - 0x7d: LD_R_R(rA, rL) # LD A,L - 0x7e: LD_R_iRRi(rA, rpHL) # LD A,(HL) - 0x7f: LD_R_R(rA, rA) # LD A,A - 0x80: ADD_A "B" # ADD A,B - 0x81: ADD_A "C" # ADD A,C - 0x82: ADD_A "D" # ADD A,D - 0x83: ADD_A "E" # ADD A,E - 0x84: ADD_A "H" # ADD A,H - 0x85: ADD_A "L" # ADD A,L - 0x86: ADD_A "(HL)" # ADD A,(HL) - 0x87: ADD_A "A" # ADD A,A - 0x88: ADC_A "B" # ADC A,B - 0x89: ADC_A "C" # ADC A,C - 0x8a: ADC_A "D" # ADC A,D - 0x8b: ADC_A "E" # ADC A,E - 0x8c: ADC_A "H" # ADC A,H - 0x8d: ADC_A "L" # ADC A,L - 0x8e: ADC_A "(HL)" # ADC A,(HL) - 0x8f: ADC_A "A" # ADC A,A - 0x90: SUB_A "B" # SUB A,B - 0x91: SUB_A "C" # SUB A,C - 0x92: SUB_A "D" # SUB A,D - 0x93: SUB_A "E" # SUB A,E - 0x94: SUB_A "H" # SUB A,H - 0x95: SUB_A "L" # SUB A,L - 0x96: SUB_A "(HL)" # SUB A,(HL) - 0x97: SUB_A "A" # SUB A,A - 0x98: SBC_A "B" # SBC A,B - 0x99: SBC_A "C" # SBC A,C - 0x9a: SBC_A "D" # SBC A,D - 0x9b: SBC_A "E" # SBC A,E - 0x9c: SBC_A "H" # SBC A,H - 0x9d: SBC_A "L" # SBC A,L - 0x9e: SBC_A "(HL)" # SBC A,(HL) - 0x9f: SBC_A "A" # SBC A,A - 0xa0: AND_A "B" # AND A,B - 0xa1: AND_A "C" # AND A,C - 0xa2: AND_A "D" # AND A,D - 0xa3: AND_A "E" # AND A,E - 0xa4: AND_A "H" # AND A,H - 0xa5: AND_A "L" # AND A,L - 0xa6: AND_A "(HL)" # AND A,(HL) - 0xa7: AND_A "A" # AND A,A - 0xA8: XOR_A "B" # XOR B - 0xA9: XOR_A "C" # XOR C - 0xAA: XOR_A "D" # XOR D - 0xAB: XOR_A "E" # XOR E - 0xAC: XOR_A "H" # XOR H - 0xAD: XOR_A "L" # XOR L - 0xAE: XOR_A "(HL)" # XOR (HL) - 0xAF: XOR_A "A" # XOR A - 0xb0: OR_A "B" # OR B - 0xb1: OR_A "C" # OR C - 0xb2: OR_A "D" # OR D - 0xb3: OR_A "E" # OR E - 0xb4: OR_A "H" # OR H - 0xb5: OR_A "L" # OR L - 0xb6: OR_A "(HL)" # OR (HL) - 0xb7: OR_A "A" # OR A - 0xb8: CP_A "B" # CP B - 0xb9: CP_A "C" # CP C - 0xba: CP_A "D" # CP D - 0xbb: CP_A "E" # CP E - 0xbc: CP_A "H" # CP H - 0xbd: CP_A "L" # CP L - 0xbe: CP_A "(HL)" # CP (HL) - 0xbf: CP_A "A" # CP A - 0xC0: RET_C(FLAG_Z, false) # RET NZ - 0xC1: POP_RR(rpBC) # POP BC - 0xC2: JP_C_NN(FLAG_Z, false) # JP NZ,nnnn - 0xC3: JP_NN() # JP nnnn - 0xC4: CALL_C_NN(FLAG_Z, false) # CALL NZ,nnnn - 0xC5: PUSH_RR(rpBC) # PUSH BC - 0xC6: ADD_A "nn" # ADD A,nn - 0xC7: RST(0x0000) # RST 0x00 - 0xC8: RET_C(FLAG_Z, true) # RET Z - 0xC9: RET() # RET - 0xCA: JP_C_NN(FLAG_Z, true) # JP Z,nnnn - 0xCB: SHIFT('CB') # shift code - 0xCC: CALL_C_NN(FLAG_Z, true) # CALL Z,nnnn - 0xCD: CALL_NN() # CALL nnnn - 0xCE: ADC_A "nn" # ADC A,nn - 0xCF: RST(0x0008) # RST 0x08 - 0xD0: RET_C(FLAG_C, false) # RET NC - 0xD1: POP_RR(rpDE) # POP DE - 0xD2: JP_C_NN(FLAG_C, false) # JP NC,nnnn - 0xD3: OUT_iNi_A() # OUT (nn),A - 0xD4: CALL_C_NN(FLAG_C, false) # CALL NC,nnnn - 0xD5: PUSH_RR(rpDE) # PUSH DE - 0xD6: SUB_A "nn" # SUB nn - 0xD7: RST(0x0010) # RST 0x10 - 0xD8: RET_C(FLAG_C, true) # RET C - 0xD9: EXX() # EXX - 0xDA: JP_C_NN(FLAG_C, true) # JP C,nnnn - 0xDB: IN_A_N() # IN A,(nn) - 0xDC: CALL_C_NN(FLAG_C, true) # CALL C,nnnn - 0xDD: SHIFT('DD') # shift code - 0xDE: SBC_A "nn" # SBC A,nn - 0xDF: RST(0x0018) # RST 0x18 - 0xE0: RET_C(FLAG_P, false) # RET PO - 0xE1: POP_RR(rpHL) # POP HL - 0xE2: JP_C_NN(FLAG_P, false) # JP PO,nnnn - 0xE3: EX_iSPi_RR(rpHL) # EX (SP),HL - 0xE4: CALL_C_NN(FLAG_P, false) # CALL PO,nnnn - 0xE5: PUSH_RR(rpHL) # PUSH HL - 0xE6: AND_A "nn" # AND nn - 0xE7: RST(0x0020) # RST 0x20 - 0xE8: RET_C(FLAG_P, true) # RET PE - 0xE9: JP_RR(rpHL) # JP (HL) - 0xEA: JP_C_NN(FLAG_P, true) # JP PE,nnnn - 0xEB: EX_RR_RR(rpDE, rpHL) # EX DE,HL - 0xEC: CALL_C_NN(FLAG_P, true) # CALL PE,nnnn - 0xED: SHIFT('ED') # shift code - 0xEE: XOR_A "nn" # XOR nn - 0xEF: RST(0x0028) # RST 0x28 - 0xF0: RET_C(FLAG_S, false) # RET P - 0xF1: POP_RR(rpAF) # POP AF - 0xF2: JP_C_NN(FLAG_S, false) # JP NZ,nnnn - 0xF3: DI() # DI - 0xF4: CALL_C_NN(FLAG_S, false) # CALL P,nnnn - 0xF5: PUSH_RR(rpAF) # PUSH AF - 0xF6: OR_A "nn" # OR nn - 0xF7: RST(0x0030) # RST 0x30 - 0xF8: RET_C(FLAG_S, true) # RET M - 0xF9: LD_RR_RR(rpSP, rpHL) # LD SP,HL - 0xFA: JP_C_NN(FLAG_S, true) # JP M,nnnn - 0xFB: EI() # EI - 0xFC: CALL_C_NN(FLAG_S, true) # CALL M,nnnn - 0xFD: SHIFT('FD') # shift code - 0xFE: CP_A "nn" # CP nn - 0xFF: RST(0x0038) # RST 0x38 - 0x100: 0 - } - - ### - Assemble and evaluate the final JS code for the Z80 component. - The indirection on 'eval' causes most browsers to evaluate it in the global - scope, giving a significant speed boost - ### - defineZ80JS = """ - window.Z80 = function(opts) { - var self = {}; - - #{setUpStateJS} - - self.requestInterrupt = function(dataBus) { - interruptPending = true; - interruptDataBus = dataBus & 0xffff; - /* TODO: use event scheduling to keep the interrupt line active for a fixed - ~48T window, to support retriggered interrupts and interrupt blocking via - chains of EI or DD/FD prefixes */ - } - self.nonMaskableInterrupt = function() { - iff1 = 1; - self.requestInterrupt(0x66); - } - var z80Interrupt = function() { - if (iff1) { - if (halted) { - /* move PC on from the HALT opcode */ - regPairs[#{rpPC}]++; - halted = false; - } - - iff1 = iff2 = 0; - - /* push current PC in readiness for call to interrupt handler */ - regPairs[#{rpSP}]--; WRITEMEM(regPairs[#{rpSP}], regPairs[#{rpPC}] >> 8); - regPairs[#{rpSP}]--; WRITEMEM(regPairs[#{rpSP}], regPairs[#{rpPC}] & 0xff); - - /* TODO: R register */ - - switch (im) { - case 0: - regPairs[#{rpPC}] = interruptDataBus; // assume always RST - tstates += 6; - break; - case 1: - regPairs[#{rpPC}] = 0x0038; - tstates += 7; - break; - case 2: - inttemp = (regs[#{rI}] << 8) | (interruptDataBus & 0xff); - l = READMEM(inttemp); - inttemp = (inttemp+1) & 0xffff; - h = READMEM(inttemp); - /*console.log(hex(interruptDataBus), hex(inttemp), hex(l), hex(h));*/ - regPairs[#{rpPC}] = (h<<8) | l; - tstates += 7; - break; - } - } - }; - - self.runFrame = function(frameLength) { - var lastOpcodePrefix, offset, opcode; - - while (tstates < frameLength || opcodePrefix) { - if (interruptible && interruptPending) { - z80Interrupt(); - interruptPending = false; - } - interruptible = true; /* unless overridden by opcode */ - lastOpcodePrefix = opcodePrefix; - opcodePrefix = ''; - switch (lastOpcodePrefix) { - case '': - CONTEND_READ(regPairs[#{rpPC}], 4); - opcode = memory.read(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - regs[#{rR}] = ((regs[#{rR}] + 1) & 0x7f) | (regs[#{rR}] & 0x80); - #{opcodeSwitch(OPCODE_RUN_STRINGS, null, opts.traps)} - break; - case 'CB': - CONTEND_READ(regPairs[#{rpPC}], 4); - opcode = memory.read(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - regs[#{rR}] = ((regs[#{rR}] + 1) & 0x7f) | (regs[#{rR}] & 0x80); - #{opcodeSwitch(OPCODE_RUN_STRINGS_CB)} - break; - case 'DD': - CONTEND_READ(regPairs[#{rpPC}], 4); - opcode = memory.read(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - regs[#{rR}] = ((regs[#{rR}] + 1) & 0x7f) | (regs[#{rR}] & 0x80); - #{opcodeSwitch(OPCODE_RUN_STRINGS_DD, OPCODE_RUN_STRINGS)} - break; - case 'DDCB': - offset = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - if (offset & 0x80) offset -= 0x100; - CONTEND_READ(regPairs[#{rpPC}], 3); - opcode = memory.read(regPairs[#{rpPC}]); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - regPairs[#{rpPC}]++; - #{opcodeSwitch(OPCODE_RUN_STRINGS_DDCB)} - break; - case 'ED': - CONTEND_READ(regPairs[#{rpPC}], 4); - opcode = memory.read(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - regs[#{rR}] = ((regs[#{rR}] + 1) & 0x7f) | (regs[#{rR}] & 0x80); - #{opcodeSwitch(OPCODE_RUN_STRINGS_ED)} - break; - case 'FD': - CONTEND_READ(regPairs[#{rpPC}], 4); - opcode = memory.read(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - regs[#{rR}] = ((regs[#{rR}] + 1) & 0x7f) | (regs[#{rR}] & 0x80); - #{opcodeSwitch(OPCODE_RUN_STRINGS_FD, OPCODE_RUN_STRINGS)} - break; - case 'FDCB': - offset = READMEM(regPairs[#{rpPC}]); regPairs[#{rpPC}]++; - if (offset & 0x80) offset -= 0x100; - CONTEND_READ(regPairs[#{rpPC}], 3); - opcode = memory.read(regPairs[#{rpPC}]); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - CONTEND_READ_NO_MREQ(regPairs[#{rpPC}], 1); - regPairs[#{rpPC}]++; - #{opcodeSwitch(OPCODE_RUN_STRINGS_FDCB)} - break; - default: - throw("Unknown opcode prefix: " + lastOpcodePrefix); - } - } - while (display.nextEventTime != null && display.nextEventTime <= tstates) display.doEvent(); - }; - - self.reset = function() { - regPairs[#{rpPC}] = regPairs[#{rpIR}] = 0; - iff1 = 0; iff2 = 0; im = 0; halted = false; - }; - - self.loadState = function(snapRegs) { - regPairs[#{rpAF}] = snapRegs['AF']; - regPairs[#{rpBC}] = snapRegs['BC']; - regPairs[#{rpDE}] = snapRegs['DE']; - regPairs[#{rpHL}] = snapRegs['HL']; - regPairs[#{rpAF_}] = snapRegs['AF_']; - regPairs[#{rpBC_}] = snapRegs['BC_']; - regPairs[#{rpDE_}] = snapRegs['DE_']; - regPairs[#{rpHL_}] = snapRegs['HL_']; - regPairs[#{rpIX}] = snapRegs['IX']; - regPairs[#{rpIY}] = snapRegs['IY']; - regPairs[#{rpSP}] = snapRegs['SP']; - regPairs[#{rpPC}] = snapRegs['PC']; - regPairs[#{rpIR}] = snapRegs['IR']; - iff1 = snapRegs['iff1'] & 1; - iff2 = snapRegs['iff2'] & 1; - im = snapRegs['im'] & 3; - halted = !!snapRegs['halted']; - tstates = snapRegs['T'] * 1; - interruptPending = !!snapRegs['intp']; - interruptDataBus = snapRegs['intd'] & 0xffff; - }; - - self.saveState = function() { - return { - AF: regPairs[#{rpAF}], - BC: regPairs[#{rpBC}], - DE: regPairs[#{rpDE}], - HL: regPairs[#{rpHL}], - AF_: regPairs[#{rpAF_}], - BC_: regPairs[#{rpBC_}], - DE_: regPairs[#{rpDE_}], - HL_: regPairs[#{rpHL_}], - IX: regPairs[#{rpIX}], - IY: regPairs[#{rpIY}], - SP: regPairs[#{rpSP}], - PC: regPairs[#{rpPC}], - IR: regPairs[#{rpIR}], - iff1: iff1, - iff2: iff2, - im: im, - halted: halted, - T: tstates, - intp: interruptPending, - intd: interruptDataBus, - }; - }; - - /* Register / flag accessors (used for tape trapping and test harness) */ - self.getAF = function() { - return regPairs[#{rpAF}]; - } - self.getBC = function() { - return regPairs[#{rpBC}]; - } - self.getDE = function() { - return regPairs[#{rpDE}]; - } - self.getHL = function() { - return regPairs[#{rpHL}]; - } - self.getAF_ = function() { - return regPairs[#{rpAF_}]; - } - self.getBC_ = function() { - return regPairs[#{rpBC_}]; - } - self.getDE_ = function() { - return regPairs[#{rpDE_}]; - } - self.getHL_ = function() { - return regPairs[#{rpHL_}]; - } - self.getIX = function() { - return regPairs[#{rpIX}]; - } - self.getIY = function() { - return regPairs[#{rpIY}]; - } - self.getI = function() { - return regs[#{rI}]; - } - self.getR = function() { - return regs[#{rR}]; - } - self.getSP = function() { - return regPairs[#{rpSP}]; - } - self.getPC = function() { - return regPairs[#{rpPC}]; - } - self.getIFF1 = function() { - return iff1; - } - self.getIFF2 = function() { - return iff2; - } - self.getIM = function() { - return im; - } - self.getHalted = function() { - return halted; - } - - self.setAF = function(val) { - regPairs[#{rpAF}] = val; - } - self.setBC = function(val) { - regPairs[#{rpBC}] = val; - } - self.setDE = function(val) { - regPairs[#{rpDE}] = val; - } - self.setHL = function(val) { - regPairs[#{rpHL}] = val; - } - self.setAF_ = function(val) { - regPairs[#{rpAF_}] = val; - } - self.setBC_ = function(val) { - regPairs[#{rpBC_}] = val; - } - self.setDE_ = function(val) { - regPairs[#{rpDE_}] = val; - } - self.setHL_ = function(val) { - regPairs[#{rpHL_}] = val; - } - self.setIX = function(val) { - regPairs[#{rpIX}] = val; - } - self.setIY = function(val) { - regPairs[#{rpIY}] = val; - } - self.setI = function(val) { - regs[#{rI}] = val; - } - self.setR = function(val) { - regs[#{rR}] = val; - } - self.setSP = function(val) { - regPairs[#{rpSP}] = val; - } - self.setPC = function(val) { - regPairs[#{rpPC}] = val; - } - self.setIFF1 = function(val) { - iff1 = val & 1; - } - self.setIFF2 = function(val) { - iff2 = val & 1; - } - self.setIM = function(val) { - im = val & 1; - } - self.setHalted = function(val) { - halted = !!val; - } - - self.getTstates = function() { - return tstates; - } - self.setTstates = function(val) { - tstates = val * 1; - } - - self.getCarry_ = function() { - return regs[#{rF_}] & #{FLAG_C}; - }; - self.setCarry = function(val) { - if (val) { - regs[#{rF}] |= #{FLAG_C}; - } else { - regs[#{rF}] &= #{~FLAG_C}; - } - }; - self.getA_ = function() { - return regs[#{rA_}]; - }; - - return self; - }; - """ - # Apply macro expansions - defineZ80JS = defineZ80JS.replace(/READMEM\((.*?)\)/g, '(CONTEND_READ($1, 3), memory.read($1))'); - defineZ80JS = defineZ80JS.replace(/WRITEMEM\((.*?),(.*?)\)/g, """ - CONTEND_WRITE($1, 3); - while (display.nextEventTime != null && display.nextEventTime < tstates) display.doEvent(); - memory.write($1,$2); - """); - if opts.applyContention - defineZ80JS = defineZ80JS.replace(/CONTEND_READ\((.*?),(.*?)\)/g, - '(tstates += memory.contend($1, tstates) + ($2))'); - defineZ80JS = defineZ80JS.replace(/CONTEND_WRITE\((.*?),(.*?)\)/g, - '(tstates += memory.contend($1, tstates) + ($2))'); - defineZ80JS = defineZ80JS.replace(/CONTEND_READ_NO_MREQ\((.*?),(.*?)\)/g, - '(tstates += memory.contend($1, tstates) + ($2))'); - defineZ80JS = defineZ80JS.replace(/CONTEND_WRITE_NO_MREQ\((.*?),(.*?)\)/g, - '(tstates += memory.contend($1, tstates) + ($2))'); - defineZ80JS = defineZ80JS.replace(/CONTEND_PORT_EARLY\((.*?)\)/g, - """ - var isContendedMemory = memory.isContended($1); - var isULAPort = ioBus.isULAPort($1); - if (isContendedMemory) tstates += ioBus.contend($1, tstates); - tstates += 1; - while (display.nextEventTime != null && display.nextEventTime < tstates) display.doEvent(); - """); - defineZ80JS = defineZ80JS.replace(/CONTEND_PORT_LATE\((.*?)\)/g, - """ - if (isContendedMemory || isULAPort) { - ioBus.contend($1); - tstates += 1; - if (!isULAPort) { - ioBus.contend($1); tstates += 1; - ioBus.contend($1); tstates += 1; - } else { - tstates += 2; - } - } else { - tstates += 3; - } - """); - else - defineZ80JS = defineZ80JS.replace(/CONTEND_READ\((.*?),(.*?)\)/g, 'tstates += ($2)'); - defineZ80JS = defineZ80JS.replace(/CONTEND_WRITE\((.*?),(.*?)\)/g, 'tstates += ($2)'); - defineZ80JS = defineZ80JS.replace(/CONTEND_READ_NO_MREQ\((.*?),(.*?)\)/g, 'tstates += ($2)'); - defineZ80JS = defineZ80JS.replace(/CONTEND_WRITE_NO_MREQ\((.*?),(.*?)\)/g, 'tstates += ($2)'); - defineZ80JS = defineZ80JS.replace(/CONTEND_PORT_EARLY\((.*?)\)/g, 'tstates += 1'); - defineZ80JS = defineZ80JS.replace(/CONTEND_PORT_LATE\((.*?)\)/g, 'tstates += 3'); - - # console.log(defineZ80JS); - indirectEval = eval - indirectEval(defineZ80JS); diff --git a/src/common/cpu/z80.js b/src/common/cpu/z80.js deleted file mode 100644 index be122880..00000000 --- a/src/common/cpu/z80.js +++ /dev/null @@ -1,1691 +0,0 @@ -// Generated by CoffeeScript 1.9.3 - -/* -Z80 core. -To avoid mass repetition of code across the numerous instruction variants -the code for this component is built up programmatically and evaluated in -the global scope. CoffeeScript is used here for its support of multi-line -strings, and expression interpolation in strings. - */ - - -/* -Registers are stored in a typed array as a way of automatically casting -calculations to 8/16 bit, and to allow accessing them interchangeably as -register pairs or individual registers by having two arrays backed by the -same buffer. For the latter to work, we need to find out the endianness -of the host processor, as typed arrays are native-endian - (http://lists.w3.org/Archives/Public/public-script-coord/2010AprJun/0048.html, - http://cat-in-136.blogspot.com/2011/03/javascript-typed-array-use-native.html) - */ - -(function() { - window.buildZ80 = function(opts) { - var ADC_A, ADC_HL_RR, ADD_A, ADD_RR_RR, AND_A, BIT_N_R, BIT_N_iHLi, BIT_N_iRRpNNi, CALL_C_NN, CALL_NN, CCF, CPD, CPDR, CPI, CPIR, CPIR_CPDR, CPI_CPD, CPL, CP_A, DAA, DEC, DEC_RR, DI, DJNZ_N, EI, EXX, EX_RR_RR, EX_iSPi_RR, FLAG_3, FLAG_5, FLAG_C, FLAG_H, FLAG_N, FLAG_P, FLAG_S, FLAG_V, FLAG_Z, HALT, IM, INC, INC_RR, IND, INDR, INI, INIR, INIR_INDR, INI_IND, IN_A_N, IN_F_iCi, IN_R_iCi, JP_C_NN, JP_NN, JP_RR, JR_C_N, JR_N, LDBITOP, LDD, LDDR, LDI, LDIR, LDIR_LDDR, LDI_LDD, LDSHIFTOP, LD_A_iNNi, LD_RR_NN, LD_RR_RR, LD_RR_iNNi, LD_R_N, LD_R_R, LD_R_iRRi, LD_R_iRRpNNi, LD_iNNi_A, LD_iNNi_RR, LD_iRRi_N, LD_iRRi_R, LD_iRRpNNi_N, LD_iRRpNNi_R, NEG, NOP, OPCODE_RUN_STRINGS, OPCODE_RUN_STRINGS_CB, OPCODE_RUN_STRINGS_DD, OPCODE_RUN_STRINGS_DDCB, OPCODE_RUN_STRINGS_ED, OPCODE_RUN_STRINGS_FD, OPCODE_RUN_STRINGS_FDCB, OR_A, OTDR, OTIR, OTIR_OTDR, OUTD, OUTI, OUTI_OUTD, OUT_iCi_0, OUT_iCi_R, OUT_iNi_A, POP_RR, PUSH_RR, RES, RET, RETN, RET_C, RL, RLA, RLC, RLCA, RLD, RR, RRA, RRC, RRCA, RRD, RST, SBC_A, SBC_HL_RR, SCF, SET, SHIFT, SLA, SLL, SRA, SRL, SUB_A, XOR_A, defineZ80JS, endianTestBuffer, endianTestUint16, endianTestUint8, generateddfdOpcodeSet, generateddfdcbOpcodeSet, getParamBoilerplate, indirectEval, isBigEndian, opcodeSwitch, rA, rA_, rB, rB_, rC, rC_, rD, rD_, rE, rE_, rF, rF_, rH, rH_, rI, rIXH, rIXL, rIYH, rIYL, rL, rL_, rR, registerIndexes, registerPairIndexes, rpAF, rpAF_, rpBC, rpBC_, rpDE, rpDE_, rpHL, rpHL_, rpIR, rpIX, rpIY, rpPC, rpSP, setUpStateJS; - if (opts == null) { - opts = {}; - } - endianTestBuffer = new ArrayBuffer(2); - endianTestUint16 = new Uint16Array(endianTestBuffer); - endianTestUint8 = new Uint8Array(endianTestBuffer); - endianTestUint16[0] = 0x0100; - isBigEndian = endianTestUint8[0] === 0x01; - rpAF = 0; - rpBC = 1; - rpDE = 2; - rpHL = 3; - rpAF_ = 4; - rpBC_ = 5; - rpDE_ = 6; - rpHL_ = 7; - rpIX = 8; - rpIY = 9; - rpIR = 10; - rpSP = 11; - rpPC = 12; - registerPairIndexes = { - 'IX': 8, - 'IY': 9 - }; - if (isBigEndian) { - rA = 0; - rF = 1; - rB = 2; - rC = 3; - rD = 4; - rE = 5; - rH = 6; - rL = 7; - rA_ = 8; - rF_ = 9; - rB_ = 10; - rC_ = 11; - rD_ = 12; - rE_ = 13; - rH_ = 14; - rL_ = 15; - rIXH = 16; - rIXL = 17; - rIYH = 18; - rIYL = 19; - rI = 20; - rR = 21; - registerIndexes = { - A: 0, - F: 1, - B: 2, - C: 3, - D: 4, - E: 5, - H: 6, - L: 7, - IXH: 16, - IXL: 17, - IYH: 18, - IYL: 19 - }; - } else { - rF = 0; - rA = 1; - rC = 2; - rB = 3; - rE = 4; - rD = 5; - rL = 6; - rH = 7; - rF_ = 8; - rA_ = 9; - rC_ = 10; - rB_ = 11; - rE_ = 12; - rD_ = 13; - rL_ = 14; - rH_ = 15; - rIXL = 16; - rIXH = 17; - rIYL = 18; - rIYH = 19; - rR = 20; - rI = 21; - registerIndexes = { - F: 0, - A: 1, - C: 2, - B: 3, - E: 4, - D: 5, - L: 6, - H: 7, - IXL: 16, - IXH: 17, - IYL: 18, - IYH: 19 - }; - } - FLAG_C = 0x01; - FLAG_N = 0x02; - FLAG_P = 0x04; - FLAG_V = 0x04; - FLAG_3 = 0x08; - FLAG_H = 0x10; - FLAG_5 = 0x20; - FLAG_Z = 0x40; - FLAG_S = 0x80; - setUpStateJS = "var memory = opts.memory;\nvar ioBus = opts.ioBus;\nvar display = opts.display;\n\nvar registerBuffer = new ArrayBuffer(26);\n/* Expose registerBuffer as both register pairs and individual registers */\nvar regPairs = new Uint16Array(registerBuffer);\nvar regs = new Uint8Array(registerBuffer);\n\nvar tstates = 0; /* number of tstates since start of this frame */\nvar iff1 = 0;\nvar iff2 = 0;\nvar im = 0;\nvar halted = false;\n\n/* tables for setting Z80 flags */\n\n/*\n Whether a half carry occurred or not can be determined by looking at\n the 3rd bit of the two arguments and the result; these are hashed\n into this table in the form r12, where r is the 3rd bit of the\n result, 1 is the 3rd bit of the 1st argument and 2 is the\n third bit of the 2nd argument; the tables differ for add and subtract\n operations\n*/\nvar halfcarryAddTable = new Uint8Array([0, " + FLAG_H + ", " + FLAG_H + ", " + FLAG_H + ", 0, 0, 0, " + FLAG_H + "]);\nvar halfcarrySubTable = new Uint8Array([0, 0, " + FLAG_H + ", 0, " + FLAG_H + ", 0, " + FLAG_H + ", " + FLAG_H + "]);\n\n/*\n Similarly, overflow can be determined by looking at the 7th bits; again\n the hash into this table is r12\n*/\nvar overflowAddTable = new Uint8Array([0, 0, 0, " + FLAG_V + ", " + FLAG_V + ", 0, 0, 0]);\nvar overflowSubTable = new Uint8Array([0, " + FLAG_V + ", 0, 0, 0, 0, " + FLAG_V + ", 0]);\n\nvar sz53Table = new Uint8Array(0x100); /* The S, Z, 5 and 3 bits of the index */\nvar parityTable = new Uint8Array(0x100); /* The parity of the lookup value */\nvar sz53pTable = new Uint8Array(0x100); /* OR the above two tables together */\n\nfor (var i = 0; i < 0x100; i++) {\n sz53Table[i] = i & ( " + (FLAG_3 | FLAG_5 | FLAG_S) + " );\n var j = i;\n var parity = 0;\n for (var k = 0; k < 8; k++) {\n parity ^= j & 1;\n j >>=1;\n }\n\n parityTable[i] = (parity ? 0 : " + FLAG_P + ");\n sz53pTable[i] = sz53Table[i] | parityTable[i];\n\n sz53Table[0] |= " + FLAG_Z + ";\n sz53pTable[0] |= " + FLAG_Z + ";\n}\n\nvar interruptible = true;\nvar interruptPending = false;\nvar interruptDataBus = 0;\nvar opcodePrefix = '';"; - - /* - Boilerplate generator: a helper to deal with classes of opcodes which perform - the same task on different types of operands: e.g. XOR B, XOR (HL), XOR nn, XOR (IX+nn). - This function accepts the parameter in question, and returns a set of canned strings - for use in the opcode runner body: - 'getter': a block of code that performs any necessary memory access etc in order to - make 'v' a valid expression; - 'v': an expression with no side effects, evaluating to the operand's value. (Must also be a valid lvalue for assignment) - 'trunc': an expression such as '& 0xff' to truncate v back to its proper range, if appropriate - 'setter': a block of code that writes an updated value back to its proper location, if any - - Passing hasIXOffsetAlready = true indicates that we have already read the offset value of (IX+nn)/(IY+nn) - into a variable 'offset' (necessary because DDCB/FFCB instructions put this before the final opcode byte). - */ - getParamBoilerplate = function(param, hasIXOffsetAlready) { - var getter, match, regNum, rp; - if (hasIXOffsetAlready == null) { - hasIXOffsetAlready = false; - } - if (param.match(/^[AFBCDEHL]|I[XY][HL]$/)) { - regNum = registerIndexes[param]; - return { - 'getter': '', - 'v': "regs[" + regNum + "]", - 'trunc': '', - 'setter': '' - }; - } else if (param === '(HL)') { - return { - 'getter': "var val = READMEM(regPairs[" + rpHL + "]);", - 'v': 'val', - 'trunc': '& 0xff', - 'setter': "CONTEND_READ_NO_MREQ(regPairs[" + rpHL + "], 1);\nWRITEMEM(regPairs[" + rpHL + "], val);" - }; - } else if (param === 'nn') { - return { - 'getter': "var val = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;", - 'v': 'val', - 'trunc': '& 0xff', - 'setter': '' - }; - } else if ((match = param.match(/^\((I[XY])\+nn\)$/))) { - rp = registerPairIndexes[match[1]]; - if (hasIXOffsetAlready) { - getter = ''; - } else { - getter = "var offset = READMEM(regPairs[" + rpPC + "]);\nif (offset & 0x80) offset -= 0x100;\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nregPairs[" + rpPC + "]++;"; - } - getter += "var addr = (regPairs[" + rp + "] + offset) & 0xffff;\nvar val = READMEM(addr);"; - return { - 'getter': getter, - 'v': 'val', - 'trunc': '& 0xff', - 'setter': "CONTEND_READ_NO_MREQ(addr, 1);\nWRITEMEM(addr, val);" - }; - } else if (param === 'add') { - return { - 'getter': '', - 'v': 'add', - 'trunc': '', - 'setter': '' - }; - } else { - throw "Unknown param format: " + param; - } - }; - - /* - Opcode generator functions: each returns a string of Javascript that performs the opcode - when executed within this module's scope. Note that instructions with DDCBnn opcodes also - require an 'offset' variable to be defined as nn (as a signed byte). - */ - ADC_A = function(param) { - var operand; - operand = getParamBoilerplate(param); - return operand.getter + "\n\nvar adctemp = regs[" + rA + "] + " + operand.v + " + (regs[" + rF + "] & " + FLAG_C + ");\nvar lookup = ( (regs[" + rA + "] & 0x88) >> 3 ) | ( (" + operand.v + " & 0x88) >> 2 ) | ( (adctemp & 0x88) >> 1 );\nregs[" + rA + "] = adctemp;\nregs[" + rF + "] = ( adctemp & 0x100 ? " + FLAG_C + " : 0 ) | halfcarryAddTable[lookup & 0x07] | overflowAddTable[lookup >> 4] | sz53Table[regs[" + rA + "]];"; - }; - ADC_HL_RR = function(rp2) { - return "var add16temp = regPairs[" + rpHL + "] + regPairs[" + rp2 + "] + (regs[" + rF + "] & " + FLAG_C + ");\nvar lookup = (\n ( (regPairs[" + rpHL + "] & 0x8800) >> 11 ) |\n ( (regPairs[" + rp2 + "] & 0x8800) >> 10 ) |\n ( (add16temp & 0x8800) >> 9 )\n);\nregPairs[" + rpHL + "] = add16temp;\nregs[" + rF + "] = (\n (add16temp & 0x10000 ? " + FLAG_C + " : 0) |\n overflowAddTable[lookup >> 4] |\n (regs[" + rH + "] & " + (FLAG_3 | FLAG_5 | FLAG_S) + ") |\n halfcarryAddTable[lookup & 0x07] |\n (regPairs[" + rpHL + "] ? 0 : " + FLAG_Z + ")\n);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);"; - }; - ADD_A = function(param) { - var operand; - operand = getParamBoilerplate(param); - return operand.getter + "\n\nvar addtemp = regs[" + rA + "] + " + operand.v + ";\nvar lookup = ( (regs[" + rA + "] & 0x88) >> 3 ) | ( (" + operand.v + " & 0x88) >> 2 ) | ( (addtemp & 0x88) >> 1 );\nregs[" + rA + "] = addtemp;\nregs[" + rF + "] = ( addtemp & 0x100 ? " + FLAG_C + " : 0 ) | halfcarryAddTable[lookup & 0x07] | overflowAddTable[lookup >> 4] | sz53Table[regs[" + rA + "]];"; - }; - ADD_RR_RR = function(rp1, rp2) { - return "var add16temp = regPairs[" + rp1 + "] + regPairs[" + rp2 + "];\nvar lookup = ( (regPairs[" + rp1 + "] & 0x0800) >> 11 ) | ( (regPairs[" + rp2 + "] & 0x0800) >> 10 ) | ( (add16temp & 0x0800) >> 9 );\nregPairs[" + rp1 + "] = add16temp;\nregs[" + rF + "] = ( regs[" + rF + "] & ( " + (FLAG_V | FLAG_Z | FLAG_S) + " ) ) | ( add16temp & 0x10000 ? " + FLAG_C + " : 0 ) | ( ( add16temp >> 8 ) & ( " + (FLAG_3 | FLAG_5) + " ) ) | halfcarryAddTable[lookup];\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);"; - }; - AND_A = function(param) { - var operand; - operand = getParamBoilerplate(param); - return operand.getter + "\n\nregs[" + rA + "] &= " + operand.v + ";\nregs[" + rF + "] = " + FLAG_H + " | sz53pTable[regs[" + rA + "]];"; - }; - BIT_N_iRRpNNi = function(bit, rp) { - var updateSignFlag; - if (bit === 7) { - updateSignFlag = "if (value & 0x80) regs[" + rF + "] |= " + FLAG_S + ";"; - } else { - updateSignFlag = ""; - } - return "var addr = (regPairs[" + rp + "] + offset) & 0xffff;\nvar value = READMEM(addr);\nregs[" + rF + "] = ( regs[" + rF + "] & " + FLAG_C + " ) | " + FLAG_H + " | ( ( addr >> 8 ) & " + (FLAG_3 | FLAG_5) + " );\nif ( !(value & " + (0x01 << bit) + ") ) regs[" + rF + "] |= " + (FLAG_P | FLAG_Z) + ";\n" + updateSignFlag + "\nCONTEND_READ_NO_MREQ(addr, 1);"; - }; - BIT_N_iHLi = function(bit) { - var updateSignFlag; - if (bit === 7) { - updateSignFlag = "if (value & 0x80) regs[" + rF + "] |= " + FLAG_S + ";"; - } else { - updateSignFlag = ""; - } - return "var addr = regPairs[" + rpHL + "];\nvar value = READMEM(addr);\nCONTEND_READ_NO_MREQ(addr, 1);\nregs[" + rF + "] = ( regs[" + rF + "] & " + FLAG_C + " ) | " + FLAG_H + " | ( value & " + (FLAG_3 | FLAG_5) + " );\nif( !(value & " + (0x01 << bit) + ") ) regs[" + rF + "] |= " + (FLAG_P | FLAG_Z) + ";\n" + updateSignFlag; - }; - BIT_N_R = function(bit, r) { - var updateSignFlag; - if (bit === 7) { - updateSignFlag = "if (regs[" + r + "] & 0x80) regs[" + rF + "] |= " + FLAG_S + ";"; - } else { - updateSignFlag = ""; - } - return "regs[" + rF + "] = ( regs[" + rF + "] & " + FLAG_C + " ) | " + FLAG_H + " | ( regs[" + r + "] & " + (FLAG_3 | FLAG_5) + " );\nif( !(regs[" + r + "] & " + (0x01 << bit) + ") ) regs[" + rF + "] |= " + (FLAG_P | FLAG_Z) + ";\n" + updateSignFlag; - }; - CALL_C_NN = function(flag, sense) { - var condition; - condition = "regs[" + rF + "] & " + flag; - if (!sense) { - condition = "!(" + condition + ")"; - } - return "if (" + condition + ") {\n var l = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\n var h = READMEM(regPairs[" + rpPC + "]);\n CONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\n regPairs[" + rpPC + "]++;\n regPairs[" + rpSP + "]--; WRITEMEM(regPairs[" + rpSP + "], regPairs[" + rpPC + "] >> 8);\n regPairs[" + rpSP + "]--; WRITEMEM(regPairs[" + rpSP + "], regPairs[" + rpPC + "] & 0xff);\n regPairs[" + rpPC + "] = (h<<8) | l;\n} else {\n CONTEND_READ(regPairs[" + rpPC + "], 3);\n regPairs[" + rpPC + "]++;\n CONTEND_READ(regPairs[" + rpPC + "], 3);\n regPairs[" + rpPC + "]++;\n}"; - }; - CALL_NN = function() { - return "var l = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nvar h = READMEM(regPairs[" + rpPC + "]);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nregPairs[" + rpPC + "]++;\nregPairs[" + rpSP + "]--; WRITEMEM(regPairs[" + rpSP + "], regPairs[" + rpPC + "] >> 8);\nregPairs[" + rpSP + "]--; WRITEMEM(regPairs[" + rpSP + "], regPairs[" + rpPC + "] & 0xff);\nregPairs[" + rpPC + "] = (h<<8) | l;"; - }; - CCF = function() { - return "regs[" + rF + "] = ( regs[" + rF + "] & " + (FLAG_P | FLAG_Z | FLAG_S) + " ) | ( (regs[" + rF + "] & " + FLAG_C + ") ? " + FLAG_H + " : " + FLAG_C + " ) | ( regs[" + rA + "] & " + (FLAG_3 | FLAG_5) + " );"; - }; - CP_A = function(param) { - var operand; - operand = getParamBoilerplate(param); - return operand.getter + "\n\nvar cptemp = regs[" + rA + "] - " + operand.v + ";\nvar lookup = ( (regs[" + rA + "] & 0x88) >> 3 ) | ( (" + operand.v + " & 0x88) >> 2 ) | ( (cptemp & 0x88) >> 1 );\nregs[" + rF + "] = ( cptemp & 0x100 ? " + FLAG_C + " : ( cptemp ? 0 : " + FLAG_Z + " ) ) | " + FLAG_N + " | halfcarrySubTable[lookup & 0x07] | overflowSubTable[lookup >> 4] | ( " + operand.v + " & " + (FLAG_3 | FLAG_5) + " ) | ( cptemp & " + FLAG_S + " );"; - }; - CPI_CPD = function(modifier) { - return "var value = READMEM(regPairs[" + rpHL + "]);\nvar bytetemp = (regs[" + rA + "] - value) & 0xff;\nvar lookup = ((regs[" + rA + "] & 0x08) >> 3) | ((value & 0x08) >> 2) | ((bytetemp & 0x08) >> 1);\nvar originalHL = regPairs[" + rpHL + "];\nCONTEND_READ_NO_MREQ(originalHL, 1);\nCONTEND_READ_NO_MREQ(originalHL, 1);\nCONTEND_READ_NO_MREQ(originalHL, 1);\nCONTEND_READ_NO_MREQ(originalHL, 1);\nCONTEND_READ_NO_MREQ(originalHL, 1);\nregPairs[" + rpHL + "]" + modifier + "; regPairs[" + rpBC + "]--;\nregs[" + rF + "] = (regs[" + rF + "] & " + FLAG_C + ") | (regPairs[" + rpBC + "] ? " + (FLAG_V | FLAG_N) + " : " + FLAG_N + ") | halfcarrySubTable[lookup] | (bytetemp ? 0 : " + FLAG_Z + ") | (bytetemp & " + FLAG_S + ");\nif (regs[" + rF + "] & " + FLAG_H + ") bytetemp--;\nregs[" + rF + "] |= (bytetemp & " + FLAG_3 + ") | ( (bytetemp & 0x02) ? " + FLAG_5 + " : 0 );"; - }; - CPIR_CPDR = function(modifier) { - return (CPI_CPD(modifier)) + "\nif ((regs[" + rF + "] & " + (FLAG_V | FLAG_Z) + ") == " + FLAG_V + ") {\n regPairs[" + rpPC + "] -= 2;\n CONTEND_READ_NO_MREQ(originalHL, 1);\n CONTEND_READ_NO_MREQ(originalHL, 1);\n CONTEND_READ_NO_MREQ(originalHL, 1);\n CONTEND_READ_NO_MREQ(originalHL, 1);\n CONTEND_READ_NO_MREQ(originalHL, 1);\n}"; - }; - CPD = function() { - return CPI_CPD('--'); - }; - CPI = function() { - return CPI_CPD('++'); - }; - CPDR = function() { - return CPIR_CPDR('--'); - }; - CPIR = function() { - return CPIR_CPDR('++'); - }; - DAA = function() { - var addClause, subClause; - subClause = SUB_A('add'); - addClause = ADD_A('add'); - return "var add = 0;\nvar carry = regs[" + rF + "] & " + FLAG_C + ";\nif( ( regs[" + rF + "] & " + FLAG_H + " ) || ( ( regs[" + rA + "] & 0x0f ) > 9 ) ) add = 6;\nif( carry || ( regs[" + rA + "] > 0x99 ) ) add |= 0x60;\nif( regs[" + rA + "] > 0x99 ) carry = " + FLAG_C + ";\nif( regs[" + rF + "] & " + FLAG_N + " ) {\n " + subClause + "\n} else {\n " + addClause + "\n}\nregs[" + rF + "] = ( regs[" + rF + "] & " + (~(FLAG_C | FLAG_P)) + " ) | carry | parityTable[regs[" + rA + "]];"; - }; - CPL = function() { - return "regs[" + rA + "] ^= 0xff;\nregs[" + rF + "] = (regs[" + rF + "] & " + (FLAG_C | FLAG_P | FLAG_Z | FLAG_S) + ") | (regs[" + rA + "] & " + (FLAG_3 | FLAG_5) + ") | " + (FLAG_N | FLAG_H) + ";"; - }; - DEC = function(param) { - var operand; - operand = getParamBoilerplate(param); - return operand.getter + "\n\nregs[" + rF + "] = (regs[" + rF + "] & " + FLAG_C + " ) | ( " + operand.v + " & 0x0f ? 0 : " + FLAG_H + " ) | " + FLAG_N + ";\n" + operand.v + " = (" + operand.v + " - 1) " + operand.trunc + ";\n\n" + operand.setter + "\nregs[" + rF + "] |= (" + operand.v + " == 0x7f ? " + FLAG_V + " : 0) | sz53Table[" + operand.v + "];"; - }; - DEC_RR = function(rp) { - return "regPairs[" + rp + "]--;\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);"; - }; - DI = function() { - return "iff1 = iff2 = 0;"; - }; - DJNZ_N = function() { - return "CONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nregs[" + rB + "]--;\nif (regs[" + rB + "]) {\n /* take branch */\n var offset = READMEM(regPairs[" + rpPC + "]);\n CONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\n CONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\n CONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\n CONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\n CONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\n regPairs[" + rpPC + "]++;\n regPairs[" + rpPC + "] += (offset & 0x80 ? offset - 0x100 : offset);\n} else {\n /* do not take branch */\n CONTEND_READ(regPairs[" + rpPC + "], 3);\n regPairs[" + rpPC + "]++;\n}"; - }; - EI = function() { - return "iff1 = iff2 = 1;\ninterruptible = false;"; - }; - EX_iSPi_RR = function(rp) { - return "var l = READMEM(regPairs[" + rpSP + "]);\nvar spPlus1 = (regPairs[" + rpSP + "] + 1) & 0xffff;\nvar h = READMEM(spPlus1);\nCONTEND_READ_NO_MREQ(spPlus1, 1);\nWRITEMEM(spPlus1, regPairs[" + rp + "] >> 8);\nWRITEMEM(regPairs[" + rpSP + "], regPairs[" + rp + "] & 0xff);\nregPairs[" + rp + "] = (h<<8) | l;\nCONTEND_WRITE_NO_MREQ(regPairs[" + rpSP + "], 1);\nCONTEND_WRITE_NO_MREQ(regPairs[" + rpSP + "], 1);"; - }; - EX_RR_RR = function(rp1, rp2) { - return "var temp = regPairs[" + rp1 + "];\nregPairs[" + rp1 + "] = regPairs[" + rp2 + "];\nregPairs[" + rp2 + "] = temp;"; - }; - EXX = function() { - return "var wordtemp;\nwordtemp = regPairs[" + rpBC + "]; regPairs[" + rpBC + "] = regPairs[" + rpBC_ + "]; regPairs[" + rpBC_ + "] = wordtemp;\nwordtemp = regPairs[" + rpDE + "]; regPairs[" + rpDE + "] = regPairs[" + rpDE_ + "]; regPairs[" + rpDE_ + "] = wordtemp;\nwordtemp = regPairs[" + rpHL + "]; regPairs[" + rpHL + "] = regPairs[" + rpHL_ + "]; regPairs[" + rpHL_ + "] = wordtemp;"; - }; - HALT = function() { - return "halted = true;\nregPairs[" + rpPC + "]--;"; - }; - IM = function(val) { - return "im = " + val + ";"; - }; - IN_A_N = function() { - return "var val = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nvar port = (regs[" + rA + "] << 8) | val;\nCONTEND_PORT_EARLY(port);\nregs[" + rA + "] = ioBus.read(port);\nCONTEND_PORT_LATE(port);"; - }; - IN_F_iCi = function() { - return "var port = regPairs[" + rpBC + "];\nCONTEND_PORT_EARLY(port);\nvar result = ioBus.read(port);\nCONTEND_PORT_LATE(port);\nregs[" + rF + "] = (regs[" + rF + "] & " + FLAG_C + ") | sz53pTable[result];"; - }; - IN_R_iCi = function(r) { - return "var port = regPairs[" + rpBC + "];\nCONTEND_PORT_EARLY(port);\nregs[" + r + "] = ioBus.read(port);\nCONTEND_PORT_LATE(port);\nregs[" + rF + "] = (regs[" + rF + "] & " + FLAG_C + ") | sz53pTable[regs[" + r + "]];"; - }; - INC = function(param) { - var operand; - operand = getParamBoilerplate(param); - return operand.getter + "\n\nregs[" + rF + "] = (regs[" + rF + "] & " + FLAG_C + ") | (" + operand.v + " & 0x0f ? 0 : " + FLAG_H + ") | " + FLAG_N + ";\n" + operand.v + " = (" + operand.v + " + 1) " + operand.trunc + ";\n\n" + operand.setter + "\nregs[" + rF + "] = (regs[" + rF + "] & " + FLAG_C + ") | ( " + operand.v + " == 0x80 ? " + FLAG_V + " : 0 ) | ( " + operand.v + " & 0x0f ? 0 : " + FLAG_H + " ) | sz53Table[" + operand.v + "];"; - }; - INC_RR = function(rp) { - return "regPairs[" + rp + "]++;\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);"; - }; - INI_IND = function(modifier) { - return "CONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_PORT_EARLY(regPairs[" + rpBC + "]);\nvar initemp = ioBus.read(regPairs[" + rpBC + "]);\nCONTEND_PORT_LATE(regPairs[" + rpBC + "]);\nWRITEMEM(regPairs[" + rpHL + "], initemp);\nregs[" + rB + "]--;\nvar originalHL = regPairs[" + rpHL + "];\nregPairs[" + rpHL + "]" + modifier + modifier + ";\nvar initemp2 = (initemp + regs[" + rC + "] " + modifier + " 1) & 0xff;\n\nregs[" + rF + "] = (initemp & 0x80 ? " + FLAG_N + " : 0) | ((initemp2 < initemp) ? " + (FLAG_H | FLAG_C) + " : 0 ) | ( parityTable[ (initemp2 & 0x07) ^ regs[" + rB + "] ] ? " + FLAG_P + " : 0 ) | sz53Table[regs[" + rB + "]];"; - }; - INIR_INDR = function(modifier) { - return (INI_IND(modifier)) + "\nif (regs[" + rB + "]) {\n CONTEND_WRITE_NO_MREQ(originalHL, 1);\n CONTEND_WRITE_NO_MREQ(originalHL, 1);\n CONTEND_WRITE_NO_MREQ(originalHL, 1);\n CONTEND_WRITE_NO_MREQ(originalHL, 1);\n CONTEND_WRITE_NO_MREQ(originalHL, 1);\n regPairs[" + rpPC + "] -= 2;\n}"; - }; - INI = function() { - return INI_IND('+'); - }; - IND = function() { - return INI_IND('-'); - }; - INIR = function() { - return INIR_INDR('+'); - }; - INDR = function() { - return INIR_INDR('-'); - }; - JP_C_NN = function(flag, sense) { - var condition; - condition = "regs[" + rF + "] & " + flag; - if (!sense) { - condition = "!(" + condition + ")"; - } - return "if (" + condition + ") {\n var l = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\n var h = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\n regPairs[" + rpPC + "] = (h<<8) | l;\n} else {\n CONTEND_READ(regPairs[" + rpPC + "], 3);\n regPairs[" + rpPC + "]++;\n CONTEND_READ(regPairs[" + rpPC + "], 3);\n regPairs[" + rpPC + "]++;\n}"; - }; - JP_RR = function(rp) { - return "regPairs[" + rpPC + "] = regPairs[" + rp + "];"; - }; - JP_NN = function() { - return "var l = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nvar h = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nregPairs[" + rpPC + "] = (h<<8) | l;"; - }; - JR_C_N = function(flag, sense) { - var condition; - condition = "regs[" + rF + "] & " + flag; - if (!sense) { - condition = "!(" + condition + ")"; - } - return "if (" + condition + ") {\n var offset = READMEM(regPairs[" + rpPC + "]);\n CONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\n CONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\n CONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\n CONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\n CONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\n regPairs[" + rpPC + "]++;\n regPairs[" + rpPC + "] += (offset & 0x80 ? offset - 0x100 : offset);\n} else {\n CONTEND_READ(regPairs[" + rpPC + "], 3);\n regPairs[" + rpPC + "]++; /* skip past offset byte */\n}"; - }; - JR_N = function() { - return "var offset = READMEM(regPairs[" + rpPC + "]);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nregPairs[" + rpPC + "]++;\nregPairs[" + rpPC + "] += (offset & 0x80 ? offset - 0x100 : offset);"; - }; - LD_A_iNNi = function() { - return "var l = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nvar h = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nvar addr = (h<<8) | l;\nregs[" + rA + "] = READMEM(addr);"; - }; - LD_iNNi_A = function() { - return "var l = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nvar h = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nvar addr = (h<<8) | l;\nWRITEMEM(addr, regs[" + rA + "]);"; - }; - LD_iNNi_RR = function(rp) { - return "var l = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nvar h = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nvar addr = (h<<8) | l;\nWRITEMEM(addr, regPairs[" + rp + "] & 0xff);\naddr = (addr + 1) & 0xffff;\nWRITEMEM(addr, regPairs[" + rp + "] >> 8);"; - }; - LD_iRRi_N = function(rp) { - return "var n = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nWRITEMEM(regPairs[" + rp + "], n);"; - }; - LD_iRRi_R = function(rp, r) { - return "WRITEMEM(regPairs[" + rp + "], regs[" + r + "]);"; - }; - LD_iRRpNNi_N = function(rp) { - return "var offset = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nif (offset & 0x80) offset -= 0x100;\nvar addr = (regPairs[" + rp + "] + offset) & 0xffff;\n\nvar val = READMEM(regPairs[" + rpPC + "]);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nregPairs[" + rpPC + "]++;\nWRITEMEM(addr, val);"; - }; - LD_iRRpNNi_R = function(rp, r) { - return "var offset = READMEM(regPairs[" + rpPC + "]);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nregPairs[" + rpPC + "]++;\nif (offset & 0x80) offset -= 0x100;\nvar addr = (regPairs[" + rp + "] + offset) & 0xffff;\n\nWRITEMEM(addr, regs[" + r + "]);"; - }; - LD_R_iRRi = function(r, rp) { - return "regs[" + r + "] = READMEM(regPairs[" + rp + "]);"; - }; - LD_R_iRRpNNi = function(r, rp) { - return "var offset = READMEM(regPairs[" + rpPC + "]);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\nregPairs[" + rpPC + "]++;\nif (offset & 0x80) offset -= 0x100;\nvar addr = (regPairs[" + rp + "] + offset) & 0xffff;\n\nregs[" + r + "] = READMEM(addr);"; - }; - LD_R_N = function(r) { - return "regs[" + r + "] = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;"; - }; - LD_R_R = function(r1, r2) { - var output; - if (r1 === rI || r2 === rI || r1 === rR || r2 === rR) { - output = "CONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nregs[" + r1 + "] = regs[" + r2 + "];"; - if (r1 === rA) { - output += "regs[" + rF + "] = (regs[" + rF + "] & " + FLAG_C + ") | sz53Table[regs[" + rA + "]] | ( iff2 ? " + FLAG_V + " : 0 );"; - } - return output; - } else { - return "regs[" + r1 + "] = regs[" + r2 + "];"; - } - }; - LD_RR_iNNi = function(rp, shifted) { - return "var l = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nvar h = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nvar addr = (h<<8) | l;\nl = READMEM(addr);\naddr = (addr + 1) & 0xffff;\nh = READMEM(addr);\nregPairs[" + rp + "] = (h<<8) | l;"; - }; - LD_RR_NN = function(rp) { - return "var l = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nvar h = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nregPairs[" + rp + "] = (h<<8) | l;"; - }; - LD_RR_RR = function(rp1, rp2) { - return "regPairs[" + rp1 + "] = regPairs[" + rp2 + "];\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);"; - }; - LDBITOP = function(regName, opcode, bit, rp) { - var regNum; - regNum = registerIndexes[regName]; - return "var addr = (regPairs[" + rp + "] + offset) & 0xffff;\nregs[" + regNum + "] = READMEM(addr);\n" + (opcode(bit, regName)) + "\nCONTEND_READ_NO_MREQ(addr, 1);\nWRITEMEM(addr, regs[" + regNum + "]);"; - }; - LDI_LDD = function(modifier) { - return "var bytetemp = READMEM(regPairs[" + rpHL + "]);\nregPairs[" + rpBC + "]--;\nWRITEMEM(regPairs[" + rpDE + "],bytetemp);\nvar originalDE = regPairs[" + rpDE + "];\nregPairs[" + rpDE + "]" + modifier + "; regPairs[" + rpHL + "]" + modifier + ";\nbytetemp = (bytetemp + regs[" + rA + "]) & 0xff;\nregs[" + rF + "] = (regs[" + rF + "] & " + (FLAG_C | FLAG_Z | FLAG_S) + ") | (regPairs[" + rpBC + "] ? " + FLAG_V + " : 0) | (bytetemp & " + FLAG_3 + ") | ((bytetemp & 0x02) ? " + FLAG_5 + " : 0);\nCONTEND_READ_NO_MREQ(originalDE, 1);\nCONTEND_READ_NO_MREQ(originalDE, 1);"; - }; - LDIR_LDDR = function(modifier) { - return (LDI_LDD(modifier)) + "\nif (regPairs[" + rpBC + "]) {\n regPairs[" + rpPC + "]-=2;\n CONTEND_READ_NO_MREQ(originalDE, 1);\n CONTEND_READ_NO_MREQ(originalDE, 1);\n CONTEND_READ_NO_MREQ(originalDE, 1);\n CONTEND_READ_NO_MREQ(originalDE, 1);\n CONTEND_READ_NO_MREQ(originalDE, 1);\n}"; - }; - LDI = function() { - return LDI_LDD('++'); - }; - LDD = function() { - return LDI_LDD('--'); - }; - LDIR = function() { - return LDIR_LDDR('++'); - }; - LDDR = function() { - return LDIR_LDDR('--'); - }; - LDSHIFTOP = function(regName, opcode, rp) { - var regNum; - regNum = registerIndexes[regName]; - return "var addr = (regPairs[" + rp + "] + offset) & 0xffff;\nregs[" + regNum + "] = READMEM(addr);\n" + (opcode(regName)) + "\nCONTEND_READ_NO_MREQ(addr, 1);\nWRITEMEM(addr, regs[" + regNum + "]);"; - }; - NEG = function() { - return "var val = regs[" + rA + "];\nvar subtemp = -val;\nvar lookup = ( (val & 0x88) >> 2 ) | ( (subtemp & 0x88) >> 1 );\nregs[" + rA + "] = subtemp;\nregs[" + rF + "] = ( subtemp & 0x100 ? " + FLAG_C + " : 0 ) | " + FLAG_N + " | halfcarrySubTable[lookup & 0x07] | overflowSubTable[lookup >> 4] | sz53Table[regs[" + rA + "]];"; - }; - NOP = function() { - return " "; - }; - OR_A = function(param) { - var operand; - operand = getParamBoilerplate(param); - return operand.getter + "\n\nregs[" + rA + "] |= " + operand.v + ";\nregs[" + rF + "] = sz53pTable[regs[" + rA + "]];"; - }; - OUT_iCi_0 = function(r) { - return "CONTEND_PORT_EARLY(regPairs[" + rpBC + "]);\nioBus.write(regPairs[" + rpBC + "], 0, tstates);\nCONTEND_PORT_LATE(regPairs[" + rpBC + "]);"; - }; - OUT_iCi_R = function(r) { - return "CONTEND_PORT_EARLY(regPairs[" + rpBC + "]);\nioBus.write(regPairs[" + rpBC + "], regs[" + r + "], tstates);\nCONTEND_PORT_LATE(regPairs[" + rpBC + "]);"; - }; - OUT_iNi_A = function() { - return "var port = (regs[" + rA + "] << 8) | READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\nCONTEND_PORT_EARLY(port);\nioBus.write(port, regs[" + rA + "], tstates);\nCONTEND_PORT_LATE(port);"; - }; - OUTI_OUTD = function(modifier) { - return "CONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nvar outitemp = READMEM(regPairs[" + rpHL + "]);\nregs[" + rB + "]--; /* This does happen first, despite what the specs say */\nCONTEND_PORT_EARLY(regPairs[" + rpBC + "]);\nioBus.write(regPairs[" + rpBC + "], outitemp, tstates);\nCONTEND_PORT_LATE(regPairs[" + rpBC + "]);\n\nregPairs[" + rpHL + "]" + modifier + ";\noutitemp2 = (outitemp + regs[" + rL + "]) & 0xff;\nregs[" + rF + "] = (outitemp & 0x80 ? " + FLAG_N + " : 0) | ( (outitemp2 < outitemp) ? " + (FLAG_H | FLAG_C) + " : 0) | (parityTable[ (outitemp2 & 0x07) ^ regs[" + rB + "] ] ? " + FLAG_P + " : 0 ) | sz53Table[ regs[" + rB + "] ];"; - }; - OTIR_OTDR = function(modifier) { - return (OUTI_OUTD(modifier)) + "\nif (regs[" + rB + "]) {\n regPairs[" + rpPC + "]-=2;\n CONTEND_READ_NO_MREQ(regPairs[" + rpBC + "], 1);\n CONTEND_READ_NO_MREQ(regPairs[" + rpBC + "], 1);\n CONTEND_READ_NO_MREQ(regPairs[" + rpBC + "], 1);\n CONTEND_READ_NO_MREQ(regPairs[" + rpBC + "], 1);\n CONTEND_READ_NO_MREQ(regPairs[" + rpBC + "], 1);\n}"; - }; - OUTD = function() { - return OUTI_OUTD('--'); - }; - OUTI = function() { - return OUTI_OUTD('++'); - }; - OTDR = function() { - return OTIR_OTDR('--'); - }; - OTIR = function() { - return OTIR_OTDR('++'); - }; - POP_RR = function(rp) { - return "var l = READMEM(regPairs[" + rpSP + "]); regPairs[" + rpSP + "]++;\nvar h = READMEM(regPairs[" + rpSP + "]); regPairs[" + rpSP + "]++;\nregPairs[" + rp + "] = (h<<8) | l;"; - }; - PUSH_RR = function(rp) { - return "CONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nregPairs[" + rpSP + "]--; WRITEMEM(regPairs[" + rpSP + "], regPairs[" + rp + "] >> 8);\nregPairs[" + rpSP + "]--; WRITEMEM(regPairs[" + rpSP + "], regPairs[" + rp + "] & 0xff);"; - }; - RES = function(bit, param) { - var hexMask, operand; - operand = getParamBoilerplate(param, true); - hexMask = 0xff ^ (1 << bit); - return operand.getter + "\n" + operand.v + " &= " + hexMask + ";\n" + operand.setter; - }; - RET = function() { - return "var l = READMEM(regPairs[" + rpSP + "]); regPairs[" + rpSP + "]++;\nvar h = READMEM(regPairs[" + rpSP + "]); regPairs[" + rpSP + "]++;\nregPairs[" + rpPC + "] = (h<<8) | l;"; - }; - RET_C = function(flag, sense) { - var condition; - condition = "regs[" + rF + "] & " + flag; - if (!sense) { - condition = "!(" + condition + ")"; - } - return "CONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nif (" + condition + ") {\n var l = READMEM(regPairs[" + rpSP + "]); regPairs[" + rpSP + "]++;\n var h = READMEM(regPairs[" + rpSP + "]); regPairs[" + rpSP + "]++;\n regPairs[" + rpPC + "] = (h<<8) | l;\n}"; - }; - RETN = function() { - return "iff1 = iff2;\n" + (RET()); - }; - RL = function(param) { - var operand; - operand = getParamBoilerplate(param, true); - return operand.getter + "\nvar rltemp = " + operand.v + ";\n" + operand.v + " = ( (" + operand.v + " << 1) | (regs[" + rF + "] & " + FLAG_C + ") ) " + operand.trunc + ";\nregs[" + rF + "] = ( rltemp >> 7 ) | sz53pTable[" + operand.v + "];\n" + operand.setter; - }; - RLA = function() { - return "var bytetemp = regs[" + rA + "];\nregs[" + rA + "] = (regs[" + rA + "] << 1) | (regs[" + rF + "] & " + FLAG_C + ");\nregs[" + rF + "] = (regs[" + rF + "] & " + (FLAG_P | FLAG_Z | FLAG_S) + ") | (regs[" + rA + "] & " + (FLAG_3 | FLAG_5) + ") | (bytetemp >> 7);"; - }; - RLC = function(param) { - var operand; - operand = getParamBoilerplate(param, true); - return operand.getter + "\n" + operand.v + " = ( (" + operand.v + " << 1) | (" + operand.v + " >> 7) ) " + operand.trunc + ";\nregs[" + rF + "] = (" + operand.v + " & " + FLAG_C + ") | sz53pTable[" + operand.v + "];\n" + operand.setter; - }; - RLD = function() { - return "var bytetemp = READMEM(regPairs[" + rpHL + "]);\nCONTEND_READ_NO_MREQ(regPairs[" + rpHL + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpHL + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpHL + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpHL + "], 1);\nvar val = (bytetemp << 4) | (regs[" + rA + "] & 0x0f);\nWRITEMEM(regPairs[" + rpHL + "], val);\nregs[" + rA + "] = (regs[" + rA + "] & 0xf0) | (bytetemp >> 4);\nregs[" + rF + "] = (regs[" + rF + "] & " + FLAG_C + ") | sz53pTable[regs[" + rA + "]];"; - }; - RLCA = function() { - return "regs[" + rA + "] = (regs[" + rA + "] << 1) | (regs[" + rA + "] >> 7);\nregs[" + rF + "] = (regs[" + rF + "] & " + (FLAG_P | FLAG_Z | FLAG_S) + ") | (regs[" + rA + "] & " + (FLAG_C | FLAG_3 | FLAG_5) + ");"; - }; - RR = function(param) { - var operand; - operand = getParamBoilerplate(param, true); - return operand.getter + "\nvar rrtemp = " + operand.v + ";\n" + operand.v + " = ( (" + operand.v + " >> 1) | ( regs[" + rF + "] << 7 ) ) " + operand.trunc + ";\nregs[" + rF + "] = (rrtemp & " + FLAG_C + ") | sz53pTable[" + operand.v + "];\n" + operand.setter; - }; - RRA = function() { - return "var bytetemp = regs[" + rA + "];\nregs[" + rA + "] = (bytetemp >> 1) | (regs[" + rF + "] << 7);\nregs[" + rF + "] = (regs[" + rF + "] & " + (FLAG_P | FLAG_Z | FLAG_S) + ") | (regs[" + rA + "] & " + (FLAG_3 | FLAG_5) + ") | (bytetemp & " + FLAG_C + ");"; - }; - RRC = function(param) { - var operand; - operand = getParamBoilerplate(param, true); - return operand.getter + "\nregs[" + rF + "] = " + operand.v + " & " + FLAG_C + ";\n" + operand.v + " = ( (" + operand.v + " >> 1) | (" + operand.v + " << 7) ) " + operand.trunc + ";\nregs[" + rF + "] |= sz53pTable[" + operand.v + "];\n" + operand.setter; - }; - RRCA = function() { - return "regs[" + rF + "] = (regs[" + rF + "] & " + (FLAG_P | FLAG_Z | FLAG_S) + ") | (regs[" + rA + "] & " + FLAG_C + ");\nregs[" + rA + "] = (regs[" + rA + "] >> 1) | (regs[" + rA + "] << 7);\nregs[" + rF + "] |= (regs[" + rA + "] & " + (FLAG_3 | FLAG_5) + ");"; - }; - RRD = function() { - return "var bytetemp = READMEM(regPairs[" + rpHL + "]);\nCONTEND_READ_NO_MREQ(regPairs[" + rpHL + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpHL + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpHL + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpHL + "], 1);\nvar val = (regs[" + rA + "] << 4) | (bytetemp >> 4);\nWRITEMEM(regPairs[" + rpHL + "], val);\nregs[" + rA + "] = (regs[" + rA + "] & 0xf0) | (bytetemp & 0x0f);\nregs[" + rF + "] = (regs[" + rF + "] & " + FLAG_C + ") | sz53pTable[regs[" + rA + "]];"; - }; - RST = function(addr) { - return "CONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nregPairs[" + rpSP + "]--; WRITEMEM(regPairs[" + rpSP + "], regPairs[" + rpPC + "] >> 8);\nregPairs[" + rpSP + "]--; WRITEMEM(regPairs[" + rpSP + "], regPairs[" + rpPC + "] & 0xff);\nregPairs[" + rpPC + "] = " + addr + ";"; - }; - SBC_A = function(param) { - var operand; - operand = getParamBoilerplate(param); - return operand.getter + "\nvar sbctemp = regs[" + rA + "] - " + operand.v + " - (regs[" + rF + "] & " + FLAG_C + ");\nvar lookup = ( (regs[" + rA + "] & 0x88) >> 3 ) | ( (" + operand.v + " & 0x88) >> 2 ) | ( (sbctemp & 0x88) >> 1 );\nregs[" + rA + "] = sbctemp;\nregs[" + rF + "] = ( sbctemp & 0x100 ? " + FLAG_C + " : 0 ) | " + FLAG_N + " | halfcarrySubTable[lookup & 0x07] | overflowSubTable[lookup >> 4] | sz53Table[regs[" + rA + "]];"; - }; - SBC_HL_RR = function(rp) { - return "var sub16temp = regPairs[" + rpHL + "] - regPairs[" + rp + "] - (regs[" + rF + "] & " + FLAG_C + ");\nvar lookup = ( (regPairs[" + rpHL + "] & 0x8800) >> 11 ) | ( (regPairs[" + rp + "] & 0x8800) >> 10 ) | ( (sub16temp & 0x8800) >> 9 );\nregPairs[" + rpHL + "] = sub16temp;\nregs[" + rF + "] = ( sub16temp & 0x10000 ? " + FLAG_C + " : 0 ) | " + FLAG_N + " | overflowSubTable[lookup >> 4] | (regs[" + rH + "] & " + (FLAG_3 | FLAG_5 | FLAG_S) + ") | halfcarrySubTable[lookup&0x07] | (regPairs[" + rpHL + "] ? 0 : " + FLAG_Z + ");\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);\nCONTEND_READ_NO_MREQ(regPairs[" + rpIR + "], 1);"; - }; - SCF = function() { - return "regs[" + rF + "] = (regs[" + rF + "] & " + (FLAG_P | FLAG_Z | FLAG_S) + ") | (regs[" + rA + "] & " + (FLAG_3 | FLAG_5) + ") | " + FLAG_C + ";"; - }; - SET = function(bit, param) { - var hexMask, operand; - hexMask = 1 << bit; - operand = getParamBoilerplate(param, true); - return operand.getter + "\n" + operand.v + " |= " + hexMask + ";\n" + operand.setter; - }; - SHIFT = function(prefix) { - return "opcodePrefix = '" + prefix + "';\ninterruptible = false;"; - }; - SLA = function(param) { - var operand; - operand = getParamBoilerplate(param, true); - return operand.getter + "\nregs[" + rF + "] = " + operand.v + " >> 7;\n" + operand.v + " = (" + operand.v + " << 1) " + operand.trunc + ";\nregs[" + rF + "] |= sz53pTable[" + operand.v + "];\n" + operand.setter; - }; - SLL = function(param) { - var operand; - operand = getParamBoilerplate(param, true); - return operand.getter + "\nregs[" + rF + "] = " + operand.v + " >> 7;\n" + operand.v + " = (((" + operand.v + ") << 1) " + operand.trunc + ") | 0x01;\nregs[" + rF + "] |= sz53pTable[" + operand.v + "];\n" + operand.setter; - }; - SRA = function(param) { - var operand; - operand = getParamBoilerplate(param, true); - return operand.getter + "\nregs[" + rF + "] = " + operand.v + " & " + FLAG_C + ";\n" + operand.v + " = ( (" + operand.v + " & 0x80) | (" + operand.v + " >> 1) ) " + operand.trunc + ";\nregs[" + rF + "] |= sz53pTable[" + operand.v + "];\n" + operand.setter; - }; - SRL = function(param) { - var operand; - operand = getParamBoilerplate(param, true); - return operand.getter + "\nregs[" + rF + "] = " + operand.v + " & " + FLAG_C + ";\n" + operand.v + " >>= 1;\nregs[" + rF + "] |= sz53pTable[" + operand.v + "];\n" + operand.setter; - }; - SUB_A = function(param) { - var operand; - operand = getParamBoilerplate(param); - return operand.getter + "\nvar subtemp = regs[" + rA + "] - " + operand.v + ";\nvar lookup = ( (regs[" + rA + "] & 0x88) >> 3 ) | ( (" + operand.v + " & 0x88) >> 2 ) | ( (subtemp & 0x88) >> 1 );\nregs[" + rA + "] = subtemp;\nregs[" + rF + "] = ( subtemp & 0x100 ? " + FLAG_C + " : 0 ) | " + FLAG_N + " | halfcarrySubTable[lookup & 0x07] | overflowSubTable[lookup >> 4] | sz53Table[regs[" + rA + "]];"; - }; - XOR_A = function(param) { - var operand; - operand = getParamBoilerplate(param); - return operand.getter + "\nregs[" + rA + "] ^= " + operand.v + ";\nregs[" + rF + "] = sz53pTable[regs[" + rA + "]];"; - }; - - /* - Given a table mapping opcodes to Javascript snippets (and optionally a fallback - table for opcodes that aren't defined in the first one), build an enormous - switch statement for them - */ - opcodeSwitch = function(runStringTable, fallbackTable, traps) { - var action, address, clauses, i, j, opcode, relevantTraps, runString, trapCode; - if (fallbackTable == null) { - fallbackTable = {}; - } - if (traps == null) { - traps = []; - } - clauses = []; - for (i = j = 0; j < 256; i = ++j) { - runString = runStringTable[i]; - if (runString == null) { - runString = fallbackTable[i]; - } - if (runString != null) { - relevantTraps = (function() { - var k, len, ref, results; - results = []; - for (k = 0, len = traps.length; k < len; k++) { - ref = traps[k], address = ref[0], opcode = ref[1], action = ref[2]; - if (opcode === i) { - results.push([address, action]); - } - } - return results; - })(); - trapCode = (function() { - var k, len, ref, results; - results = []; - for (k = 0, len = relevantTraps.length; k < len; k++) { - ref = relevantTraps[k], address = ref[0], action = ref[1]; - results.push("if (regPairs[" + rpPC + "] == " + ((address + 1) & 0xffff) + " && !(" + action + ")) break;"); - } - return results; - })(); - clauses.push("case " + i + ": { var fn" + i + " = function() {\n " + (trapCode.join("\n")) + "\n " + runString + "\n}; fn" + i + "(); }\n break;"); - } - } - return "switch (opcode) {\n " + (clauses.join('')) + "\n default:\n var addr = regPairs[" + rpPC + "] - 1;\n throw(\"Unimplemented opcode \" + opcode + \" in page " + runStringTable[0x100] + " - PC = \" + addr);\n}"; - }; - OPCODE_RUN_STRINGS_CB = { - 0x00: RLC("B"), - 0x01: RLC("C"), - 0x02: RLC("D"), - 0x03: RLC("E"), - 0x04: RLC("H"), - 0x05: RLC("L"), - 0x06: RLC("(HL)"), - 0x07: RLC("A"), - 0x08: RRC("B"), - 0x09: RRC("C"), - 0x0a: RRC("D"), - 0x0b: RRC("E"), - 0x0c: RRC("H"), - 0x0d: RRC("L"), - 0x0e: RRC("(HL)"), - 0x0f: RRC("A"), - 0x10: RL('B'), - 0x11: RL('C'), - 0x12: RL('D'), - 0x13: RL('E'), - 0x14: RL('H'), - 0x15: RL('L'), - 0x16: RL('(HL)'), - 0x17: RL('A'), - 0x18: RR('B'), - 0x19: RR('C'), - 0x1a: RR('D'), - 0x1b: RR('E'), - 0x1c: RR('H'), - 0x1d: RR('L'), - 0x1e: RR('(HL)'), - 0x1f: RR('A'), - 0x20: SLA('B'), - 0x21: SLA('C'), - 0x22: SLA('D'), - 0x23: SLA('E'), - 0x24: SLA('H'), - 0x25: SLA('L'), - 0x26: SLA('(HL)'), - 0x27: SLA('A'), - 0x28: SRA('B'), - 0x29: SRA('C'), - 0x2a: SRA('D'), - 0x2b: SRA('E'), - 0x2c: SRA('H'), - 0x2d: SRA('L'), - 0x2e: SRA('(HL)'), - 0x2f: SRA('A'), - 0x30: SLL('B'), - 0x31: SLL('C'), - 0x32: SLL('D'), - 0x33: SLL('E'), - 0x34: SLL('H'), - 0x35: SLL('L'), - 0x36: SLL('(HL)'), - 0x37: SLL('A'), - 0x38: SRL('B'), - 0x39: SRL('C'), - 0x3a: SRL('D'), - 0x3b: SRL('E'), - 0x3c: SRL('H'), - 0x3d: SRL('L'), - 0x3e: SRL('(HL)'), - 0x3f: SRL('A'), - 0x40: BIT_N_R(0, rB), - 0x41: BIT_N_R(0, rC), - 0x42: BIT_N_R(0, rD), - 0x43: BIT_N_R(0, rE), - 0x44: BIT_N_R(0, rH), - 0x45: BIT_N_R(0, rL), - 0x46: BIT_N_iHLi(0), - 0x47: BIT_N_R(0, rA), - 0x48: BIT_N_R(1, rB), - 0x49: BIT_N_R(1, rC), - 0x4A: BIT_N_R(1, rD), - 0x4B: BIT_N_R(1, rE), - 0x4C: BIT_N_R(1, rH), - 0x4D: BIT_N_R(1, rL), - 0x4E: BIT_N_iHLi(1), - 0x4F: BIT_N_R(1, rA), - 0x50: BIT_N_R(2, rB), - 0x51: BIT_N_R(2, rC), - 0x52: BIT_N_R(2, rD), - 0x53: BIT_N_R(2, rE), - 0x54: BIT_N_R(2, rH), - 0x55: BIT_N_R(2, rL), - 0x56: BIT_N_iHLi(2), - 0x57: BIT_N_R(2, rA), - 0x58: BIT_N_R(3, rB), - 0x59: BIT_N_R(3, rC), - 0x5A: BIT_N_R(3, rD), - 0x5B: BIT_N_R(3, rE), - 0x5C: BIT_N_R(3, rH), - 0x5D: BIT_N_R(3, rL), - 0x5E: BIT_N_iHLi(3), - 0x5F: BIT_N_R(3, rA), - 0x60: BIT_N_R(4, rB), - 0x61: BIT_N_R(4, rC), - 0x62: BIT_N_R(4, rD), - 0x63: BIT_N_R(4, rE), - 0x64: BIT_N_R(4, rH), - 0x65: BIT_N_R(4, rL), - 0x66: BIT_N_iHLi(4), - 0x67: BIT_N_R(4, rA), - 0x68: BIT_N_R(5, rB), - 0x69: BIT_N_R(5, rC), - 0x6A: BIT_N_R(5, rD), - 0x6B: BIT_N_R(5, rE), - 0x6C: BIT_N_R(5, rH), - 0x6D: BIT_N_R(5, rL), - 0x6E: BIT_N_iHLi(5), - 0x6F: BIT_N_R(5, rA), - 0x70: BIT_N_R(6, rB), - 0x71: BIT_N_R(6, rC), - 0x72: BIT_N_R(6, rD), - 0x73: BIT_N_R(6, rE), - 0x74: BIT_N_R(6, rH), - 0x75: BIT_N_R(6, rL), - 0x76: BIT_N_iHLi(6), - 0x77: BIT_N_R(6, rA), - 0x78: BIT_N_R(7, rB), - 0x79: BIT_N_R(7, rC), - 0x7A: BIT_N_R(7, rD), - 0x7B: BIT_N_R(7, rE), - 0x7C: BIT_N_R(7, rH), - 0x7D: BIT_N_R(7, rL), - 0x7E: BIT_N_iHLi(7), - 0x7F: BIT_N_R(7, rA), - 0x80: RES(0, 'B'), - 0x81: RES(0, 'C'), - 0x82: RES(0, 'D'), - 0x83: RES(0, 'E'), - 0x84: RES(0, 'H'), - 0x85: RES(0, 'L'), - 0x86: RES(0, '(HL)'), - 0x87: RES(0, 'A'), - 0x88: RES(1, 'B'), - 0x89: RES(1, 'C'), - 0x8A: RES(1, 'D'), - 0x8B: RES(1, 'E'), - 0x8C: RES(1, 'H'), - 0x8D: RES(1, 'L'), - 0x8E: RES(1, '(HL)'), - 0x8F: RES(1, 'A'), - 0x90: RES(2, 'B'), - 0x91: RES(2, 'C'), - 0x92: RES(2, 'D'), - 0x93: RES(2, 'E'), - 0x94: RES(2, 'H'), - 0x95: RES(2, 'L'), - 0x96: RES(2, '(HL)'), - 0x97: RES(2, 'A'), - 0x98: RES(3, 'B'), - 0x99: RES(3, 'C'), - 0x9A: RES(3, 'D'), - 0x9B: RES(3, 'E'), - 0x9C: RES(3, 'H'), - 0x9D: RES(3, 'L'), - 0x9E: RES(3, '(HL)'), - 0x9F: RES(3, 'A'), - 0xA0: RES(4, 'B'), - 0xA1: RES(4, 'C'), - 0xA2: RES(4, 'D'), - 0xA3: RES(4, 'E'), - 0xA4: RES(4, 'H'), - 0xA5: RES(4, 'L'), - 0xA6: RES(4, '(HL)'), - 0xA7: RES(4, 'A'), - 0xA8: RES(5, 'B'), - 0xA9: RES(5, 'C'), - 0xAA: RES(5, 'D'), - 0xAB: RES(5, 'E'), - 0xAC: RES(5, 'H'), - 0xAD: RES(5, 'L'), - 0xAE: RES(5, '(HL)'), - 0xAF: RES(5, 'A'), - 0xB0: RES(6, 'B'), - 0xB1: RES(6, 'C'), - 0xB2: RES(6, 'D'), - 0xB3: RES(6, 'E'), - 0xB4: RES(6, 'H'), - 0xB5: RES(6, 'L'), - 0xB6: RES(6, '(HL)'), - 0xB7: RES(6, 'A'), - 0xB8: RES(7, 'B'), - 0xB9: RES(7, 'C'), - 0xBA: RES(7, 'D'), - 0xBB: RES(7, 'E'), - 0xBC: RES(7, 'H'), - 0xBD: RES(7, 'L'), - 0xBE: RES(7, '(HL)'), - 0xBF: RES(7, 'A'), - 0xC0: SET(0, 'B'), - 0xC1: SET(0, 'C'), - 0xC2: SET(0, 'D'), - 0xC3: SET(0, 'E'), - 0xC4: SET(0, 'H'), - 0xC5: SET(0, 'L'), - 0xC6: SET(0, '(HL)'), - 0xC7: SET(0, 'A'), - 0xC8: SET(1, 'B'), - 0xC9: SET(1, 'C'), - 0xCA: SET(1, 'D'), - 0xCB: SET(1, 'E'), - 0xCC: SET(1, 'H'), - 0xCD: SET(1, 'L'), - 0xCE: SET(1, '(HL)'), - 0xCF: SET(1, 'A'), - 0xD0: SET(2, 'B'), - 0xD1: SET(2, 'C'), - 0xD2: SET(2, 'D'), - 0xD3: SET(2, 'E'), - 0xD4: SET(2, 'H'), - 0xD5: SET(2, 'L'), - 0xD6: SET(2, '(HL)'), - 0xD7: SET(2, 'A'), - 0xD8: SET(3, 'B'), - 0xD9: SET(3, 'C'), - 0xDA: SET(3, 'D'), - 0xDB: SET(3, 'E'), - 0xDC: SET(3, 'H'), - 0xDD: SET(3, 'L'), - 0xDE: SET(3, '(HL)'), - 0xDF: SET(3, 'A'), - 0xE0: SET(4, 'B'), - 0xE1: SET(4, 'C'), - 0xE2: SET(4, 'D'), - 0xE3: SET(4, 'E'), - 0xE4: SET(4, 'H'), - 0xE5: SET(4, 'L'), - 0xE6: SET(4, '(HL)'), - 0xE7: SET(4, 'A'), - 0xE8: SET(5, 'B'), - 0xE9: SET(5, 'C'), - 0xEA: SET(5, 'D'), - 0xEB: SET(5, 'E'), - 0xEC: SET(5, 'H'), - 0xED: SET(5, 'L'), - 0xEE: SET(5, '(HL)'), - 0xEF: SET(5, 'A'), - 0xF0: SET(6, 'B'), - 0xF1: SET(6, 'C'), - 0xF2: SET(6, 'D'), - 0xF3: SET(6, 'E'), - 0xF4: SET(6, 'H'), - 0xF5: SET(6, 'L'), - 0xF6: SET(6, '(HL)'), - 0xF7: SET(6, 'A'), - 0xF8: SET(7, 'B'), - 0xF9: SET(7, 'C'), - 0xFA: SET(7, 'D'), - 0xFB: SET(7, 'E'), - 0xFC: SET(7, 'H'), - 0xFD: SET(7, 'L'), - 0xFE: SET(7, '(HL)'), - 0xFF: SET(7, 'A'), - 0x100: 'cb' - }; - generateddfdcbOpcodeSet = function(prefix) { - var rh, rhn, rl, rln, rp, rpn; - if (prefix === 'DDCB') { - rp = rpIX; - rh = rIXH; - rl = rIXL; - rpn = 'IX'; - rhn = 'IXH'; - rln = 'IXL'; - } else { - rp = rpIY; - rh = rIYH; - rl = rIYL; - rpn = 'IY'; - rhn = 'IYH'; - rln = 'IYL'; - } - return { - 0x00: LDSHIFTOP('B', RLC, rp), - 0x01: LDSHIFTOP('C', RLC, rp), - 0x02: LDSHIFTOP('D', RLC, rp), - 0x03: LDSHIFTOP('E', RLC, rp), - 0x04: LDSHIFTOP('H', RLC, rp), - 0x05: LDSHIFTOP('L', RLC, rp), - 0x06: RLC("(" + rpn + "+nn)"), - 0x07: LDSHIFTOP('A', RLC, rp), - 0x08: LDSHIFTOP('B', RRC, rp), - 0x09: LDSHIFTOP('C', RRC, rp), - 0x0A: LDSHIFTOP('D', RRC, rp), - 0x0B: LDSHIFTOP('E', RRC, rp), - 0x0C: LDSHIFTOP('H', RRC, rp), - 0x0D: LDSHIFTOP('L', RRC, rp), - 0x0E: RRC("(" + rpn + "+nn)"), - 0x0F: LDSHIFTOP('A', RRC, rp), - 0x10: LDSHIFTOP('B', RL, rp), - 0x11: LDSHIFTOP('C', RL, rp), - 0x12: LDSHIFTOP('D', RL, rp), - 0x13: LDSHIFTOP('E', RL, rp), - 0x14: LDSHIFTOP('H', RL, rp), - 0x15: LDSHIFTOP('L', RL, rp), - 0x16: RL("(" + rpn + "+nn)"), - 0x17: LDSHIFTOP('A', RL, rp), - 0x18: LDSHIFTOP('B', RR, rp), - 0x19: LDSHIFTOP('C', RR, rp), - 0x1A: LDSHIFTOP('D', RR, rp), - 0x1B: LDSHIFTOP('E', RR, rp), - 0x1C: LDSHIFTOP('H', RR, rp), - 0x1D: LDSHIFTOP('L', RR, rp), - 0x1E: RR("(" + rpn + "+nn)"), - 0x1F: LDSHIFTOP('A', RR, rp), - 0x20: LDSHIFTOP('B', SLA, rp), - 0x21: LDSHIFTOP('C', SLA, rp), - 0x22: LDSHIFTOP('D', SLA, rp), - 0x23: LDSHIFTOP('E', SLA, rp), - 0x24: LDSHIFTOP('H', SLA, rp), - 0x25: LDSHIFTOP('L', SLA, rp), - 0x26: SLA("(" + rpn + "+nn)"), - 0x27: LDSHIFTOP('A', SLA, rp), - 0x28: LDSHIFTOP('B', SRA, rp), - 0x29: LDSHIFTOP('C', SRA, rp), - 0x2A: LDSHIFTOP('D', SRA, rp), - 0x2B: LDSHIFTOP('E', SRA, rp), - 0x2C: LDSHIFTOP('H', SRA, rp), - 0x2D: LDSHIFTOP('L', SRA, rp), - 0x2E: SRA("(" + rpn + "+nn)"), - 0x2F: LDSHIFTOP('A', SRA, rp), - 0x30: LDSHIFTOP('B', SLL, rp), - 0x31: LDSHIFTOP('C', SLL, rp), - 0x32: LDSHIFTOP('D', SLL, rp), - 0x33: LDSHIFTOP('E', SLL, rp), - 0x34: LDSHIFTOP('H', SLL, rp), - 0x35: LDSHIFTOP('L', SLL, rp), - 0x36: SLL("(" + rpn + "+nn)"), - 0x37: LDSHIFTOP('A', SLL, rp), - 0x38: LDSHIFTOP('B', SRL, rp), - 0x39: LDSHIFTOP('C', SRL, rp), - 0x3A: LDSHIFTOP('D', SRL, rp), - 0x3B: LDSHIFTOP('E', SRL, rp), - 0x3C: LDSHIFTOP('H', SRL, rp), - 0x3D: LDSHIFTOP('L', SRL, rp), - 0x3E: SRL("(" + rpn + "+nn)"), - 0x3F: LDSHIFTOP('A', SRL, rp), - 0x40: BIT_N_iRRpNNi(0, rp), - 0x41: BIT_N_iRRpNNi(0, rp), - 0x42: BIT_N_iRRpNNi(0, rp), - 0x43: BIT_N_iRRpNNi(0, rp), - 0x44: BIT_N_iRRpNNi(0, rp), - 0x45: BIT_N_iRRpNNi(0, rp), - 0x46: BIT_N_iRRpNNi(0, rp), - 0x47: BIT_N_iRRpNNi(0, rp), - 0x48: BIT_N_iRRpNNi(1, rp), - 0x49: BIT_N_iRRpNNi(1, rp), - 0x4A: BIT_N_iRRpNNi(1, rp), - 0x4B: BIT_N_iRRpNNi(1, rp), - 0x4C: BIT_N_iRRpNNi(1, rp), - 0x4D: BIT_N_iRRpNNi(1, rp), - 0x4E: BIT_N_iRRpNNi(1, rp), - 0x4F: BIT_N_iRRpNNi(1, rp), - 0x50: BIT_N_iRRpNNi(2, rp), - 0x51: BIT_N_iRRpNNi(2, rp), - 0x52: BIT_N_iRRpNNi(2, rp), - 0x53: BIT_N_iRRpNNi(2, rp), - 0x54: BIT_N_iRRpNNi(2, rp), - 0x55: BIT_N_iRRpNNi(2, rp), - 0x56: BIT_N_iRRpNNi(2, rp), - 0x57: BIT_N_iRRpNNi(2, rp), - 0x58: BIT_N_iRRpNNi(3, rp), - 0x59: BIT_N_iRRpNNi(3, rp), - 0x5A: BIT_N_iRRpNNi(3, rp), - 0x5B: BIT_N_iRRpNNi(3, rp), - 0x5C: BIT_N_iRRpNNi(3, rp), - 0x5D: BIT_N_iRRpNNi(3, rp), - 0x5E: BIT_N_iRRpNNi(3, rp), - 0x5F: BIT_N_iRRpNNi(3, rp), - 0x60: BIT_N_iRRpNNi(4, rp), - 0x61: BIT_N_iRRpNNi(4, rp), - 0x62: BIT_N_iRRpNNi(4, rp), - 0x63: BIT_N_iRRpNNi(4, rp), - 0x64: BIT_N_iRRpNNi(4, rp), - 0x65: BIT_N_iRRpNNi(4, rp), - 0x66: BIT_N_iRRpNNi(4, rp), - 0x67: BIT_N_iRRpNNi(4, rp), - 0x68: BIT_N_iRRpNNi(5, rp), - 0x69: BIT_N_iRRpNNi(5, rp), - 0x6A: BIT_N_iRRpNNi(5, rp), - 0x6B: BIT_N_iRRpNNi(5, rp), - 0x6C: BIT_N_iRRpNNi(5, rp), - 0x6D: BIT_N_iRRpNNi(5, rp), - 0x6E: BIT_N_iRRpNNi(5, rp), - 0x6F: BIT_N_iRRpNNi(5, rp), - 0x70: BIT_N_iRRpNNi(6, rp), - 0x71: BIT_N_iRRpNNi(6, rp), - 0x72: BIT_N_iRRpNNi(6, rp), - 0x73: BIT_N_iRRpNNi(6, rp), - 0x74: BIT_N_iRRpNNi(6, rp), - 0x75: BIT_N_iRRpNNi(6, rp), - 0x76: BIT_N_iRRpNNi(6, rp), - 0x77: BIT_N_iRRpNNi(6, rp), - 0x78: BIT_N_iRRpNNi(7, rp), - 0x79: BIT_N_iRRpNNi(7, rp), - 0x7A: BIT_N_iRRpNNi(7, rp), - 0x7B: BIT_N_iRRpNNi(7, rp), - 0x7C: BIT_N_iRRpNNi(7, rp), - 0x7D: BIT_N_iRRpNNi(7, rp), - 0x7E: BIT_N_iRRpNNi(7, rp), - 0x7F: BIT_N_iRRpNNi(7, rp), - 0x80: LDBITOP('B', RES, 0, rp), - 0x81: LDBITOP('C', RES, 0, rp), - 0x82: LDBITOP('D', RES, 0, rp), - 0x83: LDBITOP('E', RES, 0, rp), - 0x84: LDBITOP('H', RES, 0, rp), - 0x85: LDBITOP('L', RES, 0, rp), - 0x86: RES(0, "(" + rpn + "+nn)"), - 0x87: LDBITOP('A', RES, 0, rp), - 0x88: LDBITOP('B', RES, 1, rp), - 0x89: LDBITOP('C', RES, 1, rp), - 0x8A: LDBITOP('D', RES, 1, rp), - 0x8B: LDBITOP('E', RES, 1, rp), - 0x8C: LDBITOP('H', RES, 1, rp), - 0x8D: LDBITOP('L', RES, 1, rp), - 0x8E: RES(1, "(" + rpn + "+nn)"), - 0x8F: LDBITOP('A', RES, 1, rp), - 0x90: LDBITOP('B', RES, 2, rp), - 0x91: LDBITOP('C', RES, 2, rp), - 0x92: LDBITOP('D', RES, 2, rp), - 0x93: LDBITOP('E', RES, 2, rp), - 0x94: LDBITOP('H', RES, 2, rp), - 0x95: LDBITOP('L', RES, 2, rp), - 0x96: RES(2, "(" + rpn + "+nn)"), - 0x97: LDBITOP('A', RES, 2, rp), - 0x98: LDBITOP('B', RES, 3, rp), - 0x99: LDBITOP('C', RES, 3, rp), - 0x9A: LDBITOP('D', RES, 3, rp), - 0x9B: LDBITOP('E', RES, 3, rp), - 0x9C: LDBITOP('H', RES, 3, rp), - 0x9D: LDBITOP('L', RES, 3, rp), - 0x9E: RES(3, "(" + rpn + "+nn)"), - 0x9F: LDBITOP('A', RES, 3, rp), - 0xA0: LDBITOP('B', RES, 4, rp), - 0xA1: LDBITOP('C', RES, 4, rp), - 0xA2: LDBITOP('D', RES, 4, rp), - 0xA3: LDBITOP('E', RES, 4, rp), - 0xA4: LDBITOP('H', RES, 4, rp), - 0xA5: LDBITOP('L', RES, 4, rp), - 0xA6: RES(4, "(" + rpn + "+nn)"), - 0xA7: LDBITOP('A', RES, 4, rp), - 0xA8: LDBITOP('B', RES, 5, rp), - 0xA9: LDBITOP('C', RES, 5, rp), - 0xAA: LDBITOP('D', RES, 5, rp), - 0xAB: LDBITOP('E', RES, 5, rp), - 0xAC: LDBITOP('H', RES, 5, rp), - 0xAD: LDBITOP('L', RES, 5, rp), - 0xAE: RES(5, "(" + rpn + "+nn)"), - 0xAF: LDBITOP('A', RES, 5, rp), - 0xB0: LDBITOP('B', RES, 6, rp), - 0xB1: LDBITOP('C', RES, 6, rp), - 0xB2: LDBITOP('D', RES, 6, rp), - 0xB3: LDBITOP('E', RES, 6, rp), - 0xB4: LDBITOP('H', RES, 6, rp), - 0xB5: LDBITOP('L', RES, 6, rp), - 0xB6: RES(6, "(" + rpn + "+nn)"), - 0xB7: LDBITOP('A', RES, 6, rp), - 0xB8: LDBITOP('B', RES, 7, rp), - 0xB9: LDBITOP('C', RES, 7, rp), - 0xBA: LDBITOP('D', RES, 7, rp), - 0xBB: LDBITOP('E', RES, 7, rp), - 0xBC: LDBITOP('H', RES, 7, rp), - 0xBD: LDBITOP('L', RES, 7, rp), - 0xBE: RES(7, "(" + rpn + "+nn)"), - 0xBF: LDBITOP('A', RES, 7, rp), - 0xC0: LDBITOP('B', SET, 0, rp), - 0xC1: LDBITOP('C', SET, 0, rp), - 0xC2: LDBITOP('D', SET, 0, rp), - 0xC3: LDBITOP('E', SET, 0, rp), - 0xC4: LDBITOP('H', SET, 0, rp), - 0xC5: LDBITOP('L', SET, 0, rp), - 0xC6: SET(0, "(" + rpn + "+nn)"), - 0xC7: LDBITOP('A', SET, 0, rp), - 0xC8: LDBITOP('B', SET, 1, rp), - 0xC9: LDBITOP('C', SET, 1, rp), - 0xCA: LDBITOP('D', SET, 1, rp), - 0xCB: LDBITOP('E', SET, 1, rp), - 0xCC: LDBITOP('H', SET, 1, rp), - 0xCD: LDBITOP('L', SET, 1, rp), - 0xCE: SET(1, "(" + rpn + "+nn)"), - 0xCF: LDBITOP('A', SET, 1, rp), - 0xD0: LDBITOP('B', SET, 2, rp), - 0xD1: LDBITOP('C', SET, 2, rp), - 0xD2: LDBITOP('D', SET, 2, rp), - 0xD3: LDBITOP('E', SET, 2, rp), - 0xD4: LDBITOP('H', SET, 2, rp), - 0xD5: LDBITOP('L', SET, 2, rp), - 0xD6: SET(2, "(" + rpn + "+nn)"), - 0xD7: LDBITOP('A', SET, 2, rp), - 0xD8: LDBITOP('B', SET, 3, rp), - 0xD9: LDBITOP('C', SET, 3, rp), - 0xDA: LDBITOP('D', SET, 3, rp), - 0xDB: LDBITOP('E', SET, 3, rp), - 0xDC: LDBITOP('H', SET, 3, rp), - 0xDD: LDBITOP('L', SET, 3, rp), - 0xDE: SET(3, "(" + rpn + "+nn)"), - 0xDF: LDBITOP('A', SET, 3, rp), - 0xE0: LDBITOP('B', SET, 4, rp), - 0xE1: LDBITOP('C', SET, 4, rp), - 0xE2: LDBITOP('D', SET, 4, rp), - 0xE3: LDBITOP('E', SET, 4, rp), - 0xE4: LDBITOP('H', SET, 4, rp), - 0xE5: LDBITOP('L', SET, 4, rp), - 0xE6: SET(4, "(" + rpn + "+nn)"), - 0xE7: LDBITOP('A', SET, 4, rp), - 0xE8: LDBITOP('B', SET, 5, rp), - 0xE9: LDBITOP('C', SET, 5, rp), - 0xEA: LDBITOP('D', SET, 5, rp), - 0xEB: LDBITOP('E', SET, 5, rp), - 0xEC: LDBITOP('H', SET, 5, rp), - 0xED: LDBITOP('L', SET, 5, rp), - 0xEE: SET(5, "(" + rpn + "+nn)"), - 0xEF: LDBITOP('A', SET, 5, rp), - 0xF0: LDBITOP('B', SET, 6, rp), - 0xF1: LDBITOP('C', SET, 6, rp), - 0xF2: LDBITOP('D', SET, 6, rp), - 0xF3: LDBITOP('E', SET, 6, rp), - 0xF4: LDBITOP('H', SET, 6, rp), - 0xF5: LDBITOP('L', SET, 6, rp), - 0xF6: SET(6, "(" + rpn + "+nn)"), - 0xF7: LDBITOP('A', SET, 6, rp), - 0xF8: LDBITOP('B', SET, 7, rp), - 0xF9: LDBITOP('C', SET, 7, rp), - 0xFA: LDBITOP('D', SET, 7, rp), - 0xFB: LDBITOP('E', SET, 7, rp), - 0xFC: LDBITOP('H', SET, 7, rp), - 0xFD: LDBITOP('L', SET, 7, rp), - 0xFE: SET(7, "(" + rpn + "+nn)"), - 0xFF: LDBITOP('A', SET, 7, rp), - 0x100: 'ddcb' - }; - }; - OPCODE_RUN_STRINGS_DDCB = generateddfdcbOpcodeSet('DDCB'); - OPCODE_RUN_STRINGS_FDCB = generateddfdcbOpcodeSet('FDCB'); - generateddfdOpcodeSet = function(prefix) { - var rh, rhn, rl, rln, rp, rpn; - if (prefix === 'DD') { - rp = rpIX; - rh = rIXH; - rl = rIXL; - rpn = 'IX'; - rhn = 'IXH'; - rln = 'IXL'; - } else { - rp = rpIY; - rh = rIYH; - rl = rIYL; - rpn = 'IY'; - rhn = 'IYH'; - rln = 'IYL'; - } - return { - 0x09: ADD_RR_RR(rp, rpBC), - 0x19: ADD_RR_RR(rp, rpDE), - 0x21: LD_RR_NN(rp), - 0x22: LD_iNNi_RR(rp), - 0x23: INC_RR(rp), - 0x24: INC(rhn), - 0x25: DEC(rhn), - 0x26: LD_R_N(rh), - 0x29: ADD_RR_RR(rp, rp), - 0x2A: LD_RR_iNNi(rp), - 0x2B: DEC_RR(rp), - 0x2C: INC(rln), - 0x2D: DEC(rln), - 0x2E: LD_R_N(rl), - 0x34: INC("(" + rpn + "+nn)"), - 0x35: DEC("(" + rpn + "+nn)"), - 0x36: LD_iRRpNNi_N(rp), - 0x39: ADD_RR_RR(rp, rpSP), - 0x44: LD_R_R(rB, rh), - 0x45: LD_R_R(rB, rl), - 0x46: LD_R_iRRpNNi(rB, rp), - 0x4C: LD_R_R(rC, rh), - 0x4D: LD_R_R(rC, rl), - 0x4E: LD_R_iRRpNNi(rC, rp), - 0x54: LD_R_R(rD, rh), - 0x55: LD_R_R(rD, rl), - 0x56: LD_R_iRRpNNi(rD, rp), - 0x5C: LD_R_R(rE, rh), - 0x5D: LD_R_R(rE, rl), - 0x5E: LD_R_iRRpNNi(rE, rp), - 0x60: LD_R_R(rh, rB), - 0x61: LD_R_R(rh, rC), - 0x62: LD_R_R(rh, rD), - 0x63: LD_R_R(rh, rE), - 0x64: LD_R_R(rh, rh), - 0x65: LD_R_R(rh, rl), - 0x66: LD_R_iRRpNNi(rH, rp), - 0x67: LD_R_R(rh, rA), - 0x68: LD_R_R(rl, rB), - 0x69: LD_R_R(rl, rC), - 0x6A: LD_R_R(rl, rD), - 0x6B: LD_R_R(rl, rE), - 0x6C: LD_R_R(rl, rh), - 0x6D: LD_R_R(rl, rl), - 0x6E: LD_R_iRRpNNi(rL, rp), - 0x6F: LD_R_R(rl, rA), - 0x70: LD_iRRpNNi_R(rp, rB), - 0x71: LD_iRRpNNi_R(rp, rC), - 0x72: LD_iRRpNNi_R(rp, rD), - 0x73: LD_iRRpNNi_R(rp, rE), - 0x74: LD_iRRpNNi_R(rp, rH), - 0x75: LD_iRRpNNi_R(rp, rL), - 0x77: LD_iRRpNNi_R(rp, rA), - 0x7C: LD_R_R(rA, rh), - 0x7D: LD_R_R(rA, rl), - 0x7E: LD_R_iRRpNNi(rA, rp), - 0x84: ADD_A(rhn), - 0x85: ADD_A(rln), - 0x86: ADD_A("(" + rpn + "+nn)"), - 0x8C: ADC_A(rhn), - 0x8D: ADC_A(rln), - 0x8E: ADC_A("(" + rpn + "+nn)"), - 0x94: SUB_A(rhn), - 0x95: SUB_A(rln), - 0x96: SUB_A("(" + rpn + "+nn)"), - 0x9C: SBC_A(rhn), - 0x9D: SBC_A(rln), - 0x9E: SBC_A("(" + rpn + "+nn)"), - 0xA4: AND_A(rhn), - 0xA5: AND_A(rln), - 0xA6: AND_A("(" + rpn + "+nn)"), - 0xAC: XOR_A(rhn), - 0xAD: XOR_A(rln), - 0xAE: XOR_A("(" + rpn + "+nn)"), - 0xB4: OR_A(rhn), - 0xB5: OR_A(rln), - 0xB6: OR_A("(" + rpn + "+nn)"), - 0xBC: CP_A(rhn), - 0xBD: CP_A(rln), - 0xBE: CP_A("(" + rpn + "+nn)"), - 0xCB: SHIFT(prefix + 'CB'), - 0xDD: SHIFT('DD'), - 0xE1: POP_RR(rp), - 0xE3: EX_iSPi_RR(rp), - 0xE5: PUSH_RR(rp), - 0xE9: JP_RR(rp), - 0xF9: LD_RR_RR(rpSP, rp), - 0xFD: SHIFT('FD'), - 0x100: 'dd' - }; - }; - OPCODE_RUN_STRINGS_DD = generateddfdOpcodeSet('DD'); - OPCODE_RUN_STRINGS_ED = { - 0x40: IN_R_iCi(rB), - 0x41: OUT_iCi_R(rB), - 0x42: SBC_HL_RR(rpBC), - 0x43: LD_iNNi_RR(rpBC), - 0x44: NEG(), - 0x45: RETN(), - 0x46: IM(0), - 0x47: LD_R_R(rI, rA), - 0x48: IN_R_iCi(rC), - 0x49: OUT_iCi_R(rC), - 0x4A: ADC_HL_RR(rpBC), - 0x4B: LD_RR_iNNi(rpBC), - 0x4C: NEG(), - 0x4D: RETN(), - 0x4E: IM(0), - 0x4F: LD_R_R(rR, rA), - 0x50: IN_R_iCi(rD), - 0x51: OUT_iCi_R(rD), - 0x52: SBC_HL_RR(rpDE), - 0x53: LD_iNNi_RR(rpDE), - 0x54: NEG(), - 0x55: RETN(), - 0x56: IM(1), - 0x57: LD_R_R(rA, rI), - 0x58: IN_R_iCi(rE), - 0x59: OUT_iCi_R(rE), - 0x5A: ADC_HL_RR(rpDE), - 0x5B: LD_RR_iNNi(rpDE), - 0x5C: NEG(), - 0x5D: RETN(), - 0x5E: IM(2), - 0x5F: LD_R_R(rA, rR), - 0x60: IN_R_iCi(rH), - 0x61: OUT_iCi_R(rH), - 0x62: SBC_HL_RR(rpHL), - 0x63: LD_iNNi_RR(rpHL), - 0x64: NEG(), - 0x65: RETN(), - 0x66: IM(0), - 0x67: RRD(), - 0x68: IN_R_iCi(rL), - 0x69: OUT_iCi_R(rL), - 0x6A: ADC_HL_RR(rpHL), - 0x6B: LD_RR_iNNi(rpHL, true), - 0x6C: NEG(), - 0x6D: RETN(), - 0x6E: IM(0), - 0x6F: RLD(), - 0x70: IN_F_iCi(), - 0x71: OUT_iCi_0(), - 0x72: SBC_HL_RR(rpSP), - 0x73: LD_iNNi_RR(rpSP), - 0x74: NEG(), - 0x75: RETN(), - 0x76: IM(1), - 0x78: IN_R_iCi(rA), - 0x79: OUT_iCi_R(rA), - 0x7A: ADC_HL_RR(rpSP), - 0x7B: LD_RR_iNNi(rpSP), - 0x7C: NEG(), - 0x7D: RETN(), - 0x7E: IM(2), - 0xA0: LDI(), - 0xA1: CPI(), - 0xA2: INI(), - 0xA3: OUTI(), - 0xA8: LDD(), - 0xA9: CPD(), - 0xAA: IND(), - 0xAB: OUTD(), - 0xB0: LDIR(), - 0xb1: CPIR(), - 0xB2: INIR(), - 0xB3: OTIR(), - 0xB8: LDDR(), - 0xb9: CPDR(), - 0xBA: INDR(), - 0xBB: OTDR(), - 0x100: 'ed' - }; - OPCODE_RUN_STRINGS_FD = generateddfdOpcodeSet('FD'); - OPCODE_RUN_STRINGS = { - 0x00: NOP(), - 0x01: LD_RR_NN(rpBC), - 0x02: LD_iRRi_R(rpBC, rA), - 0x03: INC_RR(rpBC), - 0x04: INC("B"), - 0x05: DEC("B"), - 0x06: LD_R_N(rB), - 0x07: RLCA(), - 0x08: EX_RR_RR(rpAF, rpAF_), - 0x09: ADD_RR_RR(rpHL, rpBC), - 0x0A: LD_R_iRRi(rA, rpBC), - 0x0B: DEC_RR(rpBC), - 0x0C: INC("C"), - 0x0D: DEC("C"), - 0x0E: LD_R_N(rC), - 0x0F: RRCA(), - 0x10: DJNZ_N(), - 0x11: LD_RR_NN(rpDE), - 0x12: LD_iRRi_R(rpDE, rA), - 0x13: INC_RR(rpDE), - 0x14: INC("D"), - 0x15: DEC("D"), - 0x16: LD_R_N(rD), - 0x17: RLA(), - 0x18: JR_N(), - 0x19: ADD_RR_RR(rpHL, rpDE), - 0x1A: LD_R_iRRi(rA, rpDE), - 0x1B: DEC_RR(rpDE), - 0x1C: INC("E"), - 0x1D: DEC("E"), - 0x1E: LD_R_N(rE), - 0x1F: RRA(), - 0x20: JR_C_N(FLAG_Z, false), - 0x21: LD_RR_NN(rpHL), - 0x22: LD_iNNi_RR(rpHL), - 0x23: INC_RR(rpHL), - 0x24: INC("H"), - 0x25: DEC("H"), - 0x26: LD_R_N(rH), - 0x27: DAA(), - 0x28: JR_C_N(FLAG_Z, true), - 0x29: ADD_RR_RR(rpHL, rpHL), - 0x2A: LD_RR_iNNi(rpHL), - 0x2B: DEC_RR(rpHL), - 0x2C: INC("L"), - 0x2D: DEC("L"), - 0x2E: LD_R_N(rL), - 0x2F: CPL(), - 0x30: JR_C_N(FLAG_C, false), - 0x31: LD_RR_NN(rpSP), - 0x32: LD_iNNi_A(), - 0x33: INC_RR(rpSP), - 0x34: INC("(HL)"), - 0x35: DEC("(HL)"), - 0x36: LD_iRRi_N(rpHL), - 0x37: SCF(), - 0x38: JR_C_N(FLAG_C, true), - 0x39: ADD_RR_RR(rpHL, rpSP), - 0x3A: LD_A_iNNi(), - 0x3B: DEC_RR(rpSP), - 0x3C: INC("A"), - 0x3D: DEC("A"), - 0x3E: LD_R_N(rA), - 0x3F: CCF(), - 0x40: LD_R_R(rB, rB), - 0x41: LD_R_R(rB, rC), - 0x42: LD_R_R(rB, rD), - 0x43: LD_R_R(rB, rE), - 0x44: LD_R_R(rB, rH), - 0x45: LD_R_R(rB, rL), - 0x46: LD_R_iRRi(rB, rpHL), - 0x47: LD_R_R(rB, rA), - 0x48: LD_R_R(rC, rB), - 0x49: LD_R_R(rC, rC), - 0x4a: LD_R_R(rC, rD), - 0x4b: LD_R_R(rC, rE), - 0x4c: LD_R_R(rC, rH), - 0x4d: LD_R_R(rC, rL), - 0x4e: LD_R_iRRi(rC, rpHL), - 0x4f: LD_R_R(rC, rA), - 0x50: LD_R_R(rD, rB), - 0x51: LD_R_R(rD, rC), - 0x52: LD_R_R(rD, rD), - 0x53: LD_R_R(rD, rE), - 0x54: LD_R_R(rD, rH), - 0x55: LD_R_R(rD, rL), - 0x56: LD_R_iRRi(rD, rpHL), - 0x57: LD_R_R(rD, rA), - 0x58: LD_R_R(rE, rB), - 0x59: LD_R_R(rE, rC), - 0x5a: LD_R_R(rE, rD), - 0x5b: LD_R_R(rE, rE), - 0x5c: LD_R_R(rE, rH), - 0x5d: LD_R_R(rE, rL), - 0x5e: LD_R_iRRi(rE, rpHL), - 0x5f: LD_R_R(rE, rA), - 0x60: LD_R_R(rH, rB), - 0x61: LD_R_R(rH, rC), - 0x62: LD_R_R(rH, rD), - 0x63: LD_R_R(rH, rE), - 0x64: LD_R_R(rH, rH), - 0x65: LD_R_R(rH, rL), - 0x66: LD_R_iRRi(rH, rpHL), - 0x67: LD_R_R(rH, rA), - 0x68: LD_R_R(rL, rB), - 0x69: LD_R_R(rL, rC), - 0x6a: LD_R_R(rL, rD), - 0x6b: LD_R_R(rL, rE), - 0x6c: LD_R_R(rL, rH), - 0x6d: LD_R_R(rL, rL), - 0x6e: LD_R_iRRi(rL, rpHL), - 0x6f: LD_R_R(rL, rA), - 0x70: LD_iRRi_R(rpHL, rB), - 0x71: LD_iRRi_R(rpHL, rC), - 0x72: LD_iRRi_R(rpHL, rD), - 0x73: LD_iRRi_R(rpHL, rE), - 0x74: LD_iRRi_R(rpHL, rH), - 0x75: LD_iRRi_R(rpHL, rL), - 0x76: HALT(), - 0x77: LD_iRRi_R(rpHL, rA), - 0x78: LD_R_R(rA, rB), - 0x79: LD_R_R(rA, rC), - 0x7a: LD_R_R(rA, rD), - 0x7b: LD_R_R(rA, rE), - 0x7c: LD_R_R(rA, rH), - 0x7d: LD_R_R(rA, rL), - 0x7e: LD_R_iRRi(rA, rpHL), - 0x7f: LD_R_R(rA, rA), - 0x80: ADD_A("B"), - 0x81: ADD_A("C"), - 0x82: ADD_A("D"), - 0x83: ADD_A("E"), - 0x84: ADD_A("H"), - 0x85: ADD_A("L"), - 0x86: ADD_A("(HL)"), - 0x87: ADD_A("A"), - 0x88: ADC_A("B"), - 0x89: ADC_A("C"), - 0x8a: ADC_A("D"), - 0x8b: ADC_A("E"), - 0x8c: ADC_A("H"), - 0x8d: ADC_A("L"), - 0x8e: ADC_A("(HL)"), - 0x8f: ADC_A("A"), - 0x90: SUB_A("B"), - 0x91: SUB_A("C"), - 0x92: SUB_A("D"), - 0x93: SUB_A("E"), - 0x94: SUB_A("H"), - 0x95: SUB_A("L"), - 0x96: SUB_A("(HL)"), - 0x97: SUB_A("A"), - 0x98: SBC_A("B"), - 0x99: SBC_A("C"), - 0x9a: SBC_A("D"), - 0x9b: SBC_A("E"), - 0x9c: SBC_A("H"), - 0x9d: SBC_A("L"), - 0x9e: SBC_A("(HL)"), - 0x9f: SBC_A("A"), - 0xa0: AND_A("B"), - 0xa1: AND_A("C"), - 0xa2: AND_A("D"), - 0xa3: AND_A("E"), - 0xa4: AND_A("H"), - 0xa5: AND_A("L"), - 0xa6: AND_A("(HL)"), - 0xa7: AND_A("A"), - 0xA8: XOR_A("B"), - 0xA9: XOR_A("C"), - 0xAA: XOR_A("D"), - 0xAB: XOR_A("E"), - 0xAC: XOR_A("H"), - 0xAD: XOR_A("L"), - 0xAE: XOR_A("(HL)"), - 0xAF: XOR_A("A"), - 0xb0: OR_A("B"), - 0xb1: OR_A("C"), - 0xb2: OR_A("D"), - 0xb3: OR_A("E"), - 0xb4: OR_A("H"), - 0xb5: OR_A("L"), - 0xb6: OR_A("(HL)"), - 0xb7: OR_A("A"), - 0xb8: CP_A("B"), - 0xb9: CP_A("C"), - 0xba: CP_A("D"), - 0xbb: CP_A("E"), - 0xbc: CP_A("H"), - 0xbd: CP_A("L"), - 0xbe: CP_A("(HL)"), - 0xbf: CP_A("A"), - 0xC0: RET_C(FLAG_Z, false), - 0xC1: POP_RR(rpBC), - 0xC2: JP_C_NN(FLAG_Z, false), - 0xC3: JP_NN(), - 0xC4: CALL_C_NN(FLAG_Z, false), - 0xC5: PUSH_RR(rpBC), - 0xC6: ADD_A("nn"), - 0xC7: RST(0x0000), - 0xC8: RET_C(FLAG_Z, true), - 0xC9: RET(), - 0xCA: JP_C_NN(FLAG_Z, true), - 0xCB: SHIFT('CB'), - 0xCC: CALL_C_NN(FLAG_Z, true), - 0xCD: CALL_NN(), - 0xCE: ADC_A("nn"), - 0xCF: RST(0x0008), - 0xD0: RET_C(FLAG_C, false), - 0xD1: POP_RR(rpDE), - 0xD2: JP_C_NN(FLAG_C, false), - 0xD3: OUT_iNi_A(), - 0xD4: CALL_C_NN(FLAG_C, false), - 0xD5: PUSH_RR(rpDE), - 0xD6: SUB_A("nn"), - 0xD7: RST(0x0010), - 0xD8: RET_C(FLAG_C, true), - 0xD9: EXX(), - 0xDA: JP_C_NN(FLAG_C, true), - 0xDB: IN_A_N(), - 0xDC: CALL_C_NN(FLAG_C, true), - 0xDD: SHIFT('DD'), - 0xDE: SBC_A("nn"), - 0xDF: RST(0x0018), - 0xE0: RET_C(FLAG_P, false), - 0xE1: POP_RR(rpHL), - 0xE2: JP_C_NN(FLAG_P, false), - 0xE3: EX_iSPi_RR(rpHL), - 0xE4: CALL_C_NN(FLAG_P, false), - 0xE5: PUSH_RR(rpHL), - 0xE6: AND_A("nn"), - 0xE7: RST(0x0020), - 0xE8: RET_C(FLAG_P, true), - 0xE9: JP_RR(rpHL), - 0xEA: JP_C_NN(FLAG_P, true), - 0xEB: EX_RR_RR(rpDE, rpHL), - 0xEC: CALL_C_NN(FLAG_P, true), - 0xED: SHIFT('ED'), - 0xEE: XOR_A("nn"), - 0xEF: RST(0x0028), - 0xF0: RET_C(FLAG_S, false), - 0xF1: POP_RR(rpAF), - 0xF2: JP_C_NN(FLAG_S, false), - 0xF3: DI(), - 0xF4: CALL_C_NN(FLAG_S, false), - 0xF5: PUSH_RR(rpAF), - 0xF6: OR_A("nn"), - 0xF7: RST(0x0030), - 0xF8: RET_C(FLAG_S, true), - 0xF9: LD_RR_RR(rpSP, rpHL), - 0xFA: JP_C_NN(FLAG_S, true), - 0xFB: EI(), - 0xFC: CALL_C_NN(FLAG_S, true), - 0xFD: SHIFT('FD'), - 0xFE: CP_A("nn"), - 0xFF: RST(0x0038), - 0x100: 0 - }; - - /* - Assemble and evaluate the final JS code for the Z80 component. - The indirection on 'eval' causes most browsers to evaluate it in the global - scope, giving a significant speed boost - */ - defineZ80JS = "window.Z80 = function(opts) {\n var self = {};\n\n " + setUpStateJS + "\n\n self.requestInterrupt = function(dataBus) {\n interruptPending = true;\n interruptDataBus = dataBus & 0xffff;\n /* TODO: use event scheduling to keep the interrupt line active for a fixed\n ~48T window, to support retriggered interrupts and interrupt blocking via\n chains of EI or DD/FD prefixes */\n }\n self.nonMaskableInterrupt = function() {\n iff1 = 1;\n self.requestInterrupt(0x66);\n }\n var z80Interrupt = function() {\n if (iff1) {\n if (halted) {\n /* move PC on from the HALT opcode */\n regPairs[" + rpPC + "]++;\n halted = false;\n }\n\n iff1 = iff2 = 0;\n\n /* push current PC in readiness for call to interrupt handler */\n regPairs[" + rpSP + "]--; WRITEMEM(regPairs[" + rpSP + "], regPairs[" + rpPC + "] >> 8);\n regPairs[" + rpSP + "]--; WRITEMEM(regPairs[" + rpSP + "], regPairs[" + rpPC + "] & 0xff);\n\n /* TODO: R register */\n\n switch (im) {\n case 0:\n regPairs[" + rpPC + "] = interruptDataBus; // assume always RST\n tstates += 6;\n break;\n case 1:\n regPairs[" + rpPC + "] = 0x0038;\n tstates += 7;\n break;\n case 2:\n inttemp = (regs[" + rI + "] << 8) | (interruptDataBus & 0xff);\n l = READMEM(inttemp);\n inttemp = (inttemp+1) & 0xffff;\n h = READMEM(inttemp);\n /*console.log(hex(interruptDataBus), hex(inttemp), hex(l), hex(h));*/\n regPairs[" + rpPC + "] = (h<<8) | l;\n tstates += 7;\n break;\n }\n }\n };\n\n self.runFrame = function(frameLength) {\n var lastOpcodePrefix, offset, opcode;\n\n while (tstates < frameLength || opcodePrefix) {\n if (interruptible && interruptPending && (iff1 || !self.retryInterrupts)) {\n z80Interrupt();\n interruptPending = false;\n }\n interruptible = true; /* unless overridden by opcode */\n lastOpcodePrefix = opcodePrefix;\n opcodePrefix = '';\n switch (lastOpcodePrefix) {\n case '':\n CONTEND_READ(regPairs[" + rpPC + "], 4);\n opcode = memory.read(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\n regs[" + rR + "] = ((regs[" + rR + "] + 1) & 0x7f) | (regs[" + rR + "] & 0x80);\n " + (opcodeSwitch(OPCODE_RUN_STRINGS, null, opts.traps)) + "\n break;\n case 'CB':\n CONTEND_READ(regPairs[" + rpPC + "], 4);\n opcode = memory.read(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\n regs[" + rR + "] = ((regs[" + rR + "] + 1) & 0x7f) | (regs[" + rR + "] & 0x80);\n " + (opcodeSwitch(OPCODE_RUN_STRINGS_CB)) + "\n break;\n case 'DD':\n CONTEND_READ(regPairs[" + rpPC + "], 4);\n opcode = memory.read(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\n regs[" + rR + "] = ((regs[" + rR + "] + 1) & 0x7f) | (regs[" + rR + "] & 0x80);\n " + (opcodeSwitch(OPCODE_RUN_STRINGS_DD, OPCODE_RUN_STRINGS)) + "\n break;\n case 'DDCB':\n offset = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\n if (offset & 0x80) offset -= 0x100;\n CONTEND_READ(regPairs[" + rpPC + "], 3);\n opcode = memory.read(regPairs[" + rpPC + "]);\n CONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\n CONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\n regPairs[" + rpPC + "]++;\n " + (opcodeSwitch(OPCODE_RUN_STRINGS_DDCB)) + "\n break;\n case 'ED':\n CONTEND_READ(regPairs[" + rpPC + "], 4);\n opcode = memory.read(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\n regs[" + rR + "] = ((regs[" + rR + "] + 1) & 0x7f) | (regs[" + rR + "] & 0x80);\n " + (opcodeSwitch(OPCODE_RUN_STRINGS_ED)) + "\n break;\n case 'FD':\n CONTEND_READ(regPairs[" + rpPC + "], 4);\n opcode = memory.read(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\n regs[" + rR + "] = ((regs[" + rR + "] + 1) & 0x7f) | (regs[" + rR + "] & 0x80);\n " + (opcodeSwitch(OPCODE_RUN_STRINGS_FD, OPCODE_RUN_STRINGS)) + "\n break;\n case 'FDCB':\n offset = READMEM(regPairs[" + rpPC + "]); regPairs[" + rpPC + "]++;\n if (offset & 0x80) offset -= 0x100;\n CONTEND_READ(regPairs[" + rpPC + "], 3);\n opcode = memory.read(regPairs[" + rpPC + "]);\n CONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\n CONTEND_READ_NO_MREQ(regPairs[" + rpPC + "], 1);\n regPairs[" + rpPC + "]++;\n " + (opcodeSwitch(OPCODE_RUN_STRINGS_FDCB)) + "\n break;\n default:\n throw(\"Unknown opcode prefix: \" + lastOpcodePrefix);\n }\n }\n while (display.nextEventTime != null && display.nextEventTime <= tstates) display.doEvent();\n };\n\n self.reset = function() {\n regPairs[" + rpPC + "] = regPairs[" + rpIR + "] = 0;\n iff1 = 0; iff2 = 0; im = 0; halted = false;\n };\n\n self.loadState = function(snapRegs) {\n regPairs[" + rpAF + "] = snapRegs['AF'];\n regPairs[" + rpBC + "] = snapRegs['BC'];\n regPairs[" + rpDE + "] = snapRegs['DE'];\n regPairs[" + rpHL + "] = snapRegs['HL'];\n regPairs[" + rpAF_ + "] = snapRegs['AF_'];\n regPairs[" + rpBC_ + "] = snapRegs['BC_'];\n regPairs[" + rpDE_ + "] = snapRegs['DE_'];\n regPairs[" + rpHL_ + "] = snapRegs['HL_'];\n regPairs[" + rpIX + "] = snapRegs['IX'];\n regPairs[" + rpIY + "] = snapRegs['IY'];\n regPairs[" + rpSP + "] = snapRegs['SP'];\n regPairs[" + rpPC + "] = snapRegs['PC'];\n regPairs[" + rpIR + "] = snapRegs['IR'];\n iff1 = snapRegs['iff1'] & 1;\n iff2 = snapRegs['iff2'] & 1;\n im = snapRegs['im'] & 3;\n halted = !!snapRegs['halted'];\n tstates = snapRegs['T'] * 1;\n interruptPending = !!snapRegs['intp'];\n interruptDataBus = snapRegs['intd'] & 0xffff;\n };\n\n self.saveState = function() {\n return {\n AF: regPairs[" + rpAF + "],\n BC: regPairs[" + rpBC + "],\n DE: regPairs[" + rpDE + "],\n HL: regPairs[" + rpHL + "],\n AF_: regPairs[" + rpAF_ + "],\n BC_: regPairs[" + rpBC_ + "],\n DE_: regPairs[" + rpDE_ + "],\n HL_: regPairs[" + rpHL_ + "],\n IX: regPairs[" + rpIX + "],\n IY: regPairs[" + rpIY + "],\n SP: regPairs[" + rpSP + "],\n PC: regPairs[" + rpPC + "],\n IR: regPairs[" + rpIR + "],\n iff1: iff1,\n iff2: iff2,\n im: im,\n halted: halted,\n T: tstates,\n intp: interruptPending,\n intd: interruptDataBus,\n };\n };\n\n /* Register / flag accessors (used for tape trapping and test harness) */\n self.getAF = function() {\n return regPairs[" + rpAF + "];\n }\n self.getBC = function() {\n return regPairs[" + rpBC + "];\n }\n self.getDE = function() {\n return regPairs[" + rpDE + "];\n }\n self.getHL = function() {\n return regPairs[" + rpHL + "];\n }\n self.getAF_ = function() {\n return regPairs[" + rpAF_ + "];\n }\n self.getBC_ = function() {\n return regPairs[" + rpBC_ + "];\n }\n self.getDE_ = function() {\n return regPairs[" + rpDE_ + "];\n }\n self.getHL_ = function() {\n return regPairs[" + rpHL_ + "];\n }\n self.getIX = function() {\n return regPairs[" + rpIX + "];\n }\n self.getIY = function() {\n return regPairs[" + rpIY + "];\n }\n self.getI = function() {\n return regs[" + rI + "];\n }\n self.getR = function() {\n return regs[" + rR + "];\n }\n self.getSP = function() {\n return regPairs[" + rpSP + "];\n }\n self.getPC = function() {\n return regPairs[" + rpPC + "];\n }\n self.getIFF1 = function() {\n return iff1;\n }\n self.getIFF2 = function() {\n return iff2;\n }\n self.getIM = function() {\n return im;\n }\n self.getHalted = function() {\n return halted;\n }\n\n self.setAF = function(val) {\n regPairs[" + rpAF + "] = val;\n }\n self.setBC = function(val) {\n regPairs[" + rpBC + "] = val;\n }\n self.setDE = function(val) {\n regPairs[" + rpDE + "] = val;\n }\n self.setHL = function(val) {\n regPairs[" + rpHL + "] = val;\n }\n self.setAF_ = function(val) {\n regPairs[" + rpAF_ + "] = val;\n }\n self.setBC_ = function(val) {\n regPairs[" + rpBC_ + "] = val;\n }\n self.setDE_ = function(val) {\n regPairs[" + rpDE_ + "] = val;\n }\n self.setHL_ = function(val) {\n regPairs[" + rpHL_ + "] = val;\n }\n self.setIX = function(val) {\n regPairs[" + rpIX + "] = val;\n }\n self.setIY = function(val) {\n regPairs[" + rpIY + "] = val;\n }\n self.setI = function(val) {\n regs[" + rI + "] = val;\n }\n self.setR = function(val) {\n regs[" + rR + "] = val;\n }\n self.setSP = function(val) {\n regPairs[" + rpSP + "] = val;\n }\n self.setPC = function(val) {\n regPairs[" + rpPC + "] = val;\n }\n self.setIFF1 = function(val) {\n iff1 = val & 1;\n }\n self.setIFF2 = function(val) {\n iff2 = val & 1;\n }\n self.setIM = function(val) {\n im = val & 1;\n }\n self.setHalted = function(val) {\n halted = !!val;\n }\n\n self.getTstates = function() {\n return tstates;\n }\n self.setTstates = function(val) {\n tstates = val * 1;\n }\n\n self.getCarry_ = function() {\n return regs[" + rF_ + "] & " + FLAG_C + ";\n };\n self.setCarry = function(val) {\n if (val) {\n regs[" + rF + "] |= " + FLAG_C + ";\n } else {\n regs[" + rF + "] &= " + (~FLAG_C) + ";\n }\n };\n self.getA_ = function() {\n return regs[" + rA_ + "];\n };\n self.retryInterrupts = false;\n return self;\n};"; - defineZ80JS = defineZ80JS.replace(/READMEM\((.*?)\)/g, '(CONTEND_READ($1, 3), memory.read($1))'); - defineZ80JS = defineZ80JS.replace(/WRITEMEM\((.*?),(.*?)\)/g, "CONTEND_WRITE($1, 3);\nwhile (display.nextEventTime != null && display.nextEventTime < tstates) display.doEvent();\nmemory.write($1,$2);"); - if (opts.applyContention) { - defineZ80JS = defineZ80JS.replace(/CONTEND_READ\((.*?),(.*?)\)/g, '(tstates += memory.contend($1, tstates) + ($2))'); - defineZ80JS = defineZ80JS.replace(/CONTEND_WRITE\((.*?),(.*?)\)/g, '(tstates += memory.contend($1, tstates) + ($2))'); - defineZ80JS = defineZ80JS.replace(/CONTEND_READ_NO_MREQ\((.*?),(.*?)\)/g, '(tstates += memory.contend($1, tstates) + ($2))'); - defineZ80JS = defineZ80JS.replace(/CONTEND_WRITE_NO_MREQ\((.*?),(.*?)\)/g, '(tstates += memory.contend($1, tstates) + ($2))'); - defineZ80JS = defineZ80JS.replace(/CONTEND_PORT_EARLY\((.*?)\)/g, "var isContendedMemory = memory.isContended($1);\nvar isULAPort = ioBus.isULAPort($1);\nif (isContendedMemory) tstates += ioBus.contend($1, tstates);\ntstates += 1;\nwhile (display.nextEventTime != null && display.nextEventTime < tstates) display.doEvent();"); - defineZ80JS = defineZ80JS.replace(/CONTEND_PORT_LATE\((.*?)\)/g, "if (isContendedMemory || isULAPort) {\n ioBus.contend($1);\n tstates += 1;\n if (!isULAPort) {\n ioBus.contend($1); tstates += 1;\n ioBus.contend($1); tstates += 1;\n } else {\n tstates += 2;\n }\n} else {\n tstates += 3;\n}"); - } else { - defineZ80JS = defineZ80JS.replace(/CONTEND_READ\((.*?),(.*?)\)/g, 'tstates += ($2)'); - defineZ80JS = defineZ80JS.replace(/CONTEND_WRITE\((.*?),(.*?)\)/g, 'tstates += ($2)'); - defineZ80JS = defineZ80JS.replace(/CONTEND_READ_NO_MREQ\((.*?),(.*?)\)/g, 'tstates += ($2)'); - defineZ80JS = defineZ80JS.replace(/CONTEND_WRITE_NO_MREQ\((.*?),(.*?)\)/g, 'tstates += ($2)'); - defineZ80JS = defineZ80JS.replace(/CONTEND_PORT_EARLY\((.*?)\)/g, 'tstates += 1'); - defineZ80JS = defineZ80JS.replace(/CONTEND_PORT_LATE\((.*?)\)/g, 'tstates += 3'); - } - indirectEval = eval; - return indirectEval(defineZ80JS); - }; - -}).call(this); diff --git a/test/cli/testplatforms.js b/test/cli/testplatforms.js index faee75e9..09d58fa3 100644 --- a/test/cli/testplatforms.js +++ b/test/cli/testplatforms.js @@ -14,9 +14,7 @@ global.document = dom.window.document; dom.window.Audio = null; global.Image = function() { } global['$'] = require("jquery/jquery-3.4.1.min.js"); -includeInThisContext('src/common/cpu/z80.js'); includeInThisContext('src/common/cpu/6809.js'); -global['buildZ80'] = global.window.buildZ80; includeInThisContext("javatari.js/release/javatari/javatari.js"); Javatari.AUTO_START = false; includeInThisContext('tss/js/Log.js'); diff --git a/test/cli/testworker.js b/test/cli/testworker.js index cfc8d97b..36a9cfc8 100644 --- a/test/cli/testworker.js +++ b/test/cli/testworker.js @@ -14,6 +14,7 @@ global.onmessage({data:{preload:'ca65', platform:'apple2'}}); global.onmessage({data:{preload:'cc65', platform:'c64'}}); global.onmessage({data:{preload:'ca65', platform:'c64'}}); global.onmessage({data:{preload:'sdcc'}}); +global.onmessage({data:{preload:'inform6'}}); // TODO: check msg against spec @@ -319,6 +320,12 @@ describe('Worker', function() { var csource = ab2str(fs.readFileSync('presets/c64/skeleton.cc65')); compile('cc65', csource, 'c64.wasm', done, 2753, 2, 0); }); + /* + it('should compile zmachine inform6 skeleton', function(done) { + var csource = ab2str(fs.readFileSync('presets/zmachine/skeleton.inform6')); + compile('inform6', csource, 'hello.z5', done, 2753, 2, 0); + }); + */ // TODO: vectrex, x86 }); diff --git a/test/cli/z80/tests.expected b/test/cli/z80/tests.expected deleted file mode 100644 index 94c1b161..00000000 --- a/test/cli/z80/tests.expected +++ /dev/null @@ -1,18394 +0,0 @@ -00 - 0 MC 0000 - 4 MR 0000 00 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -01 - 0 MC 0000 - 4 MR 0000 01 - 4 MC 0001 - 7 MR 0001 12 - 7 MC 0002 - 10 MR 0002 34 -0000 3412 0000 0000 0000 0000 0000 0000 0000 0000 0000 0003 -00 01 0 0 0 0 10 - -02 - 0 MC 0000 - 4 MR 0000 02 - 4 MC 0001 - 7 MW 0001 56 -5600 0001 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 -0001 56 -1 - -03 - 0 MC 0000 - 4 MR 0000 03 - 4 MC 0001 - 5 MC 0001 -0000 789b 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 6 - -04 - 0 MC 0000 - 4 MR 0000 04 -0050 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -05 - 0 MC 0000 - 4 MR 0000 05 -00ba ff00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -06 - 0 MC 0000 - 4 MR 0000 06 - 4 MC 0001 - 7 MR 0001 bc -0000 bc00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -07 - 0 MC 0000 - 4 MR 0000 07 -1101 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -08 - 0 MC 0000 - 4 MR 0000 08 -1234 0000 0000 0000 def0 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -09 - 0 MC 0000 - 4 MR 0000 09 - 4 MC 0001 - 5 MC 0001 - 6 MC 0001 - 7 MC 0001 - 8 MC 0001 - 9 MC 0001 - 10 MC 0001 -0030 5678 0000 f134 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 11 - -0a - 0 MC 0000 - 4 MR 0000 0a - 4 MC 0001 - 7 MR 0001 de -de00 0001 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -0b - 0 MC 0000 - 4 MR 0000 0b - 4 MC 0001 - 5 MC 0001 -0000 ffff 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 6 - -0c - 0 MC 0000 - 4 MR 0000 0c -0094 0080 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -0d - 0 MC 0000 - 4 MR 0000 0d -003e 007f 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -0e - 0 MC 0000 - 4 MR 0000 0e - 4 MC 0001 - 7 MR 0001 f0 -0000 00f0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -0f - 0 MC 0000 - 4 MR 0000 0f -a021 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -10 - 0 MC 0000 - 4 MR 0000 00 - 4 MC 0001 - 8 MR 0001 10 - 8 MC 0002 - 9 MC 0002 - 12 MR 0002 fd - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 0002 - 17 MC 0000 - 21 MR 0000 00 - 21 MC 0001 - 25 MR 0001 10 - 25 MC 0004 - 26 MC 0002 - 29 MR 0002 fd - 29 MC 0002 - 30 MC 0002 - 31 MC 0002 - 32 MC 0002 - 33 MC 0002 - 34 MC 0000 - 38 MR 0000 00 - 38 MC 0001 - 42 MR 0001 10 - 42 MC 0006 - 43 MC 0002 - 46 MR 0002 fd - 46 MC 0002 - 47 MC 0002 - 48 MC 0002 - 49 MC 0002 - 50 MC 0002 - 51 MC 0000 - 55 MR 0000 00 - 55 MC 0001 - 59 MR 0001 10 - 59 MC 0008 - 60 MC 0002 - 63 MR 0002 fd - 63 MC 0002 - 64 MC 0002 - 65 MC 0002 - 66 MC 0002 - 67 MC 0002 - 68 MC 0000 - 72 MR 0000 00 - 72 MC 0001 - 76 MR 0001 10 - 76 MC 000a - 77 MC 0002 - 80 MR 0002 fd - 80 MC 0002 - 81 MC 0002 - 82 MC 0002 - 83 MC 0002 - 84 MC 0002 - 85 MC 0000 - 89 MR 0000 00 - 89 MC 0001 - 93 MR 0001 10 - 93 MC 000c - 94 MC 0002 - 97 MR 0002 fd - 97 MC 0002 - 98 MC 0002 - 99 MC 0002 - 100 MC 0002 - 101 MC 0002 - 102 MC 0000 - 106 MR 0000 00 - 106 MC 0001 - 110 MR 0001 10 - 110 MC 000e - 111 MC 0002 - 114 MR 0002 fd - 114 MC 0002 - 115 MC 0002 - 116 MC 0002 - 117 MC 0002 - 118 MC 0002 - 119 MC 0000 - 123 MR 0000 00 - 123 MC 0001 - 127 MR 0001 10 - 127 MC 0010 - 128 MC 0002 - 131 MC 0003 - 135 MR 0003 0c -0000 0001 0000 0000 0000 0000 0000 0000 0000 0000 0000 0004 -00 11 0 0 0 0 135 - -11 - 0 MC 0000 - 4 MR 0000 11 - 4 MC 0001 - 7 MR 0001 9a - 7 MC 0002 - 10 MR 0002 bc -0000 0000 bc9a 0000 0000 0000 0000 0000 0000 0000 0000 0003 -00 01 0 0 0 0 10 - -12 - 0 MC 0000 - 4 MR 0000 12 - 4 MC 8000 - 7 MW 8000 56 -5600 0000 8000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 -8000 56 -1 - -13 - 0 MC 0000 - 4 MR 0000 13 - 4 MC 0001 - 5 MC 0001 -0000 0000 def1 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 6 - -14 - 0 MC 0000 - 4 MR 0000 14 -0028 0000 2800 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -15 - 0 MC 0000 - 4 MR 0000 15 -001a 0000 0f00 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -16 - 0 MC 0000 - 4 MR 0000 16 - 4 MC 0001 - 7 MR 0001 12 -0000 0000 1200 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -17 - 0 MC 0000 - 4 MR 0000 17 -1100 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -18 - 0 MC 0000 - 4 MR 0000 18 - 4 MC 0001 - 7 MR 0001 40 - 7 MC 0001 - 8 MC 0001 - 9 MC 0001 - 10 MC 0001 - 11 MC 0001 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0042 -00 01 0 0 0 0 12 - -19 - 0 MC 0000 - 4 MR 0000 19 - 4 MC 0001 - 5 MC 0001 - 6 MC 0001 - 7 MC 0001 - 8 MC 0001 - 9 MC 0001 - 10 MC 0001 -0028 0000 3456 acf0 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 11 - -1a - 0 MC 0000 - 4 MR 0000 1a - 4 MC 8000 - 7 MR 8000 13 -1300 0000 8000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -1b - 0 MC 0000 - 4 MR 0000 1b - 4 MC 0001 - 5 MC 0001 -0000 0000 e5d3 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 6 - -1c - 0 MC 0000 - 4 MR 0000 1c -00a8 0000 00ab 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -1d - 0 MC 0000 - 4 MR 0000 1d -00aa 0000 00a9 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -1e - 0 MC 0000 - 4 MR 0000 1e - 4 MC 0001 - 7 MR 0001 ef -0000 0000 00ef 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -1f - 0 MC 0000 - 4 MR 0000 1f -00c5 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -20_1 - 0 MC 0000 - 4 MR 0000 20 - 4 MC 0001 - 7 MR 0001 40 - 7 MC 0001 - 8 MC 0001 - 9 MC 0001 - 10 MC 0001 - 11 MC 0001 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0042 -00 01 0 0 0 0 12 - -20_2 - 0 MC 0000 - 4 MR 0000 20 - 4 MC 0001 -0040 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -21 - 0 MC 0000 - 4 MR 0000 21 - 4 MC 0001 - 7 MR 0001 28 - 7 MC 0002 - 10 MR 0002 ed -0000 0000 0000 ed28 0000 0000 0000 0000 0000 0000 0000 0003 -00 01 0 0 0 0 10 - -22 - 0 MC 0000 - 4 MR 0000 22 - 4 MC 0001 - 7 MR 0001 b0 - 7 MC 0002 - 10 MR 0002 c3 - 10 MC c3b0 - 13 MW c3b0 4c - 13 MC c3b1 - 16 MW c3b1 c6 -0000 0000 0000 c64c 0000 0000 0000 0000 0000 0000 0000 0003 -00 01 0 0 0 0 16 -c3b0 4c c6 -1 - -23 - 0 MC 0000 - 4 MR 0000 23 - 4 MC 0001 - 5 MC 0001 -0000 0000 0000 9c4f 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 6 - -24 - 0 MC 0000 - 4 MR 0000 24 -0020 0000 0000 7300 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -25 - 0 MC 0000 - 4 MR 0000 25 -00a2 0000 0000 a400 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -26 - 0 MC 0000 - 4 MR 0000 26 - 4 MC 0001 - 7 MR 0001 3a -0000 0000 0000 3a00 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -27_1 - 0 MC 0000 - 4 MR 0000 27 -3423 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -27 - 0 MC 0000 - 4 MR 0000 27 -2530 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -28_1 - 0 MC 0000 - 4 MR 0000 28 - 4 MC 0001 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -28_2 - 0 MC 0000 - 4 MR 0000 28 - 4 MC 0001 - 7 MR 0001 8e - 7 MC 0001 - 8 MC 0001 - 9 MC 0001 - 10 MC 0001 - 11 MC 0001 -0040 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 ff90 -00 01 0 0 0 0 12 - -29 - 0 MC 0000 - 4 MR 0000 29 - 4 MC 0001 - 5 MC 0001 - 6 MC 0001 - 7 MC 0001 - 8 MC 0001 - 9 MC 0001 - 10 MC 0001 -0019 0000 0000 9bf4 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 11 - -2a - 0 MC 0000 - 4 MR 0000 2a - 4 MC 0001 - 7 MR 0001 45 - 7 MC 0002 - 10 MR 0002 ac - 10 MC ac45 - 13 MR ac45 c4 - 13 MC ac46 - 16 MR ac46 de -0000 0000 0000 dec4 0000 0000 0000 0000 0000 0000 0000 0003 -00 01 0 0 0 0 16 - -2b - 0 MC 0000 - 4 MR 0000 2b - 4 MC 0001 - 5 MC 0001 -0000 0000 0000 9e65 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 6 - -2c - 0 MC 0000 - 4 MR 0000 2c -0020 0000 0000 0027 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -2d - 0 MC 0000 - 4 MR 0000 2d -0022 0000 0000 0031 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -2e - 0 MC 0000 - 4 MR 0000 2e - 4 MC 0001 - 7 MR 0001 18 -0000 0000 0000 0018 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -2f - 0 MC 0000 - 4 MR 0000 2f -7632 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -30_1 - 0 MC 0000 - 4 MR 0000 30 - 4 MC 0001 - 7 MR 0001 50 - 7 MC 0001 - 8 MC 0001 - 9 MC 0001 - 10 MC 0001 - 11 MC 0001 -0036 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0052 -00 01 0 0 0 0 12 - -30_2 - 0 MC 0000 - 4 MR 0000 30 - 4 MC 0001 -0037 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -31 - 0 MC 0000 - 4 MR 0000 31 - 4 MC 0001 - 7 MR 0001 d4 - 7 MC 0002 - 10 MR 0002 61 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 61d4 0003 -00 01 0 0 0 0 10 - -32 - 0 MC 0000 - 4 MR 0000 32 - 4 MC 0001 - 7 MR 0001 ac - 7 MC 0002 - 10 MR 0002 ad - 10 MC adac - 13 MW adac 0e -0e00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0003 -00 01 0 0 0 0 13 -adac 0e -1 - -33 - 0 MC 0000 - 4 MR 0000 33 - 4 MC 0001 - 5 MC 0001 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 a55b 0001 -00 01 0 0 0 0 6 - -34 - 0 MC 0000 - 4 MR 0000 34 - 4 MC fe1d - 7 MR fe1d fd - 7 MC fe1d - 8 MC fe1d - 11 MW fe1d fe -00a8 0000 0000 fe1d 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 11 -fe1d fe -1 - -35 - 0 MC 0000 - 4 MR 0000 35 - 4 MC 470c - 7 MR 470c 82 - 7 MC 470c - 8 MC 470c - 11 MW 470c 81 -0082 0000 0000 470c 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 11 -470c 81 -1 - -36 - 0 MC 0000 - 4 MR 0000 36 - 4 MC 0001 - 7 MR 0001 7c - 7 MC 7d29 - 10 MW 7d29 7c -0000 0000 0000 7d29 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 10 -7d29 7c -1 - -37_1 - 0 MC 0000 - 4 MR 0000 37 -00c5 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -37_2 - 0 MC 0000 - 4 MR 0000 37 -ff29 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -37_3 - 0 MC 0000 - 4 MR 0000 37 -ffed 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -37 - 0 MC 0000 - 4 MR 0000 37 -0001 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -38_1 - 0 MC 0000 - 4 MR 0000 38 - 4 MC 0001 -00b2 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -38_2 - 0 MC 0000 - 4 MR 0000 38 - 4 MC 0001 - 7 MR 0001 66 - 7 MC 0001 - 8 MC 0001 - 9 MC 0001 - 10 MC 0001 - 11 MC 0001 -00b3 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0068 -00 01 0 0 0 0 12 - -39 - 0 MC 0000 - 4 MR 0000 29 - 4 MC 0001 - 5 MC 0001 - 6 MC 0001 - 7 MC 0001 - 8 MC 0001 - 9 MC 0001 - 10 MC 0001 -0030 0000 0000 35de 0000 0000 0000 0000 0000 0000 c534 0001 -00 01 0 0 0 0 11 - -3a - 0 MC 0000 - 4 MR 0000 3a - 4 MC 0001 - 7 MR 0001 52 - 7 MC 0002 - 10 MR 0002 99 - 10 MC 9952 - 13 MR 9952 28 -2800 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0003 -00 01 0 0 0 0 13 - -3b - 0 MC 0000 - 4 MR 0000 3b - 4 MC 0001 - 5 MC 0001 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 9d35 0001 -00 01 0 0 0 0 6 - -3c - 0 MC 0000 - 4 MR 0000 3c -d090 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -3d - 0 MC 0000 - 4 MR 0000 3d -e9aa 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -3e - 0 MC 0000 - 4 MR 0000 3e - 4 MC 0001 - 7 MR 0001 d6 -d600 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -3f - 0 MC 0000 - 4 MR 0000 3f -0050 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -40 - 0 MC 0000 - 4 MR 0000 40 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -41 - 0 MC 0000 - 4 MR 0000 41 -0200 9898 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -42 - 0 MC 0000 - 4 MR 0000 42 -0200 9098 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -43 - 0 MC 0000 - 4 MR 0000 43 -0200 d898 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -44 - 0 MC 0000 - 4 MR 0000 44 -0200 a198 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -45 - 0 MC 0000 - 4 MR 0000 45 -0200 6998 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -46 - 0 MC 0000 - 4 MR 0000 46 - 4 MC a169 - 7 MR a169 50 -0200 5098 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -47 - 0 MC 0000 - 4 MR 0000 47 -0200 0298 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -48 - 0 MC 0000 - 4 MR 0000 48 -0200 cfcf 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -49 - 0 MC 0000 - 4 MR 0000 49 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -4a - 0 MC 0000 - 4 MR 0000 4a -0200 cf90 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -4b - 0 MC 0000 - 4 MR 0000 4b -0200 cfd8 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -4c - 0 MC 0000 - 4 MR 0000 4c -0200 cfa1 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -4d - 0 MC 0000 - 4 MR 0000 4d -0200 cf69 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -4e - 0 MC 0000 - 4 MR 0000 4e - 4 MC a169 - 7 MR a169 50 -0200 cf50 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -4f - 0 MC 0000 - 4 MR 0000 4f -0200 cf02 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -50 - 0 MC 0000 - 4 MR 0000 50 -0200 cf98 cfd8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -51 - 0 MC 0000 - 4 MR 0000 51 -0200 cf98 98d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -52 - 0 MC 0000 - 4 MR 0000 52 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -53 - 0 MC 0000 - 4 MR 0000 53 -0200 cf98 d8d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -54 - 0 MC 0000 - 4 MR 0000 54 -0200 cf98 a1d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -55 - 0 MC 0000 - 4 MR 0000 55 -0200 cf98 69d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -56 - 0 MC 0000 - 4 MR 0000 56 - 4 MC a169 - 7 MR a169 50 -0200 cf98 50d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -57 - 0 MC 0000 - 4 MR 0000 57 -0200 cf98 02d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -58 - 0 MC 0000 - 4 MR 0000 58 -0200 cf98 90cf a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -59 - 0 MC 0000 - 4 MR 0000 59 -0200 cf98 9098 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -5a - 0 MC 0000 - 4 MR 0000 5a -0200 cf98 9090 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -5b - 0 MC 0000 - 4 MR 0000 5b -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -5c - 0 MC 0000 - 4 MR 0000 5c -0200 cf98 90a1 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -5d - 0 MC 0000 - 4 MR 0000 5d -0200 cf98 9069 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -5e - 0 MC 0000 - 4 MR 0000 5e - 4 MC a169 - 7 MR a169 50 -0200 cf98 9050 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -5f - 0 MC 0000 - 4 MR 0000 5f -0200 cf98 9002 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -60 - 0 MC 0000 - 4 MR 0000 60 -0200 cf98 90d8 cf69 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -61 - 0 MC 0000 - 4 MR 0000 61 -0200 cf98 90d8 9869 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -62 - 0 MC 0000 - 4 MR 0000 62 -0200 cf98 90d8 9069 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -63 - 0 MC 0000 - 4 MR 0000 63 -0200 cf98 90d8 d869 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -64 - 0 MC 0000 - 4 MR 0000 64 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -65 - 0 MC 0000 - 4 MR 0000 65 -0200 cf98 90d8 6969 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -66 - 0 MC 0000 - 4 MR 0000 66 - 4 MC a169 - 7 MR a169 50 -0200 cf98 90d8 5069 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -67 - 0 MC 0000 - 4 MR 0000 67 -0200 cf98 90d8 0269 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -68 - 0 MC 0000 - 4 MR 0000 68 -0200 cf98 90d8 a1cf 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -69 - 0 MC 0000 - 4 MR 0000 69 -0200 cf98 90d8 a198 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -6a - 0 MC 0000 - 4 MR 0000 6a -0200 cf98 90d8 a190 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -6b - 0 MC 0000 - 4 MR 0000 6b -0200 cf98 90d8 a1d8 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -6c - 0 MC 0000 - 4 MR 0000 6c -0200 cf98 90d8 a1a1 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -6d - 0 MC 0000 - 4 MR 0000 6d -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -6e - 0 MC 0000 - 4 MR 0000 6e - 4 MC a169 - 7 MR a169 50 -0200 cf98 90d8 a150 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -6f - 0 MC 0000 - 4 MR 0000 6f -0200 cf98 90d8 a102 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -70 - 0 MC 0000 - 4 MR 0000 70 - 4 MC a169 - 7 MW a169 cf -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 -a169 cf -1 - -71 - 0 MC 0000 - 4 MR 0000 71 - 4 MC a169 - 7 MW a169 98 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 -a169 98 -1 - -72 - 0 MC 0000 - 4 MR 0000 72 - 4 MC a169 - 7 MW a169 90 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 -a169 90 -1 - -73 - 0 MC 0000 - 4 MR 0000 73 - 4 MC a169 - 7 MW a169 d8 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 -a169 d8 -1 - -74 - 0 MC 0000 - 4 MR 0000 74 - 4 MC a169 - 7 MW a169 a1 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 -a169 a1 -1 - -75 - 0 MC 0000 - 4 MR 0000 75 - 4 MC a169 - 7 MW a169 69 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 -a169 69 -1 - -76 - 0 MC 0000 - 4 MR 0000 76 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 01 0 0 0 1 4 - -77 - 0 MC 0000 - 4 MR 0000 77 - 4 MC a169 - 7 MW a169 02 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 -a169 02 -1 - -78 - 0 MC 0000 - 4 MR 0000 78 -cf00 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -79 - 0 MC 0000 - 4 MR 0000 79 -9800 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -7a - 0 MC 0000 - 4 MR 0000 7a -9000 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -7b - 0 MC 0000 - 4 MR 0000 7b -d800 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -7c - 0 MC 0000 - 4 MR 0000 7c -a100 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -7d - 0 MC 0000 - 4 MR 0000 7d -6900 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -7e - 0 MC 0000 - 4 MR 0000 7e - 4 MC a169 - 7 MR a169 50 -5000 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -7f - 0 MC 0000 - 4 MR 0000 7f -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -80 - 0 MC 0000 - 4 MR 0000 80 -0411 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -81 - 0 MC 0000 - 4 MR 0000 81 -3031 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -82 - 0 MC 0000 - 4 MR 0000 82 -1501 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -83 - 0 MC 0000 - 4 MR 0000 83 -0211 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -84 - 0 MC 0000 - 4 MR 0000 84 -d191 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -85 - 0 MC 0000 - 4 MR 0000 85 -9b89 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -86 - 0 MC 0000 - 4 MR 0000 86 - 4 MC dca6 - 7 MR dca6 49 -3e29 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -87 - 0 MC 0000 - 4 MR 0000 87 -eaa9 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -88 - 0 MC 0000 - 4 MR 0000 88 -0411 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -89 - 0 MC 0000 - 4 MR 0000 89 -3031 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -8a - 0 MC 0000 - 4 MR 0000 8a -1501 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -8b - 0 MC 0000 - 4 MR 0000 8b -0211 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -8c - 0 MC 0000 - 4 MR 0000 8c -d191 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -8d - 0 MC 0000 - 4 MR 0000 8d -9b89 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -8e - 0 MC 0000 - 4 MR 0000 8e - 4 MC dca6 - 7 MR dca6 49 -3e29 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -8f - 0 MC 0000 - 4 MR 0000 8f -eaa9 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -90 - 0 MC 0000 - 4 MR 0000 90 -e6b2 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -91 - 0 MC 0000 - 4 MR 0000 91 -baba 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -92 - 0 MC 0000 - 4 MR 0000 92 -d582 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -93 - 0 MC 0000 - 4 MR 0000 93 -e8ba 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -94 - 0 MC 0000 - 4 MR 0000 94 -191a 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -95 - 0 MC 0000 - 4 MR 0000 95 -4f1a 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -96 - 0 MC 0000 - 4 MR 0000 96 - 4 MC dca6 - 7 MR dca6 49 -acba 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -97 - 0 MC 0000 - 4 MR 0000 97 -0042 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -98 - 0 MC 0000 - 4 MR 0000 98 -e6b2 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -99 - 0 MC 0000 - 4 MR 0000 99 -baba 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -9a - 0 MC 0000 - 4 MR 0000 9a -d582 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -9b - 0 MC 0000 - 4 MR 0000 9b -e8ba 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -9c - 0 MC 0000 - 4 MR 0000 9c -191a 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -9d - 0 MC 0000 - 4 MR 0000 9d -4f1a 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -9e - 0 MC 0000 - 4 MR 0000 9e - 4 MC dca6 - 7 MR dca6 49 -acba 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -9f - 0 MC 0000 - 4 MR 0000 9f -0042 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -a0 - 0 MC 0000 - 4 MR 0000 a0 -0514 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -a1 - 0 MC 0000 - 4 MR 0000 a1 -3130 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -a2 - 0 MC 0000 - 4 MR 0000 a2 -2030 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -a3 - 0 MC 0000 - 4 MR 0000 a3 -0514 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -a4 - 0 MC 0000 - 4 MR 0000 a4 -d494 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -a5 - 0 MC 0000 - 4 MR 0000 a5 -a4b0 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -a6 - 0 MC 0000 - 4 MR 0000 a6 - 4 MC dca6 - 7 MR dca6 49 -4114 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -a7 - 0 MC 0000 - 4 MR 0000 a7 -f5b4 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -a8 - 0 MC 0000 - 4 MR 0000 a8 -faac 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -a9 - 0 MC 0000 - 4 MR 0000 a9 -ce88 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -aa - 0 MC 0000 - 4 MR 0000 aa -d580 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -ab - 0 MC 0000 - 4 MR 0000 ab -f8a8 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -ac - 0 MC 0000 - 4 MR 0000 ac -2928 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -ad - 0 MC 0000 - 4 MR 0000 ad -5304 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -ae - 0 MC 0000 - 4 MR 0000 ae - 4 MC dca6 - 7 MR dca6 49 -bca8 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -af - 0 MC 0000 - 4 MR 0000 af -0044 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -b0 - 0 MC 0000 - 4 MR 0000 b0 -ffac 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -b1 - 0 MC 0000 - 4 MR 0000 b1 -ffac 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -b2 - 0 MC 0000 - 4 MR 0000 b2 -f5a4 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -b3 - 0 MC 0000 - 4 MR 0000 b3 -fda8 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -b4 - 0 MC 0000 - 4 MR 0000 b4 -fda8 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -b5 - 0 MC 0000 - 4 MR 0000 b5 -f7a0 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -b6 - 0 MC 0000 - 4 MR 0000 b6 - 4 MC dca6 - 7 MR dca6 49 -fda8 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -b7 - 0 MC 0000 - 4 MR 0000 b7 -f5a4 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -b8 - 0 MC 0000 - 4 MR 0000 b8 -f59a 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -b9 - 0 MC 0000 - 4 MR 0000 b9 -f5ba 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -ba - 0 MC 0000 - 4 MR 0000 ba -f5a2 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -bb - 0 MC 0000 - 4 MR 0000 bb -f59a 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -bc - 0 MC 0000 - 4 MR 0000 bc -f51a 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -bd - 0 MC 0000 - 4 MR 0000 bd -f532 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -be - 0 MC 0000 - 4 MR 0000 be - 4 MC dca6 - 7 MR dca6 49 -f59a 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 7 - -bf - 0 MC 0000 - 4 MR 0000 bf -f562 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -c0_1 - 0 MC 0000 - 4 MR 0000 c0 - 4 MC 0001 - 5 MC 43f7 - 8 MR 43f7 e9 - 8 MC 43f8 - 11 MR 43f8 af -0098 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f9 afe9 -00 01 0 0 0 0 11 - -c0_2 - 0 MC 0000 - 4 MR 0000 c0 - 4 MC 0001 -00d8 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0001 -00 01 0 0 0 0 5 - -c1 - 0 MC 0000 - 4 MR 0000 c1 - 4 MC 4143 - 7 MR 4143 ce - 7 MC 4144 - 10 MR 4144 e8 -0000 e8ce 0000 0000 0000 0000 0000 0000 0000 0000 4145 0001 -00 01 0 0 0 0 10 - -c2_1 - 0 MC 0000 - 4 MR 0000 c2 - 4 MC 0001 - 7 MR 0001 1b - 7 MC 0002 - 10 MR 0002 e1 -0087 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 e11b -00 01 0 0 0 0 10 - -c2_2 - 0 MC 0000 - 4 MR 0000 c2 - 4 MC 0001 - 7 MC 0002 -00c7 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0003 -00 01 0 0 0 0 10 - -c3 - 0 MC 0000 - 4 MR 0000 c3 - 4 MC 0001 - 7 MR 0001 ed - 7 MC 0002 - 10 MR 0002 7c -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 7ced -00 01 0 0 0 0 10 - -c4_1 - 0 MC 0000 - 4 MR 0000 c4 - 4 MC 0001 - 7 MR 0001 61 - 7 MC 0002 - 10 MR 0002 9c - 10 MC 0002 - 11 MC 5697 - 14 MW 5697 00 - 14 MC 5696 - 17 MW 5696 03 -000e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5696 9c61 -00 01 0 0 0 0 17 -5696 03 00 -1 - -c4_2 - 0 MC 0000 - 4 MR 0000 c4 - 4 MC 0001 - 7 MC 0002 -004e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0003 -00 01 0 0 0 0 10 - -c5 - 0 MC 0000 - 4 MR 0000 c5 - 4 MC 0001 - 5 MC ec11 - 8 MW ec11 14 - 8 MC ec10 - 11 MW ec10 59 -53e3 1459 775f 1a2f 0000 0000 0000 0000 0000 0000 ec10 0001 -00 01 0 0 0 0 11 -ec10 59 14 -1 - -c6 - 0 MC 0000 - 4 MR 0000 c6 - 4 MC 0001 - 7 MR 0001 6f -3939 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -c7 - 0 MC 6d33 - 4 MR 6d33 c7 - 4 MC 0001 - 5 MC 5506 - 8 MW 5506 6d - 8 MC 5505 - 11 MW 5505 34 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 5505 0000 -00 01 0 0 0 0 11 -5505 34 6d -1 - -c8_1 - 0 MC 0000 - 4 MR 0000 c8 - 4 MC 0001 -0098 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0001 -00 01 0 0 0 0 5 - -c8_2 - 0 MC 0000 - 4 MR 0000 c8 - 4 MC 0001 - 5 MC 43f7 - 8 MR 43f7 e9 - 8 MC 43f8 - 11 MR 43f8 af -00d8 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f9 afe9 -00 01 0 0 0 0 11 - -c9 - 0 MC 0000 - 4 MR 0000 c9 - 4 MC 887e - 7 MR 887e 36 - 7 MC 887f - 10 MR 887f 11 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 8880 1136 -00 01 0 0 0 0 10 - -ca_1 - 0 MC 0000 - 4 MR 0000 ca - 4 MC 0001 - 7 MC 0002 -0087 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0003 -00 01 0 0 0 0 10 - -ca_2 - 0 MC 0000 - 4 MR 0000 ca - 4 MC 0001 - 7 MR 0001 1b - 7 MC 0002 - 10 MR 0002 e1 -00c7 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 e11b -00 01 0 0 0 0 10 - -cb00 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 00 -da8d c979 552e a806 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb01 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 01 -10a0 b3f2 b480 ef65 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb02 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 02 -2e09 9adf 5d6e a7f2 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb03 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 03 -682c 9995 de7e ca71 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb04 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 04 -8c88 beea 0ce4 ceb0 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb05 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 05 -3620 e19f 78c9 cb64 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb06 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 06 - 8 MC 5b04 - 11 MR 5b04 d4 - 11 MC 5b04 - 12 MC 5b04 - 15 MW 5b04 a9 -8aad db02 8fb1 5b04 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 -5b04 a9 -1 - -cb07 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 07 -da88 19cf 7259 dcaa 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb08 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 08 -80a1 e6b5 818e 2ee2 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb09 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 09 -182c 122e dd97 59c6 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb0a - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 0a -12ad 3ba1 bb24 63ad 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb0b - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 0b -7600 2abf b613 0289 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb0c - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 0c -0e08 6fc5 2f12 1ad9 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb0d - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 0d -630c 95a3 fcd2 514d 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb0e - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 0e - 8 MC 543e - 11 MR 543e d2 - 11 MC 543e - 12 MC 543e - 15 MW 543e 69 -fc2c adf9 4925 543e 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 -543e 69 -1 - -cb0f - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 0f -e1a5 18f3 41b8 070b 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb10 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 10 -f8ad b825 33b3 0d74 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb11 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 11 -65ac e2b8 4b8a ed42 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb12 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 12 -770c 1384 1e50 29c6 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb13 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 13 -ce04 9f17 e150 3ed7 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb14 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 14 -b2a8 541a 60c7 f89a 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb15 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 15 -2d81 c1df 6eab 03c4 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb16 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 16 - 8 MC 684e - 11 MR 684e c3 - 11 MC 684e - 12 MC 684e - 15 MW 684e 86 -3681 3b53 1a4a 684e 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 -684e 86 -1 - -cb17 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 17 -a8a8 d090 f60d 0fa2 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb18 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 18 -8624 6358 755f 9596 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb19 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 19 -960d be59 7c22 71c8 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb1a - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 1a -3928 882f 2a3b 5279 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb1b - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 1b -9e24 b338 8736 e8b4 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb1c - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 1c -4b0d b555 238f 181d 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb1d - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 1d -212d 3d7e 5e39 e428 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb1e - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 1e - 8 MC 00ef - 11 MR 00ef 91 - 11 MC 00ef - 12 MC 00ef - 15 MW 00ef 48 -5e0d 66b9 80dc 00ef 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 -00ef 48 -1 - -cb1f - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 1f -7621 b838 8e18 ace7 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb20 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 20 -c708 0897 d72b ccb6 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb21 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 21 -22ad 5ce8 938e 37a8 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb22 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 22 -8589 0950 cee8 0641 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb23 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 23 -21a5 2a7c 37a0 aa59 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb24 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 24 -fb09 b9de 7014 08b6 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb25 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 25 -152d 6bbc 894e 8578 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb26 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 26 - 8 MC 283a - 11 MR 283a ee - 11 MC 283a - 12 MC 283a - 15 MW 283a dc -0a89 372e e315 283a 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 -283a dc -1 - -cb27 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 27 -7e2d bdba 67ab 5ea2 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb28 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 28 -c000 0235 3e0f 021b 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb29 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 29 -0624 f121 6ada c306 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb2a - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 2a -302d ec3a 3f7d 3473 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb2b - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 2b -e0ac ccf0 bbed b78a 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb2c - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 2c -5b0c 25c0 996d 0f7b 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb2d - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 2d -5ea4 c51b 58e3 78f5 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb2e - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 2e - 8 MC 24bf - 11 MR 24bf b5 - 11 MC 24bf - 12 MC 24bf - 15 MW 24bf da -3989 a2cd 0629 24bf 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 -24bf da -1 - -cb2f - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 2f -d580 a194 d0e3 5c65 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb30 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 30 -cda4 f581 d67b 656b 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb31 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 31 -28a5 e7f5 6d8c 75a4 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb32 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 32 -13ad 3f36 ed08 5e56 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb33 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 33 -d588 9720 7689 038f 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb34 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 34 -12a1 77f6 0206 f738 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb35 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 35 -3c84 fd68 ea91 78c3 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb36 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 36 - 8 MC 6d38 - 11 MR 6d38 f1 - 11 MC 6d38 - 12 MC 6d38 - 15 MW 6d38 e3 -8aa1 1185 1dde 6d38 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 -6d38 e3 -1 - -cb37 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 37 -8784 d7bc 9133 6e56 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb38 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 38 -df28 3e1b 9f9f 4ff2 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb39 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 39 -6600 b701 14f5 3c17 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb3a - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 3a -d124 5c5f 722e f1b1 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb3b - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 3b -b224 38c8 a530 7419 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb3c - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 3c -7800 cfae 66d8 15d8 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb3d - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 3d -e625 dcda 06aa 4666 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb3e - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 3e - 8 MC a96c - 11 MR a96c a0 - 11 MC a96c - 12 MC a96c - 15 MW a96c 50 -a904 6a34 e8d0 a96c 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 -a96c 50 -1 - -cb3f - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 3f -782d ceea 721e 77f0 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb40 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 40 -9e7c bcb2 efaa 505f 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb41 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 41 -9e10 1b43 954e 7be9 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb42 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 42 -f238 dd12 7d4f 551f 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb43 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 43 -ad54 c3b3 f1d0 bab4 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb44 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 44 -b718 c829 27e3 5b92 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb45 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 45 -7718 68ee 0c77 409b 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb46 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 46 - 8 MC 6131 - 11 MR 6131 d5 - 11 MC 6131 -7210 7ae3 a11e 6131 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -cb47_1 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 47 -ff38 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb47 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 47 -1054 d8ca e2c4 8a8c 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb48 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 48 -a930 6264 e833 6de0 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb49 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 49 -6c30 d0f7 1db7 a040 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb4a - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 4a -4f18 f04c 5b29 77a4 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb4b - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 4b -5518 9848 095f 40ca 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb4c - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 4c -887c 0521 bf31 6d5d 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb4d - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 4d -f95c 27d0 0f7e 158d 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb4e - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 4e - 8 MC ada3 - 11 MR ada3 5b - 11 MC ada3 -2618 9207 459a ada3 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -cb4f_1 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 4f -ff38 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb4f - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 4f -1710 2dc1 aca2 0bcc 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb50 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 50 -2330 2749 1012 84d2 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb51 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 51 -225c b7db e19d aafc 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb52 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 52 -8b74 ff7a b0ff ac44 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb53 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 53 -6030 31a1 a4f4 7c75 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb54 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 54 -385c 7ccc 89cc 1999 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb55 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 55 -f95c 1f79 19cd fb4b 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb56 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 56 - 8 MC bbf9 - 11 MR bbf9 10 - 11 MC bbf9 -1554 2bfe e3b5 bbf9 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -cb57_1 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 57 -ff38 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb57 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 57 -6630 af32 532a da50 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb58 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 58 -5018 1aee 2e47 1479 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb59 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 59 -7238 5e68 ff28 2075 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb5a - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 5a -eb54 fea7 17d1 d99b 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb5b - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 5b -6b74 6f2c 3fe3 1691 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb5c - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 5c -3354 a7e7 2077 13e9 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb5d - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 5d -c118 afcc c8b1 ee49 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb5e - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 5e - 8 MC 349a - 11 MR 349a 3c - 11 MC 349a -3038 ad43 16c1 349a 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -cb5f_1 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 5f -ff38 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb5f - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 5f -8c18 1b67 2314 6133 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb60 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 60 -9930 34b5 0fd8 5273 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb61 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 61 -d118 219f 3bb4 7c44 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb62 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 62 -af54 bdf8 c536 8cc5 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb63 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 63 -2a74 5e16 f627 84ca 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb64 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 64 -a97c a365 c00b ea94 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb65 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 65 -1838 8d58 4256 427a 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb66 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 66 - 8 MC a44f - 11 MR a44f d2 - 11 MC a44f -4c10 3ef7 e544 a44f 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -cb67_1 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 67 -ff38 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb67 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 67 -8654 5e92 2986 394d 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb68 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 68 -d75c 0f6a 18a6 ddd2 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb69 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 69 -da5c 691b 7c79 1dba 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb6a - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 6a -2254 13e8 86d4 4e09 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb6b - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 6b -af30 5123 7635 1ca9 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb6c - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 6c -4354 faa6 abc2 5605 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb6d - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 6d -7f38 f099 d435 d9ad 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb6e - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 6e - 8 MC d8ba - 11 MR d8ba 31 - 11 MC d8ba -4a30 08c9 8177 d8ba 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -cb6f_1 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 6f -ff38 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb6f - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 6f -a130 8c80 4678 4d34 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb70 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 70 -1954 958a 5dab f913 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb71 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 71 -3d18 095e d6df 42fe 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb72 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 72 -a518 c0bf 4c8d ad11 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb73 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 73 -f238 49a6 b279 2ecc 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb74 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 74 -055c 445e 05e9 983d 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb75 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 75 -6b5c 83c6 635a d18d 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb76 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 76 - 8 MC bc71 - 11 MR bc71 18 - 11 MC bc71 -f85c 3057 3629 bc71 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -cb77_1 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 77 -ff38 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb77 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 77 -9254 d6f8 5100 736d 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb78 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 78 -725c 1cf8 8d2b c76a 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb79 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 79 -a898 809e 1124 39e8 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb7a - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 7a -5874 7d24 63e1 d9af 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb7b - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 7b -03b8 50ab 05bd 6bd0 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb7c - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 7c -ad54 f77b 55ae 063b 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb7d - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 7d -8298 b792 38cb 5f9b 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb7e - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 7e - 8 MC a25e - 11 MR a25e d7 - 11 MC a25e -4290 3b91 f59c a25e 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -cb7f_1 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 7f -ffb8 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb7f - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 7f -6a7c 84ec cf4e 185b 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb80 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 80 -8f00 702f 17bd a706 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb81 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 81 -ae00 947e 7153 6616 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb82 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 82 -8100 bed2 c619 4572 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb83 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 83 -e600 63a2 ccf6 ae9a 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb84 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 84 -ce00 e0cc d305 d6c0 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb85 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 85 -f300 ed79 9db7 dda0 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb86 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 86 - 8 MC 1b48 - 11 MR 1b48 62 - 11 MC 1b48 - 12 MC 1b48 - 15 MW 1b48 62 -2a00 b0b9 9426 1b48 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 - -cb87 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 87 -1000 86dc 1798 dfc5 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb88 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 88 -e300 8821 e33e 674d 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb89 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 89 -6000 d184 c5b6 1bd7 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb8a - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 8a -3e00 5fcd 0938 b98e 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb8b - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 8b -6500 040e 103d 4a07 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb8c - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 8c -f800 6d27 9bdf d8ef 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb8d - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 8d -3e00 5469 2c28 bd70 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb8e - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 8e - 8 MC 63a7 - 11 MR 63a7 d4 - 11 MC 63a7 - 12 MC 63a7 - 15 MW 63a7 d4 -1f00 140b b492 63a7 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 - -cb8f - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 8f -2500 c522 ca46 1c1a 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb90 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 90 -5700 595c 4f0a c73c 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb91 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 91 -5e00 8f22 a735 97e0 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb92 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 92 -3300 7d9f 83d0 83d0 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb93 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 93 -c200 4e05 b3f8 2234 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb94 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 94 -ee00 8f4b 2831 d2a6 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb95 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 95 -3c00 6af2 b25d 36fb 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb96 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 96 - 8 MC 3324 - 11 MR 3324 21 - 11 MC 3324 - 12 MC 3324 - 15 MW 3324 21 -7600 b027 d0a5 3324 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 - -cb97 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 97 -1200 ad09 7902 97bc 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb98 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 98 -3400 b61c 771d 5d5e 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb99 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 99 -5100 65b6 1359 8bec 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb9a - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 9a -6400 976d 4425 dcb2 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb9b - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 9b -a100 b58a d264 2bd6 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb9c - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 9c -d800 63d6 ac7b c7a0 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb9d - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 9d -0d00 d840 0810 0800 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cb9e - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 9e - 8 MC 3a65 - 11 MR 3a65 2a - 11 MC 3a65 - 12 MC 3a65 - 15 MW 3a65 22 -3b00 ebbf 9434 3a65 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 -3a65 22 -1 - -cb9f - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 9f -b200 d1de f991 72f6 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cba0 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 a0 -fa00 c669 71e1 c80d 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cba1 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 a1 -8200 75e4 a0de d0ba 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cba2 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 a2 -dd00 2b0d 4554 6fc0 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cba3 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 a3 -2200 2f0d 4d2c 6666 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cba4 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 a4 -d600 d8ed 9cd4 8bb1 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cba5 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 a5 -b400 b393 3e42 88ca 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cba6 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 a6 - 8 MC e70d - 11 MR e70d 27 - 11 MC e70d - 12 MC e70d - 15 MW e70d 27 -0a00 4c34 f5a7 e70d 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 - -cba7 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 a7 -4500 af61 569a c77b 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cba8 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 a8 -6400 d269 bae4 c9e7 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cba9 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 a9 -e400 7ad4 bf0a ce0b 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbaa - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 aa -cd00 d249 4159 fed5 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbab - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 ab -ac00 939a 5d9b 0812 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbac - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 ac -2400 8a7d 2cac dfaa 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbad - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 ad -6f00 5ffb 2360 ae15 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbae - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 ae - 8 MC 190e - 11 MR 190e 66 - 11 MC 190e - 12 MC 190e - 15 MW 190e 46 -5a00 aa17 12f3 190e 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 -190e 46 -1 - -cbaf - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 af -dc00 bb3f 8bb6 5877 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbb0 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 b0 -b900 3a79 1aaa c3ba 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbb1 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 b1 -4900 63a4 a544 1190 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbb2 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 b2 -4d00 2b03 2b23 6ff5 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbb3 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 b3 -8700 857a e98b 5cb1 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbb4 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 b4 -2b00 b73e 79c9 a1bb 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbb5 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 b5 -9b00 d879 2ec9 4bba 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbb6 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 b6 - 8 MC 4fab - 11 MR 4fab a5 - 11 MC 4fab - 12 MC 4fab - 15 MW 4fab a5 -8600 89bf de4a 4fab 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 - -cbb7 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 b7 -2200 fb8a 3d6e d4a2 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbb8 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 b8 -d000 37c6 225a d249 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbb9 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 b9 -a500 1b4a d584 5dee 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbba - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 ba -6300 a5fe 742b 34c9 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbbb - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 bb -1200 f661 aa4f cb30 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbbc - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 bc -9800 adc3 0b29 7b6e 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbbd - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 bd -d600 a6e1 8813 1038 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbbe - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 be - 8 MC 77d5 - 11 MR 77d5 ea - 11 MC 77d5 - 12 MC 77d5 - 15 MW 77d5 6a -ca00 ff64 1218 77d5 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 -77d5 6a -1 - -cbbf - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 bf -6800 4845 690a 15de 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbc0 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 c0 -e300 ef71 bffb b3a1 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbc1 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 c1 -3200 32a1 59ab 3343 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbc2 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 c2 -c700 b159 c123 e1f3 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbc3 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 c3 -0400 b463 c211 8f3a 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbc4 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 c4 -7e00 545a 6ecf 5976 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbc5 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 c5 -4000 c617 079c 4107 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbc6 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 c6 - 8 MC f0be - 11 MR f0be 9c - 11 MC f0be - 12 MC f0be - 15 MW f0be 9d -b800 0373 b807 f0be 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 -f0be 9d -1 - -cbc7 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 c7 -7700 3681 9b55 583f 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbc8 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 c8 -7d00 a772 8682 7cf3 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbc9 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 c9 -0b00 67ee 30e0 72db 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbca - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 ca -9c00 9517 cfbb fbc7 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbcb - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 cb -e800 0f3d 336f f70d 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbcc - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 cc -fb00 7981 0bbb 1afd 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbcd - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 cd -5500 5e78 bf34 2602 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbce - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 ce - 8 MC 8ec6 - 11 MR 8ec6 bf - 11 MC 8ec6 - 12 MC 8ec6 - 15 MW 8ec6 bf -d500 a111 cb2a 8ec6 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 - -cbcf - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 cf -a200 6baf 98b2 98a0 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbd0 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 d0 -2300 7fcb 02e7 1724 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbd1 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 d1 -5300 581f b775 47f4 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbd2 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 d2 -6900 c147 b79c 7528 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbd3 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 d3 -ae00 bbc4 ce56 5fba 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbd4 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 d4 -d800 6e1e af6f bf2e 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbd5 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 d5 -8400 a19a d2fd 8a77 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbd6 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 d6 - 8 MC 6029 - 11 MR 6029 b7 - 11 MC 6029 - 12 MC 6029 - 15 MW 6029 b7 -a900 f5f3 2180 6029 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 - -cbd7 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 d7 -b500 c008 8425 290a 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbd8 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 d8 -8b00 09c4 ddf3 6d7e 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbd9 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 d9 -3e00 3e3e 30ec efc6 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbda - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 da -d000 3e8f 28fe 1c87 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbdb - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 db -1200 977a 8c49 bc48 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbdc - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 dc -8d00 05de f8d3 b925 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbdd - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 dd -c300 08a9 2bc8 5b9f 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbde - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 de - 8 MC ba03 - 11 MR ba03 93 - 11 MC ba03 - 12 MC ba03 - 15 MW ba03 9b -1900 900f d572 ba03 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 -ba03 9b -1 - -cbdf - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 df -6f00 2745 7e3d 0fa1 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbe0 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 e0 -3e00 d633 9897 3744 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbe1 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 e1 -7d00 50b6 0136 5334 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbe2 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 e2 -d400 6b45 b192 3a4c 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbe3 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 e3 -3b00 d29c 05f0 2e78 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbe4 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 e4 -1e00 7d5e 846d 1978 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbe5 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 e5 -ca00 df0d d588 b49f 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbe6 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 e6 - 8 MC 9f9b - 11 MR 9f9b f6 - 11 MC 9f9b - 12 MC 9f9b - 15 MW 9f9b f6 -b300 52c2 dbfe 9f9b 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 - -cbe7 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 e7 -9e00 cf02 67ef f2e0 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbe8 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 e8 -7100 bb18 66ec 4a05 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbe9 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 e9 -5700 28b7 8f2f a4d0 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbea - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 ea -ec00 304a 60a1 f32a 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbeb - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 eb -f000 532b a1be 1a1a 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbec - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 ec -f200 f0f3 a816 ba08 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbed - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 ed -1300 5127 adab 2dec 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbee - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 ee - 8 MC e90d - 11 MR e90d f1 - 11 MC e90d - 12 MC e90d - 15 MW e90d f1 -9000 b273 50ae e90d 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 - -cbef - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 ef -2500 4281 f0d4 2c39 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbf0 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 f0 -fb00 5802 0c27 6ff5 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbf1 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 f1 -5500 a143 3ff5 5e1c 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbf2 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 f2 -f000 625a ef82 9819 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbf3 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 f3 -8600 d7bd 5dc6 263f 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbf4 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 f4 -9400 0243 9ec1 75d9 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbf5 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 f5 -ce00 2d42 5e6a 47e6 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbf6 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 f6 - 8 MC a9bc - 11 MR a9bc b1 - 11 MC a9bc - 12 MC a9bc - 15 MW a9bc f1 -7b00 c2d7 4492 a9bc 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 -a9bc f1 -1 - -cbf7 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 f7 -6d00 abaf 5b5d 188c 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbf8 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 f8 -c600 b812 a037 d2b0 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbf9 - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 f9 -ef00 c5f2 77a8 0730 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbfa - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 fa -8700 1581 e3e3 ed03 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbfb - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 fb -a300 7d27 97c3 d1ae 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbfc - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 fc -ec00 060a 3ef6 d00f 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbfd - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 fd -1100 231a 8563 28c5 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cbfe - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 fe - 8 MC 3a24 - 11 MR 3a24 c3 - 11 MC 3a24 - 12 MC 3a24 - 15 MW 3a24 c3 -5300 4948 89dd 3a24 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 - -cbff - 0 MC 0000 - 4 MR 0000 cb - 4 MC 0001 - 8 MR 0001 ff -f900 799b 6cf7 e3f2 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 8 - -cc_1 - 0 MC 0000 - 4 MR 0000 cc - 4 MC 0001 - 7 MR 0001 61 - 7 MC 0002 - 10 MR 0002 9c - 10 MC 0002 - 11 MC 5697 - 14 MW 5697 00 - 14 MC 5696 - 17 MW 5696 03 -004e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5696 9c61 -00 01 0 0 0 0 17 -5696 03 00 -1 - -cc_2 - 0 MC 0000 - 4 MR 0000 cc - 4 MC 0001 - 7 MC 0002 -000e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0003 -00 01 0 0 0 0 10 - -cd - 0 MC 0000 - 4 MR 0000 cd - 4 MC 0001 - 7 MR 0001 5d - 7 MC 0002 - 10 MR 0002 3a - 10 MC 0002 - 11 MC b07c - 14 MW b07c 00 - 14 MC b07b - 17 MW b07b 03 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 b07b 3a5d -00 01 0 0 0 0 17 -b07b 03 00 -1 - -ce - 0 MC 0000 - 4 MR 0000 ce - 4 MC 0001 - 7 MR 0001 b2 -1301 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -cf - 0 MC 6d33 - 4 MR 6d33 cf - 4 MC 0001 - 5 MC 5506 - 8 MW 5506 6d - 8 MC 5505 - 11 MW 5505 34 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 5505 0008 -00 01 0 0 0 0 11 -5505 34 6d -1 - -d0_1 - 0 MC 0000 - 4 MR 0000 d0 - 4 MC 0001 - 5 MC 43f7 - 8 MR 43f7 e9 - 8 MC 43f8 - 11 MR 43f8 af -0098 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f9 afe9 -00 01 0 0 0 0 11 - -d0_2 - 0 MC 0000 - 4 MR 0000 d0 - 4 MC 0001 -0099 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0001 -00 01 0 0 0 0 5 - -d1 - 0 MC 0000 - 4 MR 0000 d1 - 4 MC 4143 - 7 MR 4143 ce - 7 MC 4144 - 10 MR 4144 e8 -0000 0000 e8ce 0000 0000 0000 0000 0000 0000 0000 4145 0001 -00 01 0 0 0 0 10 - -d2_1 - 0 MC 0000 - 4 MR 0000 d2 - 4 MC 0001 - 7 MR 0001 1b - 7 MC 0002 - 10 MR 0002 e1 -0086 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 e11b -00 01 0 0 0 0 10 - -d2_2 - 0 MC 0000 - 4 MR 0000 d2 - 4 MC 0001 - 7 MC 0002 -0087 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0003 -00 01 0 0 0 0 10 - -d3_1 - 0 MC 0000 - 4 MR 0000 d3 - 4 MC 0001 - 7 MR 0001 ed - 8 PW a2ed a2 -a200 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 11 - -d3_2 - 0 MC 0000 - 4 MR 0000 d3 - 4 MC 0001 - 7 MR 0001 ec - 7 PC 42ec - 8 PW 42ec 42 - 8 PC 42ec -4200 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 11 - -d3_3 - 0 MC 0000 - 4 MR 0000 d3 - 4 MC 0001 - 7 MR 0001 ed - 7 PC 42ed - 8 PW 42ed 42 - 8 PC 42ed - 9 PC 42ed - 10 PC 42ed -4200 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 11 - -d3 - 0 MC 0000 - 4 MR 0000 d3 - 4 MC 0001 - 7 MR 0001 ec - 8 PW a2ec a2 - 8 PC a2ec -a200 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 11 - -d4_1 - 0 MC 0000 - 4 MR 0000 d4 - 4 MC 0001 - 7 MR 0001 61 - 7 MC 0002 - 10 MR 0002 9c - 10 MC 0002 - 11 MC 5697 - 14 MW 5697 00 - 14 MC 5696 - 17 MW 5696 03 -000e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5696 9c61 -00 01 0 0 0 0 17 -5696 03 00 -1 - -d4_2 - 0 MC 0000 - 4 MR 0000 d4 - 4 MC 0001 - 7 MC 0002 -000f 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0003 -00 01 0 0 0 0 10 - -d5 - 0 MC 0000 - 4 MR 0000 d5 - 4 MC 0001 - 5 MC ec11 - 8 MW ec11 77 - 8 MC ec10 - 11 MW ec10 5f -53e3 1459 775f 1a2f 0000 0000 0000 0000 0000 0000 ec10 0001 -00 01 0 0 0 0 11 -ec10 5f 77 -1 - -d6 - 0 MC 0000 - 4 MR 0000 d6 - 4 MC 0001 - 7 MR 0001 df -5a1b 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -d7 - 0 MC 6d33 - 4 MR 6d33 d7 - 4 MC 0001 - 5 MC 5506 - 8 MW 5506 6d - 8 MC 5505 - 11 MW 5505 34 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 5505 0010 -00 01 0 0 0 0 11 -5505 34 6d -1 - -d8_1 - 0 MC 0000 - 4 MR 0000 d8 - 4 MC 0001 -0098 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0001 -00 01 0 0 0 0 5 - -d8_2 - 0 MC 0000 - 4 MR 0000 d8 - 4 MC 0001 - 5 MC 43f7 - 8 MR 43f7 e9 - 8 MC 43f8 - 11 MR 43f8 af -0099 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f9 afe9 -00 01 0 0 0 0 11 - -d9 - 0 MC 0000 - 4 MR 0000 d9 -4d94 c930 3d01 7d02 1a64 e07a e35b 9d64 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -da_1 - 0 MC 0000 - 4 MR 0000 da - 4 MC 0001 - 7 MR 0001 1b - 7 MC 0002 - 10 MR 0002 e1 -0087 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 e11b -00 01 0 0 0 0 10 - -da_2 - 0 MC 0000 - 4 MR 0000 da - 4 MC 0001 - 7 MC 0002 -0086 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0003 -00 01 0 0 0 0 10 - -db_1 - 0 MC 0000 - 4 MR 0000 db - 4 MC 0001 - 7 MR 0001 e3 - 8 PR c1e3 c1 -c100 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 11 - -db_2 - 0 MC 0000 - 4 MR 0000 db - 4 MC 0001 - 7 MR 0001 e2 - 7 PC 71e2 - 8 PR 71e2 71 - 8 PC 71e2 -7100 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 11 - -db_3 - 0 MC 0000 - 4 MR 0000 db - 4 MC 0001 - 7 MR 0001 e3 - 7 PC 71e3 - 8 PR 71e3 71 - 8 PC 71e3 - 9 PC 71e3 - 10 PC 71e3 -7100 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 11 - -db - 0 MC 0000 - 4 MR 0000 db - 4 MC 0001 - 7 MR 0001 e2 - 8 PR c1e2 c1 - 8 PC c1e2 -c100 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 11 - -dc_1 - 0 MC 0000 - 4 MR 0000 dc - 4 MC 0001 - 7 MR 0001 61 - 7 MC 0002 - 10 MR 0002 9c - 10 MC 0002 - 11 MC 5697 - 14 MW 5697 00 - 14 MC 5696 - 17 MW 5696 03 -000f 0000 0000 0000 0000 0000 0000 0000 0000 0000 5696 9c61 -00 01 0 0 0 0 17 -5696 03 00 -1 - -dc_2 - 0 MC 0000 - 4 MR 0000 dc - 4 MC 0001 - 7 MC 0002 -000e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0003 -00 01 0 0 0 0 10 - -dd00 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 00 - 8 MC 0002 - 12 MR 0002 00 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0003 -00 03 0 0 0 0 12 - -dd09 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 09 - 8 MC 0002 - 9 MC 0002 - 10 MC 0002 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 -0d34 1426 53ce 41e3 0000 0000 0000 0000 b2e6 5c89 0000 0002 -00 02 0 0 0 0 15 - -dd19 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 19 - 8 MC 0002 - 9 MC 0002 - 10 MC 0002 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 -1928 0e0b 2724 be62 0000 0000 0000 0000 a973 760b 0000 0002 -00 02 0 0 0 0 15 - -dd21 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 21 - 8 MC 0002 - 11 MR 0002 f2 - 11 MC 0003 - 14 MR 0003 7c -c935 4353 bd22 94d5 0000 0000 0000 0000 7cf2 aad6 0000 0004 -00 02 0 0 0 0 14 - -dd22 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 22 - 8 MC 0002 - 11 MR 0002 4f - 11 MC 0003 - 14 MR 0003 ad - 14 MC ad4f - 17 MW ad4f e7 - 17 MC ad50 - 20 MW ad50 eb -5b1d 45a1 6de8 39d3 0000 0000 0000 0000 ebe7 05b0 0000 0004 -00 02 0 0 0 0 20 -ad4f e7 eb -1 - -dd23 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 23 - 8 MC 0002 - 9 MC 0002 -9095 ac3c 4d90 379b 0000 0000 0000 0000 d50c a157 0000 0002 -00 02 0 0 0 0 10 - -dd24 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 24 -0688 dcd0 a31b d527 0000 0000 0000 0000 8dda b096 0000 0002 -00 02 0 0 0 0 8 - -dd25 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 25 -5aaa 206b ed10 6eab 0000 0000 0000 0000 ba3c 5ebd 0000 0002 -00 02 0 0 0 0 8 - -dd26 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 26 - 8 MC 0002 - 11 MR 0002 ad -9522 ede0 a352 adea 0000 0000 0000 0000 ad40 82e1 0000 0003 -00 02 0 0 0 0 11 - -dd29 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 29 - 8 MC 0002 - 9 MC 0002 - 10 MC 0002 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 -aca0 0f0e 72c8 1f2a 0000 0000 0000 0000 a32a 7d8a 0000 0002 -00 02 0 0 0 0 15 - -dd2a - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 2a - 8 MC 0002 - 11 MR 0002 bc - 11 MC 0003 - 14 MR 0003 40 - 14 MC 40bc - 17 MR 40bc b5 - 17 MC 40bd - 20 MR 40bd 30 -3d36 b24e bdbc ca4e 0000 0000 0000 0000 30b5 e7ce 0000 0004 -00 02 0 0 0 0 20 - -dd2b - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 2b - 8 MC 0002 - 9 MC 0002 -ad4b d5e6 9377 f132 0000 0000 0000 0000 7a16 2188 0000 0002 -00 02 0 0 0 0 10 - -dd2c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 2c -8830 f2f3 d277 9153 0000 0000 0000 0000 c630 b002 0000 0002 -00 02 0 0 0 0 8 - -dd2d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 2d -3922 b23c 6e11 5a49 0000 0000 0000 0000 0266 ab03 0000 0002 -00 02 0 0 0 0 8 - -dd2e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 2e - 8 MC 0002 - 11 MR 0002 1c -9aca a04a b49f a4a6 0000 0000 0000 0000 bd1c 38a1 0000 0003 -00 02 0 0 0 0 11 - -dd34 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 34 - 8 MC 0002 - 11 MR 0002 e6 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC de8f - 19 MR de8f 57 - 19 MC de8f - 20 MC de8f - 23 MW de8f 58 -8308 d1fc b80b 8082 0000 0000 0000 0000 dea9 6fd8 0000 0003 -00 02 0 0 0 0 23 -de8f 58 -1 - -dd35 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 35 - 8 MC 0002 - 11 MR 0002 60 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC c793 - 19 MR c793 f7 - 19 MC c793 - 20 MC c793 - 23 MW c793 f6 -86a3 4641 1ef6 10ab 0000 0000 0000 0000 c733 8ec4 0000 0003 -00 02 0 0 0 0 23 -c793 f6 -1 - -dd36 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 36 - 8 MC 0002 - 11 MR 0002 35 - 11 MC 0003 - 14 MR 0003 b5 - 14 MC 0003 - 15 MC 0003 - 16 MC b5fb - 19 MW b5fb b5 -76dc 2530 5158 877d 0000 0000 0000 0000 b5c6 8d3c 0000 0004 -00 02 0 0 0 0 19 -b5fb b5 -1 - -dd39 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 39 - 8 MC 0002 - 9 MC 0002 - 10 MC 0002 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 -8769 a334 d79d 59e4 0000 0000 0000 0000 ab64 4c88 fa4a 0002 -00 02 0 0 0 0 15 - -dd44 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 44 -b37e 27b0 36e8 3f45 0000 0000 0000 0000 2702 b3b9 0000 0002 -00 02 0 0 0 0 8 - -dd45 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 45 -4e10 986d d11d 1736 0000 0000 0000 0000 7298 2d10 0000 0002 -00 02 0 0 0 0 8 - -dd46 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 46 - 8 MC 0002 - 11 MR 0002 68 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 5d2f - 19 MR 5d2f 8d -c758 8d29 66f2 29ef 0000 0000 0000 0000 5cc7 407d 0000 0003 -00 02 0 0 0 0 19 - -dd4c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 4c -e15c 753e 7531 ae9e 0000 0000 0000 0000 3ed8 03b7 0000 0002 -00 02 0 0 0 0 8 - -dd4d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 4d -469e 78aa 6a5a 00e2 0000 0000 0000 0000 a1aa 0d6f 0000 0002 -00 02 0 0 0 0 8 - -dd4e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 4e - 8 MC 0002 - 11 MR 0002 2e - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC d979 - 19 MR d979 76 -7bf7 6676 8d55 def2 0000 0000 0000 0000 d94b 17fb 0000 0003 -00 02 0 0 0 0 19 - -dd54 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 54 -8376 0d13 4b67 3119 0000 0000 0000 0000 4b6d 030b 0000 0002 -00 02 0 0 0 0 8 - -dd55 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 55 -ff78 85e3 d76b 8f3a 0000 0000 0000 0000 d7d7 4e0b 0000 0002 -00 02 0 0 0 0 8 - -dd56 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 56 - 8 MC 0002 - 11 MR 0002 f4 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC a2fa - 19 MR a2fa de -97b3 b617 de50 81d1 0000 0000 0000 0000 a306 7a49 0000 0003 -00 02 0 0 0 0 19 - -dd5c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 5c -af82 24bf 27f9 f925 0000 0000 0000 0000 f9a3 0b82 0000 0002 -00 02 0 0 0 0 8 - -dd5d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 5d -36cb 97a9 4040 30fe 0000 0000 0000 0000 3340 b3ed 0000 0002 -00 02 0 0 0 0 8 - -dd5e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 5e - 8 MC 0002 - 11 MR 0002 8f - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 8cc1 - 19 MR 8cc1 ce -a220 389d 2fce 368c 0000 0000 0000 0000 8d32 3512 0000 0003 -00 02 0 0 0 0 19 - -dd60 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 60 -2392 7f6a 3dc0 cefb 0000 0000 0000 0000 7fa0 c424 0000 0002 -00 02 0 0 0 0 8 - -dd61 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 61 -76ed 268c d5c8 bab0 0000 0000 0000 0000 8c50 0a93 0000 0002 -00 02 0 0 0 0 8 - -dd62 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 62 -4c6f b482 fef4 62e7 0000 0000 0000 0000 fe25 9655 0000 0002 -00 02 0 0 0 0 8 - -dd63 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 63 -6e9a 5499 3c8f 1f64 0000 0000 0000 0000 8f35 0df7 0000 0002 -00 02 0 0 0 0 8 - -dd64 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 64 -47f6 1b7a a55e 2fc2 0000 0000 0000 0000 efc7 aca0 0000 0002 -00 02 0 0 0 0 8 - -dd65 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 65 -d786 7d1d b659 77e8 0000 0000 0000 0000 fafa 006d 0000 0002 -00 02 0 0 0 0 8 - -dd66 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 66 - 8 MC 0002 - 11 MR 0002 b5 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC ce12 - 19 MR ce12 03 -84c2 79b1 ca4a 03a0 0000 0000 0000 0000 ce5d dd2d 0000 0003 -00 02 0 0 0 0 19 - -dd67 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 67 -967c 511e 336d 40f6 0000 0000 0000 0000 96e7 5be2 0000 0002 -00 02 0 0 0 0 8 - -dd68 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 68 -4a9d efa8 febd 07e4 0000 0000 0000 0000 5fef b23f 0000 0002 -00 02 0 0 0 0 8 - -dd69 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 69 -6466 2142 2523 82b3 0000 0000 0000 0000 6442 04a7 0000 0002 -00 02 0 0 0 0 8 - -dd6a - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 6a -401f 61f1 4b08 fa88 0000 0000 0000 0000 c34b d8f6 0000 0002 -00 02 0 0 0 0 8 - -dd6b - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 6b -6dc7 e2ae 40bd f3c0 0000 0000 0000 0000 22bd 2749 0000 0002 -00 02 0 0 0 0 8 - -dd6c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 6c -3939 90da 62dc 7c31 0000 0000 0000 0000 4141 7211 0000 0002 -00 02 0 0 0 0 8 - -dd6d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 6d -3964 ff3f 23d4 c7c7 0000 0000 0000 0000 9b70 20c6 0000 0002 -00 02 0 0 0 0 8 - -dd6e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 6e - 8 MC 0002 - 11 MR 0002 2c - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC c674 - 19 MR c674 6b -223f f661 b61c 0f6b 0000 0000 0000 0000 c648 fae8 0000 0003 -00 02 0 0 0 0 19 - -dd6f - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 6f -6e84 9cd4 a293 647d 0000 0000 0000 0000 0d6e 4a56 0000 0002 -00 02 0 0 0 0 8 - -dd70 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 70 - 8 MC 0002 - 11 MR 0002 f6 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 05f0 - 19 MW 05f0 fe -d09f fe00 231e 31ec 0000 0000 0000 0000 05fa ea92 0000 0003 -00 02 0 0 0 0 19 -05f0 fe -1 - -dd71 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 71 - 8 MC 0002 - 11 MR 0002 23 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 3745 - 19 MW 3745 1c -ebee 151c 05c7 ee08 0000 0000 0000 0000 3722 2ec6 0000 0003 -00 02 0 0 0 0 19 -3745 1c -1 - -dd72 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 72 - 8 MC 0002 - 11 MR 0002 93 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 8d92 - 19 MW 8d92 63 -80c9 ac1e 63bd 828b 0000 0000 0000 0000 8dff 94ef 0000 0003 -00 02 0 0 0 0 19 -8d92 63 -1 - -dd73 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 73 - 8 MC 0002 - 11 MR 0002 57 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 7a1d - 19 MW 7a1d de -8f3e b5a3 07de 0b0c 0000 0000 0000 0000 79c6 ae79 0000 0003 -00 02 0 0 0 0 19 -7a1d de -1 - -dd74 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 74 - 8 MC 0002 - 11 MR 0002 b9 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 58c9 - 19 MW 58c9 01 -4ae0 49c5 3deb 0125 0000 0000 0000 0000 5910 429a 0000 0003 -00 02 0 0 0 0 19 -58c9 01 -1 - -dd75 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 75 - 8 MC 0002 - 11 MR 0002 30 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC ae7c - 19 MW ae7c 4f -5772 e833 b63e 734f 0000 0000 0000 0000 ae4c e8c2 0000 0003 -00 02 0 0 0 0 19 -ae7c 4f -1 - -dd77 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 77 - 8 MC 0002 - 11 MR 0002 8c - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC a10d - 19 MW a10d dc -dc56 d893 4116 f2d2 0000 0000 0000 0000 a181 3157 0000 0003 -00 02 0 0 0 0 19 -a10d dc -1 - -dd7c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 7c -8c58 7705 ac92 a6a1 0000 0000 0000 0000 8cde 7507 0000 0002 -00 02 0 0 0 0 8 - -dd7d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 7d -cb18 93fb 6bdd 3a10 0000 0000 0000 0000 d7cb c0f6 0000 0002 -00 02 0 0 0 0 8 - -dd7e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 7e - 8 MC 0002 - 11 MR 0002 bc - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 1cb0 - 19 MR 1cb0 57 -5766 1f77 6220 0c40 0000 0000 0000 0000 1cf4 1a1f 0000 0003 -00 02 0 0 0 0 19 - -dd84 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 84 -cd98 1de8 b8b9 78a6 0000 0000 0000 0000 9f1d b11f 0000 0002 -00 02 0 0 0 0 8 - -dd85 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 85 -c580 b1ff 8d7b 40c0 0000 0000 0000 0000 b513 0688 0000 0002 -00 02 0 0 0 0 8 - -dd86 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 86 - 8 MC 0002 - 11 MR 0002 c1 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC b576 - 19 MR b576 5b -a9bc d085 5bac e364 0000 0000 0000 0000 b5b5 fe3a 0000 0003 -00 02 0 0 0 0 19 - -dd8c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 8c -cb98 8fdc ea8f 9734 0000 0000 0000 0000 0eb3 1b54 0000 0002 -00 02 0 0 0 0 8 - -dd8d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 8d -7535 1c81 b6fb d6e5 0000 0000 0000 0000 09be a736 0000 0002 -00 02 0 0 0 0 8 - -dd8e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 8e - 8 MC 0002 - 11 MR 0002 25 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC bbbc - 19 MR bbbc 32 -8094 182d ab17 94ae 0000 0000 0000 0000 bb97 87da 0000 0003 -00 02 0 0 0 0 19 - -dd94 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 94 -7422 9efe 6ea1 fc55 0000 0000 0000 0000 0a09 89c5 0000 0002 -00 02 0 0 0 0 8 - -dd95 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 95 -2c3b 59ab 428c 3a94 0000 0000 0000 0000 44fd f243 0000 0002 -00 02 0 0 0 0 8 - -dd96 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 96 - 8 MC 0002 - 11 MR 0002 5f - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 2cc5 - 19 MR 2cc5 49 -5206 461f ced7 db3f 0000 0000 0000 0000 2c66 9dbf 0000 0003 -00 02 0 0 0 0 19 - -dd9c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 9c -d282 670e afcc 8b34 0000 0000 0000 0000 285f 1caa 0000 0002 -00 02 0 0 0 0 8 - -dd9d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 9d -e5a2 0cdb df32 d0e4 0000 0000 0000 0000 9b12 7d07 0000 0002 -00 02 0 0 0 0 8 - -dd9e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 9e - 8 MC 0002 - 11 MR 0002 14 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC b4e0 - 19 MR b4e0 b5 -de9b f9c5 cbc4 ca21 0000 0000 0000 0000 b4cc 46fa 0000 0003 -00 02 0 0 0 0 19 - -dda4 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 a4 -0210 ba53 acfc 9481 0000 0000 0000 0000 2f8b edf6 0000 0002 -00 02 0 0 0 0 8 - -dda5 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 a5 -aabc a675 d757 f1db 0000 0000 0000 0000 fdef d8ce 0000 0002 -00 02 0 0 0 0 8 - -dda6 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 a6 - 8 MC 0002 - 11 MR 0002 41 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 7ed6 - 19 MR 7ed6 c7 -0514 20c4 ebc3 da8d 0000 0000 0000 0000 7e95 5e8a 0000 0003 -00 02 0 0 0 0 19 - -ddac - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 ac -4000 2a7c 17e5 3f6e 0000 0000 0000 0000 affa a0b5 0000 0002 -00 02 0 0 0 0 8 - -ddad - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 ad -8284 6ba1 ef1b 5713 0000 0000 0000 0000 ba38 a708 0000 0002 -00 02 0 0 0 0 8 - -ddae - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 ae - 8 MC 0002 - 11 MR 0002 72 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC e97b - 19 MR e97b c3 -4300 3ad6 a721 2100 0000 0000 0000 0000 e909 87b4 0000 0003 -00 02 0 0 0 0 19 - -ddb4 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 b4 -9c8c 29aa 2e82 4dc8 0000 0000 0000 0000 9c04 8be3 0000 0002 -00 02 0 0 0 0 8 - -ddb5 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 b5 -c780 fc93 7a06 0518 0000 0000 0000 0000 0ac5 4150 0000 0002 -00 02 0 0 0 0 8 - -ddb6 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 b6 - 8 MC 0002 - 11 MR 0002 31 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC c6a0 - 19 MR c6a0 1c -5c0c ab81 4287 5ee1 0000 0000 0000 0000 c66f d6cc 0000 0003 -00 02 0 0 0 0 19 - -ddbc - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 bc -53bf aa98 f7d7 fa0c 0000 0000 0000 0000 be7a a41f 0000 0002 -00 02 0 0 0 0 8 - -ddbd - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 bd -dc82 80ce 5d2f e999 0000 0000 0000 0000 bb41 a24f 0000 0002 -00 02 0 0 0 0 8 - -ddbe - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 be - 8 MC 0002 - 11 MR 0002 48 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 937a - 19 MR 937a 5b -981e bfd5 a299 d34b 0000 0000 0000 0000 9332 b1d5 0000 0003 -00 02 0 0 0 0 19 - -ddcb00 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0d - 11 MC 0003 - 14 MR 0003 00 - 14 MC 0003 - 15 MC 0003 - 16 MC 1dae - 19 MR 1dae a1 - 19 MC 1dae - 20 MC 1dae - 23 MW 1dae 43 -3c01 43e4 09d1 646b 0000 0000 0000 0000 1da1 f08f 0000 0004 -00 02 0 0 0 0 23 -1dae 43 -1 - -ddcb01 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 b7 - 11 MC 0003 - 14 MR 0003 01 - 14 MC 0003 - 15 MC 0003 - 16 MC 28b4 - 19 MR 28b4 e3 - 19 MC 28b4 - 20 MC 28b4 - 23 MW 28b4 c7 -f681 e3c7 2d4a 7725 0000 0000 0000 0000 28fd f31b 0000 0004 -00 02 0 0 0 0 23 -28b4 c7 -1 - -ddcb02 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 91 - 11 MC 0003 - 14 MR 0003 02 - 14 MC 0003 - 15 MC 0003 - 16 MC c727 - 19 MR c727 8d - 19 MC c727 - 20 MC c727 - 23 MW c727 1b -e20d 836e 1b3a f840 0000 0000 0000 0000 c796 ae9b 0000 0004 -00 02 0 0 0 0 23 -c727 1b -1 - -ddcb03 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 48 - 11 MC 0003 - 14 MR 0003 03 - 14 MC 0003 - 15 MC 0003 - 16 MC 0466 - 19 MR 0466 78 - 19 MC 0466 - 20 MC 0466 - 23 MW 0466 f0 -62a4 3571 c5f0 48dc 0000 0000 0000 0000 041e c07b 0000 0004 -00 02 0 0 0 0 23 -0466 f0 -1 - -ddcb04 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 48 - 11 MC 0003 - 14 MR 0003 04 - 14 MC 0003 - 15 MC 0003 - 16 MC 5991 - 19 MR 5991 68 - 19 MC 5991 - 20 MC 5991 - 23 MW 5991 d0 -b380 bfc4 64af d022 0000 0000 0000 0000 5949 a989 0000 0004 -00 02 0 0 0 0 23 -5991 d0 -1 - -ddcb05 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ff - 11 MC 0003 - 14 MR 0003 05 - 14 MC 0003 - 15 MC 0003 - 16 MC 0076 - 19 MR 0076 95 - 19 MC 0076 - 20 MC 0076 - 23 MW 0076 2b -492d bb04 56ec 9d2b 0000 0000 0000 0000 0077 1349 0000 0004 -00 02 0 0 0 0 23 -0076 2b -1 - -ddcb06 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 07 - 11 MC 0003 - 14 MR 0003 06 - 14 MC 0003 - 15 MC 0003 - 16 MC 5428 - 19 MR 5428 97 - 19 MC 5428 - 20 MC 5428 - 23 MW 5428 2f -0c29 f636 90a6 6117 0000 0000 0000 0000 5421 90ee 0000 0004 -00 02 0 0 0 0 23 -5428 2f -1 - -ddcb07 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 42 - 11 MC 0003 - 14 MR 0003 07 - 14 MC 0003 - 15 MC 0003 - 16 MC 9845 - 19 MR 9845 ae - 19 MC 9845 - 20 MC 9845 - 23 MW 9845 5d -5d09 9ca3 bdf6 ed50 0000 0000 0000 0000 9803 55f9 0000 0004 -00 02 0 0 0 0 23 -9845 5d -1 - -ddcb08 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0a - 11 MC 0003 - 14 MR 0003 08 - 14 MC 0003 - 15 MC 0003 - 16 MC ef4a - 19 MR ef4a da - 19 MC ef4a - 20 MC ef4a - 23 MW ef4a 6d -0228 6d66 6023 ae06 0000 0000 0000 0000 ef40 b006 0000 0004 -00 02 0 0 0 0 23 -ef4a 6d -1 - -ddcb09 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 3b - 11 MC 0003 - 14 MR 0003 09 - 14 MC 0003 - 15 MC 0003 - 16 MC 9d46 - 19 MR 9d46 6f - 19 MC 9d46 - 20 MC 9d46 - 23 MW 9d46 b7 -98a5 92b7 54d5 5e1e 0000 0000 0000 0000 9d0b 6e58 0000 0004 -00 02 0 0 0 0 23 -9d46 b7 -1 - -ddcb0a - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 83 - 11 MC 0003 - 14 MR 0003 0a - 14 MC 0003 - 15 MC 0003 - 16 MC 1f37 - 19 MR 1f37 78 - 19 MC 1f37 - 20 MC 1f37 - 23 MW 1f37 3c -d22c 6aac 3c89 9293 0000 0000 0000 0000 1fb4 2498 0000 0004 -00 02 0 0 0 0 23 -1f37 3c -1 - -ddcb0b - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 fa - 11 MC 0003 - 14 MR 0003 0b - 14 MC 0003 - 15 MC 0003 - 16 MC cd03 - 19 MR cd03 92 - 19 MC cd03 - 20 MC cd03 - 23 MW cd03 49 -b808 b284 2349 7e7d 0000 0000 0000 0000 cd09 6a03 0000 0004 -00 02 0 0 0 0 23 -cd03 49 -1 - -ddcb0c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 79 - 11 MC 0003 - 14 MR 0003 0c - 14 MC 0003 - 15 MC 0003 - 16 MC bfe4 - 19 MR bfe4 0d - 19 MC bfe4 - 20 MC bfe4 - 23 MW bfe4 86 -df81 b6cc ee8d 865a 0000 0000 0000 0000 bf6b 9b7d 0000 0004 -00 02 0 0 0 0 23 -bfe4 86 -1 - -ddcb0d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e4 - 11 MC 0003 - 14 MR 0003 0d - 14 MC 0003 - 15 MC 0003 - 16 MC 88a1 - 19 MR 88a1 1f - 19 MC 88a1 - 20 MC 88a1 - 23 MW 88a1 8f -ba89 ceec bbaa b68f 0000 0000 0000 0000 88bd 503e 0000 0004 -00 02 0 0 0 0 23 -88a1 8f -1 - -ddcb0e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c6 - 11 MC 0003 - 14 MR 0003 0e - 14 MC 0003 - 15 MC 0003 - 16 MC fd0f - 19 MR fd0f ad - 19 MC fd0f - 20 MC fd0f - 23 MW fd0f d6 -1c81 890b 7830 060c 0000 0000 0000 0000 fd49 5d07 0000 0004 -00 02 0 0 0 0 23 -fd0f d6 -1 - -ddcb0f - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 57 - 11 MC 0003 - 14 MR 0003 0f - 14 MC 0003 - 15 MC 0003 - 16 MC 749e - 19 MR 749e f8 - 19 MC 749e - 20 MC 749e - 23 MW 749e 7c -7c28 fad4 fa4b 9c53 0000 0000 0000 0000 7447 2267 0000 0004 -00 02 0 0 0 0 23 -749e 7c -1 - -ddcb10 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 4f - 11 MC 0003 - 14 MR 0003 10 - 14 MC 0003 - 15 MC 0003 - 16 MC bbf1 - 19 MR bbf1 45 - 19 MC bbf1 - 20 MC bbf1 - 23 MW bbf1 8b -f38c 8b1f 5387 926e 0000 0000 0000 0000 bba2 ca47 0000 0004 -00 02 0 0 0 0 23 -bbf1 8b -1 - -ddcb11 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 eb - 11 MC 0003 - 14 MR 0003 11 - 14 MC 0003 - 15 MC 0003 - 16 MC 17f4 - 19 MR 17f4 d9 - 19 MC 17f4 - 20 MC 17f4 - 23 MW 17f4 b3 -2aa1 d6b3 a9aa 5b52 0000 0000 0000 0000 1809 d275 0000 0004 -00 02 0 0 0 0 23 -17f4 b3 -1 - -ddcb12 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 a6 - 11 MC 0003 - 14 MR 0003 12 - 14 MC 0003 - 15 MC 0003 - 16 MC c0a1 - 19 MR c0a1 e2 - 19 MC c0a1 - 20 MC c0a1 - 23 MW c0a1 c5 -9285 c479 c5d1 10ce 0000 0000 0000 0000 c0fb 2777 0000 0004 -00 02 0 0 0 0 23 -c0a1 c5 -1 - -ddcb13 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ff - 11 MC 0003 - 14 MR 0003 13 - 14 MC 0003 - 15 MC 0003 - 16 MC 5ac3 - 19 MR 5ac3 a7 - 19 MC 5ac3 - 20 MC 5ac3 - 23 MW 5ac3 4f -a509 580a a44f 11cd 0000 0000 0000 0000 5ac4 ccc7 0000 0004 -00 02 0 0 0 0 23 -5ac3 4f -1 - -ddcb14 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 dd - 11 MC 0003 - 14 MR 0003 14 - 14 MC 0003 - 15 MC 0003 - 16 MC 0954 - 19 MR 0954 85 - 19 MC 0954 - 20 MC 0954 - 23 MW 0954 0b -2909 5b89 8467 0b30 0000 0000 0000 0000 0977 c4e8 0000 0004 -00 02 0 0 0 0 23 -0954 0b -1 - -ddcb15 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 07 - 11 MC 0003 - 14 MR 0003 15 - 14 MC 0003 - 15 MC 0003 - 16 MC edf0 - 19 MR edf0 0e - 19 MC edf0 - 20 MC edf0 - 23 MW edf0 1d -1f0c 6d53 5b7c a11d 0000 0000 0000 0000 ede9 a85c 0000 0004 -00 02 0 0 0 0 23 -edf0 1d -1 - -ddcb16 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 45 - 11 MC 0003 - 14 MR 0003 16 - 14 MC 0003 - 15 MC 0003 - 16 MC 1703 - 19 MR 1703 5b - 19 MC 1703 - 20 MC 1703 - 23 MW 1703 b6 -daa0 a1e4 00b0 92c8 0000 0000 0000 0000 16be 2c95 0000 0004 -00 02 0 0 0 0 23 -1703 b6 -1 - -ddcb17 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 1c - 11 MC 0003 - 14 MR 0003 17 - 14 MC 0003 - 15 MC 0003 - 16 MC b8e5 - 19 MR b8e5 7e - 19 MC b8e5 - 20 MC b8e5 - 23 MW b8e5 fc -fcac cbd1 4e1a cd27 0000 0000 0000 0000 b8c9 e6d4 0000 0004 -00 02 0 0 0 0 23 -b8e5 fc -1 - -ddcb18 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0e - 11 MC 0003 - 14 MR 0003 18 - 14 MC 0003 - 15 MC 0003 - 16 MC a197 - 19 MR a197 90 - 19 MC a197 - 20 MC a197 - 23 MW a197 48 -d90c 48b5 9cf9 b9f1 0000 0000 0000 0000 a189 bd7c 0000 0004 -00 02 0 0 0 0 23 -a197 48 -1 - -ddcb19 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 a3 - 11 MC 0003 - 14 MR 0003 19 - 14 MC 0003 - 15 MC 0003 - 16 MC f08a - 19 MR f08a 37 - 19 MC f08a - 20 MC f08a - 23 MW f08a 9b -2389 599b a756 cf2e 0000 0000 0000 0000 f0e7 26e4 0000 0004 -00 02 0 0 0 0 23 -f08a 9b -1 - -ddcb1a - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ac - 11 MC 0003 - 14 MR 0003 1a - 14 MC 0003 - 15 MC 0003 - 16 MC de0d - 19 MR de0d cc - 19 MC de0d - 20 MC de0d - 23 MW de0d 66 -8b24 7e45 660f 37a6 0000 0000 0000 0000 de61 9cd9 0000 0004 -00 02 0 0 0 0 23 -de0d 66 -1 - -ddcb1b - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 05 - 11 MC 0003 - 14 MR 0003 1b - 14 MC 0003 - 15 MC 0003 - 16 MC b7c8 - 19 MR b7c8 91 - 19 MC b7c8 - 20 MC b7c8 - 23 MW b7c8 c8 -5c89 1414 81c8 5881 0000 0000 0000 0000 b7c3 d14f 0000 0004 -00 02 0 0 0 0 23 -b7c8 c8 -1 - -ddcb1c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ff - 11 MC 0003 - 14 MR 0003 1c - 14 MC 0003 - 15 MC 0003 - 16 MC fef8 - 19 MR fef8 61 - 19 MC fef8 - 20 MC fef8 - 23 MW fef8 30 -fa25 6277 8b67 3023 0000 0000 0000 0000 fef9 4a66 0000 0004 -00 02 0 0 0 0 23 -fef8 30 -1 - -ddcb1d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 3a - 11 MC 0003 - 14 MR 0003 1d - 14 MC 0003 - 15 MC 0003 - 16 MC 5b9d - 19 MR 5b9d f3 - 19 MC 5b9d - 20 MC 5b9d - 23 MW 5b9d f9 -76ad 324e e641 58f9 0000 0000 0000 0000 5b63 e18b 0000 0004 -00 02 0 0 0 0 23 -5b9d f9 -1 - -ddcb1e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ce - 11 MC 0003 - 14 MR 0003 1e - 14 MC 0003 - 15 MC 0003 - 16 MC 7582 - 19 MR 7582 91 - 19 MC 7582 - 20 MC 7582 - 23 MW 7582 c8 -c589 cd58 8967 f074 0000 0000 0000 0000 75b4 693a 0000 0004 -00 02 0 0 0 0 23 -7582 c8 -1 - -ddcb1f - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 a8 - 11 MC 0003 - 14 MR 0003 1f - 14 MC 0003 - 15 MC 0003 - 16 MC 1d43 - 19 MR 1d43 b4 - 19 MC 1d43 - 20 MC 1d43 - 23 MW 1d43 da -da88 7f6d 2058 63e3 0000 0000 0000 0000 1d9b baba 0000 0004 -00 02 0 0 0 0 23 -1d43 da -1 - -ddcb20 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e8 - 11 MC 0003 - 14 MR 0003 20 - 14 MC 0003 - 15 MC 0003 - 16 MC dc21 - 19 MR dc21 0e - 19 MC dc21 - 20 MC dc21 - 23 MW dc21 1c -4c08 1c9e dc6c 18f4 0000 0000 0000 0000 dc39 8b0c 0000 0004 -00 02 0 0 0 0 23 -dc21 1c -1 - -ddcb21 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9e - 11 MC 0003 - 14 MR 0003 21 - 14 MC 0003 - 15 MC 0003 - 16 MC 3432 - 19 MR 3432 f7 - 19 MC 3432 - 20 MC 3432 - 23 MW 3432 ee -d2ad 66ee 23ef 9096 0000 0000 0000 0000 3494 b6c3 0000 0004 -00 02 0 0 0 0 23 -3432 ee -1 - -ddcb22 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 43 - 11 MC 0003 - 14 MR 0003 22 - 14 MC 0003 - 15 MC 0003 - 16 MC bd82 - 19 MR bd82 9f - 19 MC bd82 - 20 MC bd82 - 23 MW bd82 3e -fb29 e0d0 3e02 b4b7 0000 0000 0000 0000 bd3f 385b 0000 0004 -00 02 0 0 0 0 23 -bd82 3e -1 - -ddcb23 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c1 - 11 MC 0003 - 14 MR 0003 23 - 14 MC 0003 - 15 MC 0003 - 16 MC 229e - 19 MR 229e e0 - 19 MC 229e - 20 MC 229e - 23 MW 229e c0 -c385 68b6 dac0 b990 0000 0000 0000 0000 22dd bd27 0000 0004 -00 02 0 0 0 0 23 -229e c0 -1 - -ddcb24 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e8 - 11 MC 0003 - 14 MR 0003 24 - 14 MC 0003 - 15 MC 0003 - 16 MC 31d9 - 19 MR 31d9 c3 - 19 MC 31d9 - 20 MC 31d9 - 23 MW 31d9 86 -ba81 7b0b 560b 8633 0000 0000 0000 0000 31f1 ddbd 0000 0004 -00 02 0 0 0 0 23 -31d9 86 -1 - -ddcb25 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c1 - 11 MC 0003 - 14 MR 0003 25 - 14 MC 0003 - 15 MC 0003 - 16 MC cc24 - 19 MR cc24 eb - 19 MC cc24 - 20 MC cc24 - 23 MW cc24 d6 -4381 a21b 2347 aed6 0000 0000 0000 0000 cc63 fc94 0000 0004 -00 02 0 0 0 0 23 -cc24 d6 -1 - -ddcb26 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f7 - 11 MC 0003 - 14 MR 0003 26 - 14 MC 0003 - 15 MC 0003 - 16 MC 651f - 19 MR 651f 89 - 19 MC 651f - 20 MC 651f - 23 MW 651f 12 -2005 ff37 e41f 70e7 0000 0000 0000 0000 6528 a0d5 0000 0004 -00 02 0 0 0 0 23 -651f 12 -1 - -ddcb27 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c3 - 11 MC 0003 - 14 MR 0003 27 - 14 MC 0003 - 15 MC 0003 - 16 MC 1f2c - 19 MR 1f2c ac - 19 MC 1f2c - 20 MC 1f2c - 23 MW 1f2c 58 -5809 5669 1bee f62c 0000 0000 0000 0000 1f69 3418 0000 0004 -00 02 0 0 0 0 23 -1f2c 58 -1 - -ddcb28 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 b6 - 11 MC 0003 - 14 MR 0003 28 - 14 MC 0003 - 15 MC 0003 - 16 MC 9951 - 19 MR 9951 24 - 19 MC 9951 - 20 MC 9951 - 23 MW 9951 12 -7a04 12b8 51f7 7164 0000 0000 0000 0000 999b 8857 0000 0004 -00 02 0 0 0 0 23 -9951 12 -1 - -ddcb29 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9c - 11 MC 0003 - 14 MR 0003 29 - 14 MC 0003 - 15 MC 0003 - 16 MC 2083 - 19 MR 2083 82 - 19 MC 2083 - 20 MC 2083 - 23 MW 2083 c1 -0480 b7c1 323f fd34 0000 0000 0000 0000 20e7 c753 0000 0004 -00 02 0 0 0 0 23 -2083 c1 -1 - -ddcb2a - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d8 - 11 MC 0003 - 14 MR 0003 2a - 14 MC 0003 - 15 MC 0003 - 16 MC 94dd - 19 MR 94dd 7c - 19 MC 94dd - 20 MC 94dd - 23 MW 94dd 3e -4528 afde 3e08 75d7 0000 0000 0000 0000 9505 b624 0000 0004 -00 02 0 0 0 0 23 -94dd 3e -1 - -ddcb2b - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 bd - 11 MC 0003 - 14 MR 0003 2b - 14 MC 0003 - 15 MC 0003 - 16 MC b441 - 19 MR b441 44 - 19 MC b441 - 20 MC b441 - 23 MW b441 22 -8324 e290 2622 7ddd 0000 0000 0000 0000 b484 571c 0000 0004 -00 02 0 0 0 0 23 -b441 22 -1 - -ddcb2c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 2c - 11 MC 0003 - 14 MR 0003 2c - 14 MC 0003 - 15 MC 0003 - 16 MC fe54 - 19 MR fe54 81 - 19 MC fe54 - 20 MC fe54 - 23 MW fe54 c0 -c685 0c94 6e4b c0c7 0000 0000 0000 0000 fe28 dc80 0000 0004 -00 02 0 0 0 0 23 -fe54 c0 -1 - -ddcb2d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9b - 11 MC 0003 - 14 MR 0003 2d - 14 MC 0003 - 15 MC 0003 - 16 MC b488 - 19 MR b488 44 - 19 MC b488 - 20 MC b488 - 23 MW b488 22 -ce24 d2ae c9be 4222 0000 0000 0000 0000 b4ed 6de3 0000 0004 -00 02 0 0 0 0 23 -b488 22 -1 - -ddcb2e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 3d - 11 MC 0003 - 14 MR 0003 2e - 14 MC 0003 - 15 MC 0003 - 16 MC 6a15 - 19 MR 6a15 05 - 19 MC 6a15 - 20 MC 6a15 - 23 MW 6a15 02 -5001 de74 eca8 83ff 0000 0000 0000 0000 69d8 75c7 0000 0004 -00 02 0 0 0 0 23 -6a15 02 -1 - -ddcb2f - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d3 - 11 MC 0003 - 14 MR 0003 2f - 14 MC 0003 - 15 MC 0003 - 16 MC 7a03 - 19 MR 7a03 f2 - 19 MC 7a03 - 20 MC 7a03 - 23 MW 7a03 f9 -f9ac 759b 3059 01b9 0000 0000 0000 0000 7a30 dd56 0000 0004 -00 02 0 0 0 0 23 -7a03 f9 -1 - -ddcb30 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 df - 11 MC 0003 - 14 MR 0003 30 - 14 MC 0003 - 15 MC 0003 - 16 MC eec7 - 19 MR eec7 32 - 19 MC eec7 - 20 MC eec7 - 23 MW eec7 65 -3c24 65ad 9cc7 a68c 0000 0000 0000 0000 eee8 5a80 0000 0004 -00 02 0 0 0 0 23 -eec7 65 -1 - -ddcb31 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 49 - 11 MC 0003 - 14 MR 0003 31 - 14 MC 0003 - 15 MC 0003 - 16 MC f276 - 19 MR f276 cd - 19 MC f276 - 20 MC f276 - 23 MW f276 9b -eb89 419b 929b 7d47 0000 0000 0000 0000 f22d 8943 0000 0004 -00 02 0 0 0 0 23 -f276 9b -1 - -ddcb32 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e0 - 11 MC 0003 - 14 MR 0003 32 - 14 MC 0003 - 15 MC 0003 - 16 MC 577f - 19 MR 577f e2 - 19 MC 577f - 20 MC 577f - 23 MW 577f c5 -9a85 aa64 c509 01ad 0000 0000 0000 0000 579f ec4c 0000 0004 -00 02 0 0 0 0 23 -577f c5 -1 - -ddcb33 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c9 - 11 MC 0003 - 14 MR 0003 33 - 14 MC 0003 - 15 MC 0003 - 16 MC ef75 - 19 MR ef75 0b - 19 MC ef75 - 20 MC ef75 - 23 MW ef75 17 -b804 b854 5217 9599 0000 0000 0000 0000 efac d9ec 0000 0004 -00 02 0 0 0 0 23 -ef75 17 -1 - -ddcb34 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 49 - 11 MC 0003 - 14 MR 0003 34 - 14 MC 0003 - 15 MC 0003 - 16 MC ab91 - 19 MR ab91 ef - 19 MC ab91 - 20 MC ab91 - 23 MW ab91 df -cd89 4432 20d4 df3e 0000 0000 0000 0000 ab48 c95f 0000 0004 -00 02 0 0 0 0 23 -ab91 df -1 - -ddcb35 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 b9 - 11 MC 0003 - 14 MR 0003 35 - 14 MC 0003 - 15 MC 0003 - 16 MC ead3 - 19 MR ead3 8f - 19 MC ead3 - 20 MC ead3 - 23 MW ead3 1f -de09 c6fc 696d 151f 0000 0000 0000 0000 eb1a 4a12 0000 0004 -00 02 0 0 0 0 23 -ead3 1f -1 - -ddcb36 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 b4 - 11 MC 0003 - 14 MR 0003 36 - 14 MC 0003 - 15 MC 0003 - 16 MC 12e2 - 19 MR 12e2 02 - 19 MC 12e2 - 20 MC 12e2 - 23 MW 12e2 05 -3d04 443b ff21 63e3 0000 0000 0000 0000 132e fb39 0000 0004 -00 02 0 0 0 0 23 -12e2 05 -1 - -ddcb37 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c6 - 11 MC 0003 - 14 MR 0003 37 - 14 MC 0003 - 15 MC 0003 - 16 MC 503d - 19 MR 503d 3d - 19 MC 503d - 20 MC 503d - 23 MW 503d 7b -7b2c bfc9 a69a ec0b 0000 0000 0000 0000 5077 4e3e 0000 0004 -00 02 0 0 0 0 23 -503d 7b -1 - -ddcb38 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 8e - 11 MC 0003 - 14 MR 0003 38 - 14 MC 0003 - 15 MC 0003 - 16 MC f623 - 19 MR f623 5e - 19 MC f623 - 20 MC f623 - 23 MW f623 2f -3c28 2fee 38e1 ae9f 0000 0000 0000 0000 f695 44b3 0000 0004 -00 02 0 0 0 0 23 -f623 2f -1 - -ddcb39 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 dc - 11 MC 0003 - 14 MR 0003 39 - 14 MC 0003 - 15 MC 0003 - 16 MC a871 - 19 MR a871 83 - 19 MC a871 - 20 MC a871 - 23 MW a871 41 -0505 9a41 a2db df75 0000 0000 0000 0000 a895 e243 0000 0004 -00 02 0 0 0 0 23 -a871 41 -1 - -ddcb3a - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0d - 11 MC 0003 - 14 MR 0003 3a - 14 MC 0003 - 15 MC 0003 - 16 MC 259e - 19 MR 259e 89 - 19 MC 259e - 20 MC 259e - 23 MW 259e 44 -0e05 0b9f 443b c01d 0000 0000 0000 0000 2591 49c3 0000 0004 -00 02 0 0 0 0 23 -259e 44 -1 - -ddcb3b - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 20 - 11 MC 0003 - 14 MR 0003 3b - 14 MC 0003 - 15 MC 0003 - 16 MC 700d - 19 MR 700d a9 - 19 MC 700d - 20 MC 700d - 23 MW 700d 54 -1b01 c795 d854 7ccf 0000 0000 0000 0000 6fed 09dc 0000 0004 -00 02 0 0 0 0 23 -700d 54 -1 - -ddcb3c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e1 - 11 MC 0003 - 14 MR 0003 3c - 14 MC 0003 - 15 MC 0003 - 16 MC f51c - 19 MR f51c d0 - 19 MC f51c - 20 MC f51c - 23 MW f51c 68 -b628 bdf7 fca3 6829 0000 0000 0000 0000 f53b 018b 0000 0004 -00 02 0 0 0 0 23 -f51c 68 -1 - -ddcb3d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 be - 11 MC 0003 - 14 MR 0003 3d - 14 MC 0003 - 15 MC 0003 - 16 MC 02de - 19 MR 02de 58 - 19 MC 02de - 20 MC 02de - 23 MW 02de 2c -2a28 6e6e cfbd 1d2c 0000 0000 0000 0000 0320 6ab0 0000 0004 -00 02 0 0 0 0 23 -02de 2c -1 - -ddcb3e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0a - 11 MC 0003 - 14 MR 0003 3e - 14 MC 0003 - 15 MC 0003 - 16 MC 7854 - 19 MR 7854 5d - 19 MC 7854 - 20 MC 7854 - 23 MW 7854 2e -392d b26e b670 b8a2 0000 0000 0000 0000 784a 7840 0000 0004 -00 02 0 0 0 0 23 -7854 2e -1 - -ddcb3f - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 31 - 11 MC 0003 - 14 MR 0003 3f - 14 MC 0003 - 15 MC 0003 - 16 MC 34b9 - 19 MR 34b9 04 - 19 MC 34b9 - 20 MC 34b9 - 23 MW 34b9 02 -0200 429d d8c0 e069 0000 0000 0000 0000 3488 7150 0000 0004 -00 02 0 0 0 0 23 -34b9 02 -1 - -ddcb40 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 bd - 11 MC 0003 - 14 MR 0003 40 - 14 MC 0003 - 15 MC 0003 - 16 MC 8bbe - 19 MR 8bbe e7 - 19 MC 8bbe -1119 f6ba 079e 0e41 0000 0000 0000 0000 8c01 cd21 0000 0004 -00 02 0 0 0 0 20 - -ddcb41 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 52 - 11 MC 0003 - 14 MR 0003 41 - 14 MC 0003 - 15 MC 0003 - 16 MC ce21 - 19 MR ce21 75 - 19 MC ce21 -2219 c4b0 575b 66b4 0000 0000 0000 0000 cdcf a25c 0000 0004 -00 02 0 0 0 0 20 - -ddcb42 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 1e - 11 MC 0003 - 14 MR 0003 42 - 14 MC 0003 - 15 MC 0003 - 16 MC f058 - 19 MR f058 90 - 19 MC f058 -af74 7720 aa95 3b0a 0000 0000 0000 0000 f03a 856a 0000 0004 -00 02 0 0 0 0 20 - -ddcb43 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 bc - 11 MC 0003 - 14 MR 0003 43 - 14 MC 0003 - 15 MC 0003 - 16 MC e872 - 19 MR e872 6b - 19 MC e872 -7f38 b699 5e71 1827 0000 0000 0000 0000 e8b6 96a8 0000 0004 -00 02 0 0 0 0 20 - -ddcb44 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e8 - 11 MC 0003 - 14 MR 0003 44 - 14 MC 0003 - 15 MC 0003 - 16 MC edf2 - 19 MR edf2 62 - 19 MC edf2 -5f7c de05 12fd f73b 0000 0000 0000 0000 ee0a 6634 0000 0004 -00 02 0 0 0 0 20 - -ddcb45 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 02 - 11 MC 0003 - 14 MR 0003 45 - 14 MC 0003 - 15 MC 0003 - 16 MC a2c0 - 19 MR a2c0 55 - 19 MC a2c0 -ea31 699c 47d3 89c3 0000 0000 0000 0000 a2be d81e 0000 0004 -00 02 0 0 0 0 20 - -ddcb46 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e2 - 11 MC 0003 - 14 MR 0003 46 - 14 MC 0003 - 15 MC 0003 - 16 MC a381 - 19 MR a381 d5 - 19 MC a381 -6030 ac1d 4173 f92a 0000 0000 0000 0000 a39f 12e5 0000 0004 -00 02 0 0 0 0 20 - -ddcb47 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7a - 11 MC 0003 - 14 MR 0003 47 - 14 MC 0003 - 15 MC 0003 - 16 MC 52a1 - 19 MR 52a1 6a - 19 MC 52a1 -1b54 f7c0 22f6 5253 0000 0000 0000 0000 5227 919d 0000 0004 -00 02 0 0 0 0 20 - -ddcb48 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 13 - 11 MC 0003 - 14 MR 0003 48 - 14 MC 0003 - 15 MC 0003 - 16 MC 2759 - 19 MR 2759 a8 - 19 MC 2759 -7274 4509 d68f 3b3d 0000 0000 0000 0000 2746 7f97 0000 0004 -00 02 0 0 0 0 20 - -ddcb49 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 94 - 11 MC 0003 - 14 MR 0003 49 - 14 MC 0003 - 15 MC 0003 - 16 MC 415a - 19 MR 415a 26 - 19 MC 415a -7f11 da22 ea9c f480 0000 0000 0000 0000 41c6 75a9 0000 0004 -00 02 0 0 0 0 20 - -ddcb4a - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 3b - 11 MC 0003 - 14 MR 0003 4a - 14 MC 0003 - 15 MC 0003 - 16 MC c026 - 19 MR c026 b5 - 19 MC c026 -f155 e6c3 5a42 8b21 0000 0000 0000 0000 bfeb e383 0000 0004 -00 02 0 0 0 0 20 - -ddcb4b - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 b0 - 11 MC 0003 - 14 MR 0003 4b - 14 MC 0003 - 15 MC 0003 - 16 MC c1e9 - 19 MR c1e9 18 - 19 MC c1e9 -1054 880a 52b2 fb1b 0000 0000 0000 0000 c239 6b40 0000 0004 -00 02 0 0 0 0 20 - -ddcb4c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 97 - 11 MC 0003 - 14 MR 0003 4c - 14 MC 0003 - 15 MC 0003 - 16 MC 86e3 - 19 MR 86e3 63 - 19 MC 86e3 -0510 bc63 f081 0a55 0000 0000 0000 0000 874c 80a3 0000 0004 -00 02 0 0 0 0 20 - -ddcb4d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 15 - 11 MC 0003 - 14 MR 0003 4d - 14 MC 0003 - 15 MC 0003 - 16 MC 7d3f - 19 MR 7d3f 60 - 19 MC 7d3f -7f7c 32b4 03d5 ef66 0000 0000 0000 0000 7d2a 03bc 0000 0004 -00 02 0 0 0 0 20 - -ddcb4e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 b0 - 11 MC 0003 - 14 MR 0003 4e - 14 MC 0003 - 15 MC 0003 - 16 MC ea8e - 19 MR ea8e 3b - 19 MC ea8e -7c39 fa92 b4d0 9f23 0000 0000 0000 0000 eade 1785 0000 0004 -00 02 0 0 0 0 20 - -ddcb4f - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 8b - 11 MC 0003 - 14 MR 0003 4f - 14 MC 0003 - 15 MC 0003 - 16 MC 884b - 19 MR 884b 4c - 19 MC 884b -725c 257b db73 2478 0000 0000 0000 0000 88c0 f151 0000 0004 -00 02 0 0 0 0 20 - -ddcb50 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 12 - 11 MC 0003 - 14 MR 0003 50 - 14 MC 0003 - 15 MC 0003 - 16 MC db04 - 19 MR db04 00 - 19 MC db04 -355c 8e51 406c 2e3c 0000 0000 0000 0000 daf2 413c 0000 0004 -00 02 0 0 0 0 20 - -ddcb51 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 18 - 11 MC 0003 - 14 MR 0003 51 - 14 MC 0003 - 15 MC 0003 - 16 MC 84ca - 19 MR 84ca 1c - 19 MC 84ca -a610 ba85 c88c e86c 0000 0000 0000 0000 84b2 cd8e 0000 0004 -00 02 0 0 0 0 20 - -ddcb52 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 42 - 11 MC 0003 - 14 MR 0003 52 - 14 MC 0003 - 15 MC 0003 - 16 MC 6198 - 19 MR 6198 53 - 19 MC 6198 -cb74 1220 1103 a868 0000 0000 0000 0000 6156 cfac 0000 0004 -00 02 0 0 0 0 20 - -ddcb53 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e3 - 11 MC 0003 - 14 MR 0003 53 - 14 MC 0003 - 15 MC 0003 - 16 MC ae28 - 19 MR ae28 d6 - 19 MC ae28 -5e39 569e f76d 88c6 0000 0000 0000 0000 ae45 623e 0000 0004 -00 02 0 0 0 0 20 - -ddcb54 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7d - 11 MC 0003 - 14 MR 0003 54 - 14 MC 0003 - 15 MC 0003 - 16 MC f052 - 19 MR f052 5d - 19 MC f052 -c331 76fe f1ff 416e 0000 0000 0000 0000 efd5 7576 0000 0004 -00 02 0 0 0 0 20 - -ddcb55 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 88 - 11 MC 0003 - 14 MR 0003 55 - 14 MC 0003 - 15 MC 0003 - 16 MC f2da - 19 MR f2da 03 - 19 MC f2da -7074 dcd0 8345 d498 0000 0000 0000 0000 f352 a88b 0000 0004 -00 02 0 0 0 0 20 - -ddcb56 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 57 - 11 MC 0003 - 14 MR 0003 56 - 14 MC 0003 - 15 MC 0003 - 16 MC 6d87 - 19 MR 6d87 61 - 19 MC 6d87 -917c 2cb8 571c f4fd 0000 0000 0000 0000 6d30 aec2 0000 0004 -00 02 0 0 0 0 20 - -ddcb57 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 06 - 11 MC 0003 - 14 MR 0003 57 - 14 MC 0003 - 15 MC 0003 - 16 MC 5839 - 19 MR 5839 1d - 19 MC 5839 -3c19 541a 027c c0b4 0000 0000 0000 0000 5833 160a 0000 0004 -00 02 0 0 0 0 20 - -ddcb58 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 83 - 11 MC 0003 - 14 MR 0003 58 - 14 MC 0003 - 15 MC 0003 - 16 MC 69c9 - 19 MR 69c9 0f - 19 MC 69c9 -c638 e1a8 9d6c bec3 0000 0000 0000 0000 6a46 b66c 0000 0004 -00 02 0 0 0 0 20 - -ddcb59 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 db - 11 MC 0003 - 14 MR 0003 59 - 14 MC 0003 - 15 MC 0003 - 16 MC 9170 - 19 MR 9170 10 - 19 MC 9170 -ad55 9bda b7ee 63c4 0000 0000 0000 0000 9195 9703 0000 0004 -00 02 0 0 0 0 20 - -ddcb5a - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d1 - 11 MC 0003 - 14 MR 0003 5a - 14 MC 0003 - 15 MC 0003 - 16 MC 0db1 - 19 MR 0db1 be - 19 MC 0db1 -8018 5105 36b0 a37c 0000 0000 0000 0000 0de0 ce7f 0000 0004 -00 02 0 0 0 0 20 - -ddcb5b - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d5 - 11 MC 0003 - 14 MR 0003 5b - 14 MC 0003 - 15 MC 0003 - 16 MC 6282 - 19 MR 6282 67 - 19 MC 6282 -2a75 083d 1409 06ba 0000 0000 0000 0000 62ad baff 0000 0004 -00 02 0 0 0 0 20 - -ddcb5c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 5c - 11 MC 0003 - 14 MR 0003 5c - 14 MC 0003 - 15 MC 0003 - 16 MC 9e22 - 19 MR 9e22 c9 - 19 MC 9e22 -4c18 e502 d23c 6da8 0000 0000 0000 0000 9dc6 6f04 0000 0004 -00 02 0 0 0 0 20 - -ddcb5d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ff - 11 MC 0003 - 14 MR 0003 5d - 14 MC 0003 - 15 MC 0003 - 16 MC d192 - 19 MR d192 0d - 19 MC d192 -7e11 511b 3cfa 60d3 0000 0000 0000 0000 d193 3fe9 0000 0004 -00 02 0 0 0 0 20 - -ddcb5e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 62 - 11 MC 0003 - 14 MR 0003 5e - 14 MC 0003 - 15 MC 0003 - 16 MC ed76 - 19 MR ed76 a7 - 19 MC ed76 -ce7d 0235 e2b1 7a4c 0000 0000 0000 0000 ed14 d0d6 0000 0004 -00 02 0 0 0 0 20 - -ddcb5f - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 3f - 11 MC 0003 - 14 MR 0003 5f - 14 MC 0003 - 15 MC 0003 - 16 MC df85 - 19 MR df85 9e - 19 MC df85 -0919 20a8 52e1 d783 0000 0000 0000 0000 df46 da41 0000 0004 -00 02 0 0 0 0 20 - -ddcb60 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 65 - 11 MC 0003 - 14 MR 0003 60 - 14 MC 0003 - 15 MC 0003 - 16 MC 3307 - 19 MR 3307 2e - 19 MC 3307 -4274 0713 dc90 2c89 0000 0000 0000 0000 32a2 c4d4 0000 0004 -00 02 0 0 0 0 20 - -ddcb61 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 a8 - 11 MC 0003 - 14 MR 0003 61 - 14 MC 0003 - 15 MC 0003 - 16 MC 3673 - 19 MR 3673 bc - 19 MC 3673 -1b30 1403 8b9b c221 0000 0000 0000 0000 36cb 93d4 0000 0004 -00 02 0 0 0 0 20 - -ddcb62 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d9 - 11 MC 0003 - 14 MR 0003 62 - 14 MC 0003 - 15 MC 0003 - 16 MC 0aa5 - 19 MR 0aa5 ea - 19 MC 0aa5 -365d 4055 650a 3f98 0000 0000 0000 0000 0acc a102 0000 0004 -00 02 0 0 0 0 20 - -ddcb63 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 79 - 11 MC 0003 - 14 MR 0003 63 - 14 MC 0003 - 15 MC 0003 - 16 MC e6f2 - 19 MR e6f2 83 - 19 MC e6f2 -6574 08df 3ceb 6d24 0000 0000 0000 0000 e679 f98e 0000 0004 -00 02 0 0 0 0 20 - -ddcb64 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 71 - 11 MC 0003 - 14 MR 0003 64 - 14 MC 0003 - 15 MC 0003 - 16 MC ed6c - 19 MR ed6c 52 - 19 MC ed6c -3c38 e2a7 6da9 c346 0000 0000 0000 0000 ecfb 85b6 0000 0004 -00 02 0 0 0 0 20 - -ddcb65 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 67 - 11 MC 0003 - 14 MR 0003 65 - 14 MC 0003 - 15 MC 0003 - 16 MC 77e0 - 19 MR 77e0 f5 - 19 MC 77e0 -0931 0abb 3afa 91f5 0000 0000 0000 0000 7779 aef5 0000 0004 -00 02 0 0 0 0 20 - -ddcb66 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 63 - 11 MC 0003 - 14 MR 0003 66 - 14 MC 0003 - 15 MC 0003 - 16 MC ee78 - 19 MR ee78 70 - 19 MC ee78 -cc38 d301 9b66 40fb 0000 0000 0000 0000 ee15 0d23 0000 0004 -00 02 0 0 0 0 20 - -ddcb67 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d7 - 11 MC 0003 - 14 MR 0003 67 - 14 MC 0003 - 15 MC 0003 - 16 MC ee78 - 19 MR ee78 06 - 19 MC ee78 -ec7d 342f be3e a79b 0000 0000 0000 0000 eea1 dfae 0000 0004 -00 02 0 0 0 0 20 - -ddcb68 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 b1 - 11 MC 0003 - 14 MR 0003 68 - 14 MC 0003 - 15 MC 0003 - 16 MC e919 - 19 MR e919 20 - 19 MC e919 -8e39 0063 49ad b7d4 0000 0000 0000 0000 e968 864e 0000 0004 -00 02 0 0 0 0 20 - -ddcb69 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e8 - 11 MC 0003 - 14 MR 0003 69 - 14 MC 0003 - 15 MC 0003 - 16 MC 33dc - 19 MR 33dc 4f - 19 MC 33dc -9f75 42b5 74fe 1116 0000 0000 0000 0000 33f4 46c2 0000 0004 -00 02 0 0 0 0 20 - -ddcb6a - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 33 - 11 MC 0003 - 14 MR 0003 6a - 14 MC 0003 - 15 MC 0003 - 16 MC 86e9 - 19 MR 86e9 1c - 19 MC 86e9 -4654 0bd8 0018 1ac3 0000 0000 0000 0000 86b6 1dd2 0000 0004 -00 02 0 0 0 0 20 - -ddcb6b - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 11 - 11 MC 0003 - 14 MR 0003 6b - 14 MC 0003 - 15 MC 0003 - 16 MC 188c - 19 MR 188c bc - 19 MC 188c -7a18 f79f a78e f867 0000 0000 0000 0000 187b 0023 0000 0004 -00 02 0 0 0 0 20 - -ddcb6c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 5e - 11 MC 0003 - 14 MR 0003 6c - 14 MC 0003 - 15 MC 0003 - 16 MC 3e7f - 19 MR 3e7f 2a - 19 MC 3e7f -dd39 1f1e c1e1 0ea7 0000 0000 0000 0000 3e21 f544 0000 0004 -00 02 0 0 0 0 20 - -ddcb6d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 dd - 11 MC 0003 - 14 MR 0003 6d - 14 MC 0003 - 15 MC 0003 - 16 MC e2f1 - 19 MR e2f1 41 - 19 MC e2f1 -de75 9ae4 fd24 b3c2 0000 0000 0000 0000 e314 ad84 0000 0004 -00 02 0 0 0 0 20 - -ddcb6e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 b2 - 11 MC 0003 - 14 MR 0003 6e - 14 MC 0003 - 15 MC 0003 - 16 MC 3038 - 19 MR 3038 3f - 19 MC 3038 -ca31 9f16 c700 1dce 0000 0000 0000 0000 3086 d68e 0000 0004 -00 02 0 0 0 0 20 - -ddcb6f - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 be - 11 MC 0003 - 14 MR 0003 6f - 14 MC 0003 - 15 MC 0003 - 16 MC fbd9 - 19 MR fbd9 56 - 19 MC fbd9 -d47d 0b39 3e2e c06e 0000 0000 0000 0000 fc1b d592 0000 0004 -00 02 0 0 0 0 20 - -ddcb70 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 b5 - 11 MC 0003 - 14 MR 0003 70 - 14 MC 0003 - 15 MC 0003 - 16 MC 03e1 - 19 MR 03e1 74 - 19 MC 03e1 -f911 09b8 43f8 2a76 0000 0000 0000 0000 042c 7f2d 0000 0004 -00 02 0 0 0 0 20 - -ddcb71 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f7 - 11 MC 0003 - 14 MR 0003 71 - 14 MC 0003 - 15 MC 0003 - 16 MC 1b2a - 19 MR 1b2a 08 - 19 MC 1b2a -ac5c 36ad 34cb f950 0000 0000 0000 0000 1b33 aa23 0000 0004 -00 02 0 0 0 0 20 - -ddcb72 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 22 - 11 MC 0003 - 14 MR 0003 72 - 14 MC 0003 - 15 MC 0003 - 16 MC ce47 - 19 MR ce47 08 - 19 MC ce47 -b15d f1e4 9984 c7fb 0000 0000 0000 0000 ce25 c5b6 0000 0004 -00 02 0 0 0 0 20 - -ddcb73 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 12 - 11 MC 0003 - 14 MR 0003 73 - 14 MC 0003 - 15 MC 0003 - 16 MC 6454 - 19 MR 6454 3c - 19 MC 6454 -2174 592d f406 e21f 0000 0000 0000 0000 6442 cf58 0000 0004 -00 02 0 0 0 0 20 - -ddcb74 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 08 - 11 MC 0003 - 14 MR 0003 74 - 14 MC 0003 - 15 MC 0003 - 16 MC 7dc9 - 19 MR 7dc9 be - 19 MC 7dc9 -667c 64c1 dbe5 eb48 0000 0000 0000 0000 7dc1 c1fb 0000 0004 -00 02 0 0 0 0 20 - -ddcb75 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 5b - 11 MC 0003 - 14 MR 0003 75 - 14 MC 0003 - 15 MC 0003 - 16 MC 6108 - 19 MR 6108 cf - 19 MC 6108 -8730 580e 00dd f4c6 0000 0000 0000 0000 60ad 9b60 0000 0004 -00 02 0 0 0 0 20 - -ddcb76 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 73 - 11 MC 0003 - 14 MR 0003 76 - 14 MC 0003 - 15 MC 0003 - 16 MC 7efd - 19 MR 7efd 1e - 19 MC 7efd -657c 5cc2 3058 e258 0000 0000 0000 0000 7e8a b296 0000 0004 -00 02 0 0 0 0 20 - -ddcb77 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7f - 11 MC 0003 - 14 MR 0003 77 - 14 MC 0003 - 15 MC 0003 - 16 MC 05b6 - 19 MR 05b6 97 - 19 MC 05b6 -e354 47a0 c510 cf0a 0000 0000 0000 0000 0537 b242 0000 0004 -00 02 0 0 0 0 20 - -ddcb78 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 70 - 11 MC 0003 - 14 MR 0003 78 - 14 MC 0003 - 15 MC 0003 - 16 MC 9407 - 19 MR 9407 76 - 19 MC 9407 -4255 24f6 1632 8a4f 0000 0000 0000 0000 9397 846c 0000 0004 -00 02 0 0 0 0 20 - -ddcb79 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c3 - 11 MC 0003 - 14 MR 0003 79 - 14 MC 0003 - 15 MC 0003 - 16 MC 41a1 - 19 MR 41a1 b8 - 19 MC 41a1 -e690 eeaa 41f7 5da2 0000 0000 0000 0000 41de 4189 0000 0004 -00 02 0 0 0 0 20 - -ddcb7a - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 3f - 11 MC 0003 - 14 MR 0003 7a - 14 MC 0003 - 15 MC 0003 - 16 MC 0ae8 - 19 MR 0ae8 eb - 19 MC 0ae8 -ca99 56aa 6a06 6cd7 0000 0000 0000 0000 0aa9 9812 0000 0004 -00 02 0 0 0 0 20 - -ddcb7b - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 88 - 11 MC 0003 - 14 MR 0003 7b - 14 MC 0003 - 15 MC 0003 - 16 MC 4fc8 - 19 MR 4fc8 22 - 19 MC 4fc8 -ae5d 0227 721f 52a1 0000 0000 0000 0000 5040 b98a 0000 0004 -00 02 0 0 0 0 20 - -ddcb7c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9e - 11 MC 0003 - 14 MR 0003 7c - 14 MC 0003 - 15 MC 0003 - 16 MC 6821 - 19 MR 6821 3a - 19 MC 6821 -8a7c a2f1 239a d5cc 0000 0000 0000 0000 6883 b050 0000 0004 -00 02 0 0 0 0 20 - -ddcb7d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 29 - 11 MC 0003 - 14 MR 0003 7d - 14 MC 0003 - 15 MC 0003 - 16 MC b04a - 19 MR b04a 2c - 19 MC b04a -c375 cf33 1010 98e6 0000 0000 0000 0000 b021 0356 0000 0004 -00 02 0 0 0 0 20 - -ddcb7e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 4f - 11 MC 0003 - 14 MR 0003 7e - 14 MC 0003 - 15 MC 0003 - 16 MC cf3f - 19 MR cf3f f2 - 19 MC cf3f -9a99 2f6e 0d0d a83f 0000 0000 0000 0000 cef0 8c15 0000 0004 -00 02 0 0 0 0 20 - -ddcb7f - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 75 - 11 MC 0003 - 14 MR 0003 7f - 14 MC 0003 - 15 MC 0003 - 16 MC 5f37 - 19 MR 5f37 a2 - 19 MC 5f37 -5399 1f4e 4837 21b6 0000 0000 0000 0000 5ec2 80c3 0000 0004 -00 02 0 0 0 0 20 - -ddcb80 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 70 - 11 MC 0003 - 14 MR 0003 80 - 14 MC 0003 - 15 MC 0003 - 16 MC ad35 - 19 MR ad35 30 - 19 MC ad35 - 20 MC ad35 - 23 MW ad35 30 -6319 30f9 c84b bcf2 0000 0000 0000 0000 acc5 a4ed 0000 0004 -00 02 0 0 0 0 23 - -ddcb81 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 2a - 11 MC 0003 - 14 MR 0003 81 - 14 MC 0003 - 15 MC 0003 - 16 MC bdfd - 19 MR bdfd 24 - 19 MC bdfd - 20 MC bdfd - 23 MW bdfd 24 -fae1 5a24 9502 dc9b 0000 0000 0000 0000 bdd3 1a52 0000 0004 -00 02 0 0 0 0 23 - -ddcb82 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9a - 11 MC 0003 - 14 MR 0003 82 - 14 MC 0003 - 15 MC 0003 - 16 MC 5e0e - 19 MR 5e0e 51 - 19 MC 5e0e - 20 MC 5e0e - 23 MW 5e0e 50 -daf6 3260 50ac 1d47 0000 0000 0000 0000 5e74 35e2 0000 0004 -00 02 0 0 0 0 23 -5e0e 50 -1 - -ddcb83 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0e - 11 MC 0003 - 14 MR 0003 83 - 14 MC 0003 - 15 MC 0003 - 16 MC 344f - 19 MR 344f 01 - 19 MC 344f - 20 MC 344f - 23 MW 344f 00 -8e7c 5586 8c00 fb00 0000 0000 0000 0000 3441 d365 0000 0004 -00 02 0 0 0 0 23 -344f 00 -1 - -ddcb84 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 47 - 11 MC 0003 - 14 MR 0003 84 - 14 MC 0003 - 15 MC 0003 - 16 MC 016a - 19 MR 016a b0 - 19 MC 016a - 20 MC 016a - 23 MW 016a b0 -c1b3 4874 c535 b01c 0000 0000 0000 0000 0123 dd28 0000 0004 -00 02 0 0 0 0 23 - -ddcb85 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 6c - 11 MC 0003 - 14 MR 0003 85 - 14 MC 0003 - 15 MC 0003 - 16 MC 0c0f - 19 MR 0c0f de - 19 MC 0c0f - 20 MC 0c0f - 23 MW 0c0f de -0928 b0db 4e07 a7de 0000 0000 0000 0000 0ba3 c61c 0000 0004 -00 02 0 0 0 0 23 - -ddcb86 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 5c - 11 MC 0003 - 14 MR 0003 86 - 14 MC 0003 - 15 MC 0003 - 16 MC 1121 - 19 MR 1121 7c - 19 MC 1121 - 20 MC 1121 - 23 MW 1121 7c -4515 de09 3ce7 1fde 0000 0000 0000 0000 10c5 33ed 0000 0004 -00 02 0 0 0 0 23 - -ddcb87 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 02 - 11 MC 0003 - 14 MR 0003 87 - 14 MC 0003 - 15 MC 0003 - 16 MC ede8 - 19 MR ede8 c4 - 19 MC ede8 - 20 MC ede8 - 23 MW ede8 c4 -c45e a733 d1dd 1603 0000 0000 0000 0000 ede6 e5fb 0000 0004 -00 02 0 0 0 0 23 - -ddcb88 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9b - 11 MC 0003 - 14 MR 0003 88 - 14 MC 0003 - 15 MC 0003 - 16 MC 8729 - 19 MR 8729 7c - 19 MC 8729 - 20 MC 8729 - 23 MW 8729 7c -e4fa 7c25 c266 1b13 0000 0000 0000 0000 878e e695 0000 0004 -00 02 0 0 0 0 23 - -ddcb89 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 2b - 11 MC 0003 - 14 MR 0003 89 - 14 MC 0003 - 15 MC 0003 - 16 MC 8f69 - 19 MR 8f69 cf - 19 MC 8f69 - 20 MC 8f69 - 23 MW 8f69 cd -933b 6fcd a3a8 2634 0000 0000 0000 0000 8f3e 7727 0000 0004 -00 02 0 0 0 0 23 -8f69 cd -1 - -ddcb8a - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0a - 11 MC 0003 - 14 MR 0003 8a - 14 MC 0003 - 15 MC 0003 - 16 MC 39b3 - 19 MR 39b3 ea - 19 MC 39b3 - 20 MC 39b3 - 23 MW 39b3 e8 -6759 ad1e e871 ce52 0000 0000 0000 0000 39a9 38a0 0000 0004 -00 02 0 0 0 0 23 -39b3 e8 -1 - -ddcb8b - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 62 - 11 MC 0003 - 14 MR 0003 8b - 14 MC 0003 - 15 MC 0003 - 16 MC 16e7 - 19 MR 16e7 8a - 19 MC 16e7 - 20 MC 16e7 - 23 MW 16e7 88 -3da2 1833 0388 07e9 0000 0000 0000 0000 1685 d790 0000 0004 -00 02 0 0 0 0 23 -16e7 88 -1 - -ddcb8c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e8 - 11 MC 0003 - 14 MR 0003 8c - 14 MC 0003 - 15 MC 0003 - 16 MC c68a - 19 MR c68a 3e - 19 MC c68a - 20 MC c68a - 23 MW c68a 3c -a625 ed31 3946 3cdc 0000 0000 0000 0000 c6a2 7ad6 0000 0004 -00 02 0 0 0 0 23 -c68a 3c -1 - -ddcb8d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 cc - 11 MC 0003 - 14 MR 0003 8d - 14 MC 0003 - 15 MC 0003 - 16 MC 22b2 - 19 MR 22b2 9e - 19 MC 22b2 - 20 MC 22b2 - 23 MW 22b2 9c -016b 5802 a683 259c 0000 0000 0000 0000 22e6 33bb 0000 0004 -00 02 0 0 0 0 23 -22b2 9c -1 - -ddcb8e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0a - 11 MC 0003 - 14 MR 0003 8e - 14 MC 0003 - 15 MC 0003 - 16 MC d2f2 - 19 MR d2f2 03 - 19 MC d2f2 - 20 MC d2f2 - 23 MW d2f2 01 -f4f4 f3a8 2843 82cb 0000 0000 0000 0000 d2e8 d367 0000 0004 -00 02 0 0 0 0 23 -d2f2 01 -1 - -ddcb8f - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7b - 11 MC 0003 - 14 MR 0003 8f - 14 MC 0003 - 15 MC 0003 - 16 MC 4079 - 19 MR 4079 96 - 19 MC 4079 - 20 MC 4079 - 23 MW 4079 94 -941a 8ae2 269b cb2f 0000 0000 0000 0000 3ffe 75dd 0000 0004 -00 02 0 0 0 0 23 -4079 94 -1 - -ddcb90 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 04 - 11 MC 0003 - 14 MR 0003 90 - 14 MC 0003 - 15 MC 0003 - 16 MC b505 - 19 MR b505 46 - 19 MC b505 - 20 MC b505 - 23 MW b505 42 -c167 42fc 42e7 9e14 0000 0000 0000 0000 b501 84fe 0000 0004 -00 02 0 0 0 0 23 -b505 42 -1 - -ddcb91 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 11 - 11 MC 0003 - 14 MR 0003 91 - 14 MC 0003 - 15 MC 0003 - 16 MC c998 - 19 MR c998 83 - 19 MC c998 - 20 MC c998 - 23 MW c998 83 -e85e cc83 d249 ea3b 0000 0000 0000 0000 c987 c4d1 0000 0004 -00 02 0 0 0 0 23 - -ddcb92 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 4b - 11 MC 0003 - 14 MR 0003 92 - 14 MC 0003 - 15 MC 0003 - 16 MC 91b1 - 19 MR 91b1 aa - 19 MC 91b1 - 20 MC 91b1 - 23 MW 91b1 aa -28a3 85ff aa28 47a5 0000 0000 0000 0000 9166 e755 0000 0004 -00 02 0 0 0 0 23 - -ddcb93 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 03 - 11 MC 0003 - 14 MR 0003 93 - 14 MC 0003 - 15 MC 0003 - 16 MC ac31 - 19 MR ac31 93 - 19 MC ac31 - 20 MC ac31 - 23 MW ac31 93 -58ac c88b 6d93 dbdd 0000 0000 0000 0000 ac2e 5199 0000 0004 -00 02 0 0 0 0 23 - -ddcb94 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 11 - 11 MC 0003 - 14 MR 0003 94 - 14 MC 0003 - 15 MC 0003 - 16 MC 5e95 - 19 MR 5e95 b7 - 19 MC 5e95 - 20 MC 5e95 - 23 MW 5e95 b3 -e38d 35a5 8d07 b3b8 0000 0000 0000 0000 5e84 5f24 0000 0004 -00 02 0 0 0 0 23 -5e95 b3 -1 - -ddcb95 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e6 - 11 MC 0003 - 14 MR 0003 95 - 14 MC 0003 - 15 MC 0003 - 16 MC fb5a - 19 MR fb5a c6 - 19 MC fb5a - 20 MC fb5a - 23 MW fb5a c2 -41f4 9536 dd7d 49c2 0000 0000 0000 0000 fb74 f17d 0000 0004 -00 02 0 0 0 0 23 -fb5a c2 -1 - -ddcb96 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d5 - 11 MC 0003 - 14 MR 0003 96 - 14 MC 0003 - 15 MC 0003 - 16 MC 7a56 - 19 MR 7a56 ae - 19 MC 7a56 - 20 MC 7a56 - 23 MW 7a56 aa -4a9e 42ef 32d7 18cf 0000 0000 0000 0000 7a81 bb1d 0000 0004 -00 02 0 0 0 0 23 -7a56 aa -1 - -ddcb97 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 92 - 11 MC 0003 - 14 MR 0003 97 - 14 MC 0003 - 15 MC 0003 - 16 MC 840e - 19 MR 840e 23 - 19 MC 840e - 20 MC 840e - 23 MW 840e 23 -23d3 89f0 73c7 0b1a 0000 0000 0000 0000 847c 4b86 0000 0004 -00 02 0 0 0 0 23 - -ddcb98 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 dc - 11 MC 0003 - 14 MR 0003 98 - 14 MC 0003 - 15 MC 0003 - 16 MC 03fa - 19 MR 03fa 58 - 19 MC 03fa - 20 MC 03fa - 23 MW 03fa 50 -6e22 50fd 9fdc 3aed 0000 0000 0000 0000 041e fd79 0000 0004 -00 02 0 0 0 0 23 -03fa 50 -1 - -ddcb99 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 6d - 11 MC 0003 - 14 MR 0003 99 - 14 MC 0003 - 15 MC 0003 - 16 MC 0a6a - 19 MR 0a6a ce - 19 MC 0a6a - 20 MC 0a6a - 23 MW 0a6a c6 -a132 38c6 1515 2830 0000 0000 0000 0000 09fd 0473 0000 0004 -00 02 0 0 0 0 23 -0a6a c6 -1 - -ddcb9a - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 8a - 11 MC 0003 - 14 MR 0003 9a - 14 MC 0003 - 15 MC 0003 - 16 MC 6832 - 19 MR 6832 a8 - 19 MC 6832 - 20 MC 6832 - 23 MW 6832 a0 -783d 8f69 a0c4 e38f 0000 0000 0000 0000 68a8 391d 0000 0004 -00 02 0 0 0 0 23 -6832 a0 -1 - -ddcb9b - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 97 - 11 MC 0003 - 14 MR 0003 9b - 14 MC 0003 - 15 MC 0003 - 16 MC 0686 - 19 MR 0686 62 - 19 MC 0686 - 20 MC 0686 - 23 MW 0686 62 -955a c7b0 5362 aec6 0000 0000 0000 0000 06ef e991 0000 0004 -00 02 0 0 0 0 23 - -ddcb9c - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 54 - 11 MC 0003 - 14 MR 0003 9c - 14 MC 0003 - 15 MC 0003 - 16 MC 84cf - 19 MR 84cf 1b - 19 MC 84cf - 20 MC 84cf - 23 MW 84cf 13 -af69 f896 e791 13ee 0000 0000 0000 0000 847b 59ed 0000 0004 -00 02 0 0 0 0 23 -84cf 13 -1 - -ddcb9d - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0f - 11 MC 0003 - 14 MR 0003 9d - 14 MC 0003 - 15 MC 0003 - 16 MC e92f - 19 MR e92f e8 - 19 MC e92f - 20 MC e92f - 23 MW e92f e0 -7d1e 5009 1248 38e0 0000 0000 0000 0000 e920 4fe6 0000 0004 -00 02 0 0 0 0 23 -e92f e0 -1 - -ddcb9e - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 b5 - 11 MC 0003 - 14 MR 0003 9e - 14 MC 0003 - 15 MC 0003 - 16 MC d870 - 19 MR d870 ee - 19 MC d870 - 20 MC d870 - 23 MW d870 e6 -c207 b47c 0e16 e17f 0000 0000 0000 0000 d8bb bb99 0000 0004 -00 02 0 0 0 0 23 -d870 e6 -1 - -ddcb9f - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 b8 - 11 MC 0003 - 14 MR 0003 9f - 14 MC 0003 - 15 MC 0003 - 16 MC e5f4 - 19 MR e5f4 a6 - 19 MC e5f4 - 20 MC e5f4 - 23 MW e5f4 a6 -a66b 7537 46bb 13c0 0000 0000 0000 0000 e63c 1d98 0000 0004 -00 02 0 0 0 0 23 - -ddcba0 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 02 - 11 MC 0003 - 14 MR 0003 a0 - 14 MC 0003 - 15 MC 0003 - 16 MC a2f2 - 19 MR a2f2 39 - 19 MC a2f2 - 20 MC a2f2 - 23 MW a2f2 29 -0bbe 2900 8609 5352 0000 0000 0000 0000 a2f0 da02 0000 0004 -00 02 0 0 0 0 23 -a2f2 29 -1 - -ddcba1 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f5 - 11 MC 0003 - 14 MR 0003 a1 - 14 MC 0003 - 15 MC 0003 - 16 MC 45b0 - 19 MR 45b0 d2 - 19 MC 45b0 - 20 MC 45b0 - 23 MW 45b0 c2 -ad0a aac2 0f2d 832c 0000 0000 0000 0000 45bb a22d 0000 0004 -00 02 0 0 0 0 23 -45b0 c2 -1 - -ddcba2 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0e - 11 MC 0003 - 14 MR 0003 a2 - 14 MC 0003 - 15 MC 0003 - 16 MC 6299 - 19 MR 6299 a1 - 19 MC 6299 - 20 MC 6299 - 23 MW 6299 a1 -f586 4a7d a1ab 26fc 0000 0000 0000 0000 628b 6c4d 0000 0004 -00 02 0 0 0 0 23 - -ddcba3 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 2e - 11 MC 0003 - 14 MR 0003 a3 - 14 MC 0003 - 15 MC 0003 - 16 MC 043b - 19 MR 043b 04 - 19 MC 043b - 20 MC 043b - 23 MW 043b 04 -de5b a284 d404 c92d 0000 0000 0000 0000 040d 12c0 0000 0004 -00 02 0 0 0 0 23 - -ddcba4 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 03 - 11 MC 0003 - 14 MR 0003 a4 - 14 MC 0003 - 15 MC 0003 - 16 MC fe50 - 19 MR fe50 27 - 19 MC fe50 - 20 MC fe50 - 23 MW fe50 27 -dfaa ae40 02c3 27b5 0000 0000 0000 0000 fe4d faa3 0000 0004 -00 02 0 0 0 0 23 - -ddcba5 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f6 - 11 MC 0003 - 14 MR 0003 a5 - 14 MC 0003 - 15 MC 0003 - 16 MC 7b1d - 19 MR 7b1d 6b - 19 MC 7b1d - 20 MC 7b1d - 23 MW 7b1d 6b -1a15 04cb 4352 ee6b 0000 0000 0000 0000 7b27 38a0 0000 0004 -00 02 0 0 0 0 23 - -ddcba6 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 27 - 11 MC 0003 - 14 MR 0003 a6 - 14 MC 0003 - 15 MC 0003 - 16 MC ae42 - 19 MR ae42 8f - 19 MC ae42 - 20 MC ae42 - 23 MW ae42 8f -5e46 b98a b822 04ca 0000 0000 0000 0000 ae1b 8730 0000 0004 -00 02 0 0 0 0 23 - -ddcba7 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f2 - 11 MC 0003 - 14 MR 0003 a7 - 14 MC 0003 - 15 MC 0003 - 16 MC 5eba - 19 MR 5eba 87 - 19 MC 5eba - 20 MC 5eba - 23 MW 5eba 87 -87ed 7b11 8cb0 eb3d 0000 0000 0000 0000 5ec8 97cf 0000 0004 -00 02 0 0 0 0 23 - -ddcba8 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d0 - 11 MC 0003 - 14 MR 0003 a8 - 14 MC 0003 - 15 MC 0003 - 16 MC e81f - 19 MR e81f 7e - 19 MC e81f - 20 MC e81f - 23 MW e81f 5e -5173 5e89 070d e8f9 0000 0000 0000 0000 e84f 55f0 0000 0004 -00 02 0 0 0 0 23 -e81f 5e -1 - -ddcba9 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9d - 11 MC 0003 - 14 MR 0003 a9 - 14 MC 0003 - 15 MC 0003 - 16 MC 0f7a - 19 MR 0f7a 1f - 19 MC 0f7a - 20 MC 0f7a - 23 MW 0f7a 1f -4fb8 cc1f 3e9a 2673 0000 0000 0000 0000 0fdd aef2 0000 0004 -00 02 0 0 0 0 23 - -ddcbaa - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9f - 11 MC 0003 - 14 MR 0003 aa - 14 MC 0003 - 15 MC 0003 - 16 MC 66e6 - 19 MR 66e6 50 - 19 MC 66e6 - 20 MC 66e6 - 23 MW 66e6 50 -fe76 6f96 50eb 0b21 0000 0000 0000 0000 6747 07ba 0000 0004 -00 02 0 0 0 0 23 - -ddcbab - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 16 - 11 MC 0003 - 14 MR 0003 ab - 14 MC 0003 - 15 MC 0003 - 16 MC 6698 - 19 MR 6698 eb - 19 MC 6698 - 20 MC 6698 - 23 MW 6698 cb -2eb4 36f1 8fcb 36af 0000 0000 0000 0000 6682 9d60 0000 0004 -00 02 0 0 0 0 23 -6698 cb -1 - -ddcbac - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c4 - 11 MC 0003 - 14 MR 0003 ac - 14 MC 0003 - 15 MC 0003 - 16 MC a4a1 - 19 MR a4a1 44 - 19 MC a4a1 - 20 MC a4a1 - 23 MW a4a1 44 -af32 8ca8 6558 44d9 0000 0000 0000 0000 a4dd cd1f 0000 0004 -00 02 0 0 0 0 23 - -ddcbad - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 49 - 11 MC 0003 - 14 MR 0003 ad - 14 MC 0003 - 15 MC 0003 - 16 MC ef3e - 19 MR ef3e 76 - 19 MC ef3e - 20 MC ef3e - 23 MW ef3e 56 -fcc9 69a7 0eed ea56 0000 0000 0000 0000 eef5 3ed2 0000 0004 -00 02 0 0 0 0 23 -ef3e 56 -1 - -ddcbae - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 6e - 11 MC 0003 - 14 MR 0003 ae - 14 MC 0003 - 15 MC 0003 - 16 MC b374 - 19 MR b374 5a - 19 MC b374 - 20 MC b374 - 23 MW b374 5a -5f7a 9c20 f013 c4b7 0000 0000 0000 0000 b306 15dd 0000 0004 -00 02 0 0 0 0 23 - -ddcbaf - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c2 - 11 MC 0003 - 14 MR 0003 af - 14 MC 0003 - 15 MC 0003 - 16 MC 35db - 19 MR 35db 15 - 19 MC 35db - 20 MC 35db - 23 MW 35db 15 -151e 2583 51fa d427 0000 0000 0000 0000 3619 9cef 0000 0004 -00 02 0 0 0 0 23 - -ddcbb0 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 47 - 11 MC 0003 - 14 MR 0003 b0 - 14 MC 0003 - 15 MC 0003 - 16 MC 591e - 19 MR 591e 1e - 19 MC 591e - 20 MC 591e - 23 MW 591e 1e -f43e 1e57 3bf3 0933 0000 0000 0000 0000 58d7 d89f 0000 0004 -00 02 0 0 0 0 23 - -ddcbb1 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 86 - 11 MC 0003 - 14 MR 0003 b1 - 14 MC 0003 - 15 MC 0003 - 16 MC ad58 - 19 MR ad58 46 - 19 MC ad58 - 20 MC ad58 - 23 MW ad58 06 -35ef bb06 db46 046c 0000 0000 0000 0000 add2 2b6e 0000 0004 -00 02 0 0 0 0 23 -ad58 06 -1 - -ddcbb2 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 70 - 11 MC 0003 - 14 MR 0003 b2 - 14 MC 0003 - 15 MC 0003 - 16 MC e840 - 19 MR e840 48 - 19 MC e840 - 20 MC e840 - 23 MW e840 08 -c26c fd32 087f ab6c 0000 0000 0000 0000 e7d0 501f 0000 0004 -00 02 0 0 0 0 23 -e840 08 -1 - -ddcbb3 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 de - 11 MC 0003 - 14 MR 0003 b3 - 14 MC 0003 - 15 MC 0003 - 16 MC 53d9 - 19 MR 53d9 06 - 19 MC 53d9 - 20 MC 53d9 - 23 MW 53d9 06 -36ca b434 e206 f805 0000 0000 0000 0000 53fb b191 0000 0004 -00 02 0 0 0 0 23 - -ddcbb4 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 6b - 11 MC 0003 - 14 MR 0003 b4 - 14 MC 0003 - 15 MC 0003 - 16 MC 50d1 - 19 MR 50d1 dd - 19 MC 50d1 - 20 MC 50d1 - 23 MW 50d1 9d -0a1c ab67 9ca1 9d98 0000 0000 0000 0000 5066 320c 0000 0004 -00 02 0 0 0 0 23 -50d1 9d -1 - -ddcbb5 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ec - 11 MC 0003 - 14 MR 0003 b5 - 14 MC 0003 - 15 MC 0003 - 16 MC 145a - 19 MR 145a d6 - 19 MC 145a - 20 MC 145a - 23 MW 145a 96 -fd6d 51c9 16d6 1396 0000 0000 0000 0000 146e 2148 0000 0004 -00 02 0 0 0 0 23 -145a 96 -1 - -ddcbb6 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 15 - 11 MC 0003 - 14 MR 0003 b6 - 14 MC 0003 - 15 MC 0003 - 16 MC 8787 - 19 MR 8787 8c - 19 MC 8787 - 20 MC 8787 - 23 MW 8787 8c -1d0b 04e8 109e 1dde 0000 0000 0000 0000 8772 8661 0000 0004 -00 02 0 0 0 0 23 - -ddcbb7 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d3 - 11 MC 0003 - 14 MR 0003 b7 - 14 MC 0003 - 15 MC 0003 - 16 MC 60f3 - 19 MR 60f3 54 - 19 MC 60f3 - 20 MC 60f3 - 23 MW 60f3 14 -1412 b87e 65ba a5c8 0000 0000 0000 0000 6120 789d 0000 0004 -00 02 0 0 0 0 23 -60f3 14 -1 - -ddcbb8 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 13 - 11 MC 0003 - 14 MR 0003 b8 - 14 MC 0003 - 15 MC 0003 - 16 MC 0c09 - 19 MR 0c09 87 - 19 MC 0c09 - 20 MC 0c09 - 23 MW 0c09 07 -8eae 0753 bfa1 5e7e 0000 0000 0000 0000 0bf6 1e35 0000 0004 -00 02 0 0 0 0 23 -0c09 07 -1 - -ddcbb9 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 56 - 11 MC 0003 - 14 MR 0003 b9 - 14 MC 0003 - 15 MC 0003 - 16 MC 05ba - 19 MR 05ba c8 - 19 MC 05ba - 20 MC 05ba - 23 MW 05ba 48 -5fb7 a848 e2d2 4117 0000 0000 0000 0000 0564 48a1 0000 0004 -00 02 0 0 0 0 23 -05ba 48 -1 - -ddcbba - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 86 - 11 MC 0003 - 14 MR 0003 ba - 14 MC 0003 - 15 MC 0003 - 16 MC f566 - 19 MR f566 30 - 19 MC f566 - 20 MC f566 - 23 MW f566 30 -7f6a 47fe 3045 75de 0000 0000 0000 0000 f5e0 032c 0000 0004 -00 02 0 0 0 0 23 - -ddcbbb - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ef - 11 MC 0003 - 14 MR 0003 bb - 14 MC 0003 - 15 MC 0003 - 16 MC bd20 - 19 MR bd20 c9 - 19 MC bd20 - 20 MC bd20 - 23 MW bd20 49 -c7e3 e49e 9e49 07e7 0000 0000 0000 0000 bd31 9d5f 0000 0004 -00 02 0 0 0 0 23 -bd20 49 -1 - -ddcbbc - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c0 - 11 MC 0003 - 14 MR 0003 bc - 14 MC 0003 - 15 MC 0003 - 16 MC 634e - 19 MR 634e 28 - 19 MC 634e - 20 MC 634e - 23 MW 634e 28 -b430 7ac7 b45f 28f7 0000 0000 0000 0000 638e 3173 0000 0004 -00 02 0 0 0 0 23 - -ddcbbd - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 b9 - 11 MC 0003 - 14 MR 0003 bd - 14 MC 0003 - 15 MC 0003 - 16 MC e37d - 19 MR e37d dd - 19 MC e37d - 20 MC e37d - 23 MW e37d 5d -4e71 6ffa a3f9 a25d 0000 0000 0000 0000 e3c4 02d4 0000 0004 -00 02 0 0 0 0 23 -e37d 5d -1 - -ddcbbe - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 4d - 11 MC 0003 - 14 MR 0003 be - 14 MC 0003 - 15 MC 0003 - 16 MC 5920 - 19 MR 5920 e8 - 19 MC 5920 - 20 MC 5920 - 23 MW 5920 68 -4af8 99a5 d6fd 7a16 0000 0000 0000 0000 58d3 ce54 0000 0004 -00 02 0 0 0 0 23 -5920 68 -1 - -ddcbbf - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 26 - 11 MC 0003 - 14 MR 0003 bf - 14 MC 0003 - 15 MC 0003 - 16 MC 175a - 19 MR 175a e2 - 19 MC 175a - 20 MC 175a - 23 MW 175a 62 -6231 0320 134b 77c3 0000 0000 0000 0000 1734 bc2d 0000 0004 -00 02 0 0 0 0 23 -175a 62 -1 - -ddcbc0 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 00 - 11 MC 0003 - 14 MR 0003 c0 - 14 MC 0003 - 15 MC 0003 - 16 MC 792e - 19 MR 792e 92 - 19 MC 792e - 20 MC 792e - 23 MW 792e 93 -75be 9393 093d 1128 0000 0000 0000 0000 792e 31f7 0000 0004 -00 02 0 0 0 0 23 -792e 93 -1 - -ddcbc1 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f7 - 11 MC 0003 - 14 MR 0003 c1 - 14 MC 0003 - 15 MC 0003 - 16 MC dcc3 - 19 MR dcc3 1c - 19 MC dcc3 - 20 MC dcc3 - 23 MW dcc3 1d -313f 821d 5fcc 42c8 0000 0000 0000 0000 dccc d87b 0000 0004 -00 02 0 0 0 0 23 -dcc3 1d -1 - -ddcbc2 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 85 - 11 MC 0003 - 14 MR 0003 c2 - 14 MC 0003 - 15 MC 0003 - 16 MC 0c7f - 19 MR 0c7f 30 - 19 MC 0c7f - 20 MC 0c7f - 23 MW 0c7f 31 -a7e3 bf55 317b 0a9d 0000 0000 0000 0000 0cfa ea4e 0000 0004 -00 02 0 0 0 0 23 -0c7f 31 -1 - -ddcbc3 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 32 - 11 MC 0003 - 14 MR 0003 c3 - 14 MC 0003 - 15 MC 0003 - 16 MC 5458 - 19 MR 5458 dd - 19 MC 5458 - 20 MC 5458 - 23 MW 5458 dd -e076 2760 1edd 9968 0000 0000 0000 0000 5426 a1a0 0000 0004 -00 02 0 0 0 0 23 - -ddcbc4 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ae - 11 MC 0003 - 14 MR 0003 c4 - 14 MC 0003 - 15 MC 0003 - 16 MC 7a7b - 19 MR 7a7b 27 - 19 MC 7a7b - 20 MC 7a7b - 23 MW 7a7b 27 -a679 cc05 3f4d 2799 0000 0000 0000 0000 7acd 48d7 0000 0004 -00 02 0 0 0 0 23 - -ddcbc5 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9c - 11 MC 0003 - 14 MR 0003 c5 - 14 MC 0003 - 15 MC 0003 - 16 MC ba35 - 19 MR ba35 20 - 19 MC ba35 - 20 MC ba35 - 23 MW ba35 21 -ddfd 64d4 2671 3521 0000 0000 0000 0000 ba99 bd98 0000 0004 -00 02 0 0 0 0 23 -ba35 21 -1 - -ddcbc6 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c4 - 11 MC 0003 - 14 MR 0003 c6 - 14 MC 0003 - 15 MC 0003 - 16 MC aaf0 - 19 MR aaf0 b8 - 19 MC aaf0 - 20 MC aaf0 - 23 MW aaf0 b9 -b324 dc0c 1e35 8cd5 0000 0000 0000 0000 ab2c b6f3 0000 0004 -00 02 0 0 0 0 23 -aaf0 b9 -1 - -ddcbc7 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f8 - 11 MC 0003 - 14 MR 0003 c7 - 14 MC 0003 - 15 MC 0003 - 16 MC 64c3 - 19 MR 64c3 94 - 19 MC 64c3 - 20 MC 64c3 - 23 MW 64c3 95 -9554 9e56 6828 3189 0000 0000 0000 0000 64cb dfad 0000 0004 -00 02 0 0 0 0 23 -64c3 95 -1 - -ddcbc8 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 65 - 11 MC 0003 - 14 MR 0003 c8 - 14 MC 0003 - 15 MC 0003 - 16 MC 6edf - 19 MR 6edf 8f - 19 MC 6edf - 20 MC 6edf - 23 MW 6edf 8f -8aca 8f9e e652 248b 0000 0000 0000 0000 6e7a 189a 0000 0004 -00 02 0 0 0 0 23 - -ddcbc9 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 fb - 11 MC 0003 - 14 MR 0003 c9 - 14 MC 0003 - 15 MC 0003 - 16 MC b66b - 19 MR b66b b9 - 19 MC b66b - 20 MC b66b - 23 MW b66b bb -f15f 85bb a21f 8a59 0000 0000 0000 0000 b670 4f79 0000 0004 -00 02 0 0 0 0 23 -b66b bb -1 - -ddcbca - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 8a - 11 MC 0003 - 14 MR 0003 ca - 14 MC 0003 - 15 MC 0003 - 16 MC a811 - 19 MR a811 7e - 19 MC a811 - 20 MC a811 - 23 MW a811 7e -dfab a031 7e78 ad3a 0000 0000 0000 0000 a887 7334 0000 0004 -00 02 0 0 0 0 23 - -ddcbcb - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 a4 - 11 MC 0003 - 14 MR 0003 cb - 14 MC 0003 - 15 MC 0003 - 16 MC a3eb - 19 MR a3eb 73 - 19 MC a3eb - 20 MC a3eb - 23 MW a3eb 73 -ebd6 376e c373 b10c 0000 0000 0000 0000 a447 31d6 0000 0004 -00 02 0 0 0 0 23 - -ddcbcc - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 65 - 11 MC 0003 - 14 MR 0003 cc - 14 MC 0003 - 15 MC 0003 - 16 MC 1fbf - 19 MR 1fbf 72 - 19 MC 1fbf - 20 MC 1fbf - 23 MW 1fbf 72 -0212 dc46 8f41 724e 0000 0000 0000 0000 1f5a 07ca 0000 0004 -00 02 0 0 0 0 23 - -ddcbcd - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e9 - 11 MC 0003 - 14 MR 0003 cd - 14 MC 0003 - 15 MC 0003 - 16 MC 535f - 19 MR 535f 1c - 19 MC 535f - 20 MC 535f - 23 MW 535f 1e -3344 d73c d6b8 921e 0000 0000 0000 0000 5376 6d3a 0000 0004 -00 02 0 0 0 0 23 -535f 1e -1 - -ddcbce - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 85 - 11 MC 0003 - 14 MR 0003 ce - 14 MC 0003 - 15 MC 0003 - 16 MC 0298 - 19 MR 0298 10 - 19 MC 0298 - 20 MC 0298 - 23 MW 0298 12 -9e47 fc93 9ffc aace 0000 0000 0000 0000 0313 7f66 0000 0004 -00 02 0 0 0 0 23 -0298 12 -1 - -ddcbcf - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e2 - 11 MC 0003 - 14 MR 0003 cf - 14 MC 0003 - 15 MC 0003 - 16 MC c5b2 - 19 MR c5b2 b5 - 19 MC c5b2 - 20 MC c5b2 - 23 MW c5b2 b7 -b7e8 d379 87d5 10b0 0000 0000 0000 0000 c5d0 4f7f 0000 0004 -00 02 0 0 0 0 23 -c5b2 b7 -1 - -ddcbd0 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7f - 11 MC 0003 - 14 MR 0003 d0 - 14 MC 0003 - 15 MC 0003 - 16 MC adc2 - 19 MR adc2 51 - 19 MC adc2 - 20 MC adc2 - 23 MW adc2 55 -3278 5514 d25d 1cf8 0000 0000 0000 0000 ad43 99fc 0000 0004 -00 02 0 0 0 0 23 -adc2 55 -1 - -ddcbd1 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 a6 - 11 MC 0003 - 14 MR 0003 d1 - 14 MC 0003 - 15 MC 0003 - 16 MC 1058 - 19 MR 1058 2c - 19 MC 1058 - 20 MC 1058 - 23 MW 1058 2c -c0b8 372c 6472 d92d 0000 0000 0000 0000 10b2 3074 0000 0004 -00 02 0 0 0 0 23 - -ddcbd2 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9c - 11 MC 0003 - 14 MR 0003 d2 - 14 MC 0003 - 15 MC 0003 - 16 MC b93d - 19 MR b93d 9c - 19 MC b93d - 20 MC b93d - 23 MW b93d 9c -5bb6 caa8 9cdb af84 0000 0000 0000 0000 b9a1 7b5f 0000 0004 -00 02 0 0 0 0 23 - -ddcbd3 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 bd - 11 MC 0003 - 14 MR 0003 d3 - 14 MC 0003 - 15 MC 0003 - 16 MC d9f3 - 19 MR d9f3 60 - 19 MC d9f3 - 20 MC d9f3 - 23 MW d9f3 64 -db6a 4fe2 9e64 a034 0000 0000 0000 0000 da36 88a0 0000 0004 -00 02 0 0 0 0 23 -d9f3 64 -1 - -ddcbd4 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 58 - 11 MC 0003 - 14 MR 0003 d4 - 14 MC 0003 - 15 MC 0003 - 16 MC ef7e - 19 MR ef7e 5e - 19 MC ef7e - 20 MC ef7e - 23 MW ef7e 5e -cc1c b884 6ad2 5e21 0000 0000 0000 0000 ef26 41de 0000 0004 -00 02 0 0 0 0 23 - -ddcbd5 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 41 - 11 MC 0003 - 14 MR 0003 d5 - 14 MC 0003 - 15 MC 0003 - 16 MC 8dfd - 19 MR 8dfd 71 - 19 MC 8dfd - 20 MC 8dfd - 23 MW 8dfd 75 -c41d c8b0 cacb 7675 0000 0000 0000 0000 8dbc cc25 0000 0004 -00 02 0 0 0 0 23 -8dfd 75 -1 - -ddcbd6 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ea - 11 MC 0003 - 14 MR 0003 d6 - 14 MC 0003 - 15 MC 0003 - 16 MC 5eed - 19 MR 5eed 73 - 19 MC 5eed - 20 MC 5eed - 23 MW 5eed 77 -09eb 769d 7e07 51f9 0000 0000 0000 0000 5f03 6280 0000 0004 -00 02 0 0 0 0 23 -5eed 77 -1 - -ddcbd7 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 80 - 11 MC 0003 - 14 MR 0003 d7 - 14 MC 0003 - 15 MC 0003 - 16 MC e6a5 - 19 MR e6a5 60 - 19 MC e6a5 - 20 MC e6a5 - 23 MW e6a5 64 -641b ee10 c152 2f6d 0000 0000 0000 0000 e725 c0d7 0000 0004 -00 02 0 0 0 0 23 -e6a5 64 -1 - -ddcbd8 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 4c - 11 MC 0003 - 14 MR 0003 d8 - 14 MC 0003 - 15 MC 0003 - 16 MC b35b - 19 MR b35b 96 - 19 MC b35b - 20 MC b35b - 23 MW b35b 9e -e3dc 9e81 c97b cb42 0000 0000 0000 0000 b30f b32a 0000 0004 -00 02 0 0 0 0 23 -b35b 9e -1 - -ddcbd9 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 52 - 11 MC 0003 - 14 MR 0003 d9 - 14 MC 0003 - 15 MC 0003 - 16 MC 2694 - 19 MR 2694 ef - 19 MC 2694 - 20 MC 2694 - 23 MW 2694 ef -e9a0 a7ef a476 6057 0000 0000 0000 0000 2642 58a0 0000 0004 -00 02 0 0 0 0 23 - -ddcbda - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ea - 11 MC 0003 - 14 MR 0003 da - 14 MC 0003 - 15 MC 0003 - 16 MC 2d60 - 19 MR 2d60 82 - 19 MC 2d60 - 20 MC 2d60 - 23 MW 2d60 8a -6787 26a7 8a94 11d3 0000 0000 0000 0000 2d76 7f80 0000 0004 -00 02 0 0 0 0 23 -2d60 8a -1 - -ddcbdb - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 4d - 11 MC 0003 - 14 MR 0003 db - 14 MC 0003 - 15 MC 0003 - 16 MC 2bca - 19 MR 2bca 10 - 19 MC 2bca - 20 MC 2bca - 23 MW 2bca 18 -f986 6a4b 6518 d2c8 0000 0000 0000 0000 2b7d 5847 0000 0004 -00 02 0 0 0 0 23 -2bca 18 -1 - -ddcbdc - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d3 - 11 MC 0003 - 14 MR 0003 dc - 14 MC 0003 - 15 MC 0003 - 16 MC 7ea7 - 19 MR 7ea7 45 - 19 MC 7ea7 - 20 MC 7ea7 - 23 MW 7ea7 4d -4c9e d94d 9760 4d07 0000 0000 0000 0000 7ed4 5cc5 0000 0004 -00 02 0 0 0 0 23 -7ea7 4d -1 - -ddcbdd - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 6f - 11 MC 0003 - 14 MR 0003 dd - 14 MC 0003 - 15 MC 0003 - 16 MC 5930 - 19 MR 5930 20 - 19 MC 5930 - 20 MC 5930 - 23 MW 5930 28 -4b3b d351 9be9 2328 0000 0000 0000 0000 58c1 e430 0000 0004 -00 02 0 0 0 0 23 -5930 28 -1 - -ddcbde - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 14 - 11 MC 0003 - 14 MR 0003 de - 14 MC 0003 - 15 MC 0003 - 16 MC 89e6 - 19 MR 89e6 5e - 19 MC 89e6 - 20 MC 89e6 - 23 MW 89e6 5e -3b62 ca1e a41a 227a 0000 0000 0000 0000 89d2 7011 0000 0004 -00 02 0 0 0 0 23 - -ddcbdf - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ef - 11 MC 0003 - 14 MR 0003 df - 14 MC 0003 - 15 MC 0003 - 16 MC d216 - 19 MR d216 72 - 19 MC d216 - 20 MC d216 - 23 MW d216 7a -7a8a 5b42 50dd 4be0 0000 0000 0000 0000 d227 4913 0000 0004 -00 02 0 0 0 0 23 -d216 7a -1 - -ddcbe0 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 62 - 11 MC 0003 - 14 MR 0003 e0 - 14 MC 0003 - 15 MC 0003 - 16 MC 1cad - 19 MR 1cad 46 - 19 MC 1cad - 20 MC 1cad - 23 MW 1cad 56 -440a 563d acfc f762 0000 0000 0000 0000 1c4b b6ba 0000 0004 -00 02 0 0 0 0 23 -1cad 56 -1 - -ddcbe1 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 2e - 11 MC 0003 - 14 MR 0003 e1 - 14 MC 0003 - 15 MC 0003 - 16 MC b95f - 19 MR b95f 75 - 19 MC b95f - 20 MC b95f - 23 MW b95f 75 -c219 aa75 dfbf 6f10 0000 0000 0000 0000 b931 d3d6 0000 0004 -00 02 0 0 0 0 23 - -ddcbe2 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 85 - 11 MC 0003 - 14 MR 0003 e2 - 14 MC 0003 - 15 MC 0003 - 16 MC eed5 - 19 MR eed5 72 - 19 MC eed5 - 20 MC eed5 - 23 MW eed5 72 -66d7 abd0 7248 8054 0000 0000 0000 0000 ef50 9997 0000 0004 -00 02 0 0 0 0 23 - -ddcbe3 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f4 - 11 MC 0003 - 14 MR 0003 e3 - 14 MC 0003 - 15 MC 0003 - 16 MC 7eba - 19 MR 7eba 34 - 19 MC 7eba - 20 MC 7eba - 23 MW 7eba 34 -7013 e7ed 7e34 57fb 0000 0000 0000 0000 7ec6 75eb 0000 0004 -00 02 0 0 0 0 23 - -ddcbe4 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 bf - 11 MC 0003 - 14 MR 0003 e4 - 14 MC 0003 - 15 MC 0003 - 16 MC 9586 - 19 MR 9586 34 - 19 MC 9586 - 20 MC 9586 - 23 MW 9586 34 -1108 6e70 f0af 340c 0000 0000 0000 0000 95c7 6501 0000 0004 -00 02 0 0 0 0 23 - -ddcbe5 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f2 - 11 MC 0003 - 14 MR 0003 e5 - 14 MC 0003 - 15 MC 0003 - 16 MC 6aa2 - 19 MR 6aa2 2e - 19 MC 6aa2 - 20 MC 6aa2 - 23 MW 6aa2 3e -57cc 5511 2696 b83e 0000 0000 0000 0000 6ab0 0e90 0000 0004 -00 02 0 0 0 0 23 -6aa2 3e -1 - -ddcbe6 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 25 - 11 MC 0003 - 14 MR 0003 e6 - 14 MC 0003 - 15 MC 0003 - 16 MC d88b - 19 MR d88b 4c - 19 MC d88b - 20 MC d88b - 23 MW d88b 5c -207a a441 1e03 ac60 0000 0000 0000 0000 d866 5fdc 0000 0004 -00 02 0 0 0 0 23 -d88b 5c -1 - -ddcbe7 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f3 - 11 MC 0003 - 14 MR 0003 e7 - 14 MC 0003 - 15 MC 0003 - 16 MC 2a0e - 19 MR 2a0e eb - 19 MC 2a0e - 20 MC 2a0e - 23 MW 2a0e fb -fbc5 7fa9 4e07 e02d 0000 0000 0000 0000 2a1b 55b7 0000 0004 -00 02 0 0 0 0 23 -2a0e fb -1 - -ddcbe8 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f2 - 11 MC 0003 - 14 MR 0003 e8 - 14 MC 0003 - 15 MC 0003 - 16 MC 4bcc - 19 MR 4bcc ba - 19 MC 4bcc - 20 MC 4bcc - 23 MW 4bcc ba -6d1c bac4 93f0 a0b4 0000 0000 0000 0000 4bda 7761 0000 0004 -00 02 0 0 0 0 23 - -ddcbe9 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 8a - 11 MC 0003 - 14 MR 0003 e9 - 14 MC 0003 - 15 MC 0003 - 16 MC 7267 - 19 MR 7267 0a - 19 MC 7267 - 20 MC 7267 - 23 MW 7267 2a -ebe5 0c2a 1a2a 2720 0000 0000 0000 0000 72dd a354 0000 0004 -00 02 0 0 0 0 23 -7267 2a -1 - -ddcbea - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 04 - 11 MC 0003 - 14 MR 0003 ea - 14 MC 0003 - 15 MC 0003 - 16 MC a7ed - 19 MR a7ed 5f - 19 MC a7ed - 20 MC a7ed - 23 MW a7ed 7f -42d2 da7a 7f7f 6da6 0000 0000 0000 0000 a7e9 b933 0000 0004 -00 02 0 0 0 0 23 -a7ed 7f -1 - -ddcbeb - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 24 - 11 MC 0003 - 14 MR 0003 eb - 14 MC 0003 - 15 MC 0003 - 16 MC 1703 - 19 MR 1703 f3 - 19 MC 1703 - 20 MC 1703 - 23 MW 1703 f3 -e945 10aa f5f3 7647 0000 0000 0000 0000 16df 93fb 0000 0004 -00 02 0 0 0 0 23 - -ddcbec - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 60 - 11 MC 0003 - 14 MR 0003 ec - 14 MC 0003 - 15 MC 0003 - 16 MC dde8 - 19 MR dde8 00 - 19 MC dde8 - 20 MC dde8 - 23 MW dde8 20 -7180 bc85 7dd3 2067 0000 0000 0000 0000 dd88 6a41 0000 0004 -00 02 0 0 0 0 23 -dde8 20 -1 - -ddcbed - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 be - 11 MC 0003 - 14 MR 0003 ed - 14 MC 0003 - 15 MC 0003 - 16 MC f730 - 19 MR f730 6b - 19 MC f730 - 20 MC f730 - 23 MW f730 6b -6b2f 9762 1f0a db6b 0000 0000 0000 0000 f772 33e3 0000 0004 -00 02 0 0 0 0 23 - -ddcbee - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 de - 11 MC 0003 - 14 MR 0003 ee - 14 MC 0003 - 15 MC 0003 - 16 MC 6c06 - 19 MR 6c06 bd - 19 MC 6c06 - 20 MC 6c06 - 23 MW 6c06 bd -79ea dc8a 7887 3baa 0000 0000 0000 0000 6c28 abbc 0000 0004 -00 02 0 0 0 0 23 - -ddcbef - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 30 - 11 MC 0003 - 14 MR 0003 ef - 14 MC 0003 - 15 MC 0003 - 16 MC cc98 - 19 MR cc98 11 - 19 MC cc98 - 20 MC cc98 - 23 MW cc98 31 -31c3 2fc2 8690 a836 0000 0000 0000 0000 cc68 a8ce 0000 0004 -00 02 0 0 0 0 23 -cc98 31 -1 - -ddcbf0 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 2f - 11 MC 0003 - 14 MR 0003 f0 - 14 MC 0003 - 15 MC 0003 - 16 MC 13ef - 19 MR 13ef ad - 19 MC 13ef - 20 MC 13ef - 23 MW 13ef ed -b330 ed69 362b b515 0000 0000 0000 0000 13c0 6479 0000 0004 -00 02 0 0 0 0 23 -13ef ed -1 - -ddcbf1 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 40 - 11 MC 0003 - 14 MR 0003 f1 - 14 MC 0003 - 15 MC 0003 - 16 MC 47fa - 19 MR 47fa 78 - 19 MC 47fa - 20 MC 47fa - 23 MW 47fa 78 -94c0 9a78 a0fd 7c1d 0000 0000 0000 0000 47ba 8c81 0000 0004 -00 02 0 0 0 0 23 - -ddcbf2 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0f - 11 MC 0003 - 14 MR 0003 f2 - 14 MC 0003 - 15 MC 0003 - 16 MC c956 - 19 MR c956 21 - 19 MC c956 - 20 MC c956 - 23 MW c956 61 -5302 9204 61ec d640 0000 0000 0000 0000 c947 4ef1 0000 0004 -00 02 0 0 0 0 23 -c956 61 -1 - -ddcbf3 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 06 - 11 MC 0003 - 14 MR 0003 f3 - 14 MC 0003 - 15 MC 0003 - 16 MC 1d9c - 19 MR 1d9c e4 - 19 MC 1d9c - 20 MC 1d9c - 23 MW 1d9c e4 -9950 a3d2 50e4 5ccc 0000 0000 0000 0000 1d96 7c75 0000 0004 -00 02 0 0 0 0 23 - -ddcbf4 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 3b - 11 MC 0003 - 14 MR 0003 f4 - 14 MC 0003 - 15 MC 0003 - 16 MC 173d - 19 MR 173d e1 - 19 MC 173d - 20 MC 173d - 23 MW 173d e1 -3712 1f99 4863 e1de 0000 0000 0000 0000 1702 c042 0000 0004 -00 02 0 0 0 0 23 - -ddcbf5 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 64 - 11 MC 0003 - 14 MR 0003 f5 - 14 MC 0003 - 15 MC 0003 - 16 MC eba3 - 19 MR eba3 c5 - 19 MC eba3 - 20 MC eba3 - 23 MW eba3 c5 -d83f 1ec9 d0da 41c5 0000 0000 0000 0000 eb3f 1ead 0000 0004 -00 02 0 0 0 0 23 - -ddcbf6 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7b - 11 MC 0003 - 14 MR 0003 f6 - 14 MC 0003 - 15 MC 0003 - 16 MC dcd5 - 19 MR dcd5 a2 - 19 MC dcd5 - 20 MC dcd5 - 23 MW dcd5 e2 -4d6c 93ac 810d cfe1 0000 0000 0000 0000 dc5a c33c 0000 0004 -00 02 0 0 0 0 23 -dcd5 e2 -1 - -ddcbf7 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c3 - 11 MC 0003 - 14 MR 0003 f7 - 14 MC 0003 - 15 MC 0003 - 16 MC 2fe1 - 19 MR 2fe1 a9 - 19 MC 2fe1 - 20 MC 2fe1 - 23 MW 2fe1 e9 -e940 7887 b9de c013 0000 0000 0000 0000 301e 9710 0000 0004 -00 02 0 0 0 0 23 -2fe1 e9 -1 - -ddcbf8 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 59 - 11 MC 0003 - 14 MR 0003 f8 - 14 MC 0003 - 15 MC 0003 - 16 MC 42d8 - 19 MR 42d8 28 - 19 MC 42d8 - 20 MC 42d8 - 23 MW 42d8 a8 -8278 a8a4 1e5c 4952 0000 0000 0000 0000 427f 41e1 0000 0004 -00 02 0 0 0 0 23 -42d8 a8 -1 - -ddcbf9 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 55 - 11 MC 0003 - 14 MR 0003 f9 - 14 MC 0003 - 15 MC 0003 - 16 MC d8e4 - 19 MR d8e4 14 - 19 MC d8e4 - 20 MC d8e4 - 23 MW d8e4 94 -b2df e994 56c3 16ff 0000 0000 0000 0000 d88f 0bab 0000 0004 -00 02 0 0 0 0 23 -d8e4 94 -1 - -ddcbfa - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 74 - 11 MC 0003 - 14 MR 0003 fa - 14 MC 0003 - 15 MC 0003 - 16 MC 9494 - 19 MR 9494 fe - 19 MC 9494 - 20 MC 9494 - 23 MW 9494 fe -01f1 bc0d fe76 1510 0000 0000 0000 0000 9420 93a3 0000 0004 -00 02 0 0 0 0 23 - -ddcbfb - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 af - 11 MC 0003 - 14 MR 0003 fb - 14 MC 0003 - 15 MC 0003 - 16 MC 3402 - 19 MR 3402 02 - 19 MC 3402 - 20 MC 3402 - 23 MW 3402 82 -709b 14eb ec82 b844 0000 0000 0000 0000 3453 f2b0 0000 0004 -00 02 0 0 0 0 23 -3402 82 -1 - -ddcbfc - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 a1 - 11 MC 0003 - 14 MR 0003 fc - 14 MC 0003 - 15 MC 0003 - 16 MC 60da - 19 MR 60da 10 - 19 MC 60da - 20 MC 60da - 23 MW 60da 90 -6c89 a96e d27b 90a7 0000 0000 0000 0000 6139 b4c1 0000 0004 -00 02 0 0 0 0 23 -60da 90 -1 - -ddcbfd - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 28 - 11 MC 0003 - 14 MR 0003 fd - 14 MC 0003 - 15 MC 0003 - 16 MC 3ef8 - 19 MR 3ef8 c2 - 19 MC 3ef8 - 20 MC 3ef8 - 23 MW 3ef8 c2 -fb3f 83f6 2094 33c2 0000 0000 0000 0000 3ed0 6f0e 0000 0004 -00 02 0 0 0 0 23 - -ddcbfe - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ec - 11 MC 0003 - 14 MR 0003 fe - 14 MC 0003 - 15 MC 0003 - 16 MC 41a1 - 19 MR 41a1 a1 - 19 MC 41a1 - 20 MC 41a1 - 23 MW 41a1 a1 -fc42 50b7 e98d 3e45 0000 0000 0000 0000 41b5 3410 0000 0004 -00 02 0 0 0 0 23 - -ddcbff - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d3 - 11 MC 0003 - 14 MR 0003 ff - 14 MC 0003 - 15 MC 0003 - 16 MC 0628 - 19 MR 0628 2b - 19 MC 0628 - 20 MC 0628 - 23 MW 0628 ab -ab66 94d2 ac90 8f45 0000 0000 0000 0000 0655 ba29 0000 0004 -00 02 0 0 0 0 23 -0628 ab -1 - -dde1 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 e1 - 8 MC 595f - 11 MR 595f 9a - 11 MC 5960 - 14 MR 5960 09 -8a15 6bf0 0106 3dd0 0000 0000 0000 0000 099a 8716 5961 0002 -00 02 0 0 0 0 14 - -dde3 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 e3 - 8 MC 57bd - 11 MR 57bd 15 - 11 MC 57be - 14 MR 57be 3f - 14 MC 57be - 15 MC 57be - 18 MW 57be be - 18 MC 57bd - 21 MW 57bd 05 - 21 MC 57bd - 22 MC 57bd -068e 58e6 2713 500f 0000 0000 0000 0000 3f15 4308 57bd 0002 -00 02 0 0 0 0 23 -57bd 05 be -1 - -dde5 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 e5 - 8 MC 0002 - 9 MC 0760 - 12 MW 0760 b2 - 12 MC 075f - 15 MW 075f 82 -7462 9b6c bfe5 0330 0000 0000 0000 0000 b282 e272 075f 0002 -00 02 0 0 0 0 15 -075f 82 b2 -1 - -dde9 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 e9 -75a7 139b f9a3 94bb 0000 0000 0000 0000 64f0 3433 0000 64f0 -00 02 0 0 0 0 8 - -ddf9 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 f9 - 8 MC 0002 - 9 MC 0002 -8709 15dd 7fa6 3c5c 0000 0000 0000 0000 d3a7 1d7b d3a7 0002 -00 02 0 0 0 0 10 - -ddfd00 - 0 MC 0000 - 4 MR 0000 dd - 4 MC 0001 - 8 MR 0001 fd - 8 MC 0002 - 12 MR 0002 00 - 12 MC 0003 - 16 MR 0003 00 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0004 -00 04 0 0 0 0 16 - -de - 0 MC 0000 - 4 MR 0000 de - 4 MC 0001 - 7 MR 0001 a1 -4502 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -df - 0 MC 6d33 - 4 MR 6d33 df - 4 MC 0001 - 5 MC 5506 - 8 MW 5506 6d - 8 MC 5505 - 11 MW 5505 34 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 5505 0018 -00 01 0 0 0 0 11 -5505 34 6d -1 - -e0_1 - 0 MC 0000 - 4 MR 0000 e0 - 4 MC 0001 - 5 MC 43f7 - 8 MR 43f7 e9 - 8 MC 43f8 - 11 MR 43f8 af -0098 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f9 afe9 -00 01 0 0 0 0 11 - -e0_2 - 0 MC 0000 - 4 MR 0000 e0 - 4 MC 0001 -009c 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0001 -00 01 0 0 0 0 5 - -e1 - 0 MC 0000 - 4 MR 0000 e1 - 4 MC 4143 - 7 MR 4143 ce - 7 MC 4144 - 10 MR 4144 e8 -0000 0000 0000 e8ce 0000 0000 0000 0000 0000 0000 4145 0001 -00 01 0 0 0 0 10 - -e2_1 - 0 MC 0000 - 4 MR 0000 e2 - 4 MC 0001 - 7 MR 0001 1b - 7 MC 0002 - 10 MR 0002 e1 -0083 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 e11b -00 01 0 0 0 0 10 - -e2_2 - 0 MC 0000 - 4 MR 0000 e2 - 4 MC 0001 - 7 MC 0002 -0087 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0003 -00 01 0 0 0 0 10 - -e3 - 0 MC 0000 - 4 MR 0000 e3 - 4 MC 0373 - 7 MR 0373 8e - 7 MC 0374 - 10 MR 0374 e1 - 10 MC 0374 - 11 MC 0374 - 14 MW 0374 4d - 14 MC 0373 - 17 MW 0373 22 - 17 MC 0373 - 18 MC 0373 -0000 0000 0000 e18e 0000 0000 0000 0000 0000 0000 0373 0001 -00 01 0 0 0 0 19 -0373 22 4d -1 - -e4_1 - 0 MC 0000 - 4 MR 0000 e4 - 4 MC 0001 - 7 MR 0001 61 - 7 MC 0002 - 10 MR 0002 9c - 10 MC 0002 - 11 MC 5697 - 14 MW 5697 00 - 14 MC 5696 - 17 MW 5696 03 -000a 0000 0000 0000 0000 0000 0000 0000 0000 0000 5696 9c61 -00 01 0 0 0 0 17 -5696 03 00 -1 - -e4_2 - 0 MC 0000 - 4 MR 0000 e4 - 4 MC 0001 - 7 MC 0002 -000e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0003 -00 01 0 0 0 0 10 - -e5 - 0 MC 0000 - 4 MR 0000 e5 - 4 MC 0001 - 5 MC ec11 - 8 MW ec11 1a - 8 MC ec10 - 11 MW ec10 2f -53e3 1459 775f 1a2f 0000 0000 0000 0000 0000 0000 ec10 0001 -00 01 0 0 0 0 11 -ec10 2f 1a -1 - -e6 - 0 MC 0000 - 4 MR 0000 e6 - 4 MC 0001 - 7 MR 0001 49 -4114 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -e7 - 0 MC 6d33 - 4 MR 6d33 e7 - 4 MC 0001 - 5 MC 5506 - 8 MW 5506 6d - 8 MC 5505 - 11 MW 5505 34 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 5505 0020 -00 01 0 0 0 0 11 -5505 34 6d -1 - -e8_1 - 0 MC 0000 - 4 MR 0000 e8 - 4 MC 0001 -0098 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0001 -00 01 0 0 0 0 5 - -e8_2 - 0 MC 0000 - 4 MR 0000 e8 - 4 MC 0001 - 5 MC 43f7 - 8 MR 43f7 e9 - 8 MC 43f8 - 11 MR 43f8 af -009c 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f9 afe9 -00 01 0 0 0 0 11 - -e9 - 0 MC 0000 - 4 MR 0000 e9 -0000 0000 0000 caba 0000 0000 0000 0000 0000 0000 0000 caba -00 01 0 0 0 0 4 - -ea_1 - 0 MC 0000 - 4 MR 0000 ea - 4 MC 0001 - 7 MR 0001 1b - 7 MC 0002 - 10 MR 0002 e1 -0087 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 e11b -00 01 0 0 0 0 10 - -ea_2 - 0 MC 0000 - 4 MR 0000 ea - 4 MC 0001 - 7 MC 0002 -0083 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0003 -00 01 0 0 0 0 10 - -eb - 0 MC 0000 - 4 MR 0000 eb -0000 0000 942e b879 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -ec_1 - 0 MC 0000 - 4 MR 0000 ec - 4 MC 0001 - 7 MR 0001 61 - 7 MC 0002 - 10 MR 0002 9c - 10 MC 0002 - 11 MC 5697 - 14 MW 5697 00 - 14 MC 5696 - 17 MW 5696 03 -000e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5696 9c61 -00 01 0 0 0 0 17 -5696 03 00 -1 - -ec_2 - 0 MC 0000 - 4 MR 0000 ec - 4 MC 0001 - 7 MC 0002 -000a 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0003 -00 01 0 0 0 0 10 - -ed40 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 40 - 9 PR 296b 29 -8329 296b 7034 1f2f 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -ed41 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 41 - 9 PW 0881 08 -29a2 0881 d7dd ff4e 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -ed42 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 42 - 8 MC 0002 - 9 MC 0002 - 10 MC 0002 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 -cb12 1c8f d456 14ce 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 - -ed43 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 43 - 8 MC 0002 - 11 MR 0002 c6 - 11 MC 0003 - 14 MR 0003 54 - 14 MC 54c6 - 17 MW 54c6 32 - 17 MC 54c7 - 20 MW 54c7 27 -da36 2732 91cc 9798 0000 0000 0000 0000 0000 0000 5f73 0004 -00 02 0 0 0 0 20 -54c6 32 27 -1 - -ed44 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 44 -0213 040f deb6 afc3 0000 0000 0000 0000 0000 0000 5ca8 0002 -00 02 0 0 0 0 8 - -ed45 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 45 - 8 MC 3100 - 11 MR 3100 1f - 11 MC 3101 - 14 MR 3101 22 -001d 5b63 a586 1451 0000 0000 0000 0000 0000 0000 3102 221f -00 02 1 1 0 0 14 - -ed46 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 46 -b6ec 8afb ce09 70a1 0000 0000 0000 0000 0000 0000 8dea 0002 -00 02 0 0 0 0 8 - -ed47 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 47 - 8 MC 0002 -9a99 9e5a 9913 cacc 0000 0000 0000 0000 0000 0000 0000 0002 -9a 02 0 0 0 0 9 - -ed48 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 48 - 8 PC 7d1b - 9 PR 7d1b 7d - 9 PC 7d1b - 10 PC 7d1b - 11 PC 7d1b -db2d 7d7d 141d 5fb4 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -ed49 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 49 - 8 PC 59ec - 9 PW 59ec ec - 9 PC 59ec -07a5 59ec f459 4316 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -ed4a - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 4a - 8 MC 0002 - 9 MC 0002 - 10 MC 0002 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 -57a8 24b5 83d2 bf7e 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 - -ed4b - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 4b - 8 MC 0002 - 11 MR 0002 1a - 11 MC 0003 - 14 MR 0003 a4 - 14 MC a41a - 17 MR a41a f3 - 17 MC a41b - 20 MR a41b d4 -650c d4f3 0448 a3b9 0000 0000 0000 0000 0000 0000 b554 0004 -00 02 0 0 0 0 20 - -ed4c - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 4c -aabb 7dde b049 939d 0000 0000 0000 0000 0000 0000 c7bb 0002 -00 02 0 0 0 0 8 - -ed4d - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 4d - 8 MC 680e - 11 MR 680e 03 - 11 MC 680f - 14 MR 680f 7c -1bed c358 5fd5 6093 0000 0000 0000 0000 0000 0000 6810 7c03 -00 02 0 0 0 0 14 - -ed4e - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 4e -8e01 e7c6 880f d2a2 0000 0000 0000 0000 0000 0000 85da 0002 -00 02 0 0 0 0 8 - -ed4f - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 4f - 8 MC 0002 -2ae3 c115 eff8 9f6d 0000 0000 0000 0000 0000 0000 0000 0002 -00 2a 0 0 0 0 9 - -ed50 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 50 - 9 PR bbcc bb - 9 PC bbcc -85ac bbcc bba8 f219 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -ed51 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 51 - 9 PW c0a4 53 - 9 PC c0a4 -2c4c c0a4 5303 bc25 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -ed52 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 52 - 8 MC 0002 - 9 MC 0002 - 10 MC 0002 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 -fc82 1fc8 47b6 92c5 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 - -ed53 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 53 - 8 MC 0002 - 11 MR 0002 ff - 11 MC 0003 - 14 MR 0003 21 - 14 MC 21ff - 17 MW 21ff b2 - 17 MC 2200 - 20 MW 2200 5c -1f88 4692 5cb2 4915 0000 0000 0000 0000 0000 0000 7d8c 0004 -00 02 0 0 0 0 20 -21ff b2 5c -1 - -ed54 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 54 -5313 5661 547c c322 0000 0000 0000 0000 0000 0000 d9eb 0002 -00 02 0 0 0 0 8 - -ed55 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 55 - 8 MC d4b4 - 11 MR d4b4 ea - 11 MC d4b5 - 14 MR d4b5 c9 -b05b 5e84 d6e9 cb3e 0000 0000 0000 0000 0000 0000 d4b6 c9ea -00 02 0 0 0 0 14 - -ed56 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 56 -5cc0 9100 356b 4bfd 0000 0000 0000 0000 0000 0000 2c93 0002 -00 02 0 0 1 0 8 - -ed57 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 57 - 8 MC 1e19 -1e08 dfc7 a621 1022 0000 0000 0000 0000 0000 0000 0000 0002 -1e 19 0 0 0 0 9 - -ed58 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 58 - 8 PC 4091 - 9 PR 4091 40 - 9 PC 4091 - 10 PC 4091 - 11 PC 4091 -c900 4091 9e40 873a 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -ed59 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 59 - 9 PW d512 c5 - 9 PC d512 -388a d512 ecc5 93af 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -ed5a - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 5a - 8 MC 0002 - 9 MC 0002 - 10 MC 0002 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 -a408 751c 19ce 1e62 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 - -ed5b - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 5b - 8 MC 0002 - 11 MR 0002 04 - 11 MC 0003 - 14 MR 0003 9f - 14 MC 9f04 - 17 MR 9f04 84 - 17 MC 9f05 - 20 MR 9f05 4d -5df1 982e 4d84 adb9 0000 0000 0000 0000 0000 0000 f398 0004 -00 02 0 0 0 0 20 - -ed5c - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 5c -efbb b86c 2042 c958 0000 0000 0000 0000 0000 0000 93dc 0002 -00 02 0 0 0 0 8 - -ed5d - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 5d - 8 MC 5308 - 11 MR 5308 26 - 11 MC 5309 - 14 MR 5309 e0 -1152 1d20 3f86 64fc 0000 0000 0000 0000 0000 0000 530a e026 -00 02 0 0 0 0 14 - -ed5e - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 5e -611a c8cf f215 d92b 0000 0000 0000 0000 0000 0000 4d86 0002 -00 02 0 0 2 0 8 - -ed5f - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 5f - 8 MC d7f5 -f5a1 fc09 2dfa bab9 0000 0000 0000 0000 0000 0000 0000 0002 -d7 f5 0 0 0 0 9 - -ed60 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 60 - 9 PR 0dae 0d - 9 PC 0dae -2c08 0dae 621e 0d66 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -ed61 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 61 - 9 PW 90ca d8 - 9 PC 90ca -ffa8 90ca 0340 d847 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -ed62 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 62 - 8 MC 0002 - 9 MC 0002 - 10 MC 0002 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 -a6bb d9aa 6623 ffff 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 - -ed63 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 63 - 8 MC 0002 - 11 MR 0002 67 - 11 MC 0003 - 14 MR 0003 65 - 14 MC 6567 - 17 MW 6567 d3 - 17 MC 6568 - 20 MW 6568 e4 -5222 88f9 9d9a e4d3 0000 0000 0000 0000 0000 0000 a2f0 0004 -00 02 0 0 0 0 20 -6567 d3 e4 -1 - -ed64 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 64 -df9b e425 66ac b2a3 0000 0000 0000 0000 0000 0000 43f2 0002 -00 02 0 0 0 0 8 - -ed65 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 65 - 8 MC f207 - 11 MR f207 eb - 11 MC f208 - 14 MR f208 0e -63d2 1fa1 0788 881c 0000 0000 0000 0000 0000 0000 f209 0eeb -00 02 1 1 0 0 14 - -ed66 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 66 -4088 a7e1 3ffd 919b 0000 0000 0000 0000 0000 0000 d193 0002 -00 02 0 0 0 0 8 - -ed67 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 67 - 8 MC b9de - 11 MR b9de 93 - 11 MC b9de - 12 MC b9de - 13 MC b9de - 14 MC b9de - 15 MC b9de - 18 MW b9de 69 -3324 b16a a4db b9de 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 18 -b9de 69 -1 - -ed68 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 68 - 8 PC 624b - 9 PR 624b 62 - 9 PC 624b - 10 PC 624b - 11 PC 624b -5320 624b 7311 3162 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -ed69 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 69 - 9 PW 8d2f d6 -abd8 8d2f 89c7 c3d6 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -ed6a - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 6a - 8 MC 0002 - 9 MC 0002 - 10 MC 0002 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 -bb9c 6fed 59bb 9c80 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 15 - -ed6b - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 6b - 8 MC 0002 - 11 MR 0002 98 - 11 MC 0003 - 14 MR 0003 61 - 14 MC 6198 - 17 MR 6198 3f - 17 MC 6199 - 20 MR 6199 be -9e35 d240 1998 be3f 0000 0000 0000 0000 0000 0000 9275 0004 -00 02 0 0 0 0 20 - -ed6c - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 6c -f1b3 7d5b cadb 0893 0000 0000 0000 0000 0000 0000 d983 0002 -00 02 0 0 0 0 8 - -ed6d - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 6d - 8 MC 5cd3 - 11 MR 5cd3 a9 - 11 MC 5cd4 - 14 MR 5cd4 73 -3860 42da 5935 dc10 0000 0000 0000 0000 0000 0000 5cd5 73a9 -00 02 0 0 0 0 14 - -ed6e - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 6e -7752 bec3 0457 8c95 0000 0000 0000 0000 0000 0000 a787 0002 -00 02 0 0 0 0 8 - -ed6f - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 6f - 8 MC 403c - 11 MR 403c c4 - 11 MC 403c - 12 MC 403c - 13 MC 403c - 14 MC 403c - 15 MC 403c - 18 MW 403c 45 -6c2d 7a7a ecf0 403c 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 18 -403c 45 -1 - -ed70 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 70 - 9 PR f7d6 f7 - 9 PC f7d6 -c6a1 f7d6 a3cb 288d 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -ed71 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 71 - 9 PW 20b3 00 -afa0 20b3 7b33 4ac1 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -ed72 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 72 - 8 MC 0002 - 9 MC 0002 - 10 MC 0002 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 -5f3e 05cb 0c6c 7daf 0000 0000 0000 0000 0000 0000 53db 0002 -00 02 0 0 0 0 15 - -ed73 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 73 - 8 MC 0002 - 11 MR 0002 2a - 11 MC 0003 - 14 MR 0003 79 - 14 MC 792a - 17 MW 792a d5 - 17 MC 792b - 20 MW 792b ae -41c4 763a ecb0 ee62 0000 0000 0000 0000 0000 0000 aed5 0004 -00 02 0 0 0 0 20 -792a d5 ae -1 - -ed74 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 74 -bcbb f2d2 8340 7e76 0000 0000 0000 0000 0000 0000 0323 0002 -00 02 0 0 0 0 8 - -ed75 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 75 - 8 MC 7d00 - 11 MR 7d00 fd - 11 MC 7d01 - 14 MR 7d01 4f -7ca4 1615 5d2a a95b 0000 0000 0000 0000 0000 0000 7d02 4ffd -00 02 1 1 0 0 14 - -ed76 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 76 -cabf ff9a b98c a8e6 0000 0000 0000 0000 0000 0000 fe8e 0002 -00 02 0 0 1 0 8 - -ed78 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 78 - 9 PR f206 f2 - 9 PC f206 -f2a1 f206 2d6a af16 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -ed79 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 79 - 8 PC 4243 - 9 PW 4243 e0 - 9 PC 4243 - 10 PC 4243 - 11 PC 4243 -e000 4243 8f7f ed90 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 12 - -ed7a - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 7a - 8 MC 0002 - 9 MC 0002 - 10 MC 0002 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 -32b8 d819 d873 eaf2 0000 0000 0000 0000 0000 0000 5d22 0002 -00 02 0 0 0 0 15 - -ed7b - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 7b - 8 MC 0002 - 11 MR 0002 50 - 11 MC 0003 - 14 MR 0003 8c - 14 MC 8c50 - 17 MR 8c50 d8 - 17 MC 8c51 - 20 MR 8c51 48 -4f97 24b7 e105 1bf2 0000 0000 0000 0000 0000 0000 48d8 0004 -00 02 0 0 0 0 20 - -ed7c - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 7c -2d3b 29ca 9622 b452 0000 0000 0000 0000 0000 0000 0be6 0002 -00 02 0 0 0 0 8 - -ed7d - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 7d - 8 MC 66f0 - 11 MR 66f0 4f - 11 MC 66f1 - 14 MR 66f1 fb -ecb6 073e dc1e 38d9 0000 0000 0000 0000 0000 0000 66f2 fb4f -00 02 1 1 0 0 14 - -ed7e - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 7e -b246 1a1a 933a 4b8b 0000 0000 0000 0000 0000 0000 2242 0002 -00 02 0 0 2 0 8 - -eda0 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a0 - 8 MC d097 - 11 MR d097 b7 - 11 MC 95c1 - 14 MW 95c1 b7 - 14 MC 95c1 - 15 MC 95c1 -1be5 3d10 95c2 d098 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 -95c1 b7 -1 - -eda1 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a1 - 8 MC 3bc3 - 11 MR 3bc3 b4 - 11 MC 3bc3 - 12 MC 3bc3 - 13 MC 3bc3 - 14 MC 3bc3 - 15 MC 3bc3 -ec0f 7665 537f 3bc4 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -eda2 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a2 - 8 MC 0002 - 10 PR 9a82 9a - 10 PC 9a82 - 13 MC 2666 - 16 MW 2666 9a -019f 9982 5bbd 2667 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 -2666 9a -1 - -eda2_01 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a2 - 8 MC 0002 - 10 PR 0200 02 - 10 PC 0200 - 13 MC 8000 - 16 MW 8000 02 -0000 0100 0000 8001 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 -8000 02 -1 - -eda2_02 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a2 - 8 MC 0002 - 9 PC 569a - 10 PR 569a 56 - 10 PC 569a - 13 MC 8000 - 16 MW 8000 56 -0000 559a 0000 8001 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 -8000 56 -1 - -eda2_03 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a2 - 8 MC 0002 - 10 PR abcc ab - 10 PC abcc - 13 MC 8000 - 16 MW 8000 ab -00bf aacc 0000 8001 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 -8000 ab -1 - -eda3 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a3 - 8 MC 0002 - 9 MC 32fa - 12 MR 32fa b3 - 12 PC 6234 - 13 PW 6234 b3 - 13 PC 6234 -4233 6234 1e28 32fb 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -eda3_01 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a3 - 8 MC 0002 - 9 MC 01ff - 12 MR 01ff 00 - 13 PW 0000 00 - 13 PC 0000 -0044 0000 0000 0200 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -eda3_02 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a3 - 8 MC 0002 - 9 MC 0100 - 12 MR 0100 00 - 13 PW 0000 00 - 13 PC 0000 -0040 0000 0000 0101 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -eda3_03 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a3 - 8 MC 0002 - 9 MC 0107 - 12 MR 0107 00 - 13 PW 0000 00 - 13 PC 0000 -0044 0000 0000 0108 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -eda3_04 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a3 - 8 MC 0002 - 9 MC 01ff - 12 MR 01ff 80 - 13 PW 0000 80 - 13 PC 0000 -0046 0000 0000 0200 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -eda3_05 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a3 - 8 MC 0002 - 9 MC 01fd - 12 MR 01fd 12 - 13 PW 0000 12 - 13 PC 0000 -0055 0000 0000 01fe 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -eda3_06 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a3 - 8 MC 0002 - 9 MC 01fe - 12 MR 01fe 12 - 13 PW 0000 12 - 13 PC 0000 -0051 0000 0000 01ff 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -eda3_07 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a3 - 8 MC 0002 - 9 MC 01ff - 12 MR 01ff 00 - 13 PW 0100 00 - 13 PC 0100 -0000 0100 0000 0200 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -eda3_08 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a3 - 8 MC 0002 - 9 MC 01fe - 12 MR 01fe 00 - 13 PW 0700 00 - 13 PC 0700 -0004 0700 0000 01ff 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -eda3_09 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a3 - 8 MC 0002 - 9 MC 01ff - 12 MR 01ff 00 - 13 PW 8000 00 - 13 PC 8000 -0080 8000 0000 0200 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -eda3_10 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a3 - 8 MC 0002 - 9 MC 01ff - 12 MR 01ff 00 - 13 PW 8100 00 - 13 PC 8100 -0084 8100 0000 0200 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -eda3_11 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a3 - 8 MC 0002 - 9 MC 01ff - 12 MR 01ff 00 - 13 PW a800 00 - 13 PC a800 -00a8 a800 0000 0200 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -eda8 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a8 - 8 MC 12e8 - 11 MR 12e8 d8 - 11 MC 5938 - 14 MW 5938 d8 - 14 MC 5938 - 15 MC 5938 -2aa4 1606 5937 12e7 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 -5938 d8 -1 - -eda9 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 a9 - 8 MC 0dbe - 11 MR 0dbe 89 - 11 MC 0dbe - 12 MC 0dbe - 13 MC 0dbe - 14 MC 0dbe - 15 MC 0dbe -14bf fb41 0466 0dbd 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -edaa - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 aa - 8 MC 0002 - 10 PR d791 d7 - 13 MC a533 - 16 MW a533 d7 -2097 d691 a912 a532 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 -a533 d7 -1 - -edaa_01 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 aa - 8 MC 0002 - 10 PR 0101 01 - 13 MC 8000 - 16 MW 8000 01 -0040 0001 0000 7fff 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 -8000 01 -1 - -edaa_02 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 aa - 8 MC 0002 - 9 PC 56aa - 10 PR 56aa 56 - 10 PC 56aa - 13 MC 8000 - 16 MW 8000 56 -0000 55aa 0000 7fff 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 -8000 56 -1 - -edaa_03 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 aa - 8 MC 0002 - 10 PR abcc ab - 10 PC abcc - 13 MC 8000 - 16 MW 8000 ab -00bf aacc 0000 7fff 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 -8000 ab -1 - -edab - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 ab - 8 MC 0002 - 9 MC 199f - 12 MR 199f 49 - 13 PW f234 49 - 13 PC f234 -00a4 f234 d3e1 199e 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -edab_01 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 ab - 8 MC 0002 - 9 MC 007a - 12 MR 007a 7f - 12 PC 5700 - 13 PW 5700 7f - 13 PC 5700 -0000 5700 0000 0079 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -edab_02 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 ab - 8 MC 0002 - 9 MC 00f1 - 12 MR 00f1 cd - 13 PW aa00 cd - 13 PC aa00 -00bf aa00 0000 00f0 0000 0000 0000 0000 0000 0000 0000 0002 -00 02 0 0 0 0 16 - -edb0 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 b0 - 8 MC 558e - 11 MR 558e 53 - 11 MC aad8 - 14 MW aad8 53 - 14 MC aad8 - 15 MC aad8 - 16 MC aad8 - 17 MC aad8 - 18 MC aad8 - 19 MC aad8 - 20 MC aad8 - 21 MC 0000 - 25 MR 0000 ed - 25 MC 0001 - 29 MR 0001 b0 - 29 MC 558f - 32 MR 558f 94 - 32 MC aad9 - 35 MW aad9 94 - 35 MC aad9 - 36 MC aad9 - 37 MC aad9 - 38 MC aad9 - 39 MC aad9 - 40 MC aad9 - 41 MC aad9 - 42 MC 0000 - 46 MR 0000 ed - 46 MC 0001 - 50 MR 0001 b0 - 50 MC 5590 - 53 MR 5590 30 - 53 MC aada - 56 MW aada 30 - 56 MC aada - 57 MC aada - 58 MC aada - 59 MC aada - 60 MC aada - 61 MC aada - 62 MC aada - 63 MC 0000 - 67 MR 0000 ed - 67 MC 0001 - 71 MR 0001 b0 - 71 MC 5591 - 74 MR 5591 05 - 74 MC aadb - 77 MW aadb 05 - 77 MC aadb - 78 MC aadb - 79 MC aadb - 80 MC aadb - 81 MC aadb - 82 MC aadb - 83 MC aadb - 84 MC 0000 - 88 MR 0000 ed - 88 MC 0001 - 92 MR 0001 b0 - 92 MC 5592 - 95 MR 5592 44 - 95 MC aadc - 98 MW aadc 44 - 98 MC aadc - 99 MC aadc - 100 MC aadc - 101 MC aadc - 102 MC aadc - 103 MC aadc - 104 MC aadc - 105 MC 0000 - 109 MR 0000 ed - 109 MC 0001 - 113 MR 0001 b0 - 113 MC 5593 - 116 MR 5593 24 - 116 MC aadd - 119 MW aadd 24 - 119 MC aadd - 120 MC aadd - 121 MC aadd - 122 MC aadd - 123 MC aadd - 124 MC aadd - 125 MC aadd - 126 MC 0000 - 130 MR 0000 ed - 130 MC 0001 - 134 MR 0001 b0 - 134 MC 5594 - 137 MR 5594 22 - 137 MC aade - 140 MW aade 22 - 140 MC aade - 141 MC aade - 142 MC aade - 143 MC aade - 144 MC aade - 145 MC aade - 146 MC aade - 147 MC 0000 - 151 MR 0000 ed - 151 MC 0001 - 155 MR 0001 b0 - 155 MC 5595 - 158 MR 5595 b9 - 158 MC aadf - 161 MW aadf b9 - 161 MC aadf - 162 MC aadf - 163 MC aadf - 164 MC aadf - 165 MC aadf - 166 MC aadf - 167 MC aadf - 168 MC 0000 - 172 MR 0000 ed - 172 MC 0001 - 176 MR 0001 b0 - 176 MC 5596 - 179 MR 5596 e9 - 179 MC aae0 - 182 MW aae0 e9 - 182 MC aae0 - 183 MC aae0 - 184 MC aae0 - 185 MC aae0 - 186 MC aae0 - 187 MC aae0 - 188 MC aae0 - 189 MC 0000 - 193 MR 0000 ed - 193 MC 0001 - 197 MR 0001 b0 - 197 MC 5597 - 200 MR 5597 77 - 200 MC aae1 - 203 MW aae1 77 - 203 MC aae1 - 204 MC aae1 - 205 MC aae1 - 206 MC aae1 - 207 MC aae1 - 208 MC aae1 - 209 MC aae1 - 210 MC 0000 - 214 MR 0000 ed - 214 MC 0001 - 218 MR 0001 b0 - 218 MC 5598 - 221 MR 5598 23 - 221 MC aae2 - 224 MW aae2 23 - 224 MC aae2 - 225 MC aae2 - 226 MC aae2 - 227 MC aae2 - 228 MC aae2 - 229 MC aae2 - 230 MC aae2 - 231 MC 0000 - 235 MR 0000 ed - 235 MC 0001 - 239 MR 0001 b0 - 239 MC 5599 - 242 MR 5599 71 - 242 MC aae3 - 245 MW aae3 71 - 245 MC aae3 - 246 MC aae3 - 247 MC aae3 - 248 MC aae3 - 249 MC aae3 - 250 MC aae3 - 251 MC aae3 - 252 MC 0000 - 256 MR 0000 ed - 256 MC 0001 - 260 MR 0001 b0 - 260 MC 559a - 263 MR 559a e2 - 263 MC aae4 - 266 MW aae4 e2 - 266 MC aae4 - 267 MC aae4 - 268 MC aae4 - 269 MC aae4 - 270 MC aae4 - 271 MC aae4 - 272 MC aae4 - 273 MC 0000 - 277 MR 0000 ed - 277 MC 0001 - 281 MR 0001 b0 - 281 MC 559b - 284 MR 559b 5c - 284 MC aae5 - 287 MW aae5 5c - 287 MC aae5 - 288 MC aae5 - 289 MC aae5 - 290 MC aae5 - 291 MC aae5 - 292 MC aae5 - 293 MC aae5 - 294 MC 0000 - 298 MR 0000 ed - 298 MC 0001 - 302 MR 0001 b0 - 302 MC 559c - 305 MR 559c fb - 305 MC aae6 - 308 MW aae6 fb - 308 MC aae6 - 309 MC aae6 - 310 MC aae6 - 311 MC aae6 - 312 MC aae6 - 313 MC aae6 - 314 MC aae6 - 315 MC 0000 - 319 MR 0000 ed - 319 MC 0001 - 323 MR 0001 b0 - 323 MC 559d - 326 MR 559d 49 - 326 MC aae7 - 329 MW aae7 49 - 329 MC aae7 - 330 MC aae7 -1049 0000 aae8 559e 0000 0000 0000 0000 0000 0000 0000 0002 -00 20 0 0 0 0 331 -aad8 53 94 30 05 44 24 22 b9 e9 77 23 71 e2 5c fb 49 -1 - -edb1 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 b1 - 8 MC 9825 - 11 MR 9825 50 - 11 MC 9825 - 12 MC 9825 - 13 MC 9825 - 14 MC 9825 - 15 MC 9825 - 16 MC 9825 - 17 MC 9825 - 18 MC 9825 - 19 MC 9825 - 20 MC 9825 - 21 MC 0000 - 25 MR 0000 ed - 25 MC 0001 - 29 MR 0001 b1 - 29 MC 9826 - 32 MR 9826 e5 - 32 MC 9826 - 33 MC 9826 - 34 MC 9826 - 35 MC 9826 - 36 MC 9826 - 37 MC 9826 - 38 MC 9826 - 39 MC 9826 - 40 MC 9826 - 41 MC 9826 - 42 MC 0000 - 46 MR 0000 ed - 46 MC 0001 - 50 MR 0001 b1 - 50 MC 9827 - 53 MR 9827 41 - 53 MC 9827 - 54 MC 9827 - 55 MC 9827 - 56 MC 9827 - 57 MC 9827 - 58 MC 9827 - 59 MC 9827 - 60 MC 9827 - 61 MC 9827 - 62 MC 9827 - 63 MC 0000 - 67 MR 0000 ed - 67 MC 0001 - 71 MR 0001 b1 - 71 MC 9828 - 74 MR 9828 f4 - 74 MC 9828 - 75 MC 9828 - 76 MC 9828 - 77 MC 9828 - 78 MC 9828 -f447 0004 e4e0 9829 0000 0000 0000 0000 0000 0000 0000 0002 -00 08 0 0 0 0 79 - -edb2 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 b2 - 8 MC 0002 - 10 PR 0a40 0a - 10 PC 0a40 - 13 MC 37ce - 16 MW 37ce 0a - 16 MC 37ce - 17 MC 37ce - 18 MC 37ce - 19 MC 37ce - 20 MC 37ce - 21 MC 0000 - 25 MR 0000 ed - 25 MC 0001 - 29 MR 0001 b2 - 29 MC 0004 - 31 PR 0940 09 - 31 PC 0940 - 34 MC 37cf - 37 MW 37cf 09 - 37 MC 37cf - 38 MC 37cf - 39 MC 37cf - 40 MC 37cf - 41 MC 37cf - 42 MC 0000 - 46 MR 0000 ed - 46 MC 0001 - 50 MR 0001 b2 - 50 MC 0006 - 52 PR 0840 08 - 52 PC 0840 - 55 MC 37d0 - 58 MW 37d0 08 - 58 MC 37d0 - 59 MC 37d0 - 60 MC 37d0 - 61 MC 37d0 - 62 MC 37d0 - 63 MC 0000 - 67 MR 0000 ed - 67 MC 0001 - 71 MR 0001 b2 - 71 MC 0008 - 73 PR 0740 07 - 73 PC 0740 - 76 MC 37d1 - 79 MW 37d1 07 - 79 MC 37d1 - 80 MC 37d1 - 81 MC 37d1 - 82 MC 37d1 - 83 MC 37d1 - 84 MC 0000 - 88 MR 0000 ed - 88 MC 0001 - 92 MR 0001 b2 - 92 MC 000a - 94 PR 0640 06 - 94 PC 0640 - 97 MC 37d2 - 100 MW 37d2 06 - 100 MC 37d2 - 101 MC 37d2 - 102 MC 37d2 - 103 MC 37d2 - 104 MC 37d2 - 105 MC 0000 - 109 MR 0000 ed - 109 MC 0001 - 113 MR 0001 b2 - 113 MC 000c - 115 PR 0540 05 - 115 PC 0540 - 118 MC 37d3 - 121 MW 37d3 05 - 121 MC 37d3 - 122 MC 37d3 - 123 MC 37d3 - 124 MC 37d3 - 125 MC 37d3 - 126 MC 0000 - 130 MR 0000 ed - 130 MC 0001 - 134 MR 0001 b2 - 134 MC 000e - 136 PR 0440 04 - 136 PC 0440 - 139 MC 37d4 - 142 MW 37d4 04 - 142 MC 37d4 - 143 MC 37d4 - 144 MC 37d4 - 145 MC 37d4 - 146 MC 37d4 - 147 MC 0000 - 151 MR 0000 ed - 151 MC 0001 - 155 MR 0001 b2 - 155 MC 0010 - 157 PR 0340 03 - 157 PC 0340 - 160 MC 37d5 - 163 MW 37d5 03 - 163 MC 37d5 - 164 MC 37d5 - 165 MC 37d5 - 166 MC 37d5 - 167 MC 37d5 - 168 MC 0000 - 172 MR 0000 ed - 172 MC 0001 - 176 MR 0001 b2 - 176 MC 0012 - 178 PR 0240 02 - 178 PC 0240 - 181 MC 37d6 - 184 MW 37d6 02 - 184 MC 37d6 - 185 MC 37d6 - 186 MC 37d6 - 187 MC 37d6 - 188 MC 37d6 - 189 MC 0000 - 193 MR 0000 ed - 193 MC 0001 - 197 MR 0001 b2 - 197 MC 0014 - 199 PR 0140 01 - 199 PC 0140 - 202 MC 37d7 - 205 MW 37d7 01 -8a40 0040 d98c 37d8 0000 0000 0000 0000 0000 0000 0000 0002 -00 14 0 0 0 0 205 -37ce 0a 09 08 07 06 05 04 03 02 01 -1 - -edb3 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 b3 - 8 MC 0002 - 9 MC 1d7c - 12 MR 1d7c 9d - 13 PW 02e0 9d - 13 PC 02e0 - 16 MC 02e0 - 17 MC 02e0 - 18 MC 02e0 - 19 MC 02e0 - 20 MC 02e0 - 21 MC 0000 - 25 MR 0000 ed - 25 MC 0001 - 29 MR 0001 b3 - 29 MC 0004 - 30 MC 1d7d - 33 MR 1d7d 24 - 34 PW 01e0 24 - 34 PC 01e0 - 37 MC 01e0 - 38 MC 01e0 - 39 MC 01e0 - 40 MC 01e0 - 41 MC 01e0 - 42 MC 0000 - 46 MR 0000 ed - 46 MC 0001 - 50 MR 0001 b3 - 50 MC 0006 - 51 MC 1d7e - 54 MR 1d7e aa - 55 PW 00e0 aa - 55 PC 00e0 -3453 00e0 41b9 1d7f 0000 0000 0000 0000 0000 0000 0000 0002 -00 06 0 0 0 0 58 - -edb8 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 b8 - 8 MC 4dcf - 11 MR 4dcf 0a - 11 MC 68e8 - 14 MW 68e8 0a - 14 MC 68e8 - 15 MC 68e8 - 16 MC 68e8 - 17 MC 68e8 - 18 MC 68e8 - 19 MC 68e8 - 20 MC 68e8 - 21 MC 0000 - 25 MR 0000 ed - 25 MC 0001 - 29 MR 0001 b8 - 29 MC 4dce - 32 MR 4dce 23 - 32 MC 68e7 - 35 MW 68e7 23 - 35 MC 68e7 - 36 MC 68e7 - 37 MC 68e7 - 38 MC 68e7 - 39 MC 68e7 - 40 MC 68e7 - 41 MC 68e7 - 42 MC 0000 - 46 MR 0000 ed - 46 MC 0001 - 50 MR 0001 b8 - 50 MC 4dcd - 53 MR 4dcd 74 - 53 MC 68e6 - 56 MW 68e6 74 - 56 MC 68e6 - 57 MC 68e6 - 58 MC 68e6 - 59 MC 68e6 - 60 MC 68e6 - 61 MC 68e6 - 62 MC 68e6 - 63 MC 0000 - 67 MR 0000 ed - 67 MC 0001 - 71 MR 0001 b8 - 71 MC 4dcc - 74 MR 4dcc 55 - 74 MC 68e5 - 77 MW 68e5 55 - 77 MC 68e5 - 78 MC 68e5 - 79 MC 68e5 - 80 MC 68e5 - 81 MC 68e5 - 82 MC 68e5 - 83 MC 68e5 - 84 MC 0000 - 88 MR 0000 ed - 88 MC 0001 - 92 MR 0001 b8 - 92 MC 4dcb - 95 MR 4dcb c3 - 95 MC 68e4 - 98 MW 68e4 c3 - 98 MC 68e4 - 99 MC 68e4 - 100 MC 68e4 - 101 MC 68e4 - 102 MC 68e4 - 103 MC 68e4 - 104 MC 68e4 - 105 MC 0000 - 109 MR 0000 ed - 109 MC 0001 - 113 MR 0001 b8 - 113 MC 4dca - 116 MR 4dca a7 - 116 MC 68e3 - 119 MW 68e3 a7 - 119 MC 68e3 - 120 MC 68e3 - 121 MC 68e3 - 122 MC 68e3 - 123 MC 68e3 - 124 MC 68e3 - 125 MC 68e3 - 126 MC 0000 - 130 MR 0000 ed - 130 MC 0001 - 134 MR 0001 b8 - 134 MC 4dc9 - 137 MR 4dc9 85 - 137 MC 68e2 - 140 MW 68e2 85 - 140 MC 68e2 - 141 MC 68e2 - 142 MC 68e2 - 143 MC 68e2 - 144 MC 68e2 - 145 MC 68e2 - 146 MC 68e2 - 147 MC 0000 - 151 MR 0000 ed - 151 MC 0001 - 155 MR 0001 b8 - 155 MC 4dc8 - 158 MR 4dc8 29 - 158 MC 68e1 - 161 MW 68e1 29 - 161 MC 68e1 - 162 MC 68e1 -e569 0000 68e0 4dc7 0000 0000 0000 0000 0000 0000 0000 0002 -00 10 0 0 0 0 163 -68e1 29 85 a7 c3 55 74 23 0a -1 - -edb9 - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 b9 - 8 MC c749 - 11 MR c749 6c - 11 MC c749 - 12 MC c749 - 13 MC c749 - 14 MC c749 - 15 MC c749 - 16 MC c749 - 17 MC c749 - 18 MC c749 - 19 MC c749 - 20 MC c749 - 21 MC 0000 - 25 MR 0000 ed - 25 MC 0001 - 29 MR 0001 b9 - 29 MC c748 - 32 MR c748 4e - 32 MC c748 - 33 MC c748 - 34 MC c748 - 35 MC c748 - 36 MC c748 - 37 MC c748 - 38 MC c748 - 39 MC c748 - 40 MC c748 - 41 MC c748 - 42 MC 0000 - 46 MR 0000 ed - 46 MC 0001 - 50 MR 0001 b9 - 50 MC c747 - 53 MR c747 01 - 53 MC c747 - 54 MC c747 - 55 MC c747 - 56 MC c747 - 57 MC c747 - 58 MC c747 - 59 MC c747 - 60 MC c747 - 61 MC c747 - 62 MC c747 - 63 MC 0000 - 67 MR 0000 ed - 67 MC 0001 - 71 MR 0001 b9 - 71 MC c746 - 74 MR c746 5a - 74 MC c746 - 75 MC c746 - 76 MC c746 - 77 MC c746 - 78 MC c746 - 79 MC c746 - 80 MC c746 - 81 MC c746 - 82 MC c746 - 83 MC c746 - 84 MC 0000 - 88 MR 0000 ed - 88 MC 0001 - 92 MR 0001 b9 - 92 MC c745 - 95 MR c745 ec - 95 MC c745 - 96 MC c745 - 97 MC c745 - 98 MC c745 - 99 MC c745 - 100 MC c745 - 101 MC c745 - 102 MC c745 - 103 MC c745 - 104 MC c745 - 105 MC 0000 - 109 MR 0000 ed - 109 MC 0001 - 113 MR 0001 b9 - 113 MC c744 - 116 MR c744 85 - 116 MC c744 - 117 MC c744 - 118 MC c744 - 119 MC c744 - 120 MC c744 - 121 MC c744 - 122 MC c744 - 123 MC c744 - 124 MC c744 - 125 MC c744 - 126 MC 0000 - 130 MR 0000 ed - 130 MC 0001 - 134 MR 0001 b9 - 134 MC c743 - 137 MR c743 09 - 137 MC c743 - 138 MC c743 - 139 MC c743 - 140 MC c743 - 141 MC c743 - 142 MC c743 - 143 MC c743 - 144 MC c743 - 145 MC c743 - 146 MC c743 - 147 MC 0000 - 151 MR 0000 ed - 151 MC 0001 - 155 MR 0001 b9 - 155 MC c742 - 158 MR c742 c6 - 158 MC c742 - 159 MC c742 - 160 MC c742 - 161 MC c742 - 162 MC c742 -ff0b 0000 a171 c741 0000 0000 0000 0000 0000 0000 0000 0002 -00 10 0 0 0 0 163 - -edba - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 ba - 8 MC 0002 - 10 PR 069f 06 - 13 MC 6b55 - 16 MW 6b55 06 - 16 MC 6b55 - 17 MC 6b55 - 18 MC 6b55 - 19 MC 6b55 - 20 MC 6b55 - 21 MC 0000 - 25 MR 0000 ed - 25 MC 0001 - 29 MR 0001 ba - 29 MC 0004 - 31 PR 059f 05 - 34 MC 6b54 - 37 MW 6b54 05 - 37 MC 6b54 - 38 MC 6b54 - 39 MC 6b54 - 40 MC 6b54 - 41 MC 6b54 - 42 MC 0000 - 46 MR 0000 ed - 46 MC 0001 - 50 MR 0001 ba - 50 MC 0006 - 52 PR 049f 04 - 55 MC 6b53 - 58 MW 6b53 04 - 58 MC 6b53 - 59 MC 6b53 - 60 MC 6b53 - 61 MC 6b53 - 62 MC 6b53 - 63 MC 0000 - 67 MR 0000 ed - 67 MC 0001 - 71 MR 0001 ba - 71 MC 0008 - 73 PR 039f 03 - 76 MC 6b52 - 79 MW 6b52 03 - 79 MC 6b52 - 80 MC 6b52 - 81 MC 6b52 - 82 MC 6b52 - 83 MC 6b52 - 84 MC 0000 - 88 MR 0000 ed - 88 MC 0001 - 92 MR 0001 ba - 92 MC 000a - 94 PR 029f 02 - 97 MC 6b51 - 100 MW 6b51 02 - 100 MC 6b51 - 101 MC 6b51 - 102 MC 6b51 - 103 MC 6b51 - 104 MC 6b51 - 105 MC 0000 - 109 MR 0000 ed - 109 MC 0001 - 113 MR 0001 ba - 113 MC 000c - 115 PR 019f 01 - 118 MC 6b50 - 121 MW 6b50 01 -2540 009f d40d 6b4f 0000 0000 0000 0000 0000 0000 0000 0002 -00 0c 0 0 0 0 121 -6b50 01 02 03 04 05 06 -1 - -edbb - 0 MC 0000 - 4 MR 0000 ed - 4 MC 0001 - 8 MR 0001 bb - 8 MC 0002 - 9 MC 1dd0 - 12 MR 1dd0 b6 - 13 PW 033b b6 - 16 MC 033b - 17 MC 033b - 18 MC 033b - 19 MC 033b - 20 MC 033b - 21 MC 0000 - 25 MR 0000 ed - 25 MC 0001 - 29 MR 0001 bb - 29 MC 0004 - 30 MC 1dcf - 33 MR 1dcf c5 - 34 PW 023b c5 - 37 MC 023b - 38 MC 023b - 39 MC 023b - 40 MC 023b - 41 MC 023b - 42 MC 0000 - 46 MR 0000 ed - 46 MC 0001 - 50 MR 0001 bb - 50 MC 0006 - 51 MC 1dce - 54 MR 1dce 71 - 55 PW 013b 71 - 58 MC 013b - 59 MC 013b - 60 MC 013b - 61 MC 013b - 62 MC 013b - 63 MC 0000 - 67 MR 0000 ed - 67 MC 0001 - 71 MR 0001 bb - 71 MC 0008 - 72 MC 1dcd - 75 MR 1dcd f9 - 76 PW 003b f9 -0957 003b be49 1dcc 0000 0000 0000 0000 0000 0000 0000 0002 -00 08 0 0 0 0 79 - -ee - 0 MC 0000 - 4 MR 0000 ee - 4 MC 0001 - 7 MR 0001 d0 -eeac 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -ef - 0 MC 6d33 - 4 MR 6d33 ef - 4 MC 0001 - 5 MC 5506 - 8 MW 5506 6d - 8 MC 5505 - 11 MW 5505 34 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 5505 0028 -00 01 0 0 0 0 11 -5505 34 6d -1 - -f0_1 - 0 MC 0000 - 4 MR 0000 f0 - 4 MC 0001 - 5 MC 43f7 - 8 MR 43f7 e9 - 8 MC 43f8 - 11 MR 43f8 af -0018 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f9 afe9 -00 01 0 0 0 0 11 - -f0_2 - 0 MC 0000 - 4 MR 0000 f0 - 4 MC 0001 -0098 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0001 -00 01 0 0 0 0 5 - -f1 - 0 MC 0000 - 4 MR 0000 f1 - 4 MC 4143 - 7 MR 4143 ce - 7 MC 4144 - 10 MR 4144 e8 -e8ce 0000 0000 0000 0000 0000 0000 0000 0000 0000 4145 0001 -00 01 0 0 0 0 10 - -f2_1 - 0 MC 0000 - 4 MR 0000 f2 - 4 MC 0001 - 7 MR 0001 1b - 7 MC 0002 - 10 MR 0002 e1 -0007 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 e11b -00 01 0 0 0 0 10 - -f2_2 - 0 MC 0000 - 4 MR 0000 f2 - 4 MC 0001 - 7 MC 0002 -0087 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0003 -00 01 0 0 0 0 10 - -f3 - 0 MC 0000 - 4 MR 0000 f3 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 0 0 0 0 4 - -f4_1 - 0 MC 0000 - 4 MR 0000 f4 - 4 MC 0001 - 7 MR 0001 61 - 7 MC 0002 - 10 MR 0002 9c - 10 MC 0002 - 11 MC 5697 - 14 MW 5697 00 - 14 MC 5696 - 17 MW 5696 03 -000e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5696 9c61 -00 01 0 0 0 0 17 -5696 03 00 -1 - -f4_2 - 0 MC 0000 - 4 MR 0000 f4 - 4 MC 0001 - 7 MC 0002 -008e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0003 -00 01 0 0 0 0 10 - -f5 - 0 MC 0000 - 4 MR 0000 f5 - 4 MC 0001 - 5 MC ec11 - 8 MW ec11 53 - 8 MC ec10 - 11 MW ec10 e3 -53e3 1459 775f 1a2f 0000 0000 0000 0000 0000 0000 ec10 0001 -00 01 0 0 0 0 11 -ec10 e3 53 -1 - -f6 - 0 MC 0000 - 4 MR 0000 f6 - 4 MC 0001 - 7 MR 0001 a7 -a7a0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -f7 - 0 MC 6d33 - 4 MR 6d33 f7 - 4 MC 0001 - 5 MC 5506 - 8 MW 5506 6d - 8 MC 5505 - 11 MW 5505 34 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 5505 0030 -00 01 0 0 0 0 11 -5505 34 6d -1 - -f8_1 - 0 MC 0000 - 4 MR 0000 f8 - 4 MC 0001 -0018 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0001 -00 01 0 0 0 0 5 - -f8_2 - 0 MC 0000 - 4 MR 0000 f8 - 4 MC 0001 - 5 MC 43f7 - 8 MR 43f7 e9 - 8 MC 43f8 - 11 MR 43f8 af -0098 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f9 afe9 -00 01 0 0 0 0 11 - -f9 - 0 MC 0000 - 4 MR 0000 f9 - 4 MC 0001 - 5 MC 0001 -0000 0000 0000 ce32 0000 0000 0000 0000 0000 0000 ce32 0001 -00 01 0 0 0 0 6 - -fa_1 - 0 MC 0000 - 4 MR 0000 fa - 4 MC 0001 - 7 MR 0001 1b - 7 MC 0002 - 10 MR 0002 e1 -0087 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 e11b -00 01 0 0 0 0 10 - -fa_2 - 0 MC 0000 - 4 MR 0000 fa - 4 MC 0001 - 7 MC 0002 -0007 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0003 -00 01 0 0 0 0 10 - -fb - 0 MC 0000 - 4 MR 0000 fb -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 -00 01 1 1 0 0 4 - -fc_1 - 0 MC 0000 - 4 MR 0000 fc - 4 MC 0001 - 7 MR 0001 61 - 7 MC 0002 - 10 MR 0002 9c - 10 MC 0002 - 11 MC 5697 - 14 MW 5697 00 - 14 MC 5696 - 17 MW 5696 03 -008e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5696 9c61 -00 01 0 0 0 0 17 -5696 03 00 -1 - -fc_2 - 0 MC 0000 - 4 MR 0000 fc - 4 MC 0001 - 7 MC 0002 -000e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0003 -00 01 0 0 0 0 10 - -fd09 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 09 - 8 MC 0002 - 9 MC 0002 - 10 MC 0002 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 -4649 a623 bab2 d788 0000 0000 0000 0000 c9e8 9cbb 0000 0002 -00 02 0 0 0 0 15 - -fd19 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 19 - 8 MC 0002 - 9 MC 0002 - 10 MC 0002 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 -b3ec 5336 76cb 54e2 0000 0000 0000 0000 b9ce fcef 0000 0002 -00 02 0 0 0 0 15 - -fd21 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 21 - 8 MC 0002 - 11 MR 0002 46 - 11 MC 0003 - 14 MR 0003 47 -c924 5c83 e0e2 eddb 0000 0000 0000 0000 6e9f 4746 0000 0004 -00 02 0 0 0 0 14 - -fd22 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 22 - 8 MC 0002 - 11 MR 0002 9a - 11 MC 0003 - 14 MR 0003 e2 - 14 MC e29a - 17 MW e29a e4 - 17 MC e29b - 20 MW e29b 81 -1235 f0b6 b74c cc9f 0000 0000 0000 0000 8b00 81e4 0000 0004 -00 02 0 0 0 0 20 -e29a e4 81 -1 - -fd23 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 23 - 8 MC 0002 - 9 MC 0002 -69f2 c1d3 0f6f 2169 0000 0000 0000 0000 e39e 2606 0000 0002 -00 02 0 0 0 0 10 - -fd24 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 24 -5520 9684 d36a dac3 0000 0000 0000 0000 7803 6534 0000 0002 -00 02 0 0 0 0 8 - -fd25 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 25 -cd03 b5e4 a754 9526 0000 0000 0000 0000 3dcb 02b2 0000 0002 -00 02 0 0 0 0 8 - -fd26 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 26 - 8 MC 0002 - 11 MR 0002 77 -2452 300b b4a1 929d 0000 0000 0000 0000 c259 7730 0000 0003 -00 02 0 0 0 0 11 - -fd29 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 29 - 8 MC 0002 - 9 MC 0002 - 10 MC 0002 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 -5830 49d0 ec95 011c 0000 0000 0000 0000 ec6c b298 0000 0002 -00 02 0 0 0 0 15 - -fd2a - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 2a - 8 MC 0002 - 11 MR 0002 91 - 11 MC 0003 - 14 MR 0003 f9 - 14 MC f991 - 17 MR f991 92 - 17 MC f992 - 20 MR f992 bf -0f82 3198 87e3 7c1c 0000 0000 0000 0000 1bb4 bf92 0000 0004 -00 02 0 0 0 0 20 - -fd2b - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 2b - 8 MC 0002 - 9 MC 0002 -ab27 942f 82fa 6f2f 0000 0000 0000 0000 9438 ebbb 0000 0002 -00 02 0 0 0 0 10 - -fd2c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 2c -66a9 0ab1 5656 e5a9 0000 0000 0000 0000 5fb9 4df8 0000 0002 -00 02 0 0 0 0 8 - -fd2d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 2d -320b f78a b906 31d0 0000 0000 0000 0000 c72a e91b 0000 0002 -00 02 0 0 0 0 8 - -fd2e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 2e - 8 MC 0002 - 11 MR 0002 49 -2114 4923 6e65 006c 0000 0000 0000 0000 da39 c049 0000 0003 -00 02 0 0 0 0 11 - -fd34 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 34 - 8 MC 0002 - 11 MR 0002 b8 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC ef7c - 19 MR ef7c e0 - 19 MC ef7c - 20 MC ef7c - 23 MW ef7c e1 -d5a0 6f24 7df7 74f0 0000 0000 0000 0000 365a efc4 0000 0003 -00 02 0 0 0 0 23 -ef7c e1 -1 - -fd35 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 35 - 8 MC 0002 - 11 MR 0002 ab - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC ae71 - 19 MR ae71 a6 - 19 MC ae71 - 20 MC ae71 - 23 MW ae71 a5 -8ca2 35d8 7c1a 1c0a 0000 0000 0000 0000 62bb aec6 0000 0003 -00 02 0 0 0 0 23 -ae71 a5 -1 - -fd36 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 36 - 8 MC 0002 - 11 MR 0002 81 - 11 MC 0003 - 14 MR 0003 c5 - 14 MC 0003 - 15 MC 0003 - 16 MC bd55 - 19 MW bd55 c5 -e0f9 ae1f 4aef c9d5 0000 0000 0000 0000 c0db bdd4 0000 0004 -00 02 0 0 0 0 19 -bd55 c5 -1 - -fd39 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 39 - 8 MC 0002 - 9 MC 0002 - 10 MC 0002 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 -2631 726f 9c7f cd46 0000 0000 0000 0000 dc45 312c dc57 0002 -00 02 0 0 0 0 15 - -fd44 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 44 -0e58 6892 3580 9be4 0000 0000 0000 0000 1b79 685e 0000 0002 -00 02 0 0 0 0 8 - -fd45 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 45 -6555 7788 5ae8 c948 0000 0000 0000 0000 d7b8 a177 0000 0002 -00 02 0 0 0 0 8 - -fd46 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 46 - 8 MC 0002 - 11 MR 0002 4d - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 3b49 - 19 MR 3b49 c9 -87f3 c9d5 5eea 830b 0000 0000 0000 0000 dcee 3afc 0000 0003 -00 02 0 0 0 0 19 - -fd4c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 4c -7e6b bd01 24b6 ff94 0000 0000 0000 0000 862d 01d0 0000 0002 -00 02 0 0 0 0 8 - -fd4d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 4d -50cf e3c9 998e dba2 0000 0000 0000 0000 c4f5 c7c9 0000 0002 -00 02 0 0 0 0 8 - -fd4e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 4e - 8 MC 0002 - 11 MR 0002 67 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC bc01 - 19 MR bc01 9d -2c0f 699d 748a 9290 0000 0000 0000 0000 904f bb9a 0000 0003 -00 02 0 0 0 0 19 - -fd54 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 54 -d7f9 f65b d401 d4c4 0000 0000 0000 0000 4b8e d437 0000 0002 -00 02 0 0 0 0 8 - -fd55 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 55 -ab98 fdab a94a 010e 0000 0000 0000 0000 126b 13a9 0000 0002 -00 02 0 0 0 0 8 - -fd56 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 56 - 8 MC 0002 - 11 MR 0002 ce - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC fd70 - 19 MR fd70 78 -d3e8 df10 7842 b641 0000 0000 0000 0000 a5a0 fda2 0000 0003 -00 02 0 0 0 0 19 - -fd5c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 5c -11d5 c489 e2d8 434e 0000 0000 0000 0000 3244 d8bb 0000 0002 -00 02 0 0 0 0 8 - -fd5d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 5d -e945 dbae 324e 4f7e 0000 0000 0000 0000 fa56 074e 0000 0002 -00 02 0 0 0 0 8 - -fd5e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 5e - 8 MC 0002 - 11 MR 0002 c6 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 8a70 - 19 MR 8a70 8c -6f3b e9dc 7a8c 14f3 0000 0000 0000 0000 ec76 8aaa 0000 0003 -00 02 0 0 0 0 19 - -fd60 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 60 -8579 005d d9ee faee 0000 0000 0000 0000 382d 0095 0000 0002 -00 02 0 0 0 0 8 - -fd61 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 61 -5682 dbc3 b495 9799 0000 0000 0000 0000 85b2 c31e 0000 0002 -00 02 0 0 0 0 8 - -fd62 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 62 -906b f52e f3d8 1e8c 0000 0000 0000 0000 ddba f302 0000 0002 -00 02 0 0 0 0 8 - -fd63 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 63 -9d59 beb9 d826 0eaa 0000 0000 0000 0000 4290 26b9 0000 0002 -00 02 0 0 0 0 8 - -fd64 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 64 -7b0e e394 8a25 cddf 0000 0000 0000 0000 9784 2116 0000 0002 -00 02 0 0 0 0 8 - -fd65 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 65 -b827 eb4f f666 c52a 0000 0000 0000 0000 6206 1f1f 0000 0002 -00 02 0 0 0 0 8 - -fd66 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 66 - 8 MC 0002 - 11 MR 0002 80 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 5aa4 - 19 MR 5aa4 77 -9129 e4ee e3a3 77ca 0000 0000 0000 0000 4d93 5b24 0000 0003 -00 02 0 0 0 0 19 - -fd67 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 67 -db7a b40b 7b58 49fd 0000 0000 0000 0000 266f db7b 0000 0002 -00 02 0 0 0 0 8 - -fd68 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 68 -4d1d 4fd9 783e 0745 0000 0000 0000 0000 0c3d 824f 0000 0002 -00 02 0 0 0 0 8 - -fd69 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 69 -1589 5ceb b5db 922a 0000 0000 0000 0000 3c3a dceb 0000 0002 -00 02 0 0 0 0 8 - -fd6a - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 6a -607a e035 5bb9 dac0 0000 0000 0000 0000 fc04 b55b 0000 0002 -00 02 0 0 0 0 8 - -fd6b - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 6b -db2a e244 1182 096f 0000 0000 0000 0000 198e 9182 0000 0002 -00 02 0 0 0 0 8 - -fd6c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 6c -a0be 34ef 8fcd 40a7 0000 0000 0000 0000 4481 c2c2 0000 0002 -00 02 0 0 0 0 8 - -fd6d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 6d -fdfc 727a b839 50a6 0000 0000 0000 0000 e782 02e5 0000 0002 -00 02 0 0 0 0 8 - -fd6e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 6e - 8 MC 0002 - 11 MR 0002 78 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC b11b - 19 MR b11b f8 -cfd4 6ef1 c07d ebf8 0000 0000 0000 0000 b0f9 b0a3 0000 0003 -00 02 0 0 0 0 19 - -fd6f - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 6f -8e1d a138 f20a 298e 0000 0000 0000 0000 b600 0c8e 0000 0002 -00 02 0 0 0 0 8 - -fd70 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 70 - 8 MC 0002 - 11 MR 0002 53 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 23f4 - 19 MW 23f4 33 -2677 33c5 c0dc 262f 0000 0000 0000 0000 d3dc 23a1 0000 0003 -00 02 0 0 0 0 19 -23f4 33 -1 - -fd71 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 71 - 8 MC 0002 - 11 MR 0002 b4 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC bf5f - 19 MW bf5f ae -892e 04ae d67f 81ec 0000 0000 0000 0000 7757 bfab 0000 0003 -00 02 0 0 0 0 19 -bf5f ae -1 - -fd72 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 72 - 8 MC 0002 - 11 MR 0002 e3 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 7c63 - 19 MW 7c63 dd -d2dc c23c dd54 6559 0000 0000 0000 0000 b32b 7c80 0000 0003 -00 02 0 0 0 0 19 -7c63 dd -1 - -fd73 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 73 - 8 MC 0002 - 11 MR 0002 17 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 8779 - 19 MW 8779 09 -49ef bff2 8409 02dd 0000 0000 0000 0000 af95 8762 0000 0003 -00 02 0 0 0 0 19 -8779 09 -1 - -fd74 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 74 - 8 MC 0002 - 11 MR 0002 f6 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 92d1 - 19 MW 92d1 1f -9479 9817 fa2e 1fe0 0000 0000 0000 0000 a395 92db 0000 0003 -00 02 0 0 0 0 19 -92d1 1f -1 - -fd75 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 75 - 8 MC 0002 - 11 MR 0002 ab - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 16cf - 19 MW 16cf 7b -c8d6 6aa4 180e e37b 0000 0000 0000 0000 02cf 1724 0000 0003 -00 02 0 0 0 0 19 -16cf 7b -1 - -fd77 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 77 - 8 MC 0002 - 11 MR 0002 f7 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 6b44 - 19 MW 6b44 6f -6f9e 7475 78ad 2b8c 0000 0000 0000 0000 c6b7 6b4d 0000 0003 -00 02 0 0 0 0 19 -6b44 6f -1 - -fd7c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 7c -c628 93fc a3d4 dc9e 0000 0000 0000 0000 21ac c617 0000 0002 -00 02 0 0 0 0 8 - -fd7d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 7d -b9e5 3cbe 02c3 26c2 0000 0000 0000 0000 ca81 92b9 0000 0002 -00 02 0 0 0 0 8 - -fd7e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 7e - 8 MC 0002 - 11 MR 0002 e4 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC d443 - 19 MR d443 aa -aa96 daba 147b f362 0000 0000 0000 0000 7110 d45f 0000 0003 -00 02 0 0 0 0 19 - -fd84 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 84 -7f2d 7cae c4da 7aee 0000 0000 0000 0000 43ee c08e 0000 0002 -00 02 0 0 0 0 8 - -fd85 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 85 -eba8 1dea 324f 84e7 0000 0000 0000 0000 e7a8 f799 0000 0002 -00 02 0 0 0 0 8 - -fd86 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 86 - 8 MC 0002 - 11 MR 0002 ce - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 8b01 - 19 MR 8b01 e1 -dd89 b882 43f9 3e15 0000 0000 0000 0000 9781 8b33 0000 0003 -00 02 0 0 0 0 19 - -fd8c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 8c -3839 42b1 5e8a 081c 0000 0000 0000 0000 cb58 3b4e 0000 0002 -00 02 0 0 0 0 8 - -fd8d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 8d -8f89 7750 8ad6 295c 0000 0000 0000 0000 695c 99fb 0000 0002 -00 02 0 0 0 0 8 - -fd8e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 8e - 8 MC 0002 - 11 MR 0002 78 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 1b1a - 19 MR 1b1a c0 -0101 398f f6dc 06f3 0000 0000 0000 0000 f34a 1aa2 0000 0003 -00 02 0 0 0 0 19 - -fd94 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 94 -2f3b d255 b9d6 20bb 0000 0000 0000 0000 1e6a d5ef 0000 0002 -00 02 0 0 0 0 8 - -fd95 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 95 -f4a3 b455 2388 ec1e 0000 0000 0000 0000 7637 cb97 0000 0002 -00 02 0 0 0 0 8 - -fd96 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 96 - 8 MC 0002 - 11 MR 0002 55 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC c0e0 - 19 MR c0e0 7b -2536 22ac 0413 4b13 0000 0000 0000 0000 b44e c08b 0000 0003 -00 02 0 0 0 0 19 - -fd9c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 9c -f3a3 3ecf ced3 66ec 0000 0000 0000 0000 4bff b133 0000 0002 -00 02 0 0 0 0 8 - -fd9d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 9d -fdbb 8bd0 131b 3094 0000 0000 0000 0000 afc3 7409 0000 0002 -00 02 0 0 0 0 8 - -fd9e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 9e - 8 MC 0002 - 11 MR 0002 f9 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC f665 - 19 MR f665 f3 -c583 981f bb8e d6d5 0000 0000 0000 0000 5c3b f66c 0000 0003 -00 02 0 0 0 0 19 - -fda4 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 a4 -0054 79c0 2c7c 3e06 0000 0000 0000 0000 7399 037a 0000 0002 -00 02 0 0 0 0 8 - -fda5 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 a5 -0054 654d 9653 2b33 0000 0000 0000 0000 61a4 8f88 0000 0002 -00 02 0 0 0 0 8 - -fda6 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 a6 - 8 MC 0002 - 11 MR 0002 53 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 65ee - 19 MR 65ee 95 -9594 40bb 3742 6ff1 0000 0000 0000 0000 ad28 659b 0000 0003 -00 02 0 0 0 0 19 - -fdac - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 ac -2724 72e3 dd4d 1b62 0000 0000 0000 0000 4753 5d63 0000 0002 -00 02 0 0 0 0 8 - -fdad - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 ad -eca8 2573 19cc 78fb 0000 0000 0000 0000 5248 8391 0000 0002 -00 02 0 0 0 0 8 - -fdae - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 ae - 8 MC 0002 - 11 MR 0002 09 - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC 8201 - 19 MR 8201 cb -6b28 bc27 257b 5489 0000 0000 0000 0000 fa59 81f8 0000 0003 -00 02 0 0 0 0 19 - -fdb4 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 b4 -cf8c 3461 f173 8ad3 0000 0000 0000 0000 c1a2 8265 0000 0002 -00 02 0 0 0 0 8 - -fdb5 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 b5 -3720 e6ea f919 327c 0000 0000 0000 0000 4299 9733 0000 0002 -00 02 0 0 0 0 8 - -fdb6 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 b6 - 8 MC 0002 - 11 MR 0002 4b - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC dfb8 - 19 MR dfb8 64 -ffac 3509 d6ca b16a 0000 0000 0000 0000 a099 df6d 0000 0003 -00 02 0 0 0 0 19 - -fdbc - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 bc -b49a 9302 e35d 31bc 0000 0000 0000 0000 5c12 1c92 0000 0002 -00 02 0 0 0 0 8 - -fdbd - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 bd -391a 7b82 dfeb 03ee 0000 0000 0000 0000 be7b b30f 0000 0002 -00 02 0 0 0 0 8 - -fdbe - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 be - 8 MC 0002 - 11 MR 0002 6b - 11 MC 0002 - 12 MC 0002 - 13 MC 0002 - 14 MC 0002 - 15 MC 0002 - 16 MC a9d6 - 19 MR a9d6 c0 -0903 0b31 f4ad 9d4c 0000 0000 0000 0000 b95a a96b 0000 0003 -00 02 0 0 0 0 19 - -fdcb00 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0b - 11 MC 0003 - 14 MR 0003 00 - 14 MC 0003 - 15 MC 0003 - 16 MC 2781 - 19 MR 2781 50 - 19 MC 2781 - 20 MC 2781 - 23 MW 2781 a0 -85a4 a0d0 a135 20c5 0000 0000 0000 0000 b8de 2776 0000 0004 -00 02 0 0 0 0 23 -2781 a0 -1 - -fdcb01 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c8 - 11 MC 0003 - 14 MR 0003 01 - 14 MC 0003 - 15 MC 0003 - 16 MC 5bfd - 19 MR 5bfd cb - 19 MC 5bfd - 20 MC 5bfd - 23 MW 5bfd 97 -5781 2b97 3576 280a 0000 0000 0000 0000 ae22 5c35 0000 0004 -00 02 0 0 0 0 23 -5bfd 97 -1 - -fdcb02 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 14 - 11 MC 0003 - 14 MR 0003 02 - 14 MC 0003 - 15 MC 0003 - 16 MC 3e06 - 19 MR 3e06 58 - 19 MC 3e06 - 20 MC 3e06 - 23 MW 3e06 b0 -dca0 2b37 b0c8 5dd9 0000 0000 0000 0000 b2d2 3df2 0000 0004 -00 02 0 0 0 0 23 -3e06 b0 -1 - -fdcb03 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 3a - 11 MC 0003 - 14 MR 0003 03 - 14 MC 0003 - 15 MC 0003 - 16 MC 5821 - 19 MR 5821 1a - 19 MC 5821 - 20 MC 5821 - 23 MW 5821 34 -5720 c179 b234 7058 0000 0000 0000 0000 3f2e 57e7 0000 0004 -00 02 0 0 0 0 23 -5821 34 -1 - -fdcb04 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 67 - 11 MC 0003 - 14 MR 0003 04 - 14 MC 0003 - 15 MC 0003 - 16 MC 50d8 - 19 MR 50d8 92 - 19 MC 50d8 - 20 MC 50d8 - 23 MW 50d8 25 -ed21 3f03 3327 255a 0000 0000 0000 0000 cbf2 5071 0000 0004 -00 02 0 0 0 0 23 -50d8 25 -1 - -fdcb05 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 1e - 11 MC 0003 - 14 MR 0003 05 - 14 MC 0003 - 15 MC 0003 - 16 MC b279 - 19 MR b279 66 - 19 MC b279 - 20 MC b279 - 23 MW b279 cc -7a8c 0858 db6c dbcc 0000 0000 0000 0000 157a b25b 0000 0004 -00 02 0 0 0 0 23 -b279 cc -1 - -fdcb06 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 72 - 11 MC 0003 - 14 MR 0003 06 - 14 MC 0003 - 15 MC 0003 - 16 MC ff99 - 19 MR ff99 f1 - 19 MC ff99 - 20 MC ff99 - 23 MW ff99 e3 -f2a1 89a2 e78f ef74 0000 0000 0000 0000 140d ff27 0000 0004 -00 02 0 0 0 0 23 -ff99 e3 -1 - -fdcb07 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 24 - 11 MC 0003 - 14 MR 0003 07 - 14 MC 0003 - 15 MC 0003 - 16 MC 080f - 19 MR 080f ae - 19 MC 080f - 20 MC 080f - 23 MW 080f 5d -5d09 f3a7 3a6e 8f0a 0000 0000 0000 0000 8423 07eb 0000 0004 -00 02 0 0 0 0 23 -080f 5d -1 - -fdcb08 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 25 - 11 MC 0003 - 14 MR 0003 08 - 14 MC 0003 - 15 MC 0003 - 16 MC 615c - 19 MR 615c 83 - 19 MC 615c - 20 MC 615c - 23 MW 615c c1 -a681 c1ec c958 7bda 0000 0000 0000 0000 194d 6137 0000 0004 -00 02 0 0 0 0 23 -615c c1 -1 - -fdcb09 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 a0 - 11 MC 0003 - 14 MR 0003 09 - 14 MC 0003 - 15 MC 0003 - 16 MC 197a - 19 MR 197a 27 - 19 MC 197a - 20 MC 197a - 23 MW 197a 93 -5485 fa93 84e8 4fa5 0000 0000 0000 0000 1ad3 19da 0000 0004 -00 02 0 0 0 0 23 -197a 93 -1 - -fdcb0a - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e1 - 11 MC 0003 - 14 MR 0003 0a - 14 MC 0003 - 15 MC 0003 - 16 MC eed7 - 19 MR eed7 19 - 19 MC eed7 - 20 MC eed7 - 23 MW eed7 8c -b389 a2bb 8cd6 9617 0000 0000 0000 0000 f946 eef6 0000 0004 -00 02 0 0 0 0 23 -eed7 8c -1 - -fdcb0b - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0c - 11 MC 0003 - 14 MR 0003 0b - 14 MC 0003 - 15 MC 0003 - 16 MC c69b - 19 MR c69b f2 - 19 MC c69b - 20 MC c69b - 23 MW c69b 79 -ae28 8c4e e179 1c54 0000 0000 0000 0000 e108 c68f 0000 0004 -00 02 0 0 0 0 23 -c69b 79 -1 - -fdcb0c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d7 - 11 MC 0003 - 14 MR 0003 0c - 14 MC 0003 - 15 MC 0003 - 16 MC 8c74 - 19 MR 8c74 ae - 19 MC 8c74 - 20 MC 8c74 - 23 MW 8c74 57 -8700 6b16 4c3b 570a 0000 0000 0000 0000 175a 8c9d 0000 0004 -00 02 0 0 0 0 23 -8c74 57 -1 - -fdcb0d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 11 - 11 MC 0003 - 14 MR 0003 0d - 14 MC 0003 - 15 MC 0003 - 16 MC fe3e - 19 MR fe3e 1b - 19 MC fe3e - 20 MC fe3e - 23 MW fe3e 8d -128d e0cb 3ab1 248d 0000 0000 0000 0000 1de4 fe2d 0000 0004 -00 02 0 0 0 0 23 -fe3e 8d -1 - -fdcb0e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0c - 11 MC 0003 - 14 MR 0003 0e - 14 MC 0003 - 15 MC 0003 - 16 MC f22f - 19 MR f22f f7 - 19 MC f22f - 20 MC f22f - 23 MW f22f fb -8da9 8f91 fc5a 5e2c 0000 0000 0000 0000 b2f2 f223 0000 0004 -00 02 0 0 0 0 23 -f22f fb -1 - -fdcb0f - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 15 - 11 MC 0003 - 14 MR 0003 0f - 14 MC 0003 - 15 MC 0003 - 16 MC ce4d - 19 MR ce4d 44 - 19 MC ce4d - 20 MC ce4d - 23 MW ce4d 22 -2224 2ac9 ec6b 6511 0000 0000 0000 0000 c93a ce38 0000 0004 -00 02 0 0 0 0 23 -ce4d 22 -1 - -fdcb10 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7a - 11 MC 0003 - 14 MR 0003 10 - 14 MC 0003 - 15 MC 0003 - 16 MC 431c - 19 MR 431c 1c - 19 MC 431c - 20 MC 431c - 23 MW 431c 39 -252c 3952 590d ac66 0000 0000 0000 0000 144f 42a2 0000 0004 -00 02 0 0 0 0 23 -431c 39 -1 - -fdcb11 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7b - 11 MC 0003 - 14 MR 0003 11 - 14 MC 0003 - 15 MC 0003 - 16 MC 9d0b - 19 MR 9d0b 5e - 19 MC 9d0b - 20 MC 9d0b - 23 MW 9d0b bc -bca8 61bc f5f8 af24 0000 0000 0000 0000 4019 9c90 0000 0004 -00 02 0 0 0 0 23 -9d0b bc -1 - -fdcb12 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 61 - 11 MC 0003 - 14 MR 0003 12 - 14 MC 0003 - 15 MC 0003 - 16 MC 8598 - 19 MR 8598 a7 - 19 MC 8598 - 20 MC 8598 - 23 MW 8598 4f -4e09 3a25 4f17 bcc7 0000 0000 0000 0000 0d7e 8537 0000 0004 -00 02 0 0 0 0 23 -8598 4f -1 - -fdcb13 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 b3 - 11 MC 0003 - 14 MR 0003 13 - 14 MC 0003 - 15 MC 0003 - 16 MC e74b - 19 MR e74b b3 - 19 MC e74b - 20 MC e74b - 23 MW e74b 66 -b225 b79b 8466 ff7d 0000 0000 0000 0000 414c e798 0000 0004 -00 02 0 0 0 0 23 -e74b 66 -1 - -fdcb14 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c4 - 11 MC 0003 - 14 MR 0003 14 - 14 MC 0003 - 15 MC 0003 - 16 MC d900 - 19 MR d900 06 - 19 MC d900 - 20 MC d900 - 23 MW d900 0d -ab08 451a fc65 0da1 0000 0000 0000 0000 0f4d d93c 0000 0004 -00 02 0 0 0 0 23 -d900 0d -1 - -fdcb15 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 17 - 11 MC 0003 - 14 MR 0003 15 - 14 MC 0003 - 15 MC 0003 - 16 MC 2d92 - 19 MR 2d92 12 - 19 MC 2d92 - 20 MC 2d92 - 23 MW 2d92 24 -2824 9532 8631 7524 0000 0000 0000 0000 e327 2d7b 0000 0004 -00 02 0 0 0 0 23 -2d92 24 -1 - -fdcb16 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 23 - 11 MC 0003 - 14 MR 0003 16 - 14 MC 0003 - 15 MC 0003 - 16 MC f0d7 - 19 MR f0d7 89 - 19 MC f0d7 - 20 MC f0d7 - 23 MW f0d7 12 -0c05 dcd7 adcc 196d 0000 0000 0000 0000 87e2 f0b4 0000 0004 -00 02 0 0 0 0 23 -f0d7 12 -1 - -fdcb17 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 8a - 11 MC 0003 - 14 MR 0003 17 - 14 MC 0003 - 15 MC 0003 - 16 MC 1f9d - 19 MR 1f9d b8 - 19 MC 1f9d - 20 MC 1f9d - 23 MW 1f9d 71 -7125 d016 066e 6638 0000 0000 0000 0000 5e92 2013 0000 0004 -00 02 0 0 0 0 23 -1f9d 71 -1 - -fdcb18 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c0 - 11 MC 0003 - 14 MR 0003 18 - 14 MC 0003 - 15 MC 0003 - 16 MC 31d6 - 19 MR 31d6 fa - 19 MC 31d6 - 20 MC 31d6 - 23 MW 31d6 fd -23a8 fd17 16e0 6894 0000 0000 0000 0000 b908 3216 0000 0004 -00 02 0 0 0 0 23 -31d6 fd -1 - -fdcb19 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 a2 - 11 MC 0003 - 14 MR 0003 19 - 14 MC 0003 - 15 MC 0003 - 16 MC 4cd0 - 19 MR 4cd0 4b - 19 MC 4cd0 - 20 MC 4cd0 - 23 MW 4cd0 a5 -11a5 c2a5 a9f3 2014 0000 0000 0000 0000 6db0 4d2e 0000 0004 -00 02 0 0 0 0 23 -4cd0 a5 -1 - -fdcb1a - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 78 - 11 MC 0003 - 14 MR 0003 1a - 14 MC 0003 - 15 MC 0003 - 16 MC 414b - 19 MR 414b 44 - 19 MC 414b - 20 MC 414b - 23 MW 414b 22 -bc24 6168 2241 b630 0000 0000 0000 0000 0207 40d3 0000 0004 -00 02 0 0 0 0 23 -414b 22 -1 - -fdcb1b - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 16 - 11 MC 0003 - 14 MR 0003 1b - 14 MC 0003 - 15 MC 0003 - 16 MC 71c6 - 19 MR 71c6 b8 - 19 MC 71c6 - 20 MC 71c6 - 23 MW 71c6 5c -7a0c 1286 fe5c c42d 0000 0000 0000 0000 e290 71b0 0000 0004 -00 02 0 0 0 0 23 -71c6 5c -1 - -fdcb1c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 86 - 11 MC 0003 - 14 MR 0003 1c - 14 MC 0003 - 15 MC 0003 - 16 MC dee8 - 19 MR dee8 8f - 19 MC dee8 - 20 MC dee8 - 23 MW dee8 c7 -9381 097b 6928 c7a3 0000 0000 0000 0000 ff2d df62 0000 0004 -00 02 0 0 0 0 23 -dee8 c7 -1 - -fdcb1d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 27 - 11 MC 0003 - 14 MR 0003 1d - 14 MC 0003 - 15 MC 0003 - 16 MC d68e - 19 MR d68e b7 - 19 MC d68e - 20 MC d68e - 23 MW d68e db -978d 2b30 2645 04db 0000 0000 0000 0000 186a d667 0000 0004 -00 02 0 0 0 0 23 -d68e db -1 - -fdcb1e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 3a - 11 MC 0003 - 14 MR 0003 1e - 14 MC 0003 - 15 MC 0003 - 16 MC da72 - 19 MR da72 25 - 19 MC da72 - 20 MC da72 - 23 MW da72 92 -2f81 2470 b521 6ca3 0000 0000 0000 0000 1066 da38 0000 0004 -00 02 0 0 0 0 23 -da72 92 -1 - -fdcb1f - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7b - 11 MC 0003 - 14 MR 0003 1f - 14 MC 0003 - 15 MC 0003 - 16 MC 2110 - 19 MR 2110 04 - 19 MC 2110 - 20 MC 2110 - 23 MW 2110 82 -8284 49a3 da18 3afd 0000 0000 0000 0000 a4f1 2095 0000 0004 -00 02 0 0 0 0 23 -2110 82 -1 - -fdcb20 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7a - 11 MC 0003 - 14 MR 0003 20 - 14 MC 0003 - 15 MC 0003 - 16 MC da9f - 19 MR da9f 89 - 19 MC da9f - 20 MC da9f - 23 MW da9f 12 -3d05 128f 206f 8894 0000 0000 0000 0000 ddab da25 0000 0004 -00 02 0 0 0 0 23 -da9f 12 -1 - -fdcb21 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7b - 11 MC 0003 - 14 MR 0003 21 - 14 MC 0003 - 15 MC 0003 - 16 MC deb1 - 19 MR deb1 23 - 19 MC deb1 - 20 MC deb1 - 23 MW deb1 46 -1600 6046 641a 6598 0000 0000 0000 0000 473b de36 0000 0004 -00 02 0 0 0 0 23 -deb1 46 -1 - -fdcb22 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9a - 11 MC 0003 - 14 MR 0003 22 - 14 MC 0003 - 15 MC 0003 - 16 MC 88c0 - 19 MR 88c0 d4 - 19 MC 88c0 - 20 MC 88c0 - 23 MW 88c0 a8 -ada9 efb2 a803 e732 0000 0000 0000 0000 c11d 8926 0000 0004 -00 02 0 0 0 0 23 -88c0 a8 -1 - -fdcb23 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f5 - 11 MC 0003 - 14 MR 0003 23 - 14 MC 0003 - 15 MC 0003 - 16 MC 524a - 19 MR 524a 65 - 19 MC 524a - 20 MC 524a - 23 MW 524a ca -218c d678 a7ca 25d7 0000 0000 0000 0000 4ca8 5255 0000 0004 -00 02 0 0 0 0 23 -524a ca -1 - -fdcb24 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 b4 - 11 MC 0003 - 14 MR 0003 24 - 14 MC 0003 - 15 MC 0003 - 16 MC afb2 - 19 MR afb2 7e - 19 MC afb2 - 20 MC afb2 - 23 MW afb2 fc -1cac da3e cc7c fc19 0000 0000 0000 0000 572c affe 0000 0004 -00 02 0 0 0 0 23 -afb2 fc -1 - -fdcb25 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 a6 - 11 MC 0003 - 14 MR 0003 25 - 14 MC 0003 - 15 MC 0003 - 16 MC 238f - 19 MR 238f 26 - 19 MC 238f - 20 MC 238f - 23 MW 238f 4c -9508 097c a341 894c 0000 0000 0000 0000 435d 23e9 0000 0004 -00 02 0 0 0 0 23 -238f 4c -1 - -fdcb26 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 bd - 11 MC 0003 - 14 MR 0003 26 - 14 MC 0003 - 15 MC 0003 - 16 MC d4a1 - 19 MR d4a1 bf - 19 MC d4a1 - 20 MC d4a1 - 23 MW d4a1 7e -582d 0e19 d277 bf7f 0000 0000 0000 0000 6504 d4e4 0000 0004 -00 02 0 0 0 0 23 -d4a1 7e -1 - -fdcb27 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 59 - 11 MC 0003 - 14 MR 0003 27 - 14 MC 0003 - 15 MC 0003 - 16 MC 8d9b - 19 MR 8d9b a7 - 19 MC 8d9b - 20 MC 8d9b - 23 MW 8d9b 4e -4e0d 8c06 2c4c d7c8 0000 0000 0000 0000 9239 8d42 0000 0004 -00 02 0 0 0 0 23 -8d9b 4e -1 - -fdcb28 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 83 - 11 MC 0003 - 14 MR 0003 28 - 14 MC 0003 - 15 MC 0003 - 16 MC aac6 - 19 MR aac6 5d - 19 MC aac6 - 20 MC aac6 - 23 MW aac6 2e -412d 2e9b 7745 76f5 0000 0000 0000 0000 a1bb ab43 0000 0004 -00 02 0 0 0 0 23 -aac6 2e -1 - -fdcb29 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7d - 11 MC 0003 - 14 MR 0003 29 - 14 MC 0003 - 15 MC 0003 - 16 MC 03c0 - 19 MR 03c0 84 - 19 MC 03c0 - 20 MC 03c0 - 23 MW 03c0 c2 -0b80 afc2 fea6 9478 0000 0000 0000 0000 32bb 0343 0000 0004 -00 02 0 0 0 0 23 -03c0 c2 -1 - -fdcb2a - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f9 - 11 MC 0003 - 14 MR 0003 2a - 14 MC 0003 - 15 MC 0003 - 16 MC abe0 - 19 MR abe0 dd - 19 MC abe0 - 20 MC abe0 - 23 MW abe0 ee -f2ad 8c31 ee32 7feb 0000 0000 0000 0000 7db7 abe7 0000 0004 -00 02 0 0 0 0 23 -abe0 ee -1 - -fdcb2b - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 4b - 11 MC 0003 - 14 MR 0003 2b - 14 MC 0003 - 15 MC 0003 - 16 MC 4adf - 19 MR 4adf 49 - 19 MC 4adf - 20 MC 4adf - 23 MW 4adf 24 -2425 6945 dc24 d643 0000 0000 0000 0000 5be1 4a94 0000 0004 -00 02 0 0 0 0 23 -4adf 24 -1 - -fdcb2c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e6 - 11 MC 0003 - 14 MR 0003 2c - 14 MC 0003 - 15 MC 0003 - 16 MC ccb7 - 19 MR ccb7 3c - 19 MC ccb7 - 20 MC ccb7 - 23 MW ccb7 1e -110c b32b e530 1e5a 0000 0000 0000 0000 2416 ccd1 0000 0004 -00 02 0 0 0 0 23 -ccb7 1e -1 - -fdcb2d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 5f - 11 MC 0003 - 14 MR 0003 2d - 14 MC 0003 - 15 MC 0003 - 16 MC e545 - 19 MR e545 78 - 19 MC e545 - 20 MC e545 - 23 MW e545 3c -d02c 344b 1bb0 3e3c 0000 0000 0000 0000 fe11 e4e6 0000 0004 -00 02 0 0 0 0 23 -e545 3c -1 - -fdcb2e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 06 - 11 MC 0003 - 14 MR 0003 2e - 14 MC 0003 - 15 MC 0003 - 16 MC 4303 - 19 MR 4303 ad - 19 MC 4303 - 20 MC 4303 - 23 MW 4303 d6 -f481 b832 4b7f e2b7 0000 0000 0000 0000 9386 42fd 0000 0004 -00 02 0 0 0 0 23 -4303 d6 -1 - -fdcb2f - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 29 - 11 MC 0003 - 14 MR 0003 2f - 14 MC 0003 - 15 MC 0003 - 16 MC 16e1 - 19 MR 16e1 18 - 19 MC 16e1 - 20 MC 16e1 - 23 MW 16e1 0c -0c0c f2c2 9f2f c946 0000 0000 0000 0000 5fe0 16b8 0000 0004 -00 02 0 0 0 0 23 -16e1 0c -1 - -fdcb30 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 1b - 11 MC 0003 - 14 MR 0003 30 - 14 MC 0003 - 15 MC 0003 - 16 MC d661 - 19 MR d661 a5 - 19 MC d661 - 20 MC d661 - 23 MW d661 4b -ac0d 4b32 f9ed cabc 0000 0000 0000 0000 fabd d646 0000 0004 -00 02 0 0 0 0 23 -d661 4b -1 - -fdcb31 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f0 - 11 MC 0003 - 14 MR 0003 31 - 14 MC 0003 - 15 MC 0003 - 16 MC bfd0 - 19 MR bfd0 f1 - 19 MC bfd0 - 20 MC bfd0 - 23 MW bfd0 e3 -2ba1 51e3 83a7 7eee 0000 0000 0000 0000 7750 bfe0 0000 0004 -00 02 0 0 0 0 23 -bfd0 e3 -1 - -fdcb32 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c5 - 11 MC 0003 - 14 MR 0003 32 - 14 MC 0003 - 15 MC 0003 - 16 MC 5aa3 - 19 MR 5aa3 59 - 19 MC 5aa3 - 20 MC 5aa3 - 23 MW 5aa3 b3 -b2a0 a4b1 b385 f66e 0000 0000 0000 0000 a9a1 5ade 0000 0004 -00 02 0 0 0 0 23 -5aa3 b3 -1 - -fdcb33 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7f - 11 MC 0003 - 14 MR 0003 33 - 14 MC 0003 - 15 MC 0003 - 16 MC 19e3 - 19 MR 19e3 da - 19 MC 19e3 - 20 MC 19e3 - 23 MW 19e3 b5 -9ca1 2c90 d0b5 2be3 0000 0000 0000 0000 2691 1964 0000 0004 -00 02 0 0 0 0 23 -19e3 b5 -1 - -fdcb34 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d2 - 11 MC 0003 - 14 MR 0003 34 - 14 MC 0003 - 15 MC 0003 - 16 MC 5668 - 19 MR 5668 d4 - 19 MC 5668 - 20 MC 5668 - 23 MW 5668 a9 -60ad fbcd 5348 a947 0000 0000 0000 0000 5338 5696 0000 0004 -00 02 0 0 0 0 23 -5668 a9 -1 - -fdcb35 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 71 - 11 MC 0003 - 14 MR 0003 35 - 14 MC 0003 - 15 MC 0003 - 16 MC 0169 - 19 MR 0169 0b - 19 MC 0169 - 20 MC 0169 - 23 MW 0169 17 -9604 21c6 4cb6 b417 0000 0000 0000 0000 673a 00f8 0000 0004 -00 02 0 0 0 0 23 -0169 17 -1 - -fdcb36 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 da - 11 MC 0003 - 14 MR 0003 36 - 14 MC 0003 - 15 MC 0003 - 16 MC 1ab8 - 19 MR 1ab8 3c - 19 MC 1ab8 - 20 MC 1ab8 - 23 MW 1ab8 79 -dc28 0892 3cc7 1494 0000 0000 0000 0000 8598 1ade 0000 0004 -00 02 0 0 0 0 23 -1ab8 79 -1 - -fdcb37 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 cb - 11 MC 0003 - 14 MR 0003 37 - 14 MC 0003 - 15 MC 0003 - 16 MC e7b7 - 19 MR e7b7 9f - 19 MC e7b7 - 20 MC e7b7 - 23 MW e7b7 3f -3f2d 4524 208f 076f 0000 0000 0000 0000 ad10 e7ec 0000 0004 -00 02 0 0 0 0 23 -e7b7 3f -1 - -fdcb38 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 8e - 11 MC 0003 - 14 MR 0003 38 - 14 MC 0003 - 15 MC 0003 - 16 MC d024 - 19 MR d024 0d - 19 MC d024 - 20 MC d024 - 23 MW d024 06 -4f05 0650 40c6 4fb7 0000 0000 0000 0000 f37e d096 0000 0004 -00 02 0 0 0 0 23 -d024 06 -1 - -fdcb39 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7f - 11 MC 0003 - 14 MR 0003 39 - 14 MC 0003 - 15 MC 0003 - 16 MC f4b2 - 19 MR f4b2 f5 - 19 MC f4b2 - 20 MC f4b2 - 23 MW f4b2 7a -bc29 f57a 8dee e514 0000 0000 0000 0000 48bc f433 0000 0004 -00 02 0 0 0 0 23 -f4b2 7a -1 - -fdcb3a - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 1d - 11 MC 0003 - 14 MR 0003 3a - 14 MC 0003 - 15 MC 0003 - 16 MC cb20 - 19 MR cb20 a8 - 19 MC cb20 - 20 MC cb20 - 23 MW cb20 54 -d000 2ef5 5410 9ca5 0000 0000 0000 0000 b155 cb03 0000 0004 -00 02 0 0 0 0 23 -cb20 54 -1 - -fdcb3b - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 05 - 11 MC 0003 - 14 MR 0003 3b - 14 MC 0003 - 15 MC 0003 - 16 MC d268 - 19 MR d268 b2 - 19 MC d268 - 20 MC d268 - 23 MW d268 59 -500c a85b cf59 de8c 0000 0000 0000 0000 9c5b d263 0000 0004 -00 02 0 0 0 0 23 -d268 59 -1 - -fdcb3c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ae - 11 MC 0003 - 14 MR 0003 3c - 14 MC 0003 - 15 MC 0003 - 16 MC a7bd - 19 MR a7bd 96 - 19 MC a7bd - 20 MC a7bd - 23 MW a7bd 4b -970c 4456 0b52 4bad 0000 0000 0000 0000 6d2a a80f 0000 0004 -00 02 0 0 0 0 23 -a7bd 4b -1 - -fdcb3d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 13 - 11 MC 0003 - 14 MR 0003 3d - 14 MC 0003 - 15 MC 0003 - 16 MC e53d - 19 MR e53d fb - 19 MC e53d - 20 MC e53d - 23 MW e53d 7d -7d2d 9303 e12b bf7d 0000 0000 0000 0000 4c0f e52a 0000 0004 -00 02 0 0 0 0 23 -e53d 7d -1 - -fdcb3e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 2e - 11 MC 0003 - 14 MR 0003 3e - 14 MC 0003 - 15 MC 0003 - 16 MC b2ff - 19 MR b2ff 50 - 19 MC b2ff - 20 MC b2ff - 23 MW b2ff 28 -0d2c 3e02 8f74 0f82 0000 0000 0000 0000 85df b2d1 0000 0004 -00 02 0 0 0 0 23 -b2ff 28 -1 - -fdcb3f - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 21 - 11 MC 0003 - 14 MR 0003 3f - 14 MC 0003 - 15 MC 0003 - 16 MC c1cd - 19 MR c1cd 78 - 19 MC c1cd - 20 MC c1cd - 23 MW c1cd 3c -3c2c 12f6 426c 52d4 0000 0000 0000 0000 d9f7 c1ac 0000 0004 -00 02 0 0 0 0 23 -c1cd 3c -1 - -fdcb40 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 3b - 11 MC 0003 - 14 MR 0003 40 - 14 MC 0003 - 15 MC 0003 - 16 MC 41d0 - 19 MR 41d0 0d - 19 MC 41d0 -5410 2c34 6784 b376 0000 0000 0000 0000 8ff9 4195 0000 0004 -00 02 0 0 0 0 20 - -fdcb41 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 cc - 11 MC 0003 - 14 MR 0003 41 - 14 MC 0003 - 15 MC 0003 - 16 MC 0397 - 19 MR 0397 e9 - 19 MC 0397 -8c11 5a58 b71c 6777 0000 0000 0000 0000 deca 03cb 0000 0004 -00 02 0 0 0 0 20 - -fdcb42 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 01 - 11 MC 0003 - 14 MR 0003 42 - 14 MC 0003 - 15 MC 0003 - 16 MC 9f57 - 19 MR 9f57 a8 - 19 MC 9f57 -555d 9c29 2feb 97ff 0000 0000 0000 0000 7f17 9f56 0000 0004 -00 02 0 0 0 0 20 - -fdcb43 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 08 - 11 MC 0003 - 14 MR 0003 43 - 14 MC 0003 - 15 MC 0003 - 16 MC 1fd9 - 19 MR 1fd9 aa - 19 MC 1fd9 -b45c e58c e62e 2a32 0000 0000 0000 0000 7130 1fd1 0000 0004 -00 02 0 0 0 0 20 - -fdcb44 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 03 - 11 MC 0003 - 14 MR 0003 44 - 14 MC 0003 - 15 MC 0003 - 16 MC f4f6 - 19 MR f4f6 89 - 19 MC f4f6 -a930 68f4 9fa4 7f66 0000 0000 0000 0000 0209 f4f3 0000 0004 -00 02 0 0 0 0 20 - -fdcb45 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 8d - 11 MC 0003 - 14 MR 0003 45 - 14 MC 0003 - 15 MC 0003 - 16 MC 937a - 19 MR 937a 8d - 19 MC 937a -7311 8dde 5e4f 84a7 0000 0000 0000 0000 4e24 93ed 0000 0004 -00 02 0 0 0 0 20 - -fdcb46 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 8c - 11 MC 0003 - 14 MR 0003 46 - 14 MC 0003 - 15 MC 0003 - 16 MC 633d - 19 MR 633d fe - 19 MC 633d -0e74 b1f9 475f ebfc 0000 0000 0000 0000 7765 63b1 0000 0004 -00 02 0 0 0 0 20 - -fdcb47 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 96 - 11 MC 0003 - 14 MR 0003 47 - 14 MC 0003 - 15 MC 0003 - 16 MC 6da4 - 19 MR 6da4 d6 - 19 MC 6da4 -9b7d 7f38 0753 d5e7 0000 0000 0000 0000 b9c3 6e0e 0000 0004 -00 02 0 0 0 0 20 - -fdcb48 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 44 - 11 MC 0003 - 14 MR 0003 48 - 14 MC 0003 - 15 MC 0003 - 16 MC abed - 19 MR abed b0 - 19 MC abed -7d7c 50a9 2511 8f9f 0000 0000 0000 0000 b612 aba9 0000 0004 -00 02 0 0 0 0 20 - -fdcb49 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 4e - 11 MC 0003 - 14 MR 0003 49 - 14 MC 0003 - 15 MC 0003 - 16 MC 3e6f - 19 MR 3e6f a9 - 19 MC 3e6f -697c 3a39 b834 74b6 0000 0000 0000 0000 0eb7 3e21 0000 0004 -00 02 0 0 0 0 20 - -fdcb4a - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 52 - 11 MC 0003 - 14 MR 0003 4a - 14 MC 0003 - 15 MC 0003 - 16 MC e82d - 19 MR e82d da - 19 MC e82d -3139 68e0 fe2f a2c4 0000 0000 0000 0000 ac96 e7db 0000 0004 -00 02 0 0 0 0 20 - -fdcb4b - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ea - 11 MC 0003 - 14 MR 0003 4b - 14 MC 0003 - 15 MC 0003 - 16 MC 8829 - 19 MR 8829 4e - 19 MC 8829 -0919 2453 9186 a32a 0000 0000 0000 0000 71af 883f 0000 0004 -00 02 0 0 0 0 20 - -fdcb4c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ee - 11 MC 0003 - 14 MR 0003 4c - 14 MC 0003 - 15 MC 0003 - 16 MC 7f10 - 19 MR 7f10 70 - 19 MC 7f10 -4a7c 1e5b be2e 3ee4 0000 0000 0000 0000 af79 7f22 0000 0004 -00 02 0 0 0 0 20 - -fdcb4d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 29 - 11 MC 0003 - 14 MR 0003 4d - 14 MC 0003 - 15 MC 0003 - 16 MC a799 - 19 MR a799 78 - 19 MC a799 -9f75 6c8f 34f4 5a79 0000 0000 0000 0000 d3cc a770 0000 0004 -00 02 0 0 0 0 20 - -fdcb4e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 20 - 11 MC 0003 - 14 MR 0003 4e - 14 MC 0003 - 15 MC 0003 - 16 MC e1e8 - 19 MR e1e8 aa - 19 MC e1e8 -3031 5626 52bc 5503 0000 0000 0000 0000 303b e1c8 0000 0004 -00 02 0 0 0 0 20 - -fdcb4f - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9d - 11 MC 0003 - 14 MR 0003 4f - 14 MC 0003 - 15 MC 0003 - 16 MC 1c95 - 19 MR 1c95 18 - 19 MC 1c95 -605c e079 7152 671f 0000 0000 0000 0000 8c22 1cf8 0000 0004 -00 02 0 0 0 0 20 - -fdcb50 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 6b - 11 MC 0003 - 14 MR 0003 50 - 14 MC 0003 - 15 MC 0003 - 16 MC c7ca - 19 MR c7ca fe - 19 MC c7ca -8c10 1409 6d69 e5b2 0000 0000 0000 0000 4a0c c75f 0000 0004 -00 02 0 0 0 0 20 - -fdcb51 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 86 - 11 MC 0003 - 14 MR 0003 51 - 14 MC 0003 - 15 MC 0003 - 16 MC 341b - 19 MR 341b 13 - 19 MC 341b -8f75 40cb 9543 9b3a 0000 0000 0000 0000 1942 3495 0000 0004 -00 02 0 0 0 0 20 - -fdcb52 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e6 - 11 MC 0003 - 14 MR 0003 52 - 14 MC 0003 - 15 MC 0003 - 16 MC 8af3 - 19 MR 8af3 87 - 19 MC 8af3 -8919 3e41 7ab4 37f6 0000 0000 0000 0000 f82d 8b0d 0000 0004 -00 02 0 0 0 0 20 - -fdcb53 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d1 - 11 MC 0003 - 14 MR 0003 53 - 14 MC 0003 - 15 MC 0003 - 16 MC 7eb2 - 19 MR 7eb2 e4 - 19 MC 7eb2 -ef38 e345 09a3 f0b2 0000 0000 0000 0000 c378 7ee1 0000 0004 -00 02 0 0 0 0 20 - -fdcb54 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 08 - 11 MC 0003 - 14 MR 0003 54 - 14 MC 0003 - 15 MC 0003 - 16 MC 5b73 - 19 MR 5b73 07 - 19 MC 5b73 -7218 cb82 d966 2fc6 0000 0000 0000 0000 3c00 5b6b 0000 0004 -00 02 0 0 0 0 20 - -fdcb55 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 54 - 11 MC 0003 - 14 MR 0003 55 - 14 MC 0003 - 15 MC 0003 - 16 MC b506 - 19 MR b506 46 - 19 MC b506 -8530 c23b 6aab 9b00 0000 0000 0000 0000 fe93 b4b2 0000 0004 -00 02 0 0 0 0 20 - -fdcb56 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 6f - 11 MC 0003 - 14 MR 0003 56 - 14 MC 0003 - 15 MC 0003 - 16 MC 69a1 - 19 MR 69a1 df - 19 MC 69a1 -f539 f9f6 1e8c 9e08 0000 0000 0000 0000 716a 6932 0000 0004 -00 02 0 0 0 0 20 - -fdcb57 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 3c - 11 MC 0003 - 14 MR 0003 57 - 14 MC 0003 - 15 MC 0003 - 16 MC a3f7 - 19 MR a3f7 6c - 19 MC a3f7 -3731 b7dc be1c 38ea 0000 0000 0000 0000 5e82 a3bb 0000 0004 -00 02 0 0 0 0 20 - -fdcb58 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 4e - 11 MC 0003 - 14 MR 0003 58 - 14 MC 0003 - 15 MC 0003 - 16 MC 1ee2 - 19 MR 1ee2 f6 - 19 MC 1ee2 -755c 7296 3ea5 1143 0000 0000 0000 0000 d7cc 1e94 0000 0004 -00 02 0 0 0 0 20 - -fdcb59 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 2e - 11 MC 0003 - 14 MR 0003 59 - 14 MC 0003 - 15 MC 0003 - 16 MC fb01 - 19 MR fb01 6f - 19 MC fb01 -8038 bf2a 1809 ed31 0000 0000 0000 0000 fe2b fad3 0000 0004 -00 02 0 0 0 0 20 - -fdcb5a - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 88 - 11 MC 0003 - 14 MR 0003 5a - 14 MC 0003 - 15 MC 0003 - 16 MC 7b40 - 19 MR 7b40 6e - 19 MC 7b40 -cc38 a108 65d4 6f66 0000 0000 0000 0000 0008 7bb8 0000 0004 -00 02 0 0 0 0 20 - -fdcb5b - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e7 - 11 MC 0003 - 14 MR 0003 5b - 14 MC 0003 - 15 MC 0003 - 16 MC 3143 - 19 MR 3143 b1 - 19 MC 3143 -5c75 b3bd 25bd 98cf 0000 0000 0000 0000 2ba1 315c 0000 0004 -00 02 0 0 0 0 20 - -fdcb5c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 74 - 11 MC 0003 - 14 MR 0003 5c - 14 MC 0003 - 15 MC 0003 - 16 MC 54b2 - 19 MR 54b2 e3 - 19 MC 54b2 -b354 d43d d9c0 b04d 0000 0000 0000 0000 21a9 543e 0000 0004 -00 02 0 0 0 0 20 - -fdcb5d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 dc - 11 MC 0003 - 14 MR 0003 5d - 14 MC 0003 - 15 MC 0003 - 16 MC 3b60 - 19 MR 3b60 ef - 19 MC 3b60 -9f39 43dd ccb3 085a 0000 0000 0000 0000 f130 3b84 0000 0004 -00 02 0 0 0 0 20 - -fdcb5e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e2 - 11 MC 0003 - 14 MR 0003 5e - 14 MC 0003 - 15 MC 0003 - 16 MC e147 - 19 MR e147 17 - 19 MC e147 -6f75 eff5 993b 22b5 0000 0000 0000 0000 0f30 e165 0000 0004 -00 02 0 0 0 0 20 - -fdcb5f - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ab - 11 MC 0003 - 14 MR 0003 5f - 14 MC 0003 - 15 MC 0003 - 16 MC f7c6 - 19 MR f7c6 e2 - 19 MC f7c6 -d774 a57a aca6 667e 0000 0000 0000 0000 5c33 f81b 0000 0004 -00 02 0 0 0 0 20 - -fdcb60 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 85 - 11 MC 0003 - 14 MR 0003 60 - 14 MC 0003 - 15 MC 0003 - 16 MC 8bc9 - 19 MR 8bc9 b9 - 19 MC 8bc9 -1519 8d30 43f4 c65e 0000 0000 0000 0000 1e34 8c44 0000 0004 -00 02 0 0 0 0 20 - -fdcb61 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 be - 11 MC 0003 - 14 MR 0003 61 - 14 MC 0003 - 15 MC 0003 - 16 MC 92a2 - 19 MR 92a2 28 - 19 MC 92a2 -7b55 d421 5570 cb85 0000 0000 0000 0000 32ec 92e4 0000 0004 -00 02 0 0 0 0 20 - -fdcb62 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9d - 11 MC 0003 - 14 MR 0003 62 - 14 MC 0003 - 15 MC 0003 - 16 MC 7e4e - 19 MR 7e4e 1a - 19 MC 7e4e -ba39 4fbb 67a7 c5db 0000 0000 0000 0000 470b 7eb1 0000 0004 -00 02 0 0 0 0 20 - -fdcb63 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f4 - 11 MC 0003 - 14 MR 0003 63 - 14 MC 0003 - 15 MC 0003 - 16 MC 1707 - 19 MR 1707 3b - 19 MC 1707 -c011 2cc2 ce12 e77c 0000 0000 0000 0000 71c5 1713 0000 0004 -00 02 0 0 0 0 20 - -fdcb64 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 35 - 11 MC 0003 - 14 MR 0003 64 - 14 MC 0003 - 15 MC 0003 - 16 MC b36b - 19 MR b36b 8c - 19 MC b36b -0c75 7847 2494 71eb 0000 0000 0000 0000 315c b336 0000 0004 -00 02 0 0 0 0 20 - -fdcb65 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 37 - 11 MC 0003 - 14 MR 0003 65 - 14 MC 0003 - 15 MC 0003 - 16 MC 8a2b - 19 MR 8a2b 08 - 19 MC 8a2b -525d a82d 1112 8f09 0000 0000 0000 0000 672a 89f4 0000 0004 -00 02 0 0 0 0 20 - -fdcb66 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9d - 11 MC 0003 - 14 MR 0003 66 - 14 MC 0003 - 15 MC 0003 - 16 MC 68e5 - 19 MR 68e5 90 - 19 MC 68e5 -5839 c13e b136 6bc5 0000 0000 0000 0000 3ef9 6948 0000 0004 -00 02 0 0 0 0 20 - -fdcb67 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 cb - 11 MC 0003 - 14 MR 0003 67 - 14 MC 0003 - 15 MC 0003 - 16 MC 653d - 19 MR 653d 15 - 19 MC 653d -3130 0f7d 48b5 cc5f 0000 0000 0000 0000 2103 6572 0000 0004 -00 02 0 0 0 0 20 - -fdcb68 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ca - 11 MC 0003 - 14 MR 0003 68 - 14 MC 0003 - 15 MC 0003 - 16 MC 0388 - 19 MR 0388 83 - 19 MC 0388 -e354 39fb a03a 59bc 0000 0000 0000 0000 e04a 03be 0000 0004 -00 02 0 0 0 0 20 - -fdcb69 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e2 - 11 MC 0003 - 14 MR 0003 69 - 14 MC 0003 - 15 MC 0003 - 16 MC a5e5 - 19 MR a5e5 01 - 19 MC a5e5 -1874 5bc2 d4d9 4e8a 0000 0000 0000 0000 3716 a603 0000 0004 -00 02 0 0 0 0 20 - -fdcb6a - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ae - 11 MC 0003 - 14 MR 0003 6a - 14 MC 0003 - 15 MC 0003 - 16 MC 936c - 19 MR 936c 33 - 19 MC 936c -5b11 0099 34f8 3e96 0000 0000 0000 0000 f251 93be 0000 0004 -00 02 0 0 0 0 20 - -fdcb6b - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 1f - 11 MC 0003 - 14 MR 0003 6b - 14 MC 0003 - 15 MC 0003 - 16 MC aa4e - 19 MR aa4e 7c - 19 MC aa4e -bb39 9e6c abd1 515f 0000 0000 0000 0000 73db aa2f 0000 0004 -00 02 0 0 0 0 20 - -fdcb6c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0d - 11 MC 0003 - 14 MR 0003 6c - 14 MC 0003 - 15 MC 0003 - 16 MC 86c7 - 19 MR 86c7 25 - 19 MC 86c7 -1411 3af2 8f80 7be5 0000 0000 0000 0000 c379 86ba 0000 0004 -00 02 0 0 0 0 20 - -fdcb6d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f0 - 11 MC 0003 - 14 MR 0003 6d - 14 MC 0003 - 15 MC 0003 - 16 MC 62b8 - 19 MR 62b8 e3 - 19 MC 62b8 -6330 d077 668d 6e4a 0000 0000 0000 0000 b0a8 62c8 0000 0004 -00 02 0 0 0 0 20 - -fdcb6e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 93 - 11 MC 0003 - 14 MR 0003 6e - 14 MC 0003 - 15 MC 0003 - 16 MC 41a3 - 19 MR 41a3 1e - 19 MC 41a3 -2d54 f872 692d 92c4 0000 0000 0000 0000 36b5 4210 0000 0004 -00 02 0 0 0 0 20 - -fdcb6f - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 20 - 11 MC 0003 - 14 MR 0003 6f - 14 MC 0003 - 15 MC 0003 - 16 MC 006e - 19 MR 006e 37 - 19 MC 006e -df11 c7aa 9002 86b8 0000 0000 0000 0000 1347 004e 0000 0004 -00 02 0 0 0 0 20 - -fdcb70 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d9 - 11 MC 0003 - 14 MR 0003 70 - 14 MC 0003 - 15 MC 0003 - 16 MC 3e41 - 19 MR 3e41 c9 - 19 MC 3e41 -6e39 018d 5075 cf4e 0000 0000 0000 0000 cd2b 3e68 0000 0004 -00 02 0 0 0 0 20 - -fdcb71 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 27 - 11 MC 0003 - 14 MR 0003 71 - 14 MC 0003 - 15 MC 0003 - 16 MC 99c1 - 19 MR 99c1 3e - 19 MC 99c1 -1b5c e3af 94d5 0996 0000 0000 0000 0000 cad5 999a 0000 0004 -00 02 0 0 0 0 20 - -fdcb72 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 98 - 11 MC 0003 - 14 MR 0003 72 - 14 MC 0003 - 15 MC 0003 - 16 MC fcc9 - 19 MR fcc9 4f - 19 MC fcc9 -e839 26b1 8608 f3cb 0000 0000 0000 0000 6323 fd31 0000 0004 -00 02 0 0 0 0 20 - -fdcb73 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7a - 11 MC 0003 - 14 MR 0003 73 - 14 MC 0003 - 15 MC 0003 - 16 MC f652 - 19 MR f652 31 - 19 MC f652 -1075 446c c2f9 b9b1 0000 0000 0000 0000 0820 f5d8 0000 0004 -00 02 0 0 0 0 20 - -fdcb74 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 4b - 11 MC 0003 - 14 MR 0003 74 - 14 MC 0003 - 15 MC 0003 - 16 MC 5e95 - 19 MR 5e95 fe - 19 MC 5e95 -6819 38c2 0ea4 0825 0000 0000 0000 0000 d255 5e4a 0000 0004 -00 02 0 0 0 0 20 - -fdcb75 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 57 - 11 MC 0003 - 14 MR 0003 75 - 14 MC 0003 - 15 MC 0003 - 16 MC 6115 - 19 MR 6115 21 - 19 MC 6115 -5674 c034 6e11 d35e 0000 0000 0000 0000 e702 60be 0000 0004 -00 02 0 0 0 0 20 - -fdcb76 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 53 - 11 MC 0003 - 14 MR 0003 76 - 14 MC 0003 - 15 MC 0003 - 16 MC 6676 - 19 MR 6676 3a - 19 MC 6676 -7375 caff dd80 c8ed 0000 0000 0000 0000 7e39 6623 0000 0004 -00 02 0 0 0 0 20 - -fdcb77 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 02 - 11 MC 0003 - 14 MR 0003 77 - 14 MC 0003 - 15 MC 0003 - 16 MC 8843 - 19 MR 8843 d8 - 19 MC 8843 -ab18 983e 0bdc 3b46 0000 0000 0000 0000 ae51 8841 0000 0004 -00 02 0 0 0 0 20 - -fdcb78 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7d - 11 MC 0003 - 14 MR 0003 78 - 14 MC 0003 - 15 MC 0003 - 16 MC ff48 - 19 MR ff48 ec - 19 MC ff48 -27b9 ce2f 4824 6930 0000 0000 0000 0000 ae69 fecb 0000 0004 -00 02 0 0 0 0 20 - -fdcb79 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 23 - 11 MC 0003 - 14 MR 0003 79 - 14 MC 0003 - 15 MC 0003 - 16 MC 1cd1 - 19 MR 1cd1 87 - 19 MC 1cd1 -b498 6355 7896 8a7c 0000 0000 0000 0000 9090 1cae 0000 0004 -00 02 0 0 0 0 20 - -fdcb7a - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 42 - 11 MC 0003 - 14 MR 0003 7a - 14 MC 0003 - 15 MC 0003 - 16 MC d965 - 19 MR d965 b3 - 19 MC d965 -5998 ca21 1482 3fae 0000 0000 0000 0000 c6c9 d923 0000 0004 -00 02 0 0 0 0 20 - -fdcb7b - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 17 - 11 MC 0003 - 14 MR 0003 7b - 14 MC 0003 - 15 MC 0003 - 16 MC 0a9a - 19 MR 0a9a bd - 19 MC 0a9a -6398 0240 5efa 5e7b 0000 0000 0000 0000 3e50 0a83 0000 0004 -00 02 0 0 0 0 20 - -fdcb7c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f1 - 11 MC 0003 - 14 MR 0003 7c - 14 MC 0003 - 15 MC 0003 - 16 MC d362 - 19 MR d362 1b - 19 MC d362 -2254 aff4 b89b 4dca 0000 0000 0000 0000 0ac2 d371 0000 0004 -00 02 0 0 0 0 20 - -fdcb7d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9f - 11 MC 0003 - 14 MR 0003 7d - 14 MC 0003 - 15 MC 0003 - 16 MC abda - 19 MR abda 8a - 19 MC abda -1cb9 d615 825a 5e64 0000 0000 0000 0000 32fb ac3b 0000 0004 -00 02 0 0 0 0 20 - -fdcb7e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 40 - 11 MC 0003 - 14 MR 0003 7e - 14 MC 0003 - 15 MC 0003 - 16 MC 94c4 - 19 MR 94c4 9e - 19 MC 94c4 -5090 8dfe 1019 6778 0000 0000 0000 0000 f7df 9484 0000 0004 -00 02 0 0 0 0 20 - -fdcb7f - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 a2 - 11 MC 0003 - 14 MR 0003 7f - 14 MC 0003 - 15 MC 0003 - 16 MC ce0b - 19 MR ce0b 47 - 19 MC ce0b -1b5d 9ec3 14be 5ebe 0000 0000 0000 0000 1178 ce69 0000 0004 -00 02 0 0 0 0 20 - -fdcb80 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 8b - 11 MC 0003 - 14 MR 0003 80 - 14 MC 0003 - 15 MC 0003 - 16 MC 9198 - 19 MR 9198 a9 - 19 MC 9198 - 20 MC 9198 - 23 MW 9198 a8 -e196 a8ea 507e 6457 0000 0000 0000 0000 ab75 920d 0000 0004 -00 02 0 0 0 0 23 -9198 a8 -1 - -fdcb81 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 55 - 11 MC 0003 - 14 MR 0003 81 - 14 MC 0003 - 15 MC 0003 - 16 MC 82fa - 19 MR 82fa fa - 19 MC 82fa - 20 MC 82fa - 23 MW 82fa fa -3d3d b2fa 8759 0cb0 0000 0000 0000 0000 e078 82a5 0000 0004 -00 02 0 0 0 0 23 - -fdcb82 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9c - 11 MC 0003 - 14 MR 0003 82 - 14 MC 0003 - 15 MC 0003 - 16 MC 5d74 - 19 MR 5d74 9d - 19 MC 5d74 - 20 MC 5d74 - 23 MW 5d74 9c -4e10 5d8d 9ca0 ffff 0000 0000 0000 0000 ee0a 5dd8 0000 0004 -00 02 0 0 0 0 23 -5d74 9c -1 - -fdcb83 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 28 - 11 MC 0003 - 14 MR 0003 83 - 14 MC 0003 - 15 MC 0003 - 16 MC 3772 - 19 MR 3772 d5 - 19 MC 3772 - 20 MC 3772 - 23 MW 3772 d4 -3c7f fd81 47d4 9f12 0000 0000 0000 0000 cbf9 374a 0000 0004 -00 02 0 0 0 0 23 -3772 d4 -1 - -fdcb84 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 aa - 11 MC 0003 - 14 MR 0003 84 - 14 MC 0003 - 15 MC 0003 - 16 MC f16d - 19 MR f16d ea - 19 MC f16d - 20 MC f16d - 23 MW f16d ea -6872 81b1 1e7a ea7e 0000 0000 0000 0000 9b4c f1c3 0000 0004 -00 02 0 0 0 0 23 - -fdcb85 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 6c - 11 MC 0003 - 14 MR 0003 85 - 14 MC 0003 - 15 MC 0003 - 16 MC 049f - 19 MR 049f e0 - 19 MC 049f - 20 MC 049f - 23 MW 049f e0 -25b3 5694 57cd f3e0 0000 0000 0000 0000 8ed2 0433 0000 0004 -00 02 0 0 0 0 23 - -fdcb86 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7e - 11 MC 0003 - 14 MR 0003 86 - 14 MC 0003 - 15 MC 0003 - 16 MC 2ace - 19 MR 2ace 36 - 19 MC 2ace - 20 MC 2ace - 23 MW 2ace 36 -152b 8ce1 818d 40f2 0000 0000 0000 0000 9b7a 2a50 0000 0004 -00 02 0 0 0 0 23 - -fdcb87 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 59 - 11 MC 0003 - 14 MR 0003 87 - 14 MC 0003 - 15 MC 0003 - 16 MC 24c3 - 19 MR 24c3 65 - 19 MC 24c3 - 20 MC 24c3 - 23 MW 24c3 64 -641d 5353 618d 3266 0000 0000 0000 0000 1a53 246a 0000 0004 -00 02 0 0 0 0 23 -24c3 64 -1 - -fdcb88 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d4 - 11 MC 0003 - 14 MR 0003 88 - 14 MC 0003 - 15 MC 0003 - 16 MC c5e1 - 19 MR c5e1 d6 - 19 MC c5e1 - 20 MC c5e1 - 23 MW c5e1 d4 -7d14 d4ec 1e47 76e1 0000 0000 0000 0000 3871 c60d 0000 0004 -00 02 0 0 0 0 23 -c5e1 d4 -1 - -fdcb89 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c3 - 11 MC 0003 - 14 MR 0003 89 - 14 MC 0003 - 15 MC 0003 - 16 MC 09c4 - 19 MR 09c4 b0 - 19 MC 09c4 - 20 MC 09c4 - 23 MW 09c4 b0 -86c3 50b0 8592 d6ca 0000 0000 0000 0000 947b 0a01 0000 0004 -00 02 0 0 0 0 23 - -fdcb8a - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f9 - 11 MC 0003 - 14 MR 0003 8a - 14 MC 0003 - 15 MC 0003 - 16 MC d4cb - 19 MR d4cb d8 - 19 MC d4cb - 20 MC d4cb - 23 MW d4cb d8 -599c 961a d8f9 8470 0000 0000 0000 0000 d2a5 d4d2 0000 0004 -00 02 0 0 0 0 23 - -fdcb8b - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ed - 11 MC 0003 - 14 MR 0003 8b - 14 MC 0003 - 15 MC 0003 - 16 MC c70b - 19 MR c70b dc - 19 MC c70b - 20 MC c70b - 23 MW c70b dc -2715 a209 abdc 3eac 0000 0000 0000 0000 f352 c71e 0000 0004 -00 02 0 0 0 0 23 - -fdcb8c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 97 - 11 MC 0003 - 14 MR 0003 8c - 14 MC 0003 - 15 MC 0003 - 16 MC a199 - 19 MR a199 67 - 19 MC a199 - 20 MC a199 - 23 MW a199 65 -2818 4259 a9b0 65a0 0000 0000 0000 0000 6471 a202 0000 0004 -00 02 0 0 0 0 23 -a199 65 -1 - -fdcb8d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c9 - 11 MC 0003 - 14 MR 0003 8d - 14 MC 0003 - 15 MC 0003 - 16 MC 5632 - 19 MR 5632 9a - 19 MC 5632 - 20 MC 5632 - 23 MW 5632 98 -14e3 c330 9aa2 8498 0000 0000 0000 0000 0d4f 5669 0000 0004 -00 02 0 0 0 0 23 -5632 98 -1 - -fdcb8e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c2 - 11 MC 0003 - 14 MR 0003 8e - 14 MC 0003 - 15 MC 0003 - 16 MC 4c43 - 19 MR 4c43 7f - 19 MC 4c43 - 20 MC 4c43 - 23 MW 4c43 7d -cb79 0fff b244 c902 0000 0000 0000 0000 6246 4c81 0000 0004 -00 02 0 0 0 0 23 -4c43 7d -1 - -fdcb8f - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d4 - 11 MC 0003 - 14 MR 0003 8f - 14 MC 0003 - 15 MC 0003 - 16 MC 6b25 - 19 MR 6b25 59 - 19 MC 6b25 - 20 MC 6b25 - 23 MW 6b25 59 -59b4 5fbb 6c9b d0e3 0000 0000 0000 0000 ac5a 6b51 0000 0004 -00 02 0 0 0 0 23 - -fdcb90 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 fd - 11 MC 0003 - 14 MR 0003 90 - 14 MC 0003 - 15 MC 0003 - 16 MC d7f2 - 19 MR d7f2 70 - 19 MC d7f2 - 20 MC d7f2 - 23 MW d7f2 70 -1305 70e1 d627 7402 0000 0000 0000 0000 b470 d7f5 0000 0004 -00 02 0 0 0 0 23 - -fdcb91 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 bf - 11 MC 0003 - 14 MR 0003 91 - 14 MC 0003 - 15 MC 0003 - 16 MC 4791 - 19 MR 4791 0e - 19 MC 4791 - 20 MC 4791 - 23 MW 4791 0a -10df c40a 0213 fc7e 0000 0000 0000 0000 bfab 47d2 0000 0004 -00 02 0 0 0 0 23 -4791 0a -1 - -fdcb92 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0b - 11 MC 0003 - 14 MR 0003 92 - 14 MC 0003 - 15 MC 0003 - 16 MC 3145 - 19 MR 3145 f6 - 19 MC 3145 - 20 MC 3145 - 23 MW 3145 f2 -6a11 f89e f29d c115 0000 0000 0000 0000 bc5d 313a 0000 0004 -00 02 0 0 0 0 23 -3145 f2 -1 - -fdcb93 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 52 - 11 MC 0003 - 14 MR 0003 93 - 14 MC 0003 - 15 MC 0003 - 16 MC 2992 - 19 MR 2992 38 - 19 MC 2992 - 20 MC 2992 - 23 MW 2992 38 -61e5 cc2c 9538 b52b 0000 0000 0000 0000 fa64 2940 0000 0004 -00 02 0 0 0 0 23 - -fdcb94 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 95 - 11 MC 0003 - 14 MR 0003 94 - 14 MC 0003 - 15 MC 0003 - 16 MC fdb1 - 19 MR fdb1 48 - 19 MC fdb1 - 20 MC fdb1 - 23 MW fdb1 48 -31b4 3e5a fb3d 4883 0000 0000 0000 0000 a801 fe1c 0000 0004 -00 02 0 0 0 0 23 - -fdcb95 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 90 - 11 MC 0003 - 14 MR 0003 95 - 14 MC 0003 - 15 MC 0003 - 16 MC e706 - 19 MR e706 eb - 19 MC e706 - 20 MC e706 - 23 MW e706 eb -337e 63a7 2918 edeb 0000 0000 0000 0000 b12c e776 0000 0004 -00 02 0 0 0 0 23 - -fdcb96 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9e - 11 MC 0003 - 14 MR 0003 96 - 14 MC 0003 - 15 MC 0003 - 16 MC e66d - 19 MR e66d fc - 19 MC e66d - 20 MC e66d - 23 MW e66d f8 -5d99 d9ec b6d0 5ed5 0000 0000 0000 0000 5d9d e6cf 0000 0004 -00 02 0 0 0 0 23 -e66d f8 -1 - -fdcb97 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 64 - 11 MC 0003 - 14 MR 0003 97 - 14 MC 0003 - 15 MC 0003 - 16 MC 18e3 - 19 MR 18e3 9d - 19 MC 18e3 - 20 MC 18e3 - 23 MW 18e3 99 -99b6 8406 72c6 1ba7 0000 0000 0000 0000 6dca 187f 0000 0004 -00 02 0 0 0 0 23 -18e3 99 -1 - -fdcb98 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 da - 11 MC 0003 - 14 MR 0003 98 - 14 MC 0003 - 15 MC 0003 - 16 MC 4392 - 19 MR 4392 15 - 19 MC 4392 - 20 MC 4392 - 23 MW 4392 15 -0495 152f 8000 b749 0000 0000 0000 0000 e9cb 43b8 0000 0004 -00 02 0 0 0 0 23 - -fdcb99 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 78 - 11 MC 0003 - 14 MR 0003 99 - 14 MC 0003 - 15 MC 0003 - 16 MC d8e4 - 19 MR d8e4 b5 - 19 MC d8e4 - 20 MC d8e4 - 23 MW d8e4 b5 -2824 a4b5 a30b b286 0000 0000 0000 0000 10b0 d86c 0000 0004 -00 02 0 0 0 0 23 - -fdcb9a - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9c - 11 MC 0003 - 14 MR 0003 9a - 14 MC 0003 - 15 MC 0003 - 16 MC d6b3 - 19 MR d6b3 9d - 19 MC d6b3 - 20 MC d6b3 - 23 MW d6b3 95 -b0cc c40c 951a 014a 0000 0000 0000 0000 2ff9 d717 0000 0004 -00 02 0 0 0 0 23 -d6b3 95 -1 - -fdcb9b - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 83 - 11 MC 0003 - 14 MR 0003 9b - 14 MC 0003 - 15 MC 0003 - 16 MC caa1 - 19 MR caa1 95 - 19 MC caa1 - 20 MC caa1 - 23 MW caa1 95 -d092 a6c2 7995 5448 0000 0000 0000 0000 fab0 cb1e 0000 0004 -00 02 0 0 0 0 23 - -fdcb9c - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 1e - 11 MC 0003 - 14 MR 0003 9c - 14 MC 0003 - 15 MC 0003 - 16 MC 03d1 - 19 MR 03d1 78 - 19 MC 03d1 - 20 MC 03d1 - 23 MW 03d1 70 -b58d 1ed1 e93b 700c 0000 0000 0000 0000 5605 03b3 0000 0004 -00 02 0 0 0 0 23 -03d1 70 -1 - -fdcb9d - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e6 - 11 MC 0003 - 14 MR 0003 9d - 14 MC 0003 - 15 MC 0003 - 16 MC c06d - 19 MR c06d 53 - 19 MC c06d - 20 MC c06d - 23 MW c06d 53 -c7e9 18d3 8eed bd53 0000 0000 0000 0000 9a7f c087 0000 0004 -00 02 0 0 0 0 23 - -fdcb9e - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 eb - 11 MC 0003 - 14 MR 0003 9e - 14 MC 0003 - 15 MC 0003 - 16 MC 41a8 - 19 MR 41a8 61 - 19 MC 41a8 - 20 MC 41a8 - 23 MW 41a8 61 -81c7 71df 45d5 0ca7 0000 0000 0000 0000 648f 41bd 0000 0004 -00 02 0 0 0 0 23 - -fdcb9f - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 50 - 11 MC 0003 - 14 MR 0003 9f - 14 MC 0003 - 15 MC 0003 - 16 MC 9d99 - 19 MR 9d99 89 - 19 MC 9d99 - 20 MC 9d99 - 23 MW 9d99 81 -81f5 dc9f d490 15be 0000 0000 0000 0000 0e12 9d49 0000 0004 -00 02 0 0 0 0 23 -9d99 81 -1 - -fdcba0 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 76 - 11 MC 0003 - 14 MR 0003 a0 - 14 MC 0003 - 15 MC 0003 - 16 MC d703 - 19 MR d703 d4 - 19 MC d703 - 20 MC d703 - 23 MW d703 c4 -8ccb c457 bc19 e543 0000 0000 0000 0000 8c5d d68d 0000 0004 -00 02 0 0 0 0 23 -d703 c4 -1 - -fdcba1 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 31 - 11 MC 0003 - 14 MR 0003 a1 - 14 MC 0003 - 15 MC 0003 - 16 MC 66f9 - 19 MR 66f9 ec - 19 MC 66f9 - 20 MC 66f9 - 23 MW 66f9 ec -eee6 6dec 3a20 8bba 0000 0000 0000 0000 1de7 66c8 0000 0004 -00 02 0 0 0 0 23 - -fdcba2 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0e - 11 MC 0003 - 14 MR 0003 a2 - 14 MC 0003 - 15 MC 0003 - 16 MC 04cd - 19 MR 04cd 47 - 19 MC 04cd - 20 MC 04cd - 23 MW 04cd 47 -3f89 5120 47d1 e669 0000 0000 0000 0000 2993 04bf 0000 0004 -00 02 0 0 0 0 23 - -fdcba3 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 05 - 11 MC 0003 - 14 MR 0003 a3 - 14 MC 0003 - 15 MC 0003 - 16 MC ad7c - 19 MR ad7c 59 - 19 MC ad7c - 20 MC ad7c - 23 MW ad7c 49 -4439 6b8b 6149 1246 0000 0000 0000 0000 4cdb ad77 0000 0004 -00 02 0 0 0 0 23 -ad7c 49 -1 - -fdcba4 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 66 - 11 MC 0003 - 14 MR 0003 a4 - 14 MC 0003 - 15 MC 0003 - 16 MC c133 - 19 MR c133 c5 - 19 MC c133 - 20 MC c133 - 23 MW c133 c5 -3385 261e a487 c5bd 0000 0000 0000 0000 4b8f c0cd 0000 0004 -00 02 0 0 0 0 23 - -fdcba5 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 a0 - 11 MC 0003 - 14 MR 0003 a5 - 14 MC 0003 - 15 MC 0003 - 16 MC f141 - 19 MR f141 44 - 19 MC f141 - 20 MC f141 - 23 MW f141 44 -6e70 b7ed 22cd ae44 0000 0000 0000 0000 46de f1a1 0000 0004 -00 02 0 0 0 0 23 - -fdcba6 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 76 - 11 MC 0003 - 14 MR 0003 a6 - 14 MC 0003 - 15 MC 0003 - 16 MC 9469 - 19 MR 9469 bc - 19 MC 9469 - 20 MC 9469 - 23 MW 9469 ac -814b 6408 3dcb 971f 0000 0000 0000 0000 5716 93f3 0000 0004 -00 02 0 0 0 0 23 -9469 ac -1 - -fdcba7 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 66 - 11 MC 0003 - 14 MR 0003 a7 - 14 MC 0003 - 15 MC 0003 - 16 MC 7a2a - 19 MR 7a2a 2e - 19 MC 7a2a - 20 MC 7a2a - 23 MW 7a2a 2e -2ec2 679e c313 61df 0000 0000 0000 0000 67e6 79c4 0000 0004 -00 02 0 0 0 0 23 - -fdcba8 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 a9 - 11 MC 0003 - 14 MR 0003 a8 - 14 MC 0003 - 15 MC 0003 - 16 MC 0cef - 19 MR 0cef b7 - 19 MC 0cef - 20 MC 0cef - 23 MW 0cef 97 -537c 97ed 6cbb bd26 0000 0000 0000 0000 c638 0d46 0000 0004 -00 02 0 0 0 0 23 -0cef 97 -1 - -fdcba9 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 2b - 11 MC 0003 - 14 MR 0003 a9 - 14 MC 0003 - 15 MC 0003 - 16 MC 0f7f - 19 MR 0f7f 8f - 19 MC 0f7f - 20 MC 0f7f - 23 MW 0f7f 8f -ba5a 308f cdd7 298d 0000 0000 0000 0000 59ab 0f54 0000 0004 -00 02 0 0 0 0 23 - -fdcbaa - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0b - 11 MC 0003 - 14 MR 0003 aa - 14 MC 0003 - 15 MC 0003 - 16 MC b3dc - 19 MR b3dc 3a - 19 MC b3dc - 20 MC b3dc - 23 MW b3dc 1a -406a 2ed6 1a8c c633 0000 0000 0000 0000 87cb b3d1 0000 0004 -00 02 0 0 0 0 23 -b3dc 1a -1 - -fdcbab - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 a9 - 11 MC 0003 - 14 MR 0003 ab - 14 MC 0003 - 15 MC 0003 - 16 MC 8e77 - 19 MR 8e77 1f - 19 MC 8e77 - 20 MC 8e77 - 23 MW 8e77 1f -da61 0521 a11f c7fa 0000 0000 0000 0000 b71a 8ece 0000 0004 -00 02 0 0 0 0 23 - -fdcbac - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 23 - 11 MC 0003 - 14 MR 0003 ac - 14 MC 0003 - 15 MC 0003 - 16 MC 0548 - 19 MR 0548 9c - 19 MC 0548 - 20 MC 0548 - 23 MW 0548 9c -34a3 81ce 07d6 9ca4 0000 0000 0000 0000 430b 0525 0000 0004 -00 02 0 0 0 0 23 - -fdcbad - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 4e - 11 MC 0003 - 14 MR 0003 ad - 14 MC 0003 - 15 MC 0003 - 16 MC 94dd - 19 MR 94dd 37 - 19 MC 94dd - 20 MC 94dd - 23 MW 94dd 17 -5010 918e ddbc 4f17 0000 0000 0000 0000 88c5 948f 0000 0004 -00 02 0 0 0 0 23 -94dd 17 -1 - -fdcbae - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0c - 11 MC 0003 - 14 MR 0003 ae - 14 MC 0003 - 15 MC 0003 - 16 MC 0c29 - 19 MR 0c29 a9 - 19 MC 0c29 - 20 MC 0c29 - 23 MW 0c29 89 -ec0d b57e 18c6 7b01 0000 0000 0000 0000 bac6 0c1d 0000 0004 -00 02 0 0 0 0 23 -0c29 89 -1 - -fdcbaf - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0d - 11 MC 0003 - 14 MR 0003 af - 14 MC 0003 - 15 MC 0003 - 16 MC 26f8 - 19 MR 26f8 44 - 19 MC 26f8 - 20 MC 26f8 - 23 MW 26f8 44 -4422 6731 daad 8d38 0000 0000 0000 0000 dd8f 26eb 0000 0004 -00 02 0 0 0 0 23 - -fdcbb0 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 5a - 11 MC 0003 - 14 MR 0003 b0 - 14 MC 0003 - 15 MC 0003 - 16 MC a125 - 19 MR a125 76 - 19 MC a125 - 20 MC a125 - 23 MW a125 36 -b984 366c 44b1 fef9 0000 0000 0000 0000 4069 a0cb 0000 0004 -00 02 0 0 0 0 23 -a125 36 -1 - -fdcbb1 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 82 - 11 MC 0003 - 14 MR 0003 b1 - 14 MC 0003 - 15 MC 0003 - 16 MC f31a - 19 MR f31a 79 - 19 MC f31a - 20 MC f31a - 23 MW f31a 39 -59c3 ab39 42ee b764 0000 0000 0000 0000 8f7f f398 0000 0004 -00 02 0 0 0 0 23 -f31a 39 -1 - -fdcbb2 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 23 - 11 MC 0003 - 14 MR 0003 b2 - 14 MC 0003 - 15 MC 0003 - 16 MC 341c - 19 MR 341c 7b - 19 MC 341c - 20 MC 341c - 23 MW 341c 3b -f310 ceec 3bfb 3569 0000 0000 0000 0000 4a6f 33f9 0000 0004 -00 02 0 0 0 0 23 -341c 3b -1 - -fdcbb3 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 6c - 11 MC 0003 - 14 MR 0003 b3 - 14 MC 0003 - 15 MC 0003 - 16 MC 523e - 19 MR 523e 37 - 19 MC 523e - 20 MC 523e - 23 MW 523e 37 -9c05 0f92 bd37 553d 0000 0000 0000 0000 c75e 51d2 0000 0004 -00 02 0 0 0 0 23 - -fdcbb4 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c5 - 11 MC 0003 - 14 MR 0003 b4 - 14 MC 0003 - 15 MC 0003 - 16 MC 4cdc - 19 MR 4cdc e9 - 19 MC 4cdc - 20 MC 4cdc - 23 MW 4cdc a9 -3e55 1338 638d a93c 0000 0000 0000 0000 44ad 4d17 0000 0004 -00 02 0 0 0 0 23 -4cdc a9 -1 - -fdcbb5 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 50 - 11 MC 0003 - 14 MR 0003 b5 - 14 MC 0003 - 15 MC 0003 - 16 MC 8f2d - 19 MR 8f2d 0f - 19 MC 8f2d - 20 MC 8f2d - 23 MW 8f2d 0f -2f3a b709 4167 570f 0000 0000 0000 0000 b543 8edd 0000 0004 -00 02 0 0 0 0 23 - -fdcbb6 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 3a - 11 MC 0003 - 14 MR 0003 b6 - 14 MC 0003 - 15 MC 0003 - 16 MC 1e50 - 19 MR 1e50 13 - 19 MC 1e50 - 20 MC 1e50 - 23 MW 1e50 13 -a887 519b c91b cc91 0000 0000 0000 0000 a416 1e16 0000 0004 -00 02 0 0 0 0 23 - -fdcbb7 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c0 - 11 MC 0003 - 14 MR 0003 b7 - 14 MC 0003 - 15 MC 0003 - 16 MC 0069 - 19 MR 0069 38 - 19 MC 0069 - 20 MC 0069 - 23 MW 0069 38 -3835 a599 9fbf c111 0000 0000 0000 0000 8bc5 00a9 0000 0004 -00 02 0 0 0 0 23 - -fdcbb8 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 31 - 11 MC 0003 - 14 MR 0003 b8 - 14 MC 0003 - 15 MC 0003 - 16 MC d0b8 - 19 MR d0b8 17 - 19 MC d0b8 - 20 MC d0b8 - 23 MW d0b8 17 -d146 1738 1a45 8259 0000 0000 0000 0000 6a03 d087 0000 0004 -00 02 0 0 0 0 23 - -fdcbb9 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 3b - 11 MC 0003 - 14 MR 0003 b9 - 14 MC 0003 - 15 MC 0003 - 16 MC b889 - 19 MR b889 b4 - 19 MC b889 - 20 MC b889 - 23 MW b889 34 -757b 0b34 767b 2ad1 0000 0000 0000 0000 1498 b84e 0000 0004 -00 02 0 0 0 0 23 -b889 34 -1 - -fdcbba - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 38 - 11 MC 0003 - 14 MR 0003 ba - 14 MC 0003 - 15 MC 0003 - 16 MC 3877 - 19 MR 3877 d6 - 19 MC 3877 - 20 MC 3877 - 23 MW 3877 56 -43ef 1c58 56a3 4519 0000 0000 0000 0000 b67b 383f 0000 0004 -00 02 0 0 0 0 23 -3877 56 -1 - -fdcbbb - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 07 - 11 MC 0003 - 14 MR 0003 bb - 14 MC 0003 - 15 MC 0003 - 16 MC e305 - 19 MR e305 6e - 19 MC e305 - 20 MC e305 - 23 MW e305 6e -dccb 7ab3 766e 4161 0000 0000 0000 0000 2942 e2fe 0000 0004 -00 02 0 0 0 0 23 - -fdcbbc - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f8 - 11 MC 0003 - 14 MR 0003 bc - 14 MC 0003 - 15 MC 0003 - 16 MC af04 - 19 MR af04 cf - 19 MC af04 - 20 MC af04 - 23 MW af04 4f -0e07 34f5 0995 4f42 0000 0000 0000 0000 9d42 af0c 0000 0004 -00 02 0 0 0 0 23 -af04 4f -1 - -fdcbbd - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 aa - 11 MC 0003 - 14 MR 0003 bd - 14 MC 0003 - 15 MC 0003 - 16 MC deb7 - 19 MR deb7 8d - 19 MC deb7 - 20 MC deb7 - 23 MW deb7 0d -30ef e60c 9bf0 a10d 0000 0000 0000 0000 bd1c df0d 0000 0004 -00 02 0 0 0 0 23 -deb7 0d -1 - -fdcbbe - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c8 - 11 MC 0003 - 14 MR 0003 be - 14 MC 0003 - 15 MC 0003 - 16 MC 3cd7 - 19 MR 3cd7 a1 - 19 MC 3cd7 - 20 MC 3cd7 - 23 MW 3cd7 21 -1133 bef6 5059 1089 0000 0000 0000 0000 d558 3d0f 0000 0004 -00 02 0 0 0 0 23 -3cd7 21 -1 - -fdcbbf - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 fd - 11 MC 0003 - 14 MR 0003 bf - 14 MC 0003 - 15 MC 0003 - 16 MC bde4 - 19 MR bde4 ac - 19 MC bde4 - 20 MC bde4 - 23 MW bde4 2c -2cd6 c893 8db8 716b 0000 0000 0000 0000 0956 bde7 0000 0004 -00 02 0 0 0 0 23 -bde4 2c -1 - -fdcbc0 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 0a - 11 MC 0003 - 14 MR 0003 c0 - 14 MC 0003 - 15 MC 0003 - 16 MC 2b3b - 19 MR 2b3b ec - 19 MC 2b3b - 20 MC 2b3b - 23 MW 2b3b ed -3666 ed6c 35e5 db0a 0000 0000 0000 0000 ea93 2b31 0000 0004 -00 02 0 0 0 0 23 -2b3b ed -1 - -fdcbc1 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 87 - 11 MC 0003 - 14 MR 0003 c1 - 14 MC 0003 - 15 MC 0003 - 16 MC bcee - 19 MR bcee ee - 19 MC bcee - 20 MC bcee - 23 MW bcee ef -3902 d4ef af62 9821 0000 0000 0000 0000 48b8 bd67 0000 0004 -00 02 0 0 0 0 23 -bcee ef -1 - -fdcbc2 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 8d - 11 MC 0003 - 14 MR 0003 c2 - 14 MC 0003 - 15 MC 0003 - 16 MC 5ab9 - 19 MR 5ab9 c2 - 19 MC 5ab9 - 20 MC 5ab9 - 23 MW 5ab9 c3 -ad26 5a6d c362 16c9 0000 0000 0000 0000 495a 5b2c 0000 0004 -00 02 0 0 0 0 23 -5ab9 c3 -1 - -fdcbc3 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 5a - 11 MC 0003 - 14 MR 0003 c3 - 14 MC 0003 - 15 MC 0003 - 16 MC e6c0 - 19 MR e6c0 4f - 19 MC e6c0 - 20 MC e6c0 - 23 MW e6c0 4f -3e6c 9a74 a24f 9838 0000 0000 0000 0000 eafa e666 0000 0004 -00 02 0 0 0 0 23 - -fdcbc4 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 89 - 11 MC 0003 - 14 MR 0003 c4 - 14 MC 0003 - 15 MC 0003 - 16 MC 5c99 - 19 MR 5c99 61 - 19 MC 5c99 - 20 MC 5c99 - 23 MW 5c99 61 -bf68 d00b 5283 61c2 0000 0000 0000 0000 517c 5d10 0000 0004 -00 02 0 0 0 0 23 - -fdcbc5 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 a9 - 11 MC 0003 - 14 MR 0003 c5 - 14 MC 0003 - 15 MC 0003 - 16 MC 0264 - 19 MR 0264 cd - 19 MC 0264 - 20 MC 0264 - 23 MW 0264 cd -127b db6a 00b9 51cd 0000 0000 0000 0000 98f6 02bb 0000 0004 -00 02 0 0 0 0 23 - -fdcbc6 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ee - 11 MC 0003 - 14 MR 0003 c6 - 14 MC 0003 - 15 MC 0003 - 16 MC 76b2 - 19 MR 76b2 82 - 19 MC 76b2 - 20 MC 76b2 - 23 MW 76b2 83 -35da 98c2 3f57 44a4 0000 0000 0000 0000 2771 76c4 0000 0004 -00 02 0 0 0 0 23 -76b2 83 -1 - -fdcbc7 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9e - 11 MC 0003 - 14 MR 0003 c7 - 14 MC 0003 - 15 MC 0003 - 16 MC f2a9 - 19 MR f2a9 d7 - 19 MC f2a9 - 20 MC f2a9 - 23 MW f2a9 d7 -d73f b86f 12d3 7e2d 0000 0000 0000 0000 d870 f30b 0000 0004 -00 02 0 0 0 0 23 - -fdcbc8 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 10 - 11 MC 0003 - 14 MR 0003 c8 - 14 MC 0003 - 15 MC 0003 - 16 MC c422 - 19 MR c422 e9 - 19 MC c422 - 20 MC c422 - 23 MW c422 eb -1f81 ebc0 85da 3cdd 0000 0000 0000 0000 d854 c412 0000 0004 -00 02 0 0 0 0 23 -c422 eb -1 - -fdcbc9 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 61 - 11 MC 0003 - 14 MR 0003 c9 - 14 MC 0003 - 15 MC 0003 - 16 MC 8ba3 - 19 MR 8ba3 b7 - 19 MC 8ba3 - 20 MC 8ba3 - 23 MW 8ba3 b7 -ed19 3fb7 1370 e084 0000 0000 0000 0000 4fdd 8b42 0000 0004 -00 02 0 0 0 0 23 - -fdcbca - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 1a - 11 MC 0003 - 14 MR 0003 ca - 14 MC 0003 - 15 MC 0003 - 16 MC 51aa - 19 MR 51aa 90 - 19 MC 51aa - 20 MC 51aa - 23 MW 51aa 92 -c7e5 233b 9212 f7f9 0000 0000 0000 0000 e417 5190 0000 0004 -00 02 0 0 0 0 23 -51aa 92 -1 - -fdcbcb - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 5e - 11 MC 0003 - 14 MR 0003 cb - 14 MC 0003 - 15 MC 0003 - 16 MC 9ad0 - 19 MR 9ad0 70 - 19 MC 9ad0 - 20 MC 9ad0 - 23 MW 9ad0 72 -bdba a964 ea72 9422 0000 0000 0000 0000 fca3 9a72 0000 0004 -00 02 0 0 0 0 23 -9ad0 72 -1 - -fdcbcc - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 2d - 11 MC 0003 - 14 MR 0003 cc - 14 MC 0003 - 15 MC 0003 - 16 MC 1526 - 19 MR 1526 4e - 19 MC 1526 - 20 MC 1526 - 23 MW 1526 4e -0f4f 0261 21b0 4e97 0000 0000 0000 0000 575d 14f9 0000 0004 -00 02 0 0 0 0 23 - -fdcbcd - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 a1 - 11 MC 0003 - 14 MR 0003 cd - 14 MC 0003 - 15 MC 0003 - 16 MC bb8a - 19 MR bb8a 66 - 19 MC bb8a - 20 MC bb8a - 23 MW bb8a 66 -1b79 8f9f 31bf 9c66 0000 0000 0000 0000 7ecb bbe9 0000 0004 -00 02 0 0 0 0 23 - -fdcbce - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 36 - 11 MC 0003 - 14 MR 0003 ce - 14 MC 0003 - 15 MC 0003 - 16 MC b8d8 - 19 MR b8d8 45 - 19 MC b8d8 - 20 MC b8d8 - 23 MW b8d8 47 -8e13 968e 1784 0a0a 0000 0000 0000 0000 1e87 b8a2 0000 0004 -00 02 0 0 0 0 23 -b8d8 47 -1 - -fdcbcf - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 70 - 11 MC 0003 - 14 MR 0003 cf - 14 MC 0003 - 15 MC 0003 - 16 MC c30c - 19 MR c30c 7a - 19 MC c30c - 20 MC c30c - 23 MW c30c 7a -7a0a a073 c4ba 5b69 0000 0000 0000 0000 3b47 c29c 0000 0004 -00 02 0 0 0 0 23 - -fdcbd0 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 bc - 11 MC 0003 - 14 MR 0003 d0 - 14 MC 0003 - 15 MC 0003 - 16 MC 3ba7 - 19 MR 3ba7 20 - 19 MC 3ba7 - 20 MC 3ba7 - 23 MW 3ba7 24 -e2bb 2435 650c 689a 0000 0000 0000 0000 1294 3beb 0000 0004 -00 02 0 0 0 0 23 -3ba7 24 -1 - -fdcbd1 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 11 - 11 MC 0003 - 14 MR 0003 d1 - 14 MC 0003 - 15 MC 0003 - 16 MC 8c76 - 19 MR 8c76 b9 - 19 MC 8c76 - 20 MC 8c76 - 23 MW 8c76 bd -5df8 f7bd 9494 4967 0000 0000 0000 0000 ad00 8c65 0000 0004 -00 02 0 0 0 0 23 -8c76 bd -1 - -fdcbd2 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 fb - 11 MC 0003 - 14 MR 0003 d2 - 14 MC 0003 - 15 MC 0003 - 16 MC c04c - 19 MR c04c 51 - 19 MC c04c - 20 MC c04c - 23 MW c04c 55 -9876 4bd9 5548 665a 0000 0000 0000 0000 7eac c051 0000 0004 -00 02 0 0 0 0 23 -c04c 55 -1 - -fdcbd3 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 3e - 11 MC 0003 - 14 MR 0003 d3 - 14 MC 0003 - 15 MC 0003 - 16 MC 0ac5 - 19 MR 0ac5 e0 - 19 MC 0ac5 - 20 MC 0ac5 - 23 MW 0ac5 e4 -8f90 bacd e8e4 538f 0000 0000 0000 0000 fe5a 0a87 0000 0004 -00 02 0 0 0 0 23 -0ac5 e4 -1 - -fdcbd4 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 36 - 11 MC 0003 - 14 MR 0003 d4 - 14 MC 0003 - 15 MC 0003 - 16 MC 94ae - 19 MR 94ae 7d - 19 MC 94ae - 20 MC 94ae - 23 MW 94ae 7d -15e2 1820 5588 7d7f 0000 0000 0000 0000 7193 9478 0000 0004 -00 02 0 0 0 0 23 - -fdcbd5 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 48 - 11 MC 0003 - 14 MR 0003 d5 - 14 MC 0003 - 15 MC 0003 - 16 MC 8650 - 19 MR 8650 98 - 19 MC 8650 - 20 MC 8650 - 23 MW 8650 9c -1409 6535 c371 ab9c 0000 0000 0000 0000 2e10 8608 0000 0004 -00 02 0 0 0 0 23 -8650 9c -1 - -fdcbd6 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 c9 - 11 MC 0003 - 14 MR 0003 d6 - 14 MC 0003 - 15 MC 0003 - 16 MC 6a6c - 19 MR 6a6c 7c - 19 MC 6a6c - 20 MC 6a6c - 23 MW 6a6c 7c -7801 78b6 d191 054a 0000 0000 0000 0000 2065 6aa3 0000 0004 -00 02 0 0 0 0 23 - -fdcbd7 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 be - 11 MC 0003 - 14 MR 0003 d7 - 14 MC 0003 - 15 MC 0003 - 16 MC 3669 - 19 MR 3669 95 - 19 MC 3669 - 20 MC 3669 - 23 MW 3669 95 -956a 266e 387f 7fcb 0000 0000 0000 0000 1941 36ab 0000 0004 -00 02 0 0 0 0 23 - -fdcbd8 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 bc - 11 MC 0003 - 14 MR 0003 d8 - 14 MC 0003 - 15 MC 0003 - 16 MC 43b4 - 19 MR 43b4 d8 - 19 MC 43b4 - 20 MC 43b4 - 23 MW 43b4 d8 -7b1b d891 efee 55b9 0000 0000 0000 0000 f789 43f8 0000 0004 -00 02 0 0 0 0 23 - -fdcbd9 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 16 - 11 MC 0003 - 14 MR 0003 d9 - 14 MC 0003 - 15 MC 0003 - 16 MC 0a7c - 19 MR 0a7c f4 - 19 MC 0a7c - 20 MC 0a7c - 23 MW 0a7c fc -0faf 4efc c556 6ed3 0000 0000 0000 0000 3fc3 0a66 0000 0004 -00 02 0 0 0 0 23 -0a7c fc -1 - -fdcbda - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e8 - 11 MC 0003 - 14 MR 0003 da - 14 MC 0003 - 15 MC 0003 - 16 MC d0d8 - 19 MR d0d8 6b - 19 MC d0d8 - 20 MC d0d8 - 23 MW d0d8 6b -9ea1 8186 6b45 d6e0 0000 0000 0000 0000 34d3 d0f0 0000 0004 -00 02 0 0 0 0 23 - -fdcbdb - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 7a - 11 MC 0003 - 14 MR 0003 db - 14 MC 0003 - 15 MC 0003 - 16 MC 8ca3 - 19 MR 8ca3 15 - 19 MC 8ca3 - 20 MC 8ca3 - 23 MW 8ca3 1d -5ee0 bdea d01d 513f 0000 0000 0000 0000 690a 8c29 0000 0004 -00 02 0 0 0 0 23 -8ca3 1d -1 - -fdcbdc - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 64 - 11 MC 0003 - 14 MR 0003 dc - 14 MC 0003 - 15 MC 0003 - 16 MC 599e - 19 MR 599e 15 - 19 MC 599e - 20 MC 599e - 23 MW 599e 1d -5cfa 2e2b 1d17 1df6 0000 0000 0000 0000 a4f2 593a 0000 0004 -00 02 0 0 0 0 23 -599e 1d -1 - -fdcbdd - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 75 - 11 MC 0003 - 14 MR 0003 dd - 14 MC 0003 - 15 MC 0003 - 16 MC 1e09 - 19 MR 1e09 28 - 19 MC 1e09 - 20 MC 1e09 - 23 MW 1e09 28 -8773 70a6 83ce 5228 0000 0000 0000 0000 35da 1d94 0000 0004 -00 02 0 0 0 0 23 - -fdcbde - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 17 - 11 MC 0003 - 14 MR 0003 de - 14 MC 0003 - 15 MC 0003 - 16 MC c9f7 - 19 MR c9f7 41 - 19 MC c9f7 - 20 MC c9f7 - 23 MW c9f7 49 -8310 fa01 6c69 252a 0000 0000 0000 0000 5291 c9e0 0000 0004 -00 02 0 0 0 0 23 -c9f7 49 -1 - -fdcbdf - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 93 - 11 MC 0003 - 14 MR 0003 df - 14 MC 0003 - 15 MC 0003 - 16 MC ea56 - 19 MR ea56 ef - 19 MC ea56 - 20 MC ea56 - 23 MW ea56 ef -ef0d a722 e78e 50ba 0000 0000 0000 0000 9d67 eac3 0000 0004 -00 02 0 0 0 0 23 - -fdcbe0 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 56 - 11 MC 0003 - 14 MR 0003 e0 - 14 MC 0003 - 15 MC 0003 - 16 MC 155d - 19 MR 155d b9 - 19 MC 155d - 20 MC 155d - 23 MW 155d b9 -10ef b901 2ca5 f752 0000 0000 0000 0000 4747 1507 0000 0004 -00 02 0 0 0 0 23 - -fdcbe1 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d1 - 11 MC 0003 - 14 MR 0003 e1 - 14 MC 0003 - 15 MC 0003 - 16 MC 0dde - 19 MR 0dde 16 - 19 MC 0dde - 20 MC 0dde - 23 MW 0dde 16 -e4cb 6f16 1c11 1426 0000 0000 0000 0000 189b 0e0d 0000 0004 -00 02 0 0 0 0 23 - -fdcbe2 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 50 - 11 MC 0003 - 14 MR 0003 e2 - 14 MC 0003 - 15 MC 0003 - 16 MC e4ec - 19 MR e4ec c2 - 19 MC e4ec - 20 MC e4ec - 23 MW e4ec d2 -11a9 bae8 d28b bac4 0000 0000 0000 0000 d8ed e49c 0000 0004 -00 02 0 0 0 0 23 -e4ec d2 -1 - -fdcbe3 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ca - 11 MC 0003 - 14 MR 0003 e3 - 14 MC 0003 - 15 MC 0003 - 16 MC ad72 - 19 MR ad72 ba - 19 MC ad72 - 20 MC ad72 - 23 MW ad72 ba -8832 952b 02ba 26ef 0000 0000 0000 0000 fb55 ada8 0000 0004 -00 02 0 0 0 0 23 - -fdcbe4 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 62 - 11 MC 0003 - 14 MR 0003 e4 - 14 MC 0003 - 15 MC 0003 - 16 MC 54d6 - 19 MR 54d6 7b - 19 MC 54d6 - 20 MC 54d6 - 23 MW 54d6 7b -3989 4142 89e2 7b5b 0000 0000 0000 0000 0bf7 5474 0000 0004 -00 02 0 0 0 0 23 - -fdcbe5 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 da - 11 MC 0003 - 14 MR 0003 e5 - 14 MC 0003 - 15 MC 0003 - 16 MC a507 - 19 MR a507 4c - 19 MC a507 - 20 MC a507 - 23 MW a507 5c -e5c5 b86d 41bb 315c 0000 0000 0000 0000 1a78 a52d 0000 0004 -00 02 0 0 0 0 23 -a507 5c -1 - -fdcbe6 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 76 - 11 MC 0003 - 14 MR 0003 e6 - 14 MC 0003 - 15 MC 0003 - 16 MC 8b7c - 19 MR 8b7c 45 - 19 MC 8b7c - 20 MC 8b7c - 23 MW 8b7c 55 -fd89 d888 1e2f ddf5 0000 0000 0000 0000 42f5 8b06 0000 0004 -00 02 0 0 0 0 23 -8b7c 55 -1 - -fdcbe7 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 11 - 11 MC 0003 - 14 MR 0003 e7 - 14 MC 0003 - 15 MC 0003 - 16 MC b5a8 - 19 MR b5a8 a6 - 19 MC b5a8 - 20 MC b5a8 - 23 MW b5a8 b6 -b625 d3e9 d4b6 aa30 0000 0000 0000 0000 88bd b597 0000 0004 -00 02 0 0 0 0 23 -b5a8 b6 -1 - -fdcbe8 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ed - 11 MC 0003 - 14 MR 0003 e8 - 14 MC 0003 - 15 MC 0003 - 16 MC 0a64 - 19 MR 0a64 d0 - 19 MC 0a64 - 20 MC 0a64 - 23 MW 0a64 f0 -514d f0ab 37b5 57de 0000 0000 0000 0000 a4ec 0a77 0000 0004 -00 02 0 0 0 0 23 -0a64 f0 -1 - -fdcbe9 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 35 - 11 MC 0003 - 14 MR 0003 e9 - 14 MC 0003 - 15 MC 0003 - 16 MC a883 - 19 MR a883 2f - 19 MC a883 - 20 MC a883 - 23 MW a883 2f -974e d22f d5cb 6bd4 0000 0000 0000 0000 158a a84e 0000 0004 -00 02 0 0 0 0 23 - -fdcbea - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 93 - 11 MC 0003 - 14 MR 0003 ea - 14 MC 0003 - 15 MC 0003 - 16 MC 7526 - 19 MR 7526 1b - 19 MC 7526 - 20 MC 7526 - 23 MW 7526 3b -3ef4 3fc6 3b44 e9a4 0000 0000 0000 0000 c877 7593 0000 0004 -00 02 0 0 0 0 23 -7526 3b -1 - -fdcbeb - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d0 - 11 MC 0003 - 14 MR 0003 eb - 14 MC 0003 - 15 MC 0003 - 16 MC 23e1 - 19 MR 23e1 47 - 19 MC 23e1 - 20 MC 23e1 - 23 MW 23e1 67 -798f 5e9b 9467 2e52 0000 0000 0000 0000 d6ad 2411 0000 0004 -00 02 0 0 0 0 23 -23e1 67 -1 - -fdcbec - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 f3 - 11 MC 0003 - 14 MR 0003 ec - 14 MC 0003 - 15 MC 0003 - 16 MC d2de - 19 MR d2de 49 - 19 MC d2de - 20 MC d2de - 23 MW d2de 69 -38a4 07c0 6cee 6915 0000 0000 0000 0000 f160 d2eb 0000 0004 -00 02 0 0 0 0 23 -d2de 69 -1 - -fdcbed - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 58 - 11 MC 0003 - 14 MR 0003 ed - 14 MC 0003 - 15 MC 0003 - 16 MC 0f03 - 19 MR 0f03 10 - 19 MC 0f03 - 20 MC 0f03 - 23 MW 0f03 30 -e0bc 70c1 de35 8130 0000 0000 0000 0000 d57f 0eab 0000 0004 -00 02 0 0 0 0 23 -0f03 30 -1 - -fdcbee - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 02 - 11 MC 0003 - 14 MR 0003 ee - 14 MC 0003 - 15 MC 0003 - 16 MC 42be - 19 MR 42be d0 - 19 MC 42be - 20 MC 42be - 23 MW 42be f0 -5fcb 9007 1736 aca8 0000 0000 0000 0000 4bab 42bc 0000 0004 -00 02 0 0 0 0 23 -42be f0 -1 - -fdcbef - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 58 - 11 MC 0003 - 14 MR 0003 ef - 14 MC 0003 - 15 MC 0003 - 16 MC 22ca - 19 MR 22ca 09 - 19 MC 22ca - 20 MC 22ca - 23 MW 22ca 29 -29e3 d344 cb5b aeb5 0000 0000 0000 0000 de5f 2272 0000 0004 -00 02 0 0 0 0 23 -22ca 29 -1 - -fdcbf0 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 45 - 11 MC 0003 - 14 MR 0003 f0 - 14 MC 0003 - 15 MC 0003 - 16 MC eee3 - 19 MR eee3 2c - 19 MC eee3 - 20 MC eee3 - 23 MW eee3 6c -1080 6c70 1b5b a9b7 0000 0000 0000 0000 e89d ee9e 0000 0004 -00 02 0 0 0 0 23 -eee3 6c -1 - -fdcbf1 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 2f - 11 MC 0003 - 14 MR 0003 f1 - 14 MC 0003 - 15 MC 0003 - 16 MC 47b2 - 19 MR 47b2 dc - 19 MC 47b2 - 20 MC 47b2 - 23 MW 47b2 dc -1702 c4dc d138 316f 0000 0000 0000 0000 8067 4783 0000 0004 -00 02 0 0 0 0 23 - -fdcbf2 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 2c - 11 MC 0003 - 14 MR 0003 f2 - 14 MC 0003 - 15 MC 0003 - 16 MC eac3 - 19 MR eac3 5e - 19 MC eac3 - 20 MC eac3 - 23 MW eac3 5e -732a 4cd1 5efe 4814 0000 0000 0000 0000 42f1 ea97 0000 0004 -00 02 0 0 0 0 23 - -fdcbf3 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 38 - 11 MC 0003 - 14 MR 0003 f3 - 14 MC 0003 - 15 MC 0003 - 16 MC 7dc8 - 19 MR 7dc8 0c - 19 MC 7dc8 - 20 MC 7dc8 - 23 MW 7dc8 4c -6b97 59d3 f54c 7530 0000 0000 0000 0000 6670 7d90 0000 0004 -00 02 0 0 0 0 23 -7dc8 4c -1 - -fdcbf4 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 d0 - 11 MC 0003 - 14 MR 0003 f4 - 14 MC 0003 - 15 MC 0003 - 16 MC 306c - 19 MR 306c 0e - 19 MC 306c - 20 MC 306c - 23 MW 306c 4e -7af0 a81f 5d3a 4e9b 0000 0000 0000 0000 e12b 309c 0000 0004 -00 02 0 0 0 0 23 -306c 4e -1 - -fdcbf5 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 55 - 11 MC 0003 - 14 MR 0003 f5 - 14 MC 0003 - 15 MC 0003 - 16 MC 6b74 - 19 MR 6b74 f8 - 19 MC 6b74 - 20 MC 6b74 - 23 MW 6b74 f8 -1370 f6b2 aaa2 7ff8 0000 0000 0000 0000 c9f6 6b1f 0000 0004 -00 02 0 0 0 0 23 - -fdcbf6 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 56 - 11 MC 0003 - 14 MR 0003 f6 - 14 MC 0003 - 15 MC 0003 - 16 MC c670 - 19 MR c670 5d - 19 MC c670 - 20 MC c670 - 23 MW c670 5d -7c43 fcd1 34bd f4ab 0000 0000 0000 0000 ef33 c61a 0000 0004 -00 02 0 0 0 0 23 - -fdcbf7 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 9e - 11 MC 0003 - 14 MR 0003 f7 - 14 MC 0003 - 15 MC 0003 - 16 MC 5727 - 19 MR 5727 66 - 19 MC 5727 - 20 MC 5727 - 23 MW 5727 66 -66da 231a 7bb1 800d 0000 0000 0000 0000 e37e 5789 0000 0004 -00 02 0 0 0 0 23 - -fdcbf8 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 54 - 11 MC 0003 - 14 MR 0003 f8 - 14 MC 0003 - 15 MC 0003 - 16 MC dece - 19 MR dece 7a - 19 MC dece - 20 MC dece - 23 MW dece fa -fa29 fa74 d7c4 afaf 0000 0000 0000 0000 512c de7a 0000 0004 -00 02 0 0 0 0 23 -dece fa -1 - -fdcbf9 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 30 - 11 MC 0003 - 14 MR 0003 f9 - 14 MC 0003 - 15 MC 0003 - 16 MC 9a13 - 19 MR 9a13 c6 - 19 MC 9a13 - 20 MC 9a13 - 23 MW 9a13 c6 -4662 a7c6 5065 ed06 0000 0000 0000 0000 279e 99e3 0000 0004 -00 02 0 0 0 0 23 - -fdcbfa - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 09 - 11 MC 0003 - 14 MR 0003 fa - 14 MC 0003 - 15 MC 0003 - 16 MC bd82 - 19 MR bd82 f4 - 19 MC bd82 - 20 MC bd82 - 23 MW bd82 f4 -9426 53ec f416 6c99 0000 0000 0000 0000 8b99 bd79 0000 0004 -00 02 0 0 0 0 23 - -fdcbfb - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 ba - 11 MC 0003 - 14 MR 0003 fb - 14 MC 0003 - 15 MC 0003 - 16 MC f82f - 19 MR f82f ed - 19 MC f82f - 20 MC f82f - 23 MW f82f ed -5343 b212 09ed e3c6 0000 0000 0000 0000 cd2b f875 0000 0004 -00 02 0 0 0 0 23 - -fdcbfc - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 56 - 11 MC 0003 - 14 MR 0003 fc - 14 MC 0003 - 15 MC 0003 - 16 MC 6679 - 19 MR 6679 65 - 19 MC 6679 - 20 MC 6679 - 23 MW 6679 e5 -0965 4392 ca25 e5aa 0000 0000 0000 0000 f023 6623 0000 0004 -00 02 0 0 0 0 23 -6679 e5 -1 - -fdcbfd - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 25 - 11 MC 0003 - 14 MR 0003 fd - 14 MC 0003 - 15 MC 0003 - 16 MC 5d50 - 19 MR 5d50 27 - 19 MC 5d50 - 20 MC 5d50 - 23 MW 5d50 a7 -1751 233c 6214 d1a7 0000 0000 0000 0000 c415 5d2b 0000 0004 -00 02 0 0 0 0 23 -5d50 a7 -1 - -fdcbfe - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 88 - 11 MC 0003 - 14 MR 0003 fe - 14 MC 0003 - 15 MC 0003 - 16 MC 4dd7 - 19 MR 4dd7 4a - 19 MC 4dd7 - 20 MC 4dd7 - 23 MW 4dd7 ca -b4cf 5639 677b 0ca2 0000 0000 0000 0000 ddc5 4e4f 0000 0004 -00 02 0 0 0 0 23 -4dd7 ca -1 - -fdcbff - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 cb - 8 MC 0002 - 11 MR 0002 e4 - 11 MC 0003 - 14 MR 0003 ff - 14 MC 0003 - 15 MC 0003 - 16 MC 2ad1 - 19 MR 2ad1 97 - 19 MC 2ad1 - 20 MC 2ad1 - 23 MW 2ad1 97 -9751 13da 7c56 f025 0000 0000 0000 0000 2b36 2aed 0000 0004 -00 02 0 0 0 0 23 - -fde1 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 e1 - 8 MC 716e - 11 MR 716e d5 - 11 MC 716f - 14 MR 716f 92 -828e 078b 1e35 8f1c 0000 0000 0000 0000 4827 92d5 7170 0002 -00 02 0 0 0 0 14 - -fde3 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 e3 - 8 MC 1a38 - 11 MR 1a38 e0 - 11 MC 1a39 - 14 MR 1a39 0f - 14 MC 1a39 - 15 MC 1a39 - 18 MW 1a39 95 - 18 MC 1a38 - 21 MW 1a38 10 - 21 MC 1a38 - 22 MC 1a38 -4298 c805 6030 4292 0000 0000 0000 0000 473b 0fe0 1a38 0002 -00 02 0 0 0 0 23 -1a38 10 95 -1 - -fde5 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 e5 - 8 MC 0002 - 9 MC a8e0 - 12 MW a8e0 d4 - 12 MC a8df - 15 MW a8df da -d139 aa0d bf2b 2a56 0000 0000 0000 0000 e138 d4da a8df 0002 -00 02 0 0 0 0 15 -a8df da d4 -1 - -fde9 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 e9 -c14f 2eb6 edf0 27cf 0000 0000 0000 0000 09ee a2a4 0000 a2a4 -00 02 0 0 0 0 8 - -fdf9 - 0 MC 0000 - 4 MR 0000 fd - 4 MC 0001 - 8 MR 0001 f9 - 8 MC 0002 - 9 MC 0002 -c260 992e d544 67fb 0000 0000 0000 0000 ba5e 3596 3596 0002 -00 02 0 0 0 0 10 - -fe - 0 MC 0000 - 4 MR 0000 fe - 4 MC 0001 - 7 MR 0001 82 -6987 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0002 -00 01 0 0 0 0 7 - -ff - 0 MC 6d33 - 4 MR 6d33 ff - 4 MC 0001 - 5 MC 5506 - 8 MW 5506 6d - 8 MC 5505 - 11 MW 5505 34 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 5505 0038 -00 01 0 0 0 0 11 -5505 34 6d -1 \ No newline at end of file diff --git a/test/cli/z80/tests.in b/test/cli/z80/tests.in deleted file mode 100644 index 194461c8..00000000 --- a/test/cli/z80/tests.in +++ /dev/null @@ -1,9011 +0,0 @@ -00 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 00 -1 --1 - -01 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 01 12 34 -1 --1 - -02 -5600 0001 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 02 -1 --1 - -03 -0000 789a 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 03 -1 --1 - -04 -0000 ff00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 04 -1 --1 - -05 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 05 -1 --1 - -06 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 06 bc -1 --1 - -07 -8800 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 07 -1 --1 - -08 -def0 0000 0000 0000 1234 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 08 -1 --1 - -09 -0000 5678 0000 9abc 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 09 -1 --1 - -0a -0000 0001 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 0a de -1 --1 - -0b -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 0b -1 --1 - -0c -0000 007f 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 0c -1 --1 - -0d -0000 0080 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 0d -1 --1 - -0e -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 0e f0 -1 --1 - -0f -4100 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 0f -1 --1 - -10 -0000 0800 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 132 -0000 00 10 fd 0c -1 --1 - -11 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 11 9a bc -1 --1 - -12 -5600 0000 8000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 12 -1 --1 - -13 -0000 0000 def0 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 13 -1 --1 - -14 -0000 0000 2700 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 14 -1 --1 - -15 -0000 0000 1000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 15 -1 --1 - -16 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 16 12 -1 --1 - -17 -0801 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 17 -1 --1 - -18 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 18 40 -1 --1 - -19 -0000 0000 3456 789a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 19 -1 --1 - -1a -0000 0000 8000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 1a -1 -8000 13 -1 --1 - -1b -0000 0000 e5d4 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 1b -1 --1 - -1c -0000 0000 00aa 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 1c -1 --1 - -1d -0000 0000 00aa 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 1d -1 --1 - -1e -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 1e ef -1 --1 - -1f -01c4 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 1f -1 --1 - -20_1 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 20 40 -1 --1 - -20_2 -0040 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 20 40 -1 --1 - -21 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 21 28 ed -1 --1 - -22 -0000 0000 0000 c64c 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 22 b0 c3 -1 --1 - -23 -0000 0000 0000 9c4e 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 23 -1 --1 - -24 -0000 0000 0000 7200 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 24 -1 --1 - -25 -0000 0000 0000 a500 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 25 -1 --1 - -26 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 26 3a -1 --1 - -27_1 -9a02 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 27 -1 --1 - -27 -1f00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 27 -1 --1 - -28_1 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 28 8e -1 --1 - -28_2 -0040 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 28 8e -1 --1 - -29 -0000 0000 0000 cdfa 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 29 -1 --1 - -2a -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 2a 45 ac -1 -ac45 c4 de -1 --1 - -2b -0000 0000 0000 9e66 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 2b -1 --1 - -2c -0000 0000 0000 0026 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 2c -1 --1 - -2d -0000 0000 0000 0032 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 2d -1 --1 - -2e -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 2e 18 -1 --1 - -2f -8900 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 2f -1 --1 - -30_1 -0036 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 30 50 -1 --1 - -30_2 -0037 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 30 50 -1 --1 - -31 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 31 d4 61 -1 --1 - -32 -0e00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 32 ac ad -1 --1 - -33 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 a55a 0000 -00 00 0 0 0 0 1 -0000 33 -1 --1 - -34 -0000 0000 0000 fe1d 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 34 -1 -fe1d fd -1 --1 - -35 -0000 0000 0000 470c 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 35 -1 -470c 82 -1 --1 - -36 -0000 0000 0000 7d29 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 36 7c -1 --1 - -37_1 -00ff 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 37 -1 --1 - -37_2 -ff00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 37 -1 --1 - -37_3 -ffff 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 37 -1 --1 - -37 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 37 -1 --1 - -38_1 -00b2 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 38 66 -1 --1 - -38_2 -00b3 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 38 66 -1 --1 - -39 -0000 0000 0000 1aef 0000 0000 0000 0000 0000 0000 c534 0000 -00 00 0 0 0 0 1 -0000 29 -1 --1 - -3a -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 3a 52 99 -1 -9952 28 -1 --1 - -3b -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 9d36 0000 -00 00 0 0 0 0 1 -0000 3b -1 --1 - -3c -cf00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 3c -1 --1 - -3d -ea00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 3d -1 --1 - -3e -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 3e d6 -1 --1 - -3f -005b 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 3f -1 --1 - -40 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 40 -1 -a169 50 -1 --1 - -41 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 41 -1 -a169 50 -1 --1 - -42 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 42 -1 -a169 50 -1 --1 - -43 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 43 -1 -a169 50 -1 --1 - -44 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 44 -1 -a169 50 -1 --1 - -45 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 45 -1 -a169 50 -1 --1 - -46 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 46 -1 -a169 50 -1 --1 - -47 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 47 -1 -a169 50 -1 --1 - -48 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 48 -1 -a169 50 -1 --1 - -49 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 49 -1 -a169 50 -1 --1 - -4a -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 4a -1 -a169 50 -1 --1 - -4b -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 4b -1 -a169 50 -1 --1 - -4c -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 4c -1 -a169 50 -1 --1 - -4d -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 4d -1 -a169 50 -1 --1 - -4e -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 4e -1 -a169 50 -1 --1 - -4f -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 4f -1 -a169 50 -1 --1 - -50 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 50 -1 -a169 50 -1 --1 - -51 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 51 -1 -a169 50 -1 --1 - -52 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 52 -1 -a169 50 -1 --1 - -53 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 53 -1 -a169 50 -1 --1 - -54 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 54 -1 -a169 50 -1 --1 - -55 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 55 -1 -a169 50 -1 --1 - -56 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 56 -1 -a169 50 -1 --1 - -57 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 57 -1 -a169 50 -1 --1 - -58 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 58 -1 -a169 50 -1 --1 - -59 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 59 -1 -a169 50 -1 --1 - -5a -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 5a -1 -a169 50 -1 --1 - -5b -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 5b -1 -a169 50 -1 --1 - -5c -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 5c -1 -a169 50 -1 --1 - -5d -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 5d -1 -a169 50 -1 --1 - -5e -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 5e -1 -a169 50 -1 --1 - -5f -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 5f -1 -a169 50 -1 --1 - -60 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 60 -1 -a169 50 -1 --1 - -61 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 61 -1 -a169 50 -1 --1 - -62 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 62 -1 -a169 50 -1 --1 - -63 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 63 -1 -a169 50 -1 --1 - -64 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 64 -1 -a169 50 -1 --1 - -65 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 65 -1 -a169 50 -1 --1 - -66 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 66 -1 -a169 50 -1 --1 - -67 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 67 -1 -a169 50 -1 --1 - -68 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 68 -1 -a169 50 -1 --1 - -69 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 69 -1 -a169 50 -1 --1 - -6a -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 6a -1 -a169 50 -1 --1 - -6b -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 6b -1 -a169 50 -1 --1 - -6c -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 6c -1 -a169 50 -1 --1 - -6d -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 6d -1 -a169 50 -1 --1 - -6e -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 6e -1 -a169 50 -1 --1 - -6f -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 6f -1 -a169 50 -1 --1 - -70 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 70 -1 -a169 50 -1 --1 - -71 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 71 -1 -a169 50 -1 --1 - -72 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 72 -1 -a169 50 -1 --1 - -73 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 73 -1 -a169 50 -1 --1 - -74 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 74 -1 -a169 50 -1 --1 - -75 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 75 -1 -a169 50 -1 --1 - -76 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 76 -1 -a169 50 -1 --1 - -77 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 77 -1 -a169 50 -1 --1 - -78 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 78 -1 -a169 50 -1 --1 - -79 -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 79 -1 -a169 50 -1 --1 - -7a -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 7a -1 -a169 50 -1 --1 - -7b -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 7b -1 -a169 50 -1 --1 - -7c -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 7c -1 -a169 50 -1 --1 - -7d -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 7d -1 -a169 50 -1 --1 - -7e -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 7e -1 -a169 50 -1 --1 - -7f -0200 cf98 90d8 a169 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 7f -1 -a169 50 -1 --1 - -80 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 80 -1 -dca6 49 -1 --1 - -81 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 81 -1 -dca6 49 -1 --1 - -82 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 82 -1 -dca6 49 -1 --1 - -83 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 83 -1 -dca6 49 -1 --1 - -84 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 84 -1 -dca6 49 -1 --1 - -85 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 85 -1 -dca6 49 -1 --1 - -86 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 86 -1 -dca6 49 -1 --1 - -87 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 87 -1 -dca6 49 -1 --1 - -88 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 88 -1 -dca6 49 -1 --1 - -89 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 89 -1 -dca6 49 -1 --1 - -8a -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 8a -1 -dca6 49 -1 --1 - -8b -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 8b -1 -dca6 49 -1 --1 - -8c -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 8c -1 -dca6 49 -1 --1 - -8d -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 8d -1 -dca6 49 -1 --1 - -8e -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 8e -1 -dca6 49 -1 --1 - -8f -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 8f -1 -dca6 49 -1 --1 - -90 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 90 -1 -dca6 49 -1 --1 - -91 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 91 -1 -dca6 49 -1 --1 - -92 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 92 -1 -dca6 49 -1 --1 - -93 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 93 -1 -dca6 49 -1 --1 - -94 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 94 -1 -dca6 49 -1 --1 - -95 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 95 -1 -dca6 49 -1 --1 - -96 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 96 -1 -dca6 49 -1 --1 - -97 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 97 -1 -dca6 49 -1 --1 - -98 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 98 -1 -dca6 49 -1 --1 - -99 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 99 -1 -dca6 49 -1 --1 - -9a -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 9a -1 -dca6 49 -1 --1 - -9b -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 9b -1 -dca6 49 -1 --1 - -9c -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 9c -1 -dca6 49 -1 --1 - -9d -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 9d -1 -dca6 49 -1 --1 - -9e -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 9e -1 -dca6 49 -1 --1 - -9f -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 9f -1 -dca6 49 -1 --1 - -a0 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 a0 -1 -dca6 49 -1 --1 - -a1 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 a1 -1 -dca6 49 -1 --1 - -a2 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 a2 -1 -dca6 49 -1 --1 - -a3 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 a3 -1 -dca6 49 -1 --1 - -a4 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 a4 -1 -dca6 49 -1 --1 - -a5 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 a5 -1 -dca6 49 -1 --1 - -a6 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 a6 -1 -dca6 49 -1 --1 - -a7 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 a7 -1 -dca6 49 -1 --1 - -a8 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 a8 -1 -dca6 49 -1 --1 - -a9 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 a9 -1 -dca6 49 -1 --1 - -aa -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 aa -1 -dca6 49 -1 --1 - -ab -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ab -1 -dca6 49 -1 --1 - -ac -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ac -1 -dca6 49 -1 --1 - -ad -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ad -1 -dca6 49 -1 --1 - -ae -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ae -1 -dca6 49 -1 --1 - -af -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 af -1 -dca6 49 -1 --1 - -b0 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 b0 -1 -dca6 49 -1 --1 - -b1 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 b1 -1 -dca6 49 -1 --1 - -b2 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 b2 -1 -dca6 49 -1 --1 - -b3 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 b3 -1 -dca6 49 -1 --1 - -b4 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 b4 -1 -dca6 49 -1 --1 - -b5 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 b5 -1 -dca6 49 -1 --1 - -b6 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 b6 -1 -dca6 49 -1 --1 - -b7 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 b7 -1 -dca6 49 -1 --1 - -b8 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 b8 -1 -dca6 49 -1 --1 - -b9 -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 b9 -1 -dca6 49 -1 --1 - -ba -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ba -1 -dca6 49 -1 --1 - -bb -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 bb -1 -dca6 49 -1 --1 - -bc -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 bc -1 -dca6 49 -1 --1 - -bd -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 bd -1 -dca6 49 -1 --1 - -be -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 be -1 -dca6 49 -1 --1 - -bf -f500 0f3b 200d dca6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 bf -1 -dca6 49 -1 --1 - -c0_1 -0098 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0000 -00 00 0 0 0 0 1 -0000 c0 -1 -43f7 e9 af -1 --1 - -c0_2 -00d8 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0000 -00 00 0 0 0 0 1 -0000 c0 -1 -43f7 e9 af -1 --1 - -c1 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 4143 0000 -00 00 0 0 0 0 1 -0000 c1 -1 -4143 ce e8 -1 --1 - -c2_1 -0087 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 c2 1b e1 -1 --1 - -c2_2 -00c7 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 c2 1b e1 -1 --1 - -c3 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 c3 ed 7c -1 --1 - -c4_1 -000e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0000 -00 00 0 0 0 0 1 -0000 c4 61 9c -1 --1 - -c4_2 -004e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0000 -00 00 0 0 0 0 1 -0000 c4 61 9c -1 --1 - -c5 -53e3 1459 775f 1a2f 0000 0000 0000 0000 0000 0000 ec12 0000 -00 00 0 0 0 0 1 -0000 c5 -1 --1 - -c6 -ca00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 c6 6f -1 --1 - -c7 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 5507 6d33 -00 00 0 0 0 0 1 -6d33 c7 -1 --1 - -c8_1 -0098 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0000 -00 00 0 0 0 0 1 -0000 c8 -1 -43f7 e9 af -1 --1 - -c8_2 -00d8 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0000 -00 00 0 0 0 0 1 -0000 c8 -1 -43f7 e9 af -1 --1 - -c9 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 887e 0000 -00 00 0 0 0 0 1 -0000 c9 -1 -887e 36 11 -1 --1 - -ca_1 -0087 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ca 1b e1 -1 --1 - -ca_2 -00c7 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ca 1b e1 -1 --1 - -cb00 -da00 e479 552e a806 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 00 -1 -a806 76 -1 --1 - -cb01 -1000 b379 b480 ef65 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 01 -1 -ef65 fb -1 --1 - -cb02 -2e00 9adf ae6e a7f2 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 02 -1 -a7f2 4a -1 --1 - -cb03 -6800 9995 de3f ca71 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 03 -1 -ca71 e7 -1 --1 - -cb04 -8c00 beea 0ce4 67b0 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 04 -1 -67b0 cd -1 --1 - -cb05 -3600 e19f 78c9 cb32 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 05 -1 -cb32 1b -1 --1 - -cb06 -8a00 db02 8fb1 5b04 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 06 -1 -5b04 d4 -1 --1 - -cb07 -6d00 19cf 7259 dcaa 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 07 -1 -dcaa 8d -1 --1 - -cb08 -8000 cdb5 818e 2ee2 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 08 -1 -2ee2 53 -1 --1 - -cb09 -1800 125c dd97 59c6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 09 -1 -59c6 9e -1 --1 - -cb0a -1200 3ba1 7724 63ad 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 0a -1 -63ad 96 -1 --1 - -cb0b -7600 2abf b626 0289 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 0b -1 -0289 37 -1 --1 - -cb0c -0e00 6fc5 2f12 34d9 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 0c -1 -34d9 50 -1 --1 - -cb0d -6300 95a3 fcd2 519a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 0d -1 -519a 7a -1 --1 - -cb0e -fc00 adf9 4925 543e 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 0e -1 -543e d2 -1 --1 - -cb0f -c300 18f3 41b8 070b 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 0f -1 -070b 86 -1 --1 - -cb10 -f800 dc25 33b3 0d74 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 10 -1 -0d74 3d -1 --1 - -cb11 -6500 e25c 4b8a ed42 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 11 -1 -ed42 b7 -1 --1 - -cb12 -7700 1384 0f50 29c6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 12 -1 -29c6 88 -1 --1 - -cb13 -ce00 9f17 e128 3ed7 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 13 -1 -3ed7 ea -1 --1 - -cb14 -b200 541a 60c7 7c9a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 14 -1 -7c9a 0f -1 --1 - -cb15 -2d00 c1df 6eab 03e2 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 15 -1 -03e2 bc -1 --1 - -cb16 -3600 3b53 1a4a 684e 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 16 -1 -684e c3 -1 --1 - -cb17 -5400 d090 f60d 0fa2 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 17 -1 -0fa2 23 -1 --1 - -cb18 -8600 c658 755f 9596 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 18 -1 -9596 b6 -1 --1 - -cb19 -9600 beb3 7c22 71c8 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 19 -1 -71c8 85 -1 --1 - -cb1a -3900 882f 543b 5279 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 1a -1 -5279 26 -1 --1 - -cb1b -9e00 b338 876c e8b4 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 1b -1 -e8b4 b9 -1 --1 - -cb1c -4b00 b555 238f 311d 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 1c -1 -311d 11 -1 --1 - -cb1d -2100 3d7e 5e39 e451 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 1d -1 -e451 47 -1 --1 - -cb1e -5e00 66b9 80dc 00ef 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 1e -1 -00ef 91 -1 --1 - -cb1f -ed00 b838 8e18 ace7 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 1f -1 -ace7 82 -1 --1 - -cb20 -c700 0497 d72b ccb6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 20 -1 -ccb6 1a -1 --1 - -cb21 -2200 5cf4 938e 37a8 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 21 -1 -37a8 dd -1 --1 - -cb22 -8500 0950 e7e8 0641 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 22 -1 -0641 4d -1 --1 - -cb23 -2100 2a7c 37d0 aa59 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 23 -1 -aa59 c1 -1 --1 - -cb24 -fb00 b9de 7014 84b6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 24 -1 -84b6 80 -1 --1 - -cb25 -1500 6bbc 894e 85bc 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 25 -1 -85bc ef -1 --1 - -cb26 -0a00 372e e315 283a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 26 -1 -283a ee -1 --1 - -cb27 -bf00 bdba 67ab 5ea2 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 27 -1 -5ea2 bd -1 --1 - -cb28 -c000 0435 3e0f 021b 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 28 -1 -021b 90 -1 --1 - -cb29 -0600 f142 6ada c306 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 29 -1 -c306 5c -1 --1 - -cb2a -3000 ec3a 7f7d 3473 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 2a -1 -3473 34 -1 --1 - -cb2b -e000 ccf0 bbda b78a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 2b -1 -b78a ab -1 --1 - -cb2c -5b00 25c0 996d 1e7b 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 2c -1 -1e7b 2c -1 --1 - -cb2d -5e00 c51b 58e3 78ea 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 2d -1 -78ea 85 -1 --1 - -cb2e -3900 a2cd 0629 24bf 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 2e -1 -24bf b5 -1 --1 - -cb2f -aa00 a194 d0e3 5c65 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 2f -1 -5c65 c9 -1 --1 - -cb30 -cd00 7a81 d67b 656b 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 30 -1 -656b 32 -1 --1 - -cb31 -2800 e7fa 6d8c 75a4 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 31 -1 -75a4 0c -1 --1 - -cb32 -1300 3f36 f608 5e56 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 32 -1 -5e56 8d -1 --1 - -cb33 -d500 9720 7644 038f 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 33 -1 -038f ba -1 --1 - -cb34 -1200 77f6 0206 fb38 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 34 -1 -fb38 07 -1 --1 - -cb35 -3c00 fd68 ea91 7861 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 35 -1 -7861 72 -1 --1 - -cb36 -8a00 1185 1dde 6d38 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 36 -1 -6d38 f1 -1 --1 - -cb37 -4300 d7bc 9133 6e56 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 37 -1 -6e56 f8 -1 --1 - -cb38 -df00 7c1b 9f9f 4ff2 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 38 -1 -4ff2 aa -1 --1 - -cb39 -6600 b702 14f5 3c17 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 39 -1 -3c17 61 -1 --1 - -cb3a -d100 5c5f e42e f1b1 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 3a -1 -f1b1 6e -1 --1 - -cb3b -b200 38c8 a560 7419 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 3b -1 -7419 11 -1 --1 - -cb3c -7800 cfae 66d8 2ad8 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 3c -1 -2ad8 8d -1 --1 - -cb3d -e600 dcda 06aa 46cd 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 3d -1 -46cd f9 -1 --1 - -cb3e -a900 6a34 e8d0 a96c 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 3e -1 -a96c a0 -1 --1 - -cb3f -f100 ceea 721e 77f0 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 3f -1 -77f0 7c -1 --1 - -cb40 -9e00 bcb2 efaa 505f 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 40 -1 -505f 59 -1 --1 - -cb41 -9e00 1b43 954e 7be9 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 41 -1 -7be9 f7 -1 --1 - -cb42 -f200 dd12 7d4f 551f 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 42 -1 -551f c9 -1 --1 - -cb43 -ad00 c3b3 f1d0 bab4 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 43 -1 -bab4 76 -1 --1 - -cb44 -b700 c829 27e3 5b92 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 44 -1 -5b92 78 -1 --1 - -cb45 -7700 68ee 0c77 409b 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 45 -1 -409b 64 -1 --1 - -cb46 -7200 7ae3 a11e 6131 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 46 -1 -6131 d5 -1 --1 - -cb47_1 -ff00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 47 -1 --1 - -cb47 -1000 d8ca e2c4 8a8c 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 47 -1 -8a8c 0e -1 --1 - -cb48 -a900 6264 e833 6de0 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 48 -1 -6de0 8c -1 --1 - -cb49 -6c00 d0f7 1db7 a040 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 49 -1 -a040 5f -1 --1 - -cb4a -4f00 f04c 5b29 77a4 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 4a -1 -77a4 96 -1 --1 - -cb4b -5500 9848 095f 40ca 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 4b -1 -40ca 8a -1 --1 - -cb4c -8800 0521 bf31 6d5d 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 4c -1 -6d5d e7 -1 --1 - -cb4d -f900 27d0 0f7e 158d 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 4d -1 -158d e0 -1 --1 - -cb4e -2600 9207 459a ada3 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 4e -1 -ada3 5b -1 --1 - -cb4f_1 -ff00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 4f -1 --1 - -cb4f -1700 2dc1 aca2 0bcc 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 4f -1 -0bcc a3 -1 --1 - -cb50 -2300 2749 1012 84d2 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 50 -1 -84d2 6a -1 --1 - -cb51 -2200 b7db e19d aafc 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 51 -1 -aafc a6 -1 --1 - -cb52 -8b00 ff7a b0ff ac44 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 52 -1 -ac44 00 -1 --1 - -cb53 -6000 31a1 a4f4 7c75 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 53 -1 -7c75 ab -1 --1 - -cb54 -3800 7ccc 89cc 1999 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 54 -1 -1999 98 -1 --1 - -cb55 -f900 1f79 19cd fb4b 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 55 -1 -fb4b 0b -1 --1 - -cb56 -1500 2bfe e3b5 bbf9 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 56 -1 -bbf9 10 -1 --1 - -cb57_1 -ff00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 57 -1 --1 - -cb57 -6600 af32 532a da50 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 57 -1 -da50 30 -1 --1 - -cb58 -5000 1aee 2e47 1479 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 58 -1 -1479 a0 -1 --1 - -cb59 -7200 5e68 ff28 2075 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 59 -1 -2075 c1 -1 --1 - -cb5a -eb00 fea7 17d1 d99b 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 5a -1 -d99b e8 -1 --1 - -cb5b -6b00 6f2c 3fe3 1691 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 5b -1 -1691 c7 -1 --1 - -cb5c -3300 a7e7 2077 13e9 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 5c -1 -13e9 ae -1 --1 - -cb5d -c100 afcc c8b1 ee49 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 5d -1 -ee49 a6 -1 --1 - -cb5e -3000 ad43 16c1 349a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 5e -1 -349a 3c -1 --1 - -cb5f_1 -ff00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 5f -1 --1 - -cb5f -8c00 1b67 2314 6133 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 5f -1 -6133 90 -1 --1 - -cb60 -9900 34b5 0fd8 5273 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 60 -1 -5273 0a -1 --1 - -cb61 -d100 219f 3bb4 7c44 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 61 -1 -7c44 77 -1 --1 - -cb62 -af00 bdf8 c536 8cc5 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 62 -1 -8cc5 af -1 --1 - -cb63 -2a00 5e16 f627 84ca 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 63 -1 -84ca e6 -1 --1 - -cb64 -a900 a365 c00b ea94 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 64 -1 -ea94 0c -1 --1 - -cb65 -1800 8d58 4256 427a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 65 -1 -427a ee -1 --1 - -cb66 -4c00 3ef7 e544 a44f 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 66 -1 -a44f d2 -1 --1 - -cb67_1 -ff00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 67 -1 --1 - -cb67 -8600 5e92 2986 394d 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 67 -1 -394d 10 -1 --1 - -cb68 -d700 0f6a 18a6 ddd2 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 68 -1 -ddd2 16 -1 --1 - -cb69 -da00 691b 7c79 1dba 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 69 -1 -1dba 8a -1 --1 - -cb6a -2200 13e8 86d4 4e09 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 6a -1 -4e09 d5 -1 --1 - -cb6b -af00 5123 7635 1ca9 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 6b -1 -1ca9 86 -1 --1 - -cb6c -4300 faa6 abc2 5605 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 6c -1 -5605 2b -1 --1 - -cb6d -7f00 f099 d435 d9ad 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 6d -1 -d9ad 4e -1 --1 - -cb6e -4a00 08c9 8177 d8ba 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 6e -1 -d8ba 31 -1 --1 - -cb6f_1 -ff00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 6f -1 --1 - -cb6f -a100 8c80 4678 4d34 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 6f -1 -4d34 78 -1 --1 - -cb70 -1900 958a 5dab f913 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 70 -1 -f913 cf -1 --1 - -cb71 -3d00 095e d6df 42fe 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 71 -1 -42fe 24 -1 --1 - -cb72 -a500 c0bf 4c8d ad11 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 72 -1 -ad11 3b -1 --1 - -cb73 -f200 49a6 b279 2ecc 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 73 -1 -2ecc e0 -1 --1 - -cb74 -0500 445e 05e9 983d 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 74 -1 -983d fa -1 --1 - -cb75 -6b00 83c6 635a d18d 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 75 -1 -d18d 11 -1 --1 - -cb76 -f800 3057 3629 bc71 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 76 -1 -bc71 18 -1 --1 - -cb77_1 -ff00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 77 -1 --1 - -cb77 -9200 d6f8 5100 736d 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 77 -1 -736d 36 -1 --1 - -cb78 -7200 1cf8 8d2b c76a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 78 -1 -c76a 1f -1 --1 - -cb79 -a800 809e 1124 39e8 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 79 -1 -39e8 98 -1 --1 - -cb7a -5800 7d24 63e1 d9af 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 7a -1 -d9af ed -1 --1 - -cb7b -0300 50ab 05bd 6bd0 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 7b -1 -6bd0 a5 -1 --1 - -cb7c -ad00 f77b 55ae 063b 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 7c -1 -063b 34 -1 --1 - -cb7d -8200 b792 38cb 5f9b 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 7d -1 -5f9b 97 -1 --1 - -cb7e -4200 3b91 f59c a25e 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 7e -1 -a25e d7 -1 --1 - -cb7f_1 -ff00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 7f -1 --1 - -cb7f -6a00 84ec cf4e 185b 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 7f -1 -185b f1 -1 --1 - -cb80 -8f00 702f 17bd a706 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 80 -1 -a706 0a -1 --1 - -cb81 -ae00 947f 7153 6616 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 81 -1 -6616 74 -1 --1 - -cb82 -8100 bed2 c719 4572 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 82 -1 -4572 2f -1 --1 - -cb83 -e600 63a2 ccf7 ae9a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 83 -1 -ae9a 16 -1 --1 - -cb84 -ce00 e0cc d305 d6c0 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 84 -1 -d6c0 72 -1 --1 - -cb85 -f300 ed79 9db7 dda0 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 85 -1 -dda0 8a -1 --1 - -cb86 -2a00 b0b9 9426 1b48 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 86 -1 -1b48 62 -1 --1 - -cb87 -1100 86dc 1798 dfc5 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 87 -1 -dfc5 de -1 --1 - -cb88 -e300 8a21 e33e 674d 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 88 -1 -674d 5f -1 --1 - -cb89 -6000 d186 c5b6 1bd7 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 89 -1 -1bd7 f2 -1 --1 - -cb8a -3e00 5fcd 0b38 b98e 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 8a -1 -b98e 2f -1 --1 - -cb8b -6500 040e 103f 4a07 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 8b -1 -4a07 3f -1 --1 - -cb8c -f800 6d27 9bdf daef 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 8c -1 -daef 0c -1 --1 - -cb8d -3e00 5469 2c28 bd72 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 8d -1 -bd72 13 -1 --1 - -cb8e -1f00 140b b492 63a7 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 8e -1 -63a7 d4 -1 --1 - -cb8f -2500 c522 ca46 1c1a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 8f -1 -1c1a 37 -1 --1 - -cb90 -5700 595c 4f0a c73c 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 90 -1 -c73c a2 -1 --1 - -cb91 -5e00 8f26 a735 97e0 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 91 -1 -97e0 5e -1 --1 - -cb92 -3300 7d9f 87d0 83d0 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 92 -1 -83d0 2b -1 --1 - -cb93 -c200 4e05 b3f8 2234 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 93 -1 -2234 a0 -1 --1 - -cb94 -ee00 8f4b 2831 d6a6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 94 -1 -d6a6 d0 -1 --1 - -cb95 -3c00 6af2 b25d 36ff 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 95 -1 -36ff cd -1 --1 - -cb96 -7600 b027 d0a5 3324 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 96 -1 -3324 21 -1 --1 - -cb97 -1600 ad09 7902 97bc 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 97 -1 -97bc 75 -1 --1 - -cb98 -3400 b61c 771d 5d5e 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 98 -1 -5d5e a4 -1 --1 - -cb99 -5100 65be 1359 8bec 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 99 -1 -8bec 0b -1 --1 - -cb9a -6400 976d 4c25 dcb2 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 9a -1 -dcb2 09 -1 --1 - -cb9b -a100 b58a d264 2bd6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 9b -1 -2bd6 d3 -1 --1 - -cb9c -d800 63d6 ac7b c7a0 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 9c -1 -c7a0 75 -1 --1 - -cb9d -0d00 d840 0810 0800 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 9d -1 -0800 cd -1 --1 - -cb9e -3b00 ebbf 9434 3a65 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 9e -1 -3a65 2a -1 --1 - -cb9f -b200 d1de f991 72f6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb 9f -1 -72f6 72 -1 --1 - -cba0 -fa00 d669 71e1 c80d 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb a0 -1 -c80d c0 -1 --1 - -cba1 -8200 75e4 a0de d0ba 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb a1 -1 -d0ba bd -1 --1 - -cba2 -dd00 2b0d 5554 6fc0 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb a2 -1 -6fc0 61 -1 --1 - -cba3 -2200 2f0d 4d2c 6666 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb a3 -1 -6666 8e -1 --1 - -cba4 -d600 d8ed 9cd4 8bb1 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb a4 -1 -8bb1 bb -1 --1 - -cba5 -b400 b393 3e42 88ca 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb a5 -1 -88ca 4f -1 --1 - -cba6 -0a00 4c34 f5a7 e70d 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb a6 -1 -e70d 27 -1 --1 - -cba7 -4500 af61 569a c77b 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb a7 -1 -c77b ff -1 --1 - -cba8 -6400 f269 bae4 c9e7 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb a8 -1 -c9e7 46 -1 --1 - -cba9 -e400 7ad4 bf0a ce0b 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb a9 -1 -ce0b 39 -1 --1 - -cbaa -cd00 d249 4159 fed5 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb aa -1 -fed5 b0 -1 --1 - -cbab -ac00 939a 5d9b 0812 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb ab -1 -0812 f2 -1 --1 - -cbac -2400 8a7d 2cac ffaa 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb ac -1 -ffaa 09 -1 --1 - -cbad -6f00 5ffb 2360 ae15 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb ad -1 -ae15 30 -1 --1 - -cbae -5a00 aa17 12f3 190e 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb ae -1 -190e 66 -1 --1 - -cbaf -fc00 bb3f 8bb6 5877 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb af -1 -5877 62 -1 --1 - -cbb0 -b900 7a79 1aaa c3ba 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb b0 -1 -c3ba 4c -1 --1 - -cbb1 -4900 63e4 a544 1190 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb b1 -1 -1190 e3 -1 --1 - -cbb2 -4d00 2b03 6b23 6ff5 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb b2 -1 -6ff5 04 -1 --1 - -cbb3 -8700 857a e98b 5cb1 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb b3 -1 -5cb1 43 -1 --1 - -cbb4 -2b00 b73e 79c9 e1bb 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb b4 -1 -e1bb 78 -1 --1 - -cbb5 -9b00 d879 2ec9 4bba 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb b5 -1 -4bba 70 -1 --1 - -cbb6 -8600 89bf de4a 4fab 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb b6 -1 -4fab a5 -1 --1 - -cbb7 -2200 fb8a 3d6e d4a2 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb b7 -1 -d4a2 f2 -1 --1 - -cbb8 -d000 37c6 225a d249 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb b8 -1 -d249 c4 -1 --1 - -cbb9 -a500 1b4a d584 5dee 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb b9 -1 -5dee cc -1 --1 - -cbba -6300 a5fe f42b 34c9 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb ba -1 -34c9 bc -1 --1 - -cbbb -1200 f661 aa4f cb30 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb bb -1 -cb30 f4 -1 --1 - -cbbc -9800 adc3 0b29 7b6e 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb bc -1 -7b6e 45 -1 --1 - -cbbd -d600 a6e1 8813 10b8 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb bd -1 -10b8 35 -1 --1 - -cbbe -ca00 ff64 1218 77d5 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb be -1 -77d5 ea -1 --1 - -cbbf -6800 4845 690a 15de 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb bf -1 -15de 1d -1 --1 - -cbc0 -e300 ef71 bffb b3a1 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb c0 -1 -b3a1 5c -1 --1 - -cbc1 -3200 32a1 59ab 3343 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb c1 -1 -3343 aa -1 --1 - -cbc2 -c700 b159 c023 e1f3 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb c2 -1 -e1f3 14 -1 --1 - -cbc3 -0400 b463 c211 8f3a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb c3 -1 -8f3a 81 -1 --1 - -cbc4 -7e00 545a 6ecf 5876 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb c4 -1 -5876 9d -1 --1 - -cbc5 -4000 c617 079c 4107 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb c5 -1 -4107 cc -1 --1 - -cbc6 -b800 0373 b807 f0be 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb c6 -1 -f0be 9c -1 --1 - -cbc7 -7700 3681 9b55 583f 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb c7 -1 -583f 58 -1 --1 - -cbc8 -7d00 a772 8682 7cf3 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb c8 -1 -7cf3 75 -1 --1 - -cbc9 -0b00 67ee 30e0 72db 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb c9 -1 -72db 87 -1 --1 - -cbca -9c00 9517 cfbb fbc7 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb ca -1 -fbc7 1a -1 --1 - -cbcb -e800 0f3d 336f f70d 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb cb -1 -f70d a1 -1 --1 - -cbcc -fb00 7981 0bbb 18fd 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb cc -1 -18fd fe -1 --1 - -cbcd -5500 5e78 bf34 2602 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb cd -1 -2602 2d -1 --1 - -cbce -d500 a111 cb2a 8ec6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb ce -1 -8ec6 bf -1 --1 - -cbcf -a200 6baf 98b2 98a0 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb cf -1 -98a0 d4 -1 --1 - -cbd0 -2300 7bcb 02e7 1724 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb d0 -1 -1724 30 -1 --1 - -cbd1 -5300 581f b775 47f4 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb d1 -1 -47f4 c7 -1 --1 - -cbd2 -6900 c147 b79c 7528 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb d2 -1 -7528 4f -1 --1 - -cbd3 -ae00 bbc4 ce52 5fba 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb d3 -1 -5fba 3a -1 --1 - -cbd4 -d800 6e1e af6f bf2e 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb d4 -1 -bf2e 71 -1 --1 - -cbd5 -8400 a19a d2fd 8a77 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb d5 -1 -8a77 52 -1 --1 - -cbd6 -a900 f5f3 2180 6029 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb d6 -1 -6029 b7 -1 --1 - -cbd7 -b100 c008 8425 290a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb d7 -1 -290a 42 -1 --1 - -cbd8 -8b00 09c4 ddf3 6d7e 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb d8 -1 -6d7e 6e -1 --1 - -cbd9 -3e00 3e36 30ec efc6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb d9 -1 -efc6 5b -1 --1 - -cbda -d000 3e8f 28fe 1c87 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb da -1 -1c87 b9 -1 --1 - -cbdb -1200 977a 8c49 bc48 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb db -1 -bc48 ef -1 --1 - -cbdc -8d00 05de f8d3 b125 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb dc -1 -b125 0e -1 --1 - -cbdd -c300 08a9 2bc8 5b9f 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb dd -1 -5b9f 94 -1 --1 - -cbde -1900 900f d572 ba03 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb de -1 -ba03 93 -1 --1 - -cbdf -6700 2745 7e3d 0fa1 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb df -1 -0fa1 c5 -1 --1 - -cbe0 -3e00 d633 9897 3744 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb e0 -1 -3744 54 -1 --1 - -cbe1 -7d00 50a6 0136 5334 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb e1 -1 -5334 85 -1 --1 - -cbe2 -d400 6b45 a192 3a4c 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb e2 -1 -3a4c 47 -1 --1 - -cbe3 -3b00 d29c 05e0 2e78 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb e3 -1 -2e78 48 -1 --1 - -cbe4 -1e00 7d5e 846d 0978 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb e4 -1 -0978 84 -1 --1 - -cbe5 -ca00 df0d d588 b48f 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb e5 -1 -b48f cf -1 --1 - -cbe6 -b300 52c2 dbfe 9f9b 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb e6 -1 -9f9b f6 -1 --1 - -cbe7 -8e00 cf02 67ef f2e0 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb e7 -1 -f2e0 cf -1 --1 - -cbe8 -7100 bb18 66ec 4a05 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb e8 -1 -4a05 e6 -1 --1 - -cbe9 -5700 2897 8f2f a4d0 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb e9 -1 -a4d0 b2 -1 --1 - -cbea -ec00 304a 60a1 f32a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb ea -1 -f32a 9c -1 --1 - -cbeb -f000 532b a1be 1a1a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb eb -1 -1a1a 21 -1 --1 - -cbec -f200 f0f3 a816 ba08 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb ec -1 -ba08 82 -1 --1 - -cbed -1300 5127 adab 2dec 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb ed -1 -2dec cb -1 --1 - -cbee -9000 b273 50ae e90d 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb ee -1 -e90d f1 -1 --1 - -cbef -2500 4281 f0d4 2c39 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb ef -1 -2c39 c8 -1 --1 - -cbf0 -fb00 5802 0c27 6ff5 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb f0 -1 -6ff5 f6 -1 --1 - -cbf1 -5500 a103 3ff5 5e1c 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb f1 -1 -5e1c 37 -1 --1 - -cbf2 -f000 625a af82 9819 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb f2 -1 -9819 e4 -1 --1 - -cbf3 -8600 d7bd 5d86 263f 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb f3 -1 -263f a1 -1 --1 - -cbf4 -9400 0243 9ec1 75d9 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb f4 -1 -75d9 3f -1 --1 - -cbf5 -ce00 2d42 5e6a 47e6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb f5 -1 -47e6 ce -1 --1 - -cbf6 -7b00 c2d7 4492 a9bc 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb f6 -1 -a9bc b1 -1 --1 - -cbf7 -6d00 abaf 5b5d 188c 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb f7 -1 -188c 6c -1 --1 - -cbf8 -c600 b812 a037 d2b0 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb f8 -1 -d2b0 cb -1 --1 - -cbf9 -ef00 c5f2 77a8 0730 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb f9 -1 -0730 ae -1 --1 - -cbfa -8700 1581 63e3 ed03 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb fa -1 -ed03 27 -1 --1 - -cbfb -a300 7d27 97c3 d1ae 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb fb -1 -d1ae f2 -1 --1 - -cbfc -ec00 060a 3ef6 500f 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb fc -1 -500f 94 -1 --1 - -cbfd -1100 231a 8563 28c5 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb fd -1 -28c5 ab -1 --1 - -cbfe -5300 4948 89dd 3a24 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb fe -1 -3a24 c3 -1 --1 - -cbff -7900 799b 6cf7 e3f2 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 cb ff -1 -e3f2 25 -1 --1 - -cc_1 -004e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0000 -00 00 0 0 0 0 1 -0000 cc 61 9c -1 --1 - -cc_2 -000e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0000 -00 00 0 0 0 0 1 -0000 cc 61 9c -1 --1 - -cd -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 b07d 0000 -00 00 0 0 0 0 1 -0000 cd 5d 3a -1 --1 - -ce -60f5 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ce b2 -1 --1 - -cf -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 5507 6d33 -00 00 0 0 0 0 1 -6d33 cf -1 --1 - -d0_1 -0098 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0000 -00 00 0 0 0 0 1 -0000 d0 -1 -43f7 e9 af -1 --1 - -d0_2 -0099 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0000 -00 00 0 0 0 0 1 -0000 d0 -1 -43f7 e9 af -1 --1 - -d1 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 4143 0000 -00 00 0 0 0 0 1 -0000 d1 -1 -4143 ce e8 -1 --1 - -d2_1 -0086 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 d2 1b e1 -1 --1 - -d2_2 -0087 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 d2 1b e1 -1 --1 - -d3_1 -a200 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 d3 ed -1 --1 - -d3_2 -4200 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 d3 ec -1 --1 - -d3_3 -4200 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 d3 ed -1 --1 - -d3 -a200 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 d3 ec -1 --1 - -d4_1 -000e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0000 -00 00 0 0 0 0 1 -0000 d4 61 9c -1 --1 - -d4_2 -000f 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0000 -00 00 0 0 0 0 1 -0000 d4 61 9c -1 --1 - -d5 -53e3 1459 775f 1a2f 0000 0000 0000 0000 0000 0000 ec12 0000 -00 00 0 0 0 0 1 -0000 d5 -1 --1 - -d6 -3900 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 d6 df -1 --1 - -d7 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 5507 6d33 -00 00 0 0 0 0 1 -6d33 d7 -1 --1 - -d8_1 -0098 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0000 -00 00 0 0 0 0 1 -0000 d8 -1 -43f7 e9 af -1 --1 - -d8_2 -0099 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0000 -00 00 0 0 0 0 1 -0000 d8 -1 -43f7 e9 af -1 --1 - -d9 -4d94 e07a e35b 9d64 1a64 c930 3d01 7d02 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 d9 -1 --1 - -da_1 -0087 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 da 1b e1 -1 --1 - -da_2 -0086 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 da 1b e1 -1 --1 - -db_1 -c100 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 db e3 -1 --1 - -db_2 -7100 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 db e2 -1 --1 - -db_3 -7100 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 db e3 -1 --1 - -db -c100 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 db e2 -1 --1 - -dc_1 -000f 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0000 -00 00 0 0 0 0 1 -0000 dc 61 9c -1 --1 - -dc_2 -000e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0000 -00 00 0 0 0 0 1 -0000 dc 61 9c -1 --1 - -dd00 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 9 -0000 dd 00 00 -1 --1 - -dd09 -0d05 1426 53ce 41e3 0000 0000 0000 0000 9ec0 5c89 0000 0000 -00 00 0 0 0 0 1 -0000 dd 09 -1 --1 - -dd19 -1911 0e0b 2724 be62 0000 0000 0000 0000 824f 760b 0000 0000 -00 00 0 0 0 0 1 -0000 dd 19 -1 --1 - -dd21 -c935 4353 bd22 94d5 0000 0000 0000 0000 dade aad6 0000 0000 -00 00 0 0 0 0 1 -0000 dd 21 f2 7c -1 --1 - -dd22 -5b1d 45a1 6de8 39d3 0000 0000 0000 0000 ebe7 05b0 0000 0000 -00 00 0 0 0 0 1 -0000 dd 22 4f ad -1 --1 - -dd23 -9095 ac3c 4d90 379b 0000 0000 0000 0000 d50b a157 0000 0000 -00 00 0 0 0 0 1 -0000 dd 23 -1 --1 - -dd24 -0698 dcd0 a31b d527 0000 0000 0000 0000 8cda b096 0000 0000 -00 00 0 0 0 0 1 -0000 dd 24 -1 --1 - -dd25 -5acc 206b ed10 6eab 0000 0000 0000 0000 bb3c 5ebd 0000 0000 -00 00 0 0 0 0 1 -0000 dd 25 -1 --1 - -dd26 -9522 ede0 a352 adea 0000 0000 0000 0000 5f40 82e1 0000 0000 -00 00 0 0 0 0 1 -0000 dd 26 ad -1 --1 - -dd29 -ac80 0f0e 72c8 1f2a 0000 0000 0000 0000 5195 7d8a 0000 0000 -00 00 0 0 0 0 1 -0000 dd 29 -1 --1 - -dd2a -3d36 b24e bdbc ca4e 0000 0000 0000 0000 ba65 e7ce 0000 0000 -00 00 0 0 0 0 1 -0000 dd 2a bc 40 -1 -40bc b5 30 -1 --1 - -dd2b -ad4b d5e6 9377 f132 0000 0000 0000 0000 7a17 2188 0000 0000 -00 00 0 0 0 0 1 -0000 dd 2b -1 --1 - -dd2c -8838 f2f3 d277 9153 0000 0000 0000 0000 c62f b002 0000 0000 -00 00 0 0 0 0 1 -0000 dd 2c -1 --1 - -dd2d -39bc b23c 6e11 5a49 0000 0000 0000 0000 0267 ab03 0000 0000 -00 00 0 0 0 0 1 -0000 dd 2d -1 --1 - -dd2e -9aca a04a b49f a4a6 0000 0000 0000 0000 bd90 38a1 0000 0000 -00 00 0 0 0 0 1 -0000 dd 2e 1c -1 --1 - -dd34 -8304 d1fc b80b 8082 0000 0000 0000 0000 dea9 6fd8 0000 0000 -00 00 0 0 0 0 1 -0000 dd 34 e6 -1 -de8f 57 -1 --1 - -dd35 -8681 4641 1ef6 10ab 0000 0000 0000 0000 c733 8ec4 0000 0000 -00 00 0 0 0 0 1 -0000 dd 35 60 -1 -c793 f7 -1 --1 - -dd36 -76dc 2530 5158 877d 0000 0000 0000 0000 b5c6 8d3c 0000 0000 -00 00 0 0 0 0 1 -0000 dd 36 35 b5 -1 --1 - -dd39 -875b a334 d79d 59e4 0000 0000 0000 0000 b11a 4c88 fa4a 0000 -00 00 0 0 0 0 1 -0000 dd 39 -1 --1 - -dd44 -b37e cbb0 36e8 3f45 0000 0000 0000 0000 2702 b3b9 0000 0000 -00 00 0 0 0 0 1 -0000 dd 44 -1 --1 - -dd45 -4e10 5c6d d11d 1736 0000 0000 0000 0000 7298 2d10 0000 0000 -00 00 0 0 0 0 1 -0000 dd 45 -1 --1 - -dd46 -c758 bf29 66f2 29ef 0000 0000 0000 0000 5cc7 407d 0000 0000 -00 00 0 0 0 0 1 -0000 dd 46 68 -1 -5d2f 8d -1 --1 - -dd4c -e15c 75ec 7531 ae9e 0000 0000 0000 0000 3ed8 03b7 0000 0000 -00 00 0 0 0 0 1 -0000 dd 4c -1 --1 - -dd4d -469e 7864 6a5a 00e2 0000 0000 0000 0000 a1aa 0d6f 0000 0000 -00 00 0 0 0 0 1 -0000 dd 4d -1 --1 - -dd4e -7bf7 6605 8d55 def2 0000 0000 0000 0000 d94b 17fb 0000 0000 -00 00 0 0 0 0 1 -0000 dd 4e 2e -1 -d979 76 -1 --1 - -dd54 -8376 0d13 c767 3119 0000 0000 0000 0000 4b6d 030b 0000 0000 -00 00 0 0 0 0 1 -0000 dd 54 -1 --1 - -dd55 -ff78 85e3 566b 8f3a 0000 0000 0000 0000 d7d7 4e0b 0000 0000 -00 00 0 0 0 0 1 -0000 dd 55 -1 --1 - -dd56 -97b3 b617 bb50 81d1 0000 0000 0000 0000 a306 7a49 0000 0000 -00 00 0 0 0 0 1 -0000 dd 56 f4 -1 -a2fa de -1 --1 - -dd5c -af82 24bf 2793 f925 0000 0000 0000 0000 f9a3 0b82 0000 0000 -00 00 0 0 0 0 1 -0000 dd 5c -1 --1 - -dd5d -36cb 97a9 400d 30fe 0000 0000 0000 0000 3340 b3ed 0000 0000 -00 00 0 0 0 0 1 -0000 dd 5d -1 --1 - -dd5e -a220 389d 2ff8 368c 0000 0000 0000 0000 8d32 3512 0000 0000 -00 00 0 0 0 0 1 -0000 dd 5e 8f -1 -8cc1 ce -1 --1 - -dd60 -2392 7f6a 3dc0 cefb 0000 0000 0000 0000 44a0 c424 0000 0000 -00 00 0 0 0 0 1 -0000 dd 60 -1 --1 - -dd61 -76ed 268c d5c8 bab0 0000 0000 0000 0000 b650 0a93 0000 0000 -00 00 0 0 0 0 1 -0000 dd 61 -1 --1 - -dd62 -4c6f b482 fef4 62e7 0000 0000 0000 0000 6e25 9655 0000 0000 -00 00 0 0 0 0 1 -0000 dd 62 -1 --1 - -dd63 -6e9a 5499 3c8f 1f64 0000 0000 0000 0000 bf35 0df7 0000 0000 -00 00 0 0 0 0 1 -0000 dd 63 -1 --1 - -dd64 -47f6 1b7a a55e 2fc2 0000 0000 0000 0000 efc7 aca0 0000 0000 -00 00 0 0 0 0 1 -0000 dd 64 -1 --1 - -dd65 -d786 7d1d b659 77e8 0000 0000 0000 0000 58fa 006d 0000 0000 -00 00 0 0 0 0 1 -0000 dd 65 -1 --1 - -dd66 -84c2 79b1 ca4a aaa0 0000 0000 0000 0000 ce5d dd2d 0000 0000 -00 00 0 0 0 0 1 -0000 dd 66 b5 -1 -ce12 03 -1 --1 - -dd67 -967c 511e 336d 40f6 0000 0000 0000 0000 66e7 5be2 0000 0000 -00 00 0 0 0 0 1 -0000 dd 67 -1 --1 - -dd68 -4a9d efa8 febd 07e4 0000 0000 0000 0000 5fd8 b23f 0000 0000 -00 00 0 0 0 0 1 -0000 dd 68 -1 --1 - -dd69 -6466 2142 2523 82b3 0000 0000 0000 0000 6479 04a7 0000 0000 -00 00 0 0 0 0 1 -0000 dd 69 -1 --1 - -dd6a -401f 61f1 4b08 fa88 0000 0000 0000 0000 c37f d8f6 0000 0000 -00 00 0 0 0 0 1 -0000 dd 6a -1 --1 - -dd6b -6dc7 e2ae 40bd f3c0 0000 0000 0000 0000 2290 2749 0000 0000 -00 00 0 0 0 0 1 -0000 dd 6b -1 --1 - -dd6c -3939 90da 62dc 7c31 0000 0000 0000 0000 412f 7211 0000 0000 -00 00 0 0 0 0 1 -0000 dd 6c -1 --1 - -dd6d -3964 ff3f 23d4 c7c7 0000 0000 0000 0000 9b70 20c6 0000 0000 -00 00 0 0 0 0 1 -0000 dd 6d -1 --1 - -dd6e -223f f661 b61c 0f53 0000 0000 0000 0000 c648 fae8 0000 0000 -00 00 0 0 0 0 1 -0000 dd 6e 2c -1 -c674 6b -1 --1 - -dd6f -6e84 9cd4 a293 647d 0000 0000 0000 0000 0d0b 4a56 0000 0000 -00 00 0 0 0 0 1 -0000 dd 6f -1 --1 - -dd70 -d09f fe00 231e 31ec 0000 0000 0000 0000 05fa ea92 0000 0000 -00 00 0 0 0 0 1 -0000 dd 70 f6 -1 --1 - -dd71 -ebee 151c 05c7 ee08 0000 0000 0000 0000 3722 2ec6 0000 0000 -00 00 0 0 0 0 1 -0000 dd 71 23 -1 --1 - -dd72 -80c9 ac1e 63bd 828b 0000 0000 0000 0000 8dff 94ef 0000 0000 -00 00 0 0 0 0 1 -0000 dd 72 93 -1 --1 - -dd73 -8f3e b5a3 07de 0b0c 0000 0000 0000 0000 79c6 ae79 0000 0000 -00 00 0 0 0 0 1 -0000 dd 73 57 -1 --1 - -dd74 -4ae0 49c5 3deb 0125 0000 0000 0000 0000 5910 429a 0000 0000 -00 00 0 0 0 0 1 -0000 dd 74 b9 -1 --1 - -dd75 -5772 e833 b63e 734f 0000 0000 0000 0000 ae4c e8c2 0000 0000 -00 00 0 0 0 0 1 -0000 dd 75 30 -1 --1 - -dd77 -dc56 d893 4116 f2d2 0000 0000 0000 0000 a181 3157 0000 0000 -00 00 0 0 0 0 1 -0000 dd 77 8c -1 --1 - -dd7c -7558 7705 ac92 a6a1 0000 0000 0000 0000 8cde 7507 0000 0000 -00 00 0 0 0 0 1 -0000 dd 7c -1 --1 - -dd7d -6c18 93fb 6bdd 3a10 0000 0000 0000 0000 d7cb c0f6 0000 0000 -00 00 0 0 0 0 1 -0000 dd 7d -1 --1 - -dd7e -6a66 1f77 6220 0c40 0000 0000 0000 0000 1cf4 1a1f 0000 0000 -00 00 0 0 0 0 1 -0000 dd 7e bc -1 -1cb0 57 -1 --1 - -dd84 -2e47 1de8 b8b9 78a6 0000 0000 0000 0000 9f1d b11f 0000 0000 -00 00 0 0 0 0 1 -0000 dd 84 -1 --1 - -dd85 -b27a b1ff 8d7b 40c0 0000 0000 0000 0000 b513 0688 0000 0000 -00 00 0 0 0 0 1 -0000 dd 85 -1 --1 - -dd86 -4efa d085 5bac e364 0000 0000 0000 0000 b5b5 fe3a 0000 0000 -00 00 0 0 0 0 1 -0000 dd 86 c1 -1 -b576 5b -1 --1 - -dd8c -bc63 8fdc ea8f 9734 0000 0000 0000 0000 0eb3 1b54 0000 0000 -00 00 0 0 0 0 1 -0000 dd 8c -1 --1 - -dd8d -b61f 1c81 b6fb d6e5 0000 0000 0000 0000 09be a736 0000 0000 -00 00 0 0 0 0 1 -0000 dd 8d -1 --1 - -dd8e -4ed4 182d ab17 94ae 0000 0000 0000 0000 bb97 87da 0000 0000 -00 00 0 0 0 0 1 -0000 dd 8e 25 -1 -bbbc 32 -1 --1 - -dd94 -7ef1 9efe 6ea1 fc55 0000 0000 0000 0000 0a09 89c5 0000 0000 -00 00 0 0 0 0 1 -0000 dd 94 -1 --1 - -dd95 -2920 59ab 428c 3a94 0000 0000 0000 0000 44fd f243 0000 0000 -00 00 0 0 0 0 1 -0000 dd 95 -1 --1 - -dd96 -9b76 461f ced7 db3f 0000 0000 0000 0000 2c66 9dbf 0000 0000 -00 00 0 0 0 0 1 -0000 dd 96 5f -1 -2cc5 49 -1 --1 - -dd9c -faf4 670e afcc 8b34 0000 0000 0000 0000 285f 1caa 0000 0000 -00 00 0 0 0 0 1 -0000 dd 9c -1 --1 - -dd9d -f827 0cdb df32 d0e4 0000 0000 0000 0000 9b12 7d07 0000 0000 -00 00 0 0 0 0 1 -0000 dd 9d -1 --1 - -dd9e -938e f9c5 cbc4 ca21 0000 0000 0000 0000 b4cc 46fa 0000 0000 -00 00 0 0 0 0 1 -0000 dd 9e 14 -1 -b4e0 b5 -1 --1 - -dda4 -52f5 ba53 acfc 9481 0000 0000 0000 0000 2f8b edf6 0000 0000 -00 00 0 0 0 0 1 -0000 dd a4 -1 --1 - -dda5 -baaf a675 d757 f1db 0000 0000 0000 0000 fdef d8ce 0000 0000 -00 00 0 0 0 0 1 -0000 dd a5 -1 --1 - -dda6 -1da4 20c4 ebc3 da8d 0000 0000 0000 0000 7e95 5e8a 0000 0000 -00 00 0 0 0 0 1 -0000 dd a6 41 -1 -7ed6 c7 -1 --1 - -ddac -ef15 2a7c 17e5 3f6e 0000 0000 0000 0000 affa a0b5 0000 0000 -00 00 0 0 0 0 1 -0000 dd ac -1 --1 - -ddad -ba2e 6ba1 ef1b 5713 0000 0000 0000 0000 ba38 a708 0000 0000 -00 00 0 0 0 0 1 -0000 dd ad -1 --1 - -ddae -8009 3ad6 a721 2100 0000 0000 0000 0000 e909 87b4 0000 0000 -00 00 0 0 0 0 1 -0000 dd ae 72 -1 -e97b c3 -1 --1 - -ddb4 -1ccd 29aa 2e82 4dc8 0000 0000 0000 0000 9c04 8be3 0000 0000 -00 00 0 0 0 0 1 -0000 dd b4 -1 --1 - -ddb5 -46b4 fc93 7a06 0518 0000 0000 0000 0000 0ac5 4150 0000 0000 -00 00 0 0 0 0 1 -0000 dd b5 -1 --1 - -ddb6 -5017 ab81 4287 5ee1 0000 0000 0000 0000 c66f d6cc 0000 0000 -00 00 0 0 0 0 1 -0000 dd b6 31 -1 -c6a0 1c -1 --1 - -ddbc -53e0 aa98 f7d7 fa0c 0000 0000 0000 0000 be7a a41f 0000 0000 -00 00 0 0 0 0 1 -0000 dd bc -1 --1 - -ddbd -dc83 80ce 5d2f e999 0000 0000 0000 0000 bb41 a24f 0000 0000 -00 00 0 0 0 0 1 -0000 dd bd -1 --1 - -ddbe -9838 bfd5 a299 d34b 0000 0000 0000 0000 9332 b1d5 0000 0000 -00 00 0 0 0 0 1 -0000 dd be 48 -1 -937a 5b -1 --1 - -ddcb00 -3c65 f0e4 09d1 646b 0000 0000 0000 0000 1da1 f08f 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 0d 00 -1 -1dae a1 -1 --1 - -ddcb01 -f68f e33b 2d4a 7725 0000 0000 0000 0000 28fd f31b 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb b7 01 -1 -28b4 e3 -1 --1 - -ddcb02 -e20c 836e 513a f840 0000 0000 0000 0000 c796 ae9b 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 91 02 -1 -c727 8d -1 --1 - -ddcb03 -6224 3571 c519 48dc 0000 0000 0000 0000 041e c07b 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 48 03 -1 -0466 78 -1 --1 - -ddcb04 -b310 bfc4 64af d622 0000 0000 0000 0000 5949 a989 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 48 04 -1 -5991 68 -1 --1 - -ddcb05 -4954 bb04 56ec 9d58 0000 0000 0000 0000 0077 1349 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb ff 05 -1 -0076 95 -1 --1 - -ddcb06 -0cf4 f636 90a6 6117 0000 0000 0000 0000 5421 90ee 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 07 06 -1 -5428 97 -1 --1 - -ddcb07 -6f4d 9ca3 bdf6 ed50 0000 0000 0000 0000 9803 55f9 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 42 07 -1 -9845 ae -1 --1 - -ddcb08 -02f4 1c66 6023 ae06 0000 0000 0000 0000 ef40 b006 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 0a 08 -1 -ef4a da -1 --1 - -ddcb09 -9825 9258 54d5 5e1e 0000 0000 0000 0000 9d0b 6e58 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 3b 09 -1 -9d46 6f -1 --1 - -ddcb0a -d2dd 6aac e789 9293 0000 0000 0000 0000 1fb4 2498 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 83 0a -1 -1f37 78 -1 --1 - -ddcb0b -b82c b284 23f8 7e7d 0000 0000 0000 0000 cd09 6a03 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb fa 0b -1 -cd03 92 -1 --1 - -ddcb0c -df8b b6cc ee8d 855a 0000 0000 0000 0000 bf6b 9b7d 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 79 0c -1 -bfe4 0d -1 --1 - -ddcb0d -bae3 ceec bbaa b65e 0000 0000 0000 0000 88bd 503e 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb e4 0d -1 -88a1 1f -1 --1 - -ddcb0e -1c36 890b 7830 060c 0000 0000 0000 0000 fd49 5d07 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb c6 0e -1 -fd0f ad -1 --1 - -ddcb0f -f5a7 fad4 fa4b 9c53 0000 0000 0000 0000 7447 2267 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 57 0f -1 -749e f8 -1 --1 - -ddcb10 -f3af ba1f 5387 926e 0000 0000 0000 0000 bba2 ca47 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 4f 10 -1 -bbf1 45 -1 --1 - -ddcb11 -2a69 d604 a9aa 5b52 0000 0000 0000 0000 1809 d275 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb eb 11 -1 -17f4 d9 -1 --1 - -ddcb12 -9287 c479 26d1 10ce 0000 0000 0000 0000 c0fb 2777 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb a6 12 -1 -c0a1 e2 -1 --1 - -ddcb13 -a507 580a a48f 11cd 0000 0000 0000 0000 5ac4 ccc7 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb ff 13 -1 -5ac3 a7 -1 --1 - -ddcb14 -294b 5b89 8467 0430 0000 0000 0000 0000 0977 c4e8 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb dd 14 -1 -0954 85 -1 --1 - -ddcb15 -1fd1 6d53 5b7c a134 0000 0000 0000 0000 ede9 a85c 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 07 15 -1 -edf0 0e -1 --1 - -ddcb16 -da70 a1e4 00b0 92c8 0000 0000 0000 0000 16be 2c95 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 45 16 -1 -1703 5b -1 --1 - -ddcb17 -3300 cbd1 4e1a cd27 0000 0000 0000 0000 b8c9 e6d4 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 1c 17 -1 -b8e5 7e -1 --1 - -ddcb18 -d980 4eb5 9cf9 b9f1 0000 0000 0000 0000 a189 bd7c 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 0e 18 -1 -a197 90 -1 --1 - -ddcb19 -23b7 595a a756 cf2e 0000 0000 0000 0000 f0e7 26e4 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb a3 19 -1 -f08a 37 -1 --1 - -ddcb1a -8b52 7e45 bd0f 37a6 0000 0000 0000 0000 de61 9cd9 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb ac 1a -1 -de0d cc -1 --1 - -ddcb1b -5c79 1414 811c 5881 0000 0000 0000 0000 b7c3 d14f 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 05 1b -1 -b7c8 91 -1 --1 - -ddcb1c -fafc 6277 8b67 d423 0000 0000 0000 0000 fef9 4a66 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb ff 1c -1 -fef8 61 -1 --1 - -ddcb1d -76a5 324e e641 58f9 0000 0000 0000 0000 5b63 e18b 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 3a 1d -1 -5b9d f3 -1 --1 - -ddcb1e -c5d9 cd58 8967 f074 0000 0000 0000 0000 75b4 693a 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb ce 1e -1 -7582 91 -1 --1 - -ddcb1f -d28f 7f6d 2058 63e3 0000 0000 0000 0000 1d9b baba 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb a8 1f -1 -1d43 b4 -1 --1 - -ddcb20 -4ce5 739e dc6c 18f4 0000 0000 0000 0000 dc39 8b0c 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb e8 20 -1 -dc21 0e -1 --1 - -ddcb21 -d29d 66dd 23ef 9096 0000 0000 0000 0000 3494 b6c3 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 9e 21 -1 -3432 f7 -1 --1 - -ddcb22 -fb5d e0d0 7c02 b4b7 0000 0000 0000 0000 bd3f 385b 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 43 22 -1 -bd82 9f -1 --1 - -ddcb23 -c359 68b6 da84 b990 0000 0000 0000 0000 22dd bd27 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb c1 23 -1 -229e e0 -1 --1 - -ddcb24 -baf5 7b0b 560b 7c33 0000 0000 0000 0000 31f1 ddbd 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb e8 24 -1 -31d9 c3 -1 --1 - -ddcb25 -43bb a21b 2347 ae4a 0000 0000 0000 0000 cc63 fc94 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb c1 25 -1 -cc24 eb -1 --1 - -ddcb26 -2065 ff37 e41f 70e7 0000 0000 0000 0000 6528 a0d5 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb f7 26 -1 -651f 89 -1 --1 - -ddcb27 -a806 5669 1bee f62c 0000 0000 0000 0000 1f69 3418 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb c3 27 -1 -1f2c ac -1 --1 - -ddcb28 -7afd 64b8 51f7 7164 0000 0000 0000 0000 999b 8857 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb b6 28 -1 -9951 24 -1 --1 - -ddcb29 -0404 b794 323f fd34 0000 0000 0000 0000 20e7 c753 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 9c 29 -1 -2083 82 -1 --1 - -ddcb2a -4524 afde 0c08 75d7 0000 0000 0000 0000 9505 b624 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb d8 2a -1 -94dd 7c -1 --1 - -ddcb2b -8324 e290 26be 7ddd 0000 0000 0000 0000 b484 571c 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb bd 2b -1 -b441 44 -1 --1 - -ddcb2c -c688 0c94 6e4b 7dc7 0000 0000 0000 0000 fe28 dc80 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 2c 2c -1 -fe54 81 -1 --1 - -ddcb2d -ce28 d2ae c9be 4236 0000 0000 0000 0000 b4ed 6de3 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 9b 2d -1 -b488 44 -1 --1 - -ddcb2e -50b0 de74 eca8 83ff 0000 0000 0000 0000 69d8 75c7 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 3d 2e -1 -6a15 05 -1 --1 - -ddcb2f -aec6 759b 3059 01b9 0000 0000 0000 0000 7a30 dd56 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb d3 2f -1 -7a03 f2 -1 --1 - -ddcb30 -3c89 96ad 9cc7 a68c 0000 0000 0000 0000 eee8 5a80 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb df 30 -1 -eec7 32 -1 --1 - -ddcb31 -ebf5 41e9 929b 7d47 0000 0000 0000 0000 f22d 8943 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 49 31 -1 -f276 cd -1 --1 - -ddcb32 -9a1b aa64 4209 01ad 0000 0000 0000 0000 579f ec4c 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb e0 32 -1 -577f e2 -1 --1 - -ddcb33 -b8b1 b854 524f 9599 0000 0000 0000 0000 efac d9ec 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb c9 33 -1 -ef75 0b -1 --1 - -ddcb34 -cd3c 4432 20d4 0b3e 0000 0000 0000 0000 ab48 c95f 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 49 34 -1 -ab91 ef -1 --1 - -ddcb35 -deb1 c6fc 696d 150d 0000 0000 0000 0000 eb1a 4a12 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb b9 35 -1 -ead3 8f -1 --1 - -ddcb36 -3d81 443b ff21 63e3 0000 0000 0000 0000 132e fb39 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb b4 36 -1 -12e2 02 -1 --1 - -ddcb37 -72d9 bfc9 a69a ec0b 0000 0000 0000 0000 5077 4e3e 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb c6 37 -1 -503d 3d -1 --1 - -ddcb38 -3c64 b1ee 38e1 ae9f 0000 0000 0000 0000 f695 44b3 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 8e 38 -1 -f623 5e -1 --1 - -ddcb39 -05d6 9aad a2db df75 0000 0000 0000 0000 a895 e243 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb dc 39 -1 -a871 83 -1 --1 - -ddcb3a -0e22 0b9f 873b c01d 0000 0000 0000 0000 2591 49c3 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 0d 3a -1 -259e 89 -1 --1 - -ddcb3b -1bd9 c795 d8ae 7ccf 0000 0000 0000 0000 6fed 09dc 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 20 3b -1 -700d a9 -1 --1 - -ddcb3c -b651 bdf7 fca3 7529 0000 0000 0000 0000 f53b 018b 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb e1 3c -1 -f51c d0 -1 --1 - -ddcb3d -2a2d 6e6e cfbd 1db5 0000 0000 0000 0000 0320 6ab0 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb be 3d -1 -02de 58 -1 --1 - -ddcb3e -39b8 b26e b670 b8a2 0000 0000 0000 0000 784a 7840 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 0a 3e -1 -7854 5d -1 --1 - -ddcb3f -2a17 429d d8c0 e069 0000 0000 0000 0000 3488 7150 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 31 3f -1 -34b9 04 -1 --1 - -ddcb40 -119b f6ba 079e 0e41 0000 0000 0000 0000 8c01 cd21 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb bd 40 -1 -8bbe e7 -1 --1 - -ddcb41 -22b3 c4b0 575b 66b4 0000 0000 0000 0000 cdcf a25c 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 52 41 -1 -ce21 75 -1 --1 - -ddcb42 -af5e 7720 aa95 3b0a 0000 0000 0000 0000 f03a 856a 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 1e 42 -1 -f058 90 -1 --1 - -ddcb43 -7fa6 b699 5e71 1827 0000 0000 0000 0000 e8b6 96a8 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb bc 43 -1 -e872 6b -1 --1 - -ddcb44 -5faa de05 12fd f73b 0000 0000 0000 0000 ee0a 6634 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb e8 44 -1 -edf2 62 -1 --1 - -ddcb45 -eac7 699c 47d3 89c3 0000 0000 0000 0000 a2be d81e 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 02 45 -1 -a2c0 55 -1 --1 - -ddcb46 -60de ac1d 4173 f92a 0000 0000 0000 0000 a39f 12e5 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb e2 46 -1 -a381 d5 -1 --1 - -ddcb47 -1b1a f7c0 22f6 5253 0000 0000 0000 0000 5227 919d 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 7a 47 -1 -52a1 6a -1 --1 - -ddcb48 -721a 4509 d68f 3b3d 0000 0000 0000 0000 2746 7f97 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 13 48 -1 -2759 a8 -1 --1 - -ddcb49 -7fe9 da22 ea9c f480 0000 0000 0000 0000 41c6 75a9 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 94 49 -1 -415a 26 -1 --1 - -ddcb4a -f16d e6c3 5a42 8b21 0000 0000 0000 0000 bfeb e383 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 3b 4a -1 -c026 b5 -1 --1 - -ddcb4b -1050 880a 52b2 fb1b 0000 0000 0000 0000 c239 6b40 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb b0 4b -1 -c1e9 18 -1 --1 - -ddcb4c -0538 bc63 f081 0a55 0000 0000 0000 0000 874c 80a3 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 97 4c -1 -86e3 63 -1 --1 - -ddcb4d -7f8c 32b4 03d5 ef66 0000 0000 0000 0000 7d2a 03bc 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 15 4d -1 -7d3f 60 -1 --1 - -ddcb4e -7c67 fa92 b4d0 9f23 0000 0000 0000 0000 eade 1785 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb b0 4e -1 -ea8e 3b -1 --1 - -ddcb4f -725c 257b db73 2478 0000 0000 0000 0000 88c0 f151 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 8b 4f -1 -884b 4c -1 --1 - -ddcb50 -35f4 8e51 406c 2e3c 0000 0000 0000 0000 daf2 413c 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 12 50 -1 -db04 00 -1 --1 - -ddcb51 -a630 ba85 c88c e86c 0000 0000 0000 0000 84b2 cd8e 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 18 51 -1 -84ca 1c -1 --1 - -ddcb52 -cb88 1220 1103 a868 0000 0000 0000 0000 6156 cfac 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 42 52 -1 -6198 53 -1 --1 - -ddcb53 -5eb3 569e f76d 88c6 0000 0000 0000 0000 ae45 623e 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb e3 53 -1 -ae28 d6 -1 --1 - -ddcb54 -c3c9 76fe f1ff 416e 0000 0000 0000 0000 efd5 7576 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 7d 54 -1 -f052 5d -1 --1 - -ddcb55 -7068 dcd0 8345 d498 0000 0000 0000 0000 f352 a88b 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 88 55 -1 -f2da 03 -1 --1 - -ddcb56 -9128 2cb8 571c f4fd 0000 0000 0000 0000 6d30 aec2 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 57 56 -1 -6d87 61 -1 --1 - -ddcb57 -3ca7 541a 027c c0b4 0000 0000 0000 0000 5833 160a 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 06 57 -1 -5839 1d -1 --1 - -ddcb58 -c650 e1a8 9d6c bec3 0000 0000 0000 0000 6a46 b66c 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 83 58 -1 -69c9 0f -1 --1 - -ddcb59 -ad07 9bda b7ee 63c4 0000 0000 0000 0000 9195 9703 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb db 59 -1 -9170 10 -1 --1 - -ddcb5a -80c0 5105 36b0 a37c 0000 0000 0000 0000 0de0 ce7f 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb d1 5a -1 -0db1 be -1 --1 - -ddcb5b -2a8d 083d 1409 06ba 0000 0000 0000 0000 62ad baff 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb d5 5b -1 -6282 67 -1 --1 - -ddcb5c -4ca4 e502 d23c 6da8 0000 0000 0000 0000 9dc6 6f04 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 5c 5c -1 -9e22 c9 -1 --1 - -ddcb5d -7e39 511b 3cfa 60d3 0000 0000 0000 0000 d193 3fe9 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb ff 5d -1 -d192 0d -1 --1 - -ddcb5e -cef1 0235 e2b1 7a4c 0000 0000 0000 0000 ed14 d0d6 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 62 5e -1 -ed76 a7 -1 --1 - -ddcb5f -094f 20a8 52e1 d783 0000 0000 0000 0000 df46 da41 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 3f 5f -1 -df85 9e -1 --1 - -ddcb60 -42ce 0713 dc90 2c89 0000 0000 0000 0000 32a2 c4d4 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 65 60 -1 -3307 2e -1 --1 - -ddcb61 -1b36 1403 8b9b c221 0000 0000 0000 0000 36cb 93d4 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb a8 61 -1 -3673 bc -1 --1 - -ddcb62 -361b 4055 650a 3f98 0000 0000 0000 0000 0acc a102 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb d9 62 -1 -0aa5 ea -1 --1 - -ddcb63 -6548 08df 3ceb 6d24 0000 0000 0000 0000 e679 f98e 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 79 63 -1 -e6f2 83 -1 --1 - -ddcb64 -3c22 e2a7 6da9 c346 0000 0000 0000 0000 ecfb 85b6 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 71 64 -1 -ed6c 52 -1 --1 - -ddcb65 -09bd 0abb 3afa 91f5 0000 0000 0000 0000 7779 aef5 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 67 65 -1 -77e0 f5 -1 --1 - -ddcb66 -ccbc d301 9b66 40fb 0000 0000 0000 0000 ee15 0d23 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 63 66 -1 -ee78 70 -1 --1 - -ddcb67 -eccb 342f be3e a79b 0000 0000 0000 0000 eea1 dfae 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb d7 67 -1 -ee78 06 -1 --1 - -ddcb68 -8e51 0063 49ad b7d4 0000 0000 0000 0000 e968 864e 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb b1 68 -1 -e919 20 -1 --1 - -ddcb69 -9f11 42b5 74fe 1116 0000 0000 0000 0000 33f4 46c2 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb e8 69 -1 -33dc 4f -1 --1 - -ddcb6a -4632 0bd8 0018 1ac3 0000 0000 0000 0000 86b6 1dd2 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 33 6a -1 -86e9 1c -1 --1 - -ddcb6b -7a76 f79f a78e f867 0000 0000 0000 0000 187b 0023 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 11 6b -1 -188c bc -1 --1 - -ddcb6c -dd91 1f1e c1e1 0ea7 0000 0000 0000 0000 3e21 f544 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 5e 6c -1 -3e7f 2a -1 --1 - -ddcb6d -debf 9ae4 fd24 b3c2 0000 0000 0000 0000 e314 ad84 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb dd 6d -1 -e2f1 41 -1 --1 - -ddcb6e -ca75 9f16 c700 1dce 0000 0000 0000 0000 3086 d68e 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb b2 6e -1 -3038 3f -1 --1 - -ddcb6f -d4cd 0b39 3e2e c06e 0000 0000 0000 0000 fc1b d592 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb be 6f -1 -fbd9 56 -1 --1 - -ddcb70 -f901 09b8 43f8 2a76 0000 0000 0000 0000 042c 7f2d 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb b5 70 -1 -03e1 74 -1 --1 - -ddcb71 -ac78 36ad 34cb f950 0000 0000 0000 0000 1b33 aa23 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb f7 71 -1 -1b2a 08 -1 --1 - -ddcb72 -b1b3 f1e4 9984 c7fb 0000 0000 0000 0000 ce25 c5b6 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 22 72 -1 -ce47 08 -1 --1 - -ddcb73 -21ba 592d f406 e21f 0000 0000 0000 0000 6442 cf58 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 12 73 -1 -6454 3c -1 --1 - -ddcb74 -6642 64c1 dbe5 eb48 0000 0000 0000 0000 7dc1 c1fb 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 08 74 -1 -7dc9 be -1 --1 - -ddcb75 -8778 580e 00dd f4c6 0000 0000 0000 0000 60ad 9b60 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 5b 75 -1 -6108 cf -1 --1 - -ddcb76 -65b8 5cc2 3058 e258 0000 0000 0000 0000 7e8a b296 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 73 76 -1 -7efd 1e -1 --1 - -ddcb77 -e3a8 47a0 c510 cf0a 0000 0000 0000 0000 0537 b242 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 7f 77 -1 -05b6 97 -1 --1 - -ddcb78 -424f 24f6 1632 8a4f 0000 0000 0000 0000 9397 846c 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 70 78 -1 -9407 76 -1 --1 - -ddcb79 -e6a0 eeaa 41f7 5da2 0000 0000 0000 0000 41de 4189 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb c3 79 -1 -41a1 b8 -1 --1 - -ddcb7a -cabf 56aa 6a06 6cd7 0000 0000 0000 0000 0aa9 9812 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 3f 7a -1 -0ae8 eb -1 --1 - -ddcb7b -ae3f 0227 721f 52a1 0000 0000 0000 0000 5040 b98a 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 88 7b -1 -4fc8 22 -1 --1 - -ddcb7c -8a80 a2f1 239a d5cc 0000 0000 0000 0000 6883 b050 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 9e 7c -1 -6821 3a -1 --1 - -ddcb7d -c37f cf33 1010 98e6 0000 0000 0000 0000 b021 0356 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 29 7d -1 -b04a 2c -1 --1 - -ddcb7e -9a25 2f6e 0d0d a83f 0000 0000 0000 0000 cef0 8c15 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 4f 7e -1 -cf3f f2 -1 --1 - -ddcb7f -53b9 1f4e 4837 21b6 0000 0000 0000 0000 5ec2 80c3 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 75 7f -1 -5f37 a2 -1 --1 - -ddcb80 -6319 baf9 c84b bcf2 0000 0000 0000 0000 acc5 a4ed 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 70 80 -1 -ad35 30 -1 --1 - -ddcb81 -fae1 5ae5 9502 dc9b 0000 0000 0000 0000 bdd3 1a52 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 2a 81 -1 -bdfd 24 -1 --1 - -ddcb82 -daf6 3260 f1ac 1d47 0000 0000 0000 0000 5e74 35e2 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 9a 82 -1 -5e0e 51 -1 --1 - -ddcb83 -8e7c 5586 8c92 fb00 0000 0000 0000 0000 3441 d365 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 0e 83 -1 -344f 01 -1 --1 - -ddcb84 -c1b3 4874 c535 0e1c 0000 0000 0000 0000 0123 dd28 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 47 84 -1 -016a b0 -1 --1 - -ddcb85 -0928 b0db 4e07 a7b7 0000 0000 0000 0000 0ba3 c61c 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 6c 85 -1 -0c0f de -1 --1 - -ddcb86 -4515 de09 3ce7 1fde 0000 0000 0000 0000 10c5 33ed 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 5c 86 -1 -1121 7c -1 --1 - -ddcb87 -d05e a733 d1dd 1603 0000 0000 0000 0000 ede6 e5fb 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 02 87 -1 -ede8 c4 -1 --1 - -ddcb88 -e4fa 3325 c266 1b13 0000 0000 0000 0000 878e e695 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 9b 88 -1 -8729 7c -1 --1 - -ddcb89 -933b 6fdd a3a8 2634 0000 0000 0000 0000 8f3e 7727 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 2b 89 -1 -8f69 cf -1 --1 - -ddcb8a -6759 ad1e 5d71 ce52 0000 0000 0000 0000 39a9 38a0 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 0a 8a -1 -39b3 ea -1 --1 - -ddcb8b -3da2 1833 03c1 07e9 0000 0000 0000 0000 1685 d790 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 62 8b -1 -16e7 8a -1 --1 - -ddcb8c -a625 ed31 3946 32dc 0000 0000 0000 0000 c6a2 7ad6 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb e8 8c -1 -c68a 3e -1 --1 - -ddcb8d -016b 5802 a683 2549 0000 0000 0000 0000 22e6 33bb 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb cc 8d -1 -22b2 9e -1 --1 - -ddcb8e -f4f4 f3a8 2843 82cb 0000 0000 0000 0000 d2e8 d367 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 0a 8e -1 -d2f2 03 -1 --1 - -ddcb8f -6b1a 8ae2 269b cb2f 0000 0000 0000 0000 3ffe 75dd 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 7b 8f -1 -4079 96 -1 --1 - -ddcb90 -c167 3dfc 42e7 9e14 0000 0000 0000 0000 b501 84fe 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 04 90 -1 -b505 46 -1 --1 - -ddcb91 -e85e cc89 d249 ea3b 0000 0000 0000 0000 c987 c4d1 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 11 91 -1 -c998 83 -1 --1 - -ddcb92 -28a3 85ff ab28 47a5 0000 0000 0000 0000 9166 e755 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 4b 92 -1 -91b1 aa -1 --1 - -ddcb93 -58ac c88b 6d24 dbdd 0000 0000 0000 0000 ac2e 5199 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 03 93 -1 -ac31 93 -1 --1 - -ddcb94 -e38d 35a5 8d07 bfb8 0000 0000 0000 0000 5e84 5f24 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 11 94 -1 -5e95 b7 -1 --1 - -ddcb95 -41f4 9536 dd7d 4948 0000 0000 0000 0000 fb74 f17d 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb e6 95 -1 -fb5a c6 -1 --1 - -ddcb96 -4a9e 42ef 32d7 18cf 0000 0000 0000 0000 7a81 bb1d 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb d5 96 -1 -7a56 ae -1 --1 - -ddcb97 -9ad3 89f0 73c7 0b1a 0000 0000 0000 0000 847c 4b86 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 92 97 -1 -840e 23 -1 --1 - -ddcb98 -6e22 b9fd 9fdc 3aed 0000 0000 0000 0000 041e fd79 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb dc 98 -1 -03fa 58 -1 --1 - -ddcb99 -a132 3891 1515 2830 0000 0000 0000 0000 09fd 0473 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 6d 99 -1 -0a6a ce -1 --1 - -ddcb9a -783d 8f69 91c4 e38f 0000 0000 0000 0000 68a8 391d 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 8a 9a -1 -6832 a8 -1 --1 - -ddcb9b -955a c7b0 53b3 aec6 0000 0000 0000 0000 06ef e991 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 97 9b -1 -0686 62 -1 --1 - -ddcb9c -af69 f896 e791 a2ee 0000 0000 0000 0000 847b 59ed 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 54 9c -1 -84cf 1b -1 --1 - -ddcb9d -7d1e 5009 1248 380c 0000 0000 0000 0000 e920 4fe6 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 0f 9d -1 -e92f e8 -1 --1 - -ddcb9e -c207 b47c 0e16 e17f 0000 0000 0000 0000 d8bb bb99 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb b5 9e -1 -d870 ee -1 --1 - -ddcb9f -c26b 7537 46bb 13c0 0000 0000 0000 0000 e63c 1d98 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb b8 9f -1 -e5f4 a6 -1 --1 - -ddcba0 -0bbe 8500 8609 5352 0000 0000 0000 0000 a2f0 da02 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 02 a0 -1 -a2f2 39 -1 --1 - -ddcba1 -ad0a aa76 0f2d 832c 0000 0000 0000 0000 45bb a22d 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb f5 a1 -1 -45b0 d2 -1 --1 - -ddcba2 -f586 4a7d a5ab 26fc 0000 0000 0000 0000 628b 6c4d 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 0e a2 -1 -6299 a1 -1 --1 - -ddcba3 -de5b a284 d40e c92d 0000 0000 0000 0000 040d 12c0 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 2e a3 -1 -043b 04 -1 --1 - -ddcba4 -dfaa ae40 02c3 e0b5 0000 0000 0000 0000 fe4d faa3 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 03 a4 -1 -fe50 27 -1 --1 - -ddcba5 -1a15 04cb 4352 ee39 0000 0000 0000 0000 7b27 38a0 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb f6 a5 -1 -7b1d 6b -1 --1 - -ddcba6 -5e46 b98a b822 04ca 0000 0000 0000 0000 ae1b 8730 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 27 a6 -1 -ae42 8f -1 --1 - -ddcba7 -0eed 7b11 8cb0 eb3d 0000 0000 0000 0000 5ec8 97cf 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb f2 a7 -1 -5eba 87 -1 --1 - -ddcba8 -5173 3089 070d e8f9 0000 0000 0000 0000 e84f 55f0 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb d0 a8 -1 -e81f 7e -1 --1 - -ddcba9 -4fb8 ccb5 3e9a 2673 0000 0000 0000 0000 0fdd aef2 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 9d a9 -1 -0f7a 1f -1 --1 - -ddcbaa -fe76 6f96 3feb 0b21 0000 0000 0000 0000 6747 07ba 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 9f aa -1 -66e6 50 -1 --1 - -ddcbab -2eb4 36f1 8f44 36af 0000 0000 0000 0000 6682 9d60 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 16 ab -1 -6698 eb -1 --1 - -ddcbac -af32 8ca8 6558 06d9 0000 0000 0000 0000 a4dd cd1f 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb c4 ac -1 -a4a1 44 -1 --1 - -ddcbad -fcc9 69a7 0eed eab5 0000 0000 0000 0000 eef5 3ed2 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 49 ad -1 -ef3e 76 -1 --1 - -ddcbae -5f7a 9c20 f013 c4b7 0000 0000 0000 0000 b306 15dd 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 6e ae -1 -b374 5a -1 --1 - -ddcbaf -b11e 2583 51fa d427 0000 0000 0000 0000 3619 9cef 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb c2 af -1 -35db 15 -1 --1 - -ddcbb0 -f43e ce57 3bf3 0933 0000 0000 0000 0000 58d7 d89f 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 47 b0 -1 -591e 1e -1 --1 - -ddcbb1 -35ef bbbc db46 046c 0000 0000 0000 0000 add2 2b6e 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 86 b1 -1 -ad58 46 -1 --1 - -ddcbb2 -c26c fd32 9b7f ab6c 0000 0000 0000 0000 e7d0 501f 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 70 b2 -1 -e840 48 -1 --1 - -ddcbb3 -36ca b434 e212 f805 0000 0000 0000 0000 53fb b191 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb de b3 -1 -53d9 06 -1 --1 - -ddcbb4 -0a1c ab67 9ca1 2f98 0000 0000 0000 0000 5066 320c 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 6b b4 -1 -50d1 dd -1 --1 - -ddcbb5 -fd6d 51c9 16d6 1373 0000 0000 0000 0000 146e 2148 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb ec b5 -1 -145a d6 -1 --1 - -ddcbb6 -1d0b 04e8 109e 1dde 0000 0000 0000 0000 8772 8661 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 15 b6 -1 -8787 8c -1 --1 - -ddcbb7 -f012 b87e 65ba a5c8 0000 0000 0000 0000 6120 789d 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb d3 b7 -1 -60f3 54 -1 --1 - -ddcbb8 -8eae 4a53 bfa1 5e7e 0000 0000 0000 0000 0bf6 1e35 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 13 b8 -1 -0c09 87 -1 --1 - -ddcbb9 -5fb7 a81e e2d2 4117 0000 0000 0000 0000 0564 48a1 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 56 b9 -1 -05ba c8 -1 --1 - -ddcbba -7f6a 47fe ce45 75de 0000 0000 0000 0000 f5e0 032c 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 86 ba -1 -f566 30 -1 --1 - -ddcbbb -c7e3 e49e 9ec5 07e7 0000 0000 0000 0000 bd31 9d5f 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb ef bb -1 -bd20 c9 -1 --1 - -ddcbbc -b430 7ac7 b45f fbf7 0000 0000 0000 0000 638e 3173 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb c0 bc -1 -634e 28 -1 --1 - -ddcbbd -4e71 6ffa a3f9 a2e5 0000 0000 0000 0000 e3c4 02d4 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb b9 bd -1 -e37d dd -1 --1 - -ddcbbe -4af8 99a5 d6fd 7a16 0000 0000 0000 0000 58d3 ce54 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 4d be -1 -5920 e8 -1 --1 - -ddcbbf -6e31 0320 134b 77c3 0000 0000 0000 0000 1734 bc2d 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 26 bf -1 -175a e2 -1 --1 - -ddcbc0 -75be 2b93 093d 1128 0000 0000 0000 0000 792e 31f7 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 00 c0 -1 -792e 92 -1 --1 - -ddcbc1 -313f 8223 5fcc 42c8 0000 0000 0000 0000 dccc d87b 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb f7 c1 -1 -dcc3 1c -1 --1 - -ddcbc2 -a7e3 bf55 d27b 0a9d 0000 0000 0000 0000 0cfa ea4e 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 85 c2 -1 -0c7f 30 -1 --1 - -ddcbc3 -e076 2760 1eec 9968 0000 0000 0000 0000 5426 a1a0 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 32 c3 -1 -5458 dd -1 --1 - -ddcbc4 -a679 cc05 3f4d c899 0000 0000 0000 0000 7acd 48d7 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb ae c4 -1 -7a7b 27 -1 --1 - -ddcbc5 -ddfd 64d4 2671 35e7 0000 0000 0000 0000 ba99 bd98 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 9c c5 -1 -ba35 20 -1 --1 - -ddcbc6 -b324 dc0c 1e35 8cd5 0000 0000 0000 0000 ab2c b6f3 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb c4 c6 -1 -aaf0 b8 -1 --1 - -ddcbc7 -a254 9e56 6828 3189 0000 0000 0000 0000 64cb dfad 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb f8 c7 -1 -64c3 94 -1 --1 - -ddcbc8 -8aca 139e e652 248b 0000 0000 0000 0000 6e7a 189a 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 65 c8 -1 -6edf 8f -1 --1 - -ddcbc9 -f15f 856e a21f 8a59 0000 0000 0000 0000 b670 4f79 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb fb c9 -1 -b66b b9 -1 --1 - -ddcbca -dfab a031 1d78 ad3a 0000 0000 0000 0000 a887 7334 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 8a ca -1 -a811 7e -1 --1 - -ddcbcb -ebd6 376e c346 b10c 0000 0000 0000 0000 a447 31d6 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb a4 cb -1 -a3eb 73 -1 --1 - -ddcbcc -0212 dc46 8f41 854e 0000 0000 0000 0000 1f5a 07ca 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 65 cc -1 -1fbf 72 -1 --1 - -ddcbcd -3344 d73c d6b8 929d 0000 0000 0000 0000 5376 6d3a 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb e9 cd -1 -535f 1c -1 --1 - -ddcbce -9e47 fc93 9ffc aace 0000 0000 0000 0000 0313 7f66 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 85 ce -1 -0298 10 -1 --1 - -ddcbcf -53e8 d379 87d5 10b0 0000 0000 0000 0000 c5d0 4f7f 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb e2 cf -1 -c5b2 b5 -1 --1 - -ddcbd0 -3278 6114 d25d 1cf8 0000 0000 0000 0000 ad43 99fc 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 7f d0 -1 -adc2 51 -1 --1 - -ddcbd1 -c0b8 371a 6472 d92d 0000 0000 0000 0000 10b2 3074 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb a6 d1 -1 -1058 2c -1 --1 - -ddcbd2 -5bb6 caa8 e0db af84 0000 0000 0000 0000 b9a1 7b5f 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 9c d2 -1 -b93d 9c -1 --1 - -ddcbd3 -db6a 4fe2 9e52 a034 0000 0000 0000 0000 da36 88a0 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb bd d3 -1 -d9f3 60 -1 --1 - -ddcbd4 -cc1c b884 6ad2 1621 0000 0000 0000 0000 ef26 41de 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 58 d4 -1 -ef7e 5e -1 --1 - -ddcbd5 -c41d c8b0 cacb 7687 0000 0000 0000 0000 8dbc cc25 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 41 d5 -1 -8dfd 71 -1 --1 - -ddcbd6 -09eb 769d 7e07 51f9 0000 0000 0000 0000 5f03 6280 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb ea d6 -1 -5eed 73 -1 --1 - -ddcbd7 -241b ee10 c152 2f6d 0000 0000 0000 0000 e725 c0d7 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 80 d7 -1 -e6a5 60 -1 --1 - -ddcbd8 -e3dc 1981 c97b cb42 0000 0000 0000 0000 b30f b32a 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 4c d8 -1 -b35b 96 -1 --1 - -ddcbd9 -e9a0 a7c7 a476 6057 0000 0000 0000 0000 2642 58a0 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 52 d9 -1 -2694 ef -1 --1 - -ddcbda -6787 26a7 a194 11d3 0000 0000 0000 0000 2d76 7f80 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb ea da -1 -2d60 82 -1 --1 - -ddcbdb -f986 6a4b 6588 d2c8 0000 0000 0000 0000 2b7d 5847 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 4d db -1 -2bca 10 -1 --1 - -ddcbdc -4c9e d94d 9760 b707 0000 0000 0000 0000 7ed4 5cc5 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb d3 dc -1 -7ea7 45 -1 --1 - -ddcbdd -4b3b d351 9be9 2310 0000 0000 0000 0000 58c1 e430 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 6f dd -1 -5930 20 -1 --1 - -ddcbde -3b62 ca1e a41a 227a 0000 0000 0000 0000 89d2 7011 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 14 de -1 -89e6 5e -1 --1 - -ddcbdf -4c8a 5b42 50dd 4be0 0000 0000 0000 0000 d227 4913 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb ef df -1 -d216 72 -1 --1 - -ddcbe0 -440a 713d acfc f762 0000 0000 0000 0000 1c4b b6ba 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 62 e0 -1 -1cad 46 -1 --1 - -ddcbe1 -c219 aa6b dfbf 6f10 0000 0000 0000 0000 b931 d3d6 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 2e e1 -1 -b95f 75 -1 --1 - -ddcbe2 -66d7 abd0 cb48 8054 0000 0000 0000 0000 ef50 9997 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 85 e2 -1 -eed5 72 -1 --1 - -ddcbe3 -7013 e7ed 7e1c 57fb 0000 0000 0000 0000 7ec6 75eb 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb f4 e3 -1 -7eba 34 -1 --1 - -ddcbe4 -1108 6e70 f0af 2f0c 0000 0000 0000 0000 95c7 6501 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb bf e4 -1 -9586 34 -1 --1 - -ddcbe5 -57cc 5511 2696 b83d 0000 0000 0000 0000 6ab0 0e90 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb f2 e5 -1 -6aa2 2e -1 --1 - -ddcbe6 -207a a441 1e03 ac60 0000 0000 0000 0000 d866 5fdc 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 25 e6 -1 -d88b 4c -1 --1 - -ddcbe7 -c3c5 7fa9 4e07 e02d 0000 0000 0000 0000 2a1b 55b7 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb f3 e7 -1 -2a0e eb -1 --1 - -ddcbe8 -6d1c a0c4 93f0 a0b4 0000 0000 0000 0000 4bda 7761 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb f2 e8 -1 -4bcc ba -1 --1 - -ddcbe9 -ebe5 0c2c 1a2a 2720 0000 0000 0000 0000 72dd a354 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 8a e9 -1 -7267 0a -1 --1 - -ddcbea -42d2 da7a 757f 6da6 0000 0000 0000 0000 a7e9 b933 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 04 ea -1 -a7ed 5f -1 --1 - -ddcbeb -e945 10aa f5f8 7647 0000 0000 0000 0000 16df 93fb 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 24 eb -1 -1703 f3 -1 --1 - -ddcbec -7180 bc85 7dd3 f467 0000 0000 0000 0000 dd88 6a41 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 60 ec -1 -dde8 00 -1 --1 - -ddcbed -6b2f 9762 1f0a db61 0000 0000 0000 0000 f772 33e3 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb be ed -1 -f730 6b -1 --1 - -ddcbee -79ea dc8a 7887 3baa 0000 0000 0000 0000 6c28 abbc 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb de ee -1 -6c06 bd -1 --1 - -ddcbef -46c3 2fc2 8690 a836 0000 0000 0000 0000 cc68 a8ce 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 30 ef -1 -cc98 11 -1 --1 - -ddcbf0 -b330 4469 362b b515 0000 0000 0000 0000 13c0 6479 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 2f f0 -1 -13ef ad -1 --1 - -ddcbf1 -94c0 9ab0 a0fd 7c1d 0000 0000 0000 0000 47ba 8c81 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 40 f1 -1 -47fa 78 -1 --1 - -ddcbf2 -5302 9204 20ec d640 0000 0000 0000 0000 c947 4ef1 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 0f f2 -1 -c956 21 -1 --1 - -ddcbf3 -9950 a3d2 5058 5ccc 0000 0000 0000 0000 1d96 7c75 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 06 f3 -1 -1d9c e4 -1 --1 - -ddcbf4 -3712 1f99 4863 47de 0000 0000 0000 0000 1702 c042 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 3b f4 -1 -173d e1 -1 --1 - -ddcbf5 -d83f 1ec9 d0da 4173 0000 0000 0000 0000 eb3f 1ead 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 64 f5 -1 -eba3 c5 -1 --1 - -ddcbf6 -4d6c 93ac 810d cfe1 0000 0000 0000 0000 dc5a c33c 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 7b f6 -1 -dcd5 a2 -1 --1 - -ddcbf7 -fe40 7887 b9de c013 0000 0000 0000 0000 301e 9710 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb c3 f7 -1 -2fe1 a9 -1 --1 - -ddcbf8 -8278 21a4 1e5c 4952 0000 0000 0000 0000 427f 41e1 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 59 f8 -1 -42d8 28 -1 --1 - -ddcbf9 -b2df e9b8 56c3 16ff 0000 0000 0000 0000 d88f 0bab 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 55 f9 -1 -d8e4 14 -1 --1 - -ddcbfa -01f1 bc0d d476 1510 0000 0000 0000 0000 9420 93a3 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 74 fa -1 -9494 fe -1 --1 - -ddcbfb -709b 14eb ec1c b844 0000 0000 0000 0000 3453 f2b0 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb af fb -1 -3402 02 -1 --1 - -ddcbfc -6c89 a96e d27b d6a7 0000 0000 0000 0000 6139 b4c1 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb a1 fc -1 -60da 10 -1 --1 - -ddcbfd -fb3f 83f6 2094 3349 0000 0000 0000 0000 3ed0 6f0e 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb 28 fd -1 -3ef8 c2 -1 --1 - -ddcbfe -fc42 50b7 e98d 3e45 0000 0000 0000 0000 41b5 3410 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb ec fe -1 -41a1 a1 -1 --1 - -ddcbff -e666 94d2 ac90 8f45 0000 0000 0000 0000 0655 ba29 0000 0000 -00 00 0 0 0 0 1 -0000 dd cb d3 ff -1 -0628 2b -1 --1 - -dde1 -8a15 6bf0 0106 3dd0 0000 0000 0000 0000 5da4 8716 595f 0000 -00 00 0 0 0 0 1 -0000 dd e1 -1 -595f 9a 09 -1 --1 - -dde3 -068e 58e6 2713 500f 0000 0000 0000 0000 be05 4308 57bd 0000 -00 00 0 0 0 0 1 -0000 dd e3 -1 -57bd 15 3f -1 --1 - -dde5 -7462 9b6c bfe5 0330 0000 0000 0000 0000 b282 e272 0761 0000 -00 00 0 0 0 0 1 -0000 dd e5 -1 --1 - -dde9 -75a7 139b f9a3 94bb 0000 0000 0000 0000 64f0 3433 0000 0000 -00 00 0 0 0 0 1 -0000 dd e9 -1 --1 - -ddf9 -8709 15dd 7fa6 3c5c 0000 0000 0000 0000 d3a7 1d7b f67c 0000 -00 00 0 0 0 0 1 -0000 dd f9 -1 --1 - -ddfd00 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 13 -0000 dd fd 00 00 -1 --1 - -de -e78d 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 de a1 -1 --1 - -df -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 5507 6d33 -00 00 0 0 0 0 1 -6d33 df -1 --1 - -e0_1 -0098 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0000 -00 00 0 0 0 0 1 -0000 e0 -1 -43f7 e9 af -1 --1 - -e0_2 -009c 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0000 -00 00 0 0 0 0 1 -0000 e0 -1 -43f7 e9 af -1 --1 - -e1 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 4143 0000 -00 00 0 0 0 0 1 -0000 e1 -1 -4143 ce e8 -1 --1 - -e2_1 -0083 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 e2 1b e1 -1 --1 - -e2_2 -0087 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 e2 1b e1 -1 --1 - -e3 -0000 0000 0000 4d22 0000 0000 0000 0000 0000 0000 0373 0000 -00 00 0 0 0 0 1 -0000 e3 -1 -0373 8e e1 -1 --1 - -e4_1 -000a 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0000 -00 00 0 0 0 0 1 -0000 e4 61 9c -1 --1 - -e4_2 -000e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0000 -00 00 0 0 0 0 1 -0000 e4 61 9c -1 --1 - -e5 -53e3 1459 775f 1a2f 0000 0000 0000 0000 0000 0000 ec12 0000 -00 00 0 0 0 0 1 -0000 e5 -1 --1 - -e6 -7500 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 e6 49 -1 --1 - -e7 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 5507 6d33 -00 00 0 0 0 0 1 -6d33 e7 -1 --1 - -e8_1 -0098 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0000 -00 00 0 0 0 0 1 -0000 e8 -1 -43f7 e9 af -1 --1 - -e8_2 -009c 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0000 -00 00 0 0 0 0 1 -0000 e8 -1 -43f7 e9 af -1 --1 - -e9 -0000 0000 0000 caba 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 e9 -1 --1 - -ea_1 -0087 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ea 1b e1 -1 --1 - -ea_2 -0083 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ea 1b e1 -1 --1 - -eb -0000 0000 b879 942e 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 eb -1 --1 - -ec_1 -000e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0000 -00 00 0 0 0 0 1 -0000 ec 61 9c -1 --1 - -ec_2 -000a 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0000 -00 00 0 0 0 0 1 -0000 ec 61 9c -1 --1 - -ed40 -83f9 296b 7034 1f2f 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 40 -1 --1 - -ed41 -29a2 0881 d7dd ff4e 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 41 -1 --1 - -ed42 -cbd3 1c8f d456 315e 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 42 -1 --1 - -ed43 -da36 2732 91cc 9798 0000 0000 0000 0000 0000 0000 5f73 0000 -00 00 0 0 0 0 1 -0000 ed 43 c6 54 -1 --1 - -ed44 -fe2b 040f deb6 afc3 0000 0000 0000 0000 0000 0000 5ca8 0000 -00 00 0 0 0 0 1 -0000 ed 44 -1 --1 - -ed45 -001d 5b63 a586 1451 0000 0000 0000 0000 0000 0000 3100 0000 -00 00 0 1 0 0 1 -0000 ed 45 -1 -3100 1f 22 -1 --1 - -ed46 -b6ec 8afb ce09 70a1 0000 0000 0000 0000 0000 0000 8dea 0000 -00 00 0 0 0 0 1 -0000 ed 46 -1 --1 - -ed47 -9a99 9e5a 9913 cacc 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 47 -1 --1 - -ed48 -dbdd 7d1b 141d 5fb4 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 48 -1 --1 - -ed49 -07a5 59ec f459 4316 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 49 -1 --1 - -ed4a -5741 24b5 83d2 9ac8 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 4a -1 --1 - -ed4b -650c d74d 0448 a3b9 0000 0000 0000 0000 0000 0000 b554 0000 -00 00 0 0 0 0 1 -0000 ed 4b 1a a4 -1 -a41a f3 d4 -1 --1 - -ed4c -5682 7dde b049 939d 0000 0000 0000 0000 0000 0000 c7bb 0000 -00 00 0 0 0 0 1 -0000 ed 4c -1 --1 - -ed4d -1bed c358 5fd5 6093 0000 0000 0000 0000 0000 0000 680e 0000 -00 00 0 0 0 0 1 -0000 ed 4d -1 -680e 03 7c -1 --1 - -ed4e -8e01 e7c6 880f d2a2 0000 0000 0000 0000 0000 0000 85da 0000 -00 00 0 0 1 0 1 -0000 ed 4e -1 --1 - -ed4f -2ae3 c115 eff8 9f6d 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 4f -1 --1 - -ed50 -85ae bbcc e2a8 f219 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 50 -1 --1 - -ed51 -2c4c c0a4 5303 bc25 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 51 -1 --1 - -ed52 -fc57 1fc8 47b6 da7c 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 52 -1 --1 - -ed53 -1f88 4692 5cb2 4915 0000 0000 0000 0000 0000 0000 7d8c 0000 -00 00 0 0 0 0 1 -0000 ed 53 ff 21 -1 --1 - -ed54 -adf9 5661 547c c322 0000 0000 0000 0000 0000 0000 d9eb 0000 -00 00 0 0 0 0 1 -0000 ed 54 -1 --1 - -ed55 -b05b 5e84 d6e9 cb3e 0000 0000 0000 0000 0000 0000 d4b4 0000 -00 00 1 0 0 0 1 -0000 ed 55 -1 -d4b4 ea c9 -1 --1 - -ed56 -5cc0 9100 356b 4bfd 0000 0000 0000 0000 0000 0000 2c93 0000 -00 00 0 0 1 0 1 -0000 ed 56 -1 --1 - -ed57 -bcfe dfc7 a621 1022 0000 0000 0000 0000 0000 0000 0000 0000 -1e 17 0 0 0 0 1 -0000 ed 57 -1 --1 - -ed58 -c9ee 4091 9e46 873a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 58 -1 --1 - -ed59 -388a d512 ecc5 93af 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 59 -1 --1 - -ed5a -a41f 751c 19ce 0493 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 5a -1 --1 - -ed5b -5df1 982e 002f adb9 0000 0000 0000 0000 0000 0000 f398 0000 -00 00 0 0 0 0 1 -0000 ed 5b 04 9f -1 -9f04 84 4d -1 --1 - -ed5c -11c3 b86c 2042 c958 0000 0000 0000 0000 0000 0000 93dc 0000 -00 00 0 0 0 0 1 -0000 ed 5c -1 --1 - -ed5d -1152 1d20 3f86 64fc 0000 0000 0000 0000 0000 0000 5308 0000 -00 00 0 0 0 0 1 -0000 ed 5d -1 -5308 26 e0 -1 --1 - -ed5e -611a c8cf f215 d92b 0000 0000 0000 0000 0000 0000 4d86 0000 -00 00 0 0 1 0 1 -0000 ed 5e -1 --1 - -ed5f -1bb5 fc09 2dfa bab9 0000 0000 0000 0000 0000 0000 0000 0000 -d7 f3 0 0 0 0 1 -0000 ed 5f -1 --1 - -ed60 -2c9c 0dae 621e 2f66 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 60 -1 --1 - -ed61 -ffa8 90ca 0340 d847 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 61 -1 --1 - -ed62 -a60b d9aa 6623 0b1a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 62 -1 --1 - -ed63 -5222 88f9 9d9a e4d3 0000 0000 0000 0000 0000 0000 a2f0 0000 -00 00 0 0 0 0 1 -0000 ed 63 67 65 -1 --1 - -ed64 -2127 e425 66ac b2a3 0000 0000 0000 0000 0000 0000 43f2 0000 -00 00 0 0 0 0 1 -0000 ed 64 -1 --1 - -ed65 -63d2 1fa1 0788 881c 0000 0000 0000 0000 0000 0000 f207 0000 -00 00 0 1 0 0 1 -0000 ed 65 -1 -f207 eb 0e -1 --1 - -ed66 -4088 a7e1 3ffd 919b 0000 0000 0000 0000 0000 0000 d193 0000 -00 00 0 0 1 0 1 -0000 ed 66 -1 --1 - -ed67 -3624 b16a a4db b9de 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 67 -1 -b9de 93 -1 --1 - -ed68 -5316 624b 7311 3106 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 68 -1 --1 - -ed69 -abd8 8d2f 89c7 c3d6 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 69 -1 --1 - -ed6a -bb5a 6fed 59bb 4e40 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 6a -1 --1 - -ed6b -9e35 d240 1998 ab19 0000 0000 0000 0000 0000 0000 9275 0000 -00 00 0 0 0 0 1 -0000 ed 6b 98 61 -1 -6198 3f be -1 --1 - -ed6c -0fb1 7d5b cadb 0893 0000 0000 0000 0000 0000 0000 d983 0000 -00 00 0 0 0 0 1 -0000 ed 6c -1 --1 - -ed6d -3860 42da 5935 dc10 0000 0000 0000 0000 0000 0000 5cd3 0000 -00 00 0 0 0 0 1 -0000 ed 6d -1 -5cd3 a9 73 -1 --1 - -ed6e -7752 bec3 0457 8c95 0000 0000 0000 0000 0000 0000 a787 0000 -00 00 0 0 0 0 1 -0000 ed 6e -1 --1 - -ed6f -658b 7a7a ecf0 403c 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 6f -1 -403c c4 -1 --1 - -ed70 -c6a1 f7d6 a3cb 288d 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 70 -1 --1 - -ed71 -afa0 20b3 7b33 4ac1 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 71 -1 --1 - -ed72 -5fd9 05cb 0c6c d18b 0000 0000 0000 0000 0000 0000 53db 0000 -00 00 0 0 0 0 1 -0000 ed 72 -1 --1 - -ed73 -41c4 763a ecb0 ee62 0000 0000 0000 0000 0000 0000 aed5 0000 -00 00 0 0 0 0 1 -0000 ed 73 2a 79 -1 --1 - -ed74 -4454 f2d2 8340 7e76 0000 0000 0000 0000 0000 0000 0323 0000 -00 00 0 0 0 0 1 -0000 ed 74 -1 --1 - -ed75 -7ca4 1615 5d2a a95b 0000 0000 0000 0000 0000 0000 7d00 0000 -00 00 1 1 0 0 1 -0000 ed 75 -1 -7d00 fd 4f -1 --1 - -ed76 -cabf ff9a b98c a8e6 0000 0000 0000 0000 0000 0000 fe8e 0000 -00 00 0 0 2 0 1 -0000 ed 76 -1 --1 - -ed78 -58dd f206 2d6a af16 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 78 -1 --1 - -ed79 -e000 4243 8f7f ed90 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed 79 -1 --1 - -ed7a -32fd d819 d873 8dcf 0000 0000 0000 0000 0000 0000 5d22 0000 -00 00 0 0 0 0 1 -0000 ed 7a -1 --1 - -ed7b -4f97 24b7 e105 1bf2 0000 0000 0000 0000 0000 0000 5e17 0000 -00 00 0 0 0 0 1 -0000 ed 7b 50 8c -1 -8c50 d8 48 -1 --1 - -ed7c -d333 29ca 9622 b452 0000 0000 0000 0000 0000 0000 0be6 0000 -00 00 0 0 0 0 1 -0000 ed 7c -1 --1 - -ed7d -ecb6 073e dc1e 38d9 0000 0000 0000 0000 0000 0000 66f0 0000 -00 00 0 1 0 0 1 -0000 ed 7d -1 -66f0 4f fb -1 --1 - -ed7e -b246 1a1a 933a 4b8b 0000 0000 0000 0000 0000 0000 2242 0000 -00 00 0 0 0 0 1 -0000 ed 7e -1 --1 - -eda0 -1bc9 3d11 95c1 d097 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a0 -1 -d097 b7 -1 --1 - -eda1 -ecdb 7666 537f 3bc3 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a1 -1 -3bc3 b4 -1 --1 - -eda2 -0121 9a82 5bbd 2666 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a2 -1 --1 - -eda2_01 -0000 0200 0000 8000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a2 -1 --1 - -eda2_02 -0000 569a 0000 8000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a2 -1 --1 - -eda2_03 -0000 abcc 0000 8000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a2 -1 --1 - -eda3 -42c5 6334 1e28 32fa 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a3 -1 -32fa b3 -1 --1 - -eda3_01 -0000 0100 0000 01ff 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a3 -1 -01ff 00 -1 --1 - -eda3_02 -0000 0100 0000 0100 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a3 -1 -0100 00 -1 --1 - -eda3_03 -0000 0100 0000 0107 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a3 -1 -0107 00 -1 --1 - -eda3_04 -0000 0100 0000 01ff 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a3 -1 -01ff 80 -1 --1 - -eda3_05 -0000 0100 0000 01fd 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a3 -1 -01fd 12 -1 --1 - -eda3_06 -0000 0100 0000 01fe 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a3 -1 -01fe 12 -1 --1 - -eda3_07 -0000 0200 0000 01ff 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a3 -1 -01ff 00 -1 --1 - -eda3_08 -0000 0800 0000 01fe 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a3 -1 -01fe 00 -1 --1 - -eda3_09 -0000 8100 0000 01ff 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a3 -1 -01ff 00 -1 --1 - -eda3_10 -0000 8200 0000 01ff 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a3 -1 -01ff 00 -1 --1 - -eda3_11 -0000 a900 0000 01ff 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a3 -1 -01ff 00 -1 --1 - -eda8 -2a8e 1607 5938 12e8 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a8 -1 -12e8 d8 -1 --1 - -eda9 -1495 fb42 0466 0dbe 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed a9 -1 -0dbe 89 -1 --1 - -edaa -2042 d791 a912 a533 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed aa -1 --1 - -edaa_01 -0000 0101 0000 8000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed aa -1 --1 - -edaa_02 -0000 56aa 0000 8000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed aa -1 --1 - -edaa_03 -0000 abcc 0000 8000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed aa -1 --1 - -edab -0037 f334 d3e1 199f 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed ab -1 -199f 49 -1 --1 - -edab_01 -0000 5800 0000 007a 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed ab -1 -007a 7f -1 --1 - -edab_02 -0000 ab00 0000 00f1 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ed ab -1 -00f1 cd -1 --1 - -edb0 -1045 0010 aad8 558e 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 331 -0000 ed b0 -1 -558e 53 94 30 05 44 24 22 b9 e9 77 23 71 e2 5c fb 49 -1 --1 - -edb1 -f4dd 0008 e4e0 9825 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 79 -0000 ed b1 -1 -9825 50 e5 41 f4 01 9f 11 85 -1 --1 - -edb2 -8a34 0a40 d98c 37ce 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 205 -0000 ed b2 -1 --1 - -edb3 -34ab 03e0 41b9 1d7c 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 58 -0000 ed b3 -1 -1d7c 9d 24 aa -1 --1 - -edb8 -e553 0008 68e8 4dcf 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 163 -0000 ed b8 -1 -4dc8 29 85 a7 c3 55 74 23 0a -1 --1 - -edb9 -ffcd 0008 a171 c749 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 163 -0000 ed b9 -1 -c742 c6 09 85 ec 5a 01 4e 6c -1 --1 - -edba -2567 069f d40d 6b55 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 121 -0000 ed ba -1 --1 - -edbb -09c4 043b be49 1dd0 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 79 -0000 ed bb -1 -1dcd f9 71 c5 b6 -1 --1 - -ee -3e00 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 ee d0 -1 --1 - -ef -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 5507 6d33 -00 00 0 0 0 0 1 -6d33 ef -1 --1 - -f0_1 -0018 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0000 -00 00 0 0 0 0 1 -0000 f0 -1 -43f7 e9 af -1 --1 - -f0_2 -0098 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0000 -00 00 0 0 0 0 1 -0000 f0 -1 -43f7 e9 af -1 --1 - -f1 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 4143 0000 -00 00 0 0 0 0 1 -0000 f1 -1 -4143 ce e8 -1 --1 - -f2_1 -0007 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 f2 1b e1 -1 --1 - -f2_2 -0087 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 f2 1b e1 -1 --1 - -f3 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 1 1 0 0 1 -0000 f3 -1 --1 - -f4_1 -000e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0000 -00 00 0 0 0 0 1 -0000 f4 61 9c -1 --1 - -f4_2 -008e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0000 -00 00 0 0 0 0 1 -0000 f4 61 9c -1 --1 - -f5 -53e3 1459 775f 1a2f 0000 0000 0000 0000 0000 0000 ec12 0000 -00 00 0 0 0 0 1 -0000 f5 -1 --1 - -f6 -0600 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 f6 a7 -1 --1 - -f7 -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 5507 6d33 -00 00 0 0 0 0 1 -6d33 f7 -1 --1 - -f8_1 -0018 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0000 -00 00 0 0 0 0 1 -0000 f8 -1 -43f7 e9 af -1 --1 - -f8_2 -0098 0000 0000 0000 0000 0000 0000 0000 0000 0000 43f7 0000 -00 00 0 0 0 0 1 -0000 f8 -1 -43f7 e9 af -1 --1 - -f9 -0000 0000 0000 ce32 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 f9 -1 --1 - -fa_1 -0087 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 fa 1b e1 -1 --1 - -fa_2 -0007 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 fa 1b e1 -1 --1 - -fb -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 fb -1 --1 - -fc_1 -008e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0000 -00 00 0 0 0 0 1 -0000 fc 61 9c -1 --1 - -fc_2 -000e 0000 0000 0000 0000 0000 0000 0000 0000 0000 5698 0000 -00 00 0 0 0 0 1 -0000 fc 61 9c -1 --1 - -fd09 -466a a623 bab2 d788 0000 0000 0000 0000 c9e8 f698 0000 0000 -00 00 0 0 0 0 1 -0000 fd 09 -1 --1 - -fd19 -b3e5 5336 76cb 54e2 0000 0000 0000 0000 b9ce 8624 0000 0000 -00 00 0 0 0 0 1 -0000 fd 19 -1 --1 - -fd21 -c924 5c83 e0e2 eddb 0000 0000 0000 0000 6e9f ba55 0000 0000 -00 00 0 0 0 0 1 -0000 fd 21 46 47 -1 --1 - -fd22 -1235 f0b6 b74c cc9f 0000 0000 0000 0000 8b00 81e4 0000 0000 -00 00 0 0 0 0 1 -0000 fd 22 9a e2 -1 --1 - -fd23 -69f2 c1d3 0f6f 2169 0000 0000 0000 0000 e39e 2605 0000 0000 -00 00 0 0 0 0 1 -0000 fd 23 -1 --1 - -fd24 -5554 9684 d36a dac3 0000 0000 0000 0000 7803 6434 0000 0000 -00 00 0 0 0 0 1 -0000 fd 24 -1 --1 - -fd25 -cd0b b5e4 a754 9526 0000 0000 0000 0000 3dcb 03b2 0000 0000 -00 00 0 0 0 0 1 -0000 fd 25 -1 --1 - -fd26 -2452 300b b4a1 929d 0000 0000 0000 0000 c259 3f30 0000 0000 -00 00 0 0 0 0 1 -0000 fd 26 77 -1 --1 - -fd29 -5812 49d0 ec95 011c 0000 0000 0000 0000 ec6c 594c 0000 0000 -00 00 0 0 0 0 1 -0000 fd 29 -1 --1 - -fd2a -0f82 3198 87e3 7c1c 0000 0000 0000 0000 1bb4 eb1a 0000 0000 -00 00 0 0 0 0 1 -0000 fd 2a 91 f9 -1 -f991 92 bf -1 --1 - -fd2b -ab27 942f 82fa 6f2f 0000 0000 0000 0000 9438 ebbc 0000 0000 -00 00 0 0 0 0 1 -0000 fd 2b -1 --1 - -fd2c -665d 0ab1 5656 e5a9 0000 0000 0000 0000 5fb9 4df7 0000 0000 -00 00 0 0 0 0 1 -0000 fd 2c -1 --1 - -fd2d -32fb f78a b906 31d0 0000 0000 0000 0000 c72a e91c 0000 0000 -00 00 0 0 0 0 1 -0000 fd 2d -1 --1 - -fd2e -2114 4923 6e65 006c 0000 0000 0000 0000 da39 c0cb 0000 0000 -00 00 0 0 0 0 1 -0000 fd 2e 49 -1 --1 - -fd34 -d56a 6f24 7df7 74f0 0000 0000 0000 0000 365a efc4 0000 0000 -00 00 0 0 0 0 1 -0000 fd 34 b8 -1 -ef7c e0 -1 --1 - -fd35 -8cda 35d8 7c1a 1c0a 0000 0000 0000 0000 62bb aec6 0000 0000 -00 00 0 0 0 0 1 -0000 fd 35 ab -1 -ae71 a6 -1 --1 - -fd36 -e0f9 ae1f 4aef c9d5 0000 0000 0000 0000 c0db bdd4 0000 0000 -00 00 0 0 0 0 1 -0000 fd 36 81 c5 -1 --1 - -fd39 -2603 726f 9c7f cd46 0000 0000 0000 0000 dc45 54d5 dc57 0000 -00 00 0 0 0 0 1 -0000 fd 39 -1 --1 - -fd44 -0e58 7192 3580 9be4 0000 0000 0000 0000 1b79 685e 0000 0000 -00 00 0 0 0 0 1 -0000 fd 44 -1 --1 - -fd45 -6555 a488 5ae8 c948 0000 0000 0000 0000 d7b8 a177 0000 0000 -00 00 0 0 0 0 1 -0000 fd 45 -1 --1 - -fd46 -87f3 17d5 5eea 830b 0000 0000 0000 0000 dcee 3afc 0000 0000 -00 00 0 0 0 0 1 -0000 fd 46 4d -1 -3b49 c9 -1 --1 - -fd4c -7e6b bd4b 24b6 ff94 0000 0000 0000 0000 862d 01d0 0000 0000 -00 00 0 0 0 0 1 -0000 fd 4c -1 --1 - -fd4d -50cf e3fe 998e dba2 0000 0000 0000 0000 c4f5 c7c9 0000 0000 -00 00 0 0 0 0 1 -0000 fd 4d -1 --1 - -fd4e -2c0f 69d7 748a 9290 0000 0000 0000 0000 904f bb9a 0000 0000 -00 00 0 0 0 0 1 -0000 fd 4e 67 -1 -bc01 9d -1 --1 - -fd54 -d7f9 f65b b001 d4c4 0000 0000 0000 0000 4b8e d437 0000 0000 -00 00 0 0 0 0 1 -0000 fd 54 -1 --1 - -fd55 -ab98 fdab 254a 010e 0000 0000 0000 0000 126b 13a9 0000 0000 -00 00 0 0 0 0 1 -0000 fd 55 -1 --1 - -fd56 -d3e8 df10 5442 b641 0000 0000 0000 0000 a5a0 fda2 0000 0000 -00 00 0 0 0 0 1 -0000 fd 56 ce -1 -fd70 78 -1 --1 - -fd5c -11d5 c489 e220 434e 0000 0000 0000 0000 3244 d8bb 0000 0000 -00 00 0 0 0 0 1 -0000 fd 5c -1 --1 - -fd5d -e945 dbae 32ea 4f7e 0000 0000 0000 0000 fa56 074e 0000 0000 -00 00 0 0 0 0 1 -0000 fd 5d -1 --1 - -fd5e -6f3b e9dc 7a06 14f3 0000 0000 0000 0000 ec76 8aaa 0000 0000 -00 00 0 0 0 0 1 -0000 fd 5e c6 -1 -8a70 8c -1 --1 - -fd60 -8579 005d d9ee faee 0000 0000 0000 0000 382d 2f95 0000 0000 -00 00 0 0 0 0 1 -0000 fd 60 -1 --1 - -fd61 -5682 dbc3 b495 9799 0000 0000 0000 0000 85b2 3c1e 0000 0000 -00 00 0 0 0 0 1 -0000 fd 61 -1 --1 - -fd62 -906b f52e f3d8 1e8c 0000 0000 0000 0000 ddba 9a02 0000 0000 -00 00 0 0 0 0 1 -0000 fd 62 -1 --1 - -fd63 -9d59 beb9 d826 0eaa 0000 0000 0000 0000 4290 a4b9 0000 0000 -00 00 0 0 0 0 1 -0000 fd 63 -1 --1 - -fd64 -7b0e e394 8a25 cddf 0000 0000 0000 0000 9784 2116 0000 0000 -00 00 0 0 0 0 1 -0000 fd 64 -1 --1 - -fd65 -b827 eb4f f666 c52a 0000 0000 0000 0000 6206 831f 0000 0000 -00 00 0 0 0 0 1 -0000 fd 65 -1 --1 - -fd66 -9129 e4ee e3a3 86ca 0000 0000 0000 0000 4d93 5b24 0000 0000 -00 00 0 0 0 0 1 -0000 fd 66 80 -1 -5aa4 77 -1 --1 - -fd67 -db7a b40b 7b58 49fd 0000 0000 0000 0000 266f 9e7b 0000 0000 -00 00 0 0 0 0 1 -0000 fd 67 -1 --1 - -fd68 -4d1d 4fd9 783e 0745 0000 0000 0000 0000 0c3d 82b5 0000 0000 -00 00 0 0 0 0 1 -0000 fd 68 -1 --1 - -fd69 -1589 5ceb b5db 922a 0000 0000 0000 0000 3c3a dc98 0000 0000 -00 00 0 0 0 0 1 -0000 fd 69 -1 --1 - -fd6a -607a e035 5bb9 dac0 0000 0000 0000 0000 fc04 b5b7 0000 0000 -00 00 0 0 0 0 1 -0000 fd 6a -1 --1 - -fd6b -db2a e244 1182 096f 0000 0000 0000 0000 198e 91a6 0000 0000 -00 00 0 0 0 0 1 -0000 fd 6b -1 --1 - -fd6c -a0be 34ef 8fcd 40a7 0000 0000 0000 0000 4481 c215 0000 0000 -00 00 0 0 0 0 1 -0000 fd 6c -1 --1 - -fd6d -fdfc 727a b839 50a6 0000 0000 0000 0000 e782 02e5 0000 0000 -00 00 0 0 0 0 1 -0000 fd 6d -1 --1 - -fd6e -cfd4 6ef1 c07d eb96 0000 0000 0000 0000 b0f9 b0a3 0000 0000 -00 00 0 0 0 0 1 -0000 fd 6e 78 -1 -b11b f8 -1 --1 - -fd6f -8e1d a138 f20a 298e 0000 0000 0000 0000 b600 0cf7 0000 0000 -00 00 0 0 0 0 1 -0000 fd 6f -1 --1 - -fd70 -2677 33c5 c0dc 262f 0000 0000 0000 0000 d3dc 23a1 0000 0000 -00 00 0 0 0 0 1 -0000 fd 70 53 -1 --1 - -fd71 -892e 04ae d67f 81ec 0000 0000 0000 0000 7757 bfab 0000 0000 -00 00 0 0 0 0 1 -0000 fd 71 b4 -1 --1 - -fd72 -d2dc c23c dd54 6559 0000 0000 0000 0000 b32b 7c80 0000 0000 -00 00 0 0 0 0 1 -0000 fd 72 e3 -1 --1 - -fd73 -49ef bff2 8409 02dd 0000 0000 0000 0000 af95 8762 0000 0000 -00 00 0 0 0 0 1 -0000 fd 73 17 -1 --1 - -fd74 -9479 9817 fa2e 1fe0 0000 0000 0000 0000 a395 92db 0000 0000 -00 00 0 0 0 0 1 -0000 fd 74 f6 -1 --1 - -fd75 -c8d6 6aa4 180e e37b 0000 0000 0000 0000 02cf 1724 0000 0000 -00 00 0 0 0 0 1 -0000 fd 75 ab -1 --1 - -fd77 -6f9e 7475 78ad 2b8c 0000 0000 0000 0000 c6b7 6b4d 0000 0000 -00 00 0 0 0 0 1 -0000 fd 77 f7 -1 --1 - -fd7c -f228 93fc a3d4 dc9e 0000 0000 0000 0000 21ac c617 0000 0000 -00 00 0 0 0 0 1 -0000 fd 7c -1 --1 - -fd7d -93e5 3cbe 02c3 26c2 0000 0000 0000 0000 ca81 92b9 0000 0000 -00 00 0 0 0 0 1 -0000 fd 7d -1 --1 - -fd7e -1596 daba 147b f362 0000 0000 0000 0000 7110 d45f 0000 0000 -00 00 0 0 0 0 1 -0000 fd 7e e4 -1 -d443 aa -1 --1 - -fd84 -bfba 7cae c4da 7aee 0000 0000 0000 0000 43ee c08e 0000 0000 -00 00 0 0 0 0 1 -0000 fd 84 -1 --1 - -fd85 -52dd 1dea 324f 84e7 0000 0000 0000 0000 e7a8 f799 0000 0000 -00 00 0 0 0 0 1 -0000 fd 85 -1 --1 - -fd86 -fc9c b882 43f9 3e15 0000 0000 0000 0000 9781 8b33 0000 0000 -00 00 0 0 0 0 1 -0000 fd 86 ce -1 -8b01 e1 -1 --1 - -fd8c -fd9c 42b1 5e8a 081c 0000 0000 0000 0000 cb58 3b4e 0000 0000 -00 00 0 0 0 0 1 -0000 fd 8c -1 --1 - -fd8d -9301 7750 8ad6 295c 0000 0000 0000 0000 695c 99fb 0000 0000 -00 00 0 0 0 0 1 -0000 fd 8d -1 --1 - -fd8e -41ee 398f f6dc 06f3 0000 0000 0000 0000 f34a 1aa2 0000 0000 -00 00 0 0 0 0 1 -0000 fd 8e 78 -1 -1b1a c0 -1 --1 - -fd94 -0431 d255 b9d6 20bb 0000 0000 0000 0000 1e6a d5ef 0000 0000 -00 00 0 0 0 0 1 -0000 fd 94 -1 --1 - -fd95 -8b5d b455 2388 ec1e 0000 0000 0000 0000 7637 cb97 0000 0000 -00 00 0 0 0 0 1 -0000 fd 95 -1 --1 - -fd96 -a0c6 22ac 0413 4b13 0000 0000 0000 0000 b44e c08b 0000 0000 -00 00 0 0 0 0 1 -0000 fd 96 55 -1 -c0e0 7b -1 --1 - -fd9c -a44a 3ecf ced3 66ec 0000 0000 0000 0000 4bff b133 0000 0000 -00 00 0 0 0 0 1 -0000 fd 9c -1 --1 - -fd9d -06c0 8bd0 131b 3094 0000 0000 0000 0000 afc3 7409 0000 0000 -00 00 0 0 0 0 1 -0000 fd 9d -1 --1 - -fd9e -b983 981f bb8e d6d5 0000 0000 0000 0000 5c3b f66c 0000 0000 -00 00 0 0 0 0 1 -0000 fd 9e f9 -1 -f665 f3 -1 --1 - -fda4 -b079 79c0 2c7c 3e06 0000 0000 0000 0000 7399 037a 0000 0000 -00 00 0 0 0 0 1 -0000 fd a4 -1 --1 - -fda5 -01d2 654d 9653 2b33 0000 0000 0000 0000 61a4 8f88 0000 0000 -00 00 0 0 0 0 1 -0000 fd a5 -1 --1 - -fda6 -ddb8 40bb 3742 6ff1 0000 0000 0000 0000 ad28 659b 0000 0000 -00 00 0 0 0 0 1 -0000 fd a6 53 -1 -65ee 95 -1 --1 - -fdac -7a43 72e3 dd4d 1b62 0000 0000 0000 0000 4753 5d63 0000 0000 -00 00 0 0 0 0 1 -0000 fd ac -1 --1 - -fdad -7d8e 2573 19cc 78fb 0000 0000 0000 0000 5248 8391 0000 0000 -00 00 0 0 0 0 1 -0000 fd ad -1 --1 - -fdae -a0da bc27 257b 5489 0000 0000 0000 0000 fa59 81f8 0000 0000 -00 00 0 0 0 0 1 -0000 fd ae 09 -1 -8201 cb -1 --1 - -fdb4 -4f95 3461 f173 8ad3 0000 0000 0000 0000 c1a2 8265 0000 0000 -00 00 0 0 0 0 1 -0000 fd b4 -1 --1 - -fdb5 -17f6 e6ea f919 327c 0000 0000 0000 0000 4299 9733 0000 0000 -00 00 0 0 0 0 1 -0000 fd b5 -1 --1 - -fdb6 -db37 3509 d6ca b16a 0000 0000 0000 0000 a099 df6d 0000 0000 -00 00 0 0 0 0 1 -0000 fd b6 4b -1 -dfb8 64 -1 --1 - -fdbc -b4fc 9302 e35d 31bc 0000 0000 0000 0000 5c12 1c92 0000 0000 -00 00 0 0 0 0 1 -0000 fd bc -1 --1 - -fdbd -391c 7b82 dfeb 03ee 0000 0000 0000 0000 be7b b30f 0000 0000 -00 00 0 0 0 0 1 -0000 fd bd -1 --1 - -fdbe -0970 0b31 f4ad 9d4c 0000 0000 0000 0000 b95a a96b 0000 0000 -00 00 0 0 0 0 1 -0000 fd be 6b -1 -a9d6 c0 -1 --1 - -fdcb00 -85ac 46d0 a135 20c5 0000 0000 0000 0000 b8de 2776 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 0b 00 -1 -2781 50 -1 --1 - -fdcb01 -577c 2b76 3576 280a 0000 0000 0000 0000 ae22 5c35 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb c8 01 -1 -5bfd cb -1 --1 - -fdcb02 -dc23 2b37 83c8 5dd9 0000 0000 0000 0000 b2d2 3df2 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 14 02 -1 -3e06 58 -1 --1 - -fdcb03 -57ee c179 b2b6 7058 0000 0000 0000 0000 3f2e 57e7 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 3a 03 -1 -5821 1a -1 --1 - -fdcb04 -ed18 3f03 3327 f35a 0000 0000 0000 0000 cbf2 5071 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 67 04 -1 -50d8 92 -1 --1 - -fdcb05 -7a39 0858 db6c dbe0 0000 0000 0000 0000 157a b25b 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 1e 05 -1 -b279 66 -1 --1 - -fdcb06 -f285 89a2 e78f ef74 0000 0000 0000 0000 140d ff27 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 72 06 -1 -ff99 f1 -1 --1 - -fdcb07 -8cce f3a7 3a6e 8f0a 0000 0000 0000 0000 8423 07eb 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 24 07 -1 -080f ae -1 --1 - -fdcb08 -a611 e8ec c958 7bda 0000 0000 0000 0000 194d 6137 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 25 08 -1 -615c 83 -1 --1 - -fdcb09 -54b1 fa1a 84e8 4fa5 0000 0000 0000 0000 1ad3 19da 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb a0 09 -1 -197a 27 -1 --1 - -fdcb0a -b3ef a2bb e5d6 9617 0000 0000 0000 0000 f946 eef6 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb e1 0a -1 -eed7 19 -1 --1 - -fdcb0b -ae10 8c4e e159 1c54 0000 0000 0000 0000 e108 c68f 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 0c 0b -1 -c69b f2 -1 --1 - -fdcb0c -8719 6b16 4c3b 180a 0000 0000 0000 0000 175a 8c9d 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb d7 0c -1 -8c74 ae -1 --1 - -fdcb0d -1204 e0cb 3ab1 2416 0000 0000 0000 0000 1de4 fe2d 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 11 0d -1 -fe3e 1b -1 --1 - -fdcb0e -8da4 8f91 fc5a 5e2c 0000 0000 0000 0000 b2f2 f223 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 0c 0e -1 -f22f f7 -1 --1 - -fdcb0f -fbb0 2ac9 ec6b 6511 0000 0000 0000 0000 c93a ce38 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 15 0f -1 -ce4d 44 -1 --1 - -fdcb10 -259d 3852 590d ac66 0000 0000 0000 0000 144f 42a2 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 7a 10 -1 -431c 1c -1 --1 - -fdcb11 -bc60 61c1 f5f8 af24 0000 0000 0000 0000 4019 9c90 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 7b 11 -1 -9d0b 5e -1 --1 - -fdcb12 -4e45 3a25 3417 bcc7 0000 0000 0000 0000 0d7e 8537 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 61 12 -1 -8598 a7 -1 --1 - -fdcb13 -b224 b79b 84f1 ff7d 0000 0000 0000 0000 414c e798 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb b3 13 -1 -e74b b3 -1 --1 - -fdcb14 -abbb 451a fc65 14a1 0000 0000 0000 0000 0f4d d93c 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb c4 14 -1 -d900 06 -1 --1 - -fdcb15 -2864 9532 8631 751c 0000 0000 0000 0000 e327 2d7b 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 17 15 -1 -2d92 12 -1 --1 - -fdcb16 -0c3c dcd7 adcc 196d 0000 0000 0000 0000 87e2 f0b4 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 23 16 -1 -f0d7 89 -1 --1 - -fdcb17 -af5b d016 066e 6638 0000 0000 0000 0000 5e92 2013 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 8a 17 -1 -1f9d b8 -1 --1 - -fdcb18 -23f3 4517 16e0 6894 0000 0000 0000 0000 b908 3216 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb c0 18 -1 -31d6 fa -1 --1 - -fdcb19 -11ed c2b8 a9f3 2014 0000 0000 0000 0000 6db0 4d2e 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb a2 19 -1 -4cd0 4b -1 --1 - -fdcb1a -bc5c 6168 e541 b630 0000 0000 0000 0000 0207 40d3 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 78 1a -1 -414b 44 -1 --1 - -fdcb1b -7a28 1286 fe50 c42d 0000 0000 0000 0000 e290 71b0 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 16 1b -1 -71c6 b8 -1 --1 - -fdcb1c -932b 097b 6928 83a3 0000 0000 0000 0000 ff2d df62 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 86 1c -1 -dee8 8f -1 --1 - -fdcb1d -97b1 2b30 2645 04ef 0000 0000 0000 0000 186a d667 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 27 1d -1 -d68e b7 -1 --1 - -fdcb1e -2f39 2470 b521 6ca3 0000 0000 0000 0000 1066 da38 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 3a 1e -1 -da72 25 -1 --1 - -fdcb1f -4cdd 49a3 da18 3afd 0000 0000 0000 0000 a4f1 2095 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 7b 1f -1 -2110 04 -1 --1 - -fdcb20 -3d74 3a8f 206f 8894 0000 0000 0000 0000 ddab da25 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 7a 20 -1 -da9f 89 -1 --1 - -fdcb21 -1674 6025 641a 6598 0000 0000 0000 0000 473b de36 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 7b 21 -1 -deb1 23 -1 --1 - -fdcb22 -ada9 efb2 6f03 e732 0000 0000 0000 0000 c11d 8926 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 9a 22 -1 -88c0 d4 -1 --1 - -fdcb23 -21e9 d678 a71b 25d7 0000 0000 0000 0000 4ca8 5255 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb f5 23 -1 -524a 65 -1 --1 - -fdcb24 -1c51 da3e cc7c cb19 0000 0000 0000 0000 572c affe 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb b4 24 -1 -afb2 7e -1 --1 - -fdcb25 -954e 097c a341 89e0 0000 0000 0000 0000 435d 23e9 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb a6 25 -1 -238f 26 -1 --1 - -fdcb26 -5844 0e19 d277 bf7f 0000 0000 0000 0000 6504 d4e4 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb bd 26 -1 -d4a1 bf -1 --1 - -fdcb27 -8e0d 8c06 2c4c d7c8 0000 0000 0000 0000 9239 8d42 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 59 27 -1 -8d9b a7 -1 --1 - -fdcb28 -4122 af9b 7745 76f5 0000 0000 0000 0000 a1bb ab43 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 83 28 -1 -aac6 5d -1 --1 - -fdcb29 -0b21 affd fea6 9478 0000 0000 0000 0000 32bb 0343 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 7d 29 -1 -03c0 84 -1 --1 - -fdcb2a -f236 8c31 5932 7feb 0000 0000 0000 0000 7db7 abe7 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb f9 2a -1 -abe0 dd -1 --1 - -fdcb2b -2450 6945 dcfc d643 0000 0000 0000 0000 5be1 4a94 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 4b 2b -1 -4adf 49 -1 --1 - -fdcb2c -117f b32b e530 255a 0000 0000 0000 0000 2416 ccd1 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb e6 2c -1 -ccb7 3c -1 --1 - -fdcb2d -d0c3 344b 1bb0 3eab 0000 0000 0000 0000 fe11 e4e6 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 5f 2d -1 -e545 78 -1 --1 - -fdcb2e -f4ee b832 4b7f e2b7 0000 0000 0000 0000 9386 42fd 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 06 2e -1 -4303 ad -1 --1 - -fdcb2f -ff86 f2c2 9f2f c946 0000 0000 0000 0000 5fe0 16b8 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 29 2f -1 -16e1 18 -1 --1 - -fdcb30 -acf6 e832 f9ed cabc 0000 0000 0000 0000 fabd d646 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 1b 30 -1 -d661 a5 -1 --1 - -fdcb31 -2b96 5134 83a7 7eee 0000 0000 0000 0000 7750 bfe0 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb f0 31 -1 -bfd0 f1 -1 --1 - -fdcb32 -b2bc a4b1 b685 f66e 0000 0000 0000 0000 a9a1 5ade 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb c5 32 -1 -5aa3 59 -1 --1 - -fdcb33 -9c6d 2c90 d0a9 2be3 0000 0000 0000 0000 2691 1964 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 7f 33 -1 -19e3 da -1 --1 - -fdcb34 -6029 fbcd 5348 f947 0000 0000 0000 0000 5338 5696 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb d2 34 -1 -5668 d4 -1 --1 - -fdcb35 -96a9 21c6 4cb6 b40b 0000 0000 0000 0000 673a 00f8 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 71 35 -1 -0169 0b -1 --1 - -fdcb36 -dc6f 0892 3cc7 1494 0000 0000 0000 0000 8598 1ade 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb da 36 -1 -1ab8 3c -1 --1 - -fdcb37 -d2b3 4524 208f 076f 0000 0000 0000 0000 ad10 e7ec 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb cb 37 -1 -e7b7 9f -1 --1 - -fdcb38 -4f07 0050 40c6 4fb7 0000 0000 0000 0000 f37e d096 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 8e 38 -1 -d024 0d -1 --1 - -fdcb39 -bcc2 f5b5 8dee e514 0000 0000 0000 0000 48bc f433 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 7f 39 -1 -f4b2 f5 -1 --1 - -fdcb3a -d012 2ef5 2910 9ca5 0000 0000 0000 0000 b155 cb03 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 1d 3a -1 -cb20 a8 -1 --1 - -fdcb3b -503d a85b cfbb de8c 0000 0000 0000 0000 9c5b d263 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 05 3b -1 -d268 b2 -1 --1 - -fdcb3c -97f0 4456 0b52 fdad 0000 0000 0000 0000 6d2a a80f 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb ae 3c -1 -a7bd 96 -1 --1 - -fdcb3d -7d44 9303 e12b bff6 0000 0000 0000 0000 4c0f e52a 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 13 3d -1 -e53d fb -1 --1 - -fdcb3e -0d95 3e02 8f74 0f82 0000 0000 0000 0000 85df b2d1 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 2e 3e -1 -b2ff 50 -1 --1 - -fdcb3f -89e3 12f6 426c 52d4 0000 0000 0000 0000 d9f7 c1ac 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 21 3f -1 -c1cd 78 -1 --1 - -fdcb40 -5408 2c34 6784 b376 0000 0000 0000 0000 8ff9 4195 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 3b 40 -1 -41d0 0d -1 --1 - -fdcb41 -8c35 5a58 b71c 6777 0000 0000 0000 0000 deca 03cb 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb cc 41 -1 -0397 e9 -1 --1 - -fdcb42 -5535 9c29 2feb 97ff 0000 0000 0000 0000 7f17 9f56 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 01 42 -1 -9f57 a8 -1 --1 - -fdcb43 -b404 e58c e62e 2a32 0000 0000 0000 0000 7130 1fd1 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 08 43 -1 -1fd9 aa -1 --1 - -fdcb44 -a954 68f4 9fa4 7f66 0000 0000 0000 0000 0209 f4f3 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 03 44 -1 -f4f6 89 -1 --1 - -fdcb45 -73e5 8dde 5e4f 84a7 0000 0000 0000 0000 4e24 93ed 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 8d 45 -1 -937a 8d -1 --1 - -fdcb46 -0e5a b1f9 475f ebfc 0000 0000 0000 0000 7765 63b1 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 8c 46 -1 -633d fe -1 --1 - -fdcb47 -9b3d 7f38 0753 d5e7 0000 0000 0000 0000 b9c3 6e0e 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 96 47 -1 -6da4 d6 -1 --1 - -fdcb48 -7d94 50a9 2511 8f9f 0000 0000 0000 0000 b612 aba9 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 44 48 -1 -abed b0 -1 --1 - -fdcb49 -691e 3a39 b834 74b6 0000 0000 0000 0000 0eb7 3e21 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 4e 49 -1 -3e6f a9 -1 --1 - -fdcb4a -31e3 68e0 fe2f a2c4 0000 0000 0000 0000 ac96 e7db 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 52 4a -1 -e82d da -1 --1 - -fdcb4b -09a1 2453 9186 a32a 0000 0000 0000 0000 71af 883f 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb ea 4b -1 -8829 4e -1 --1 - -fdcb4c -4a52 1e5b be2e 3ee4 0000 0000 0000 0000 af79 7f22 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb ee 4c -1 -7f10 70 -1 --1 - -fdcb4d -9f87 6c8f 34f4 5a79 0000 0000 0000 0000 d3cc a770 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 29 4d -1 -a799 78 -1 --1 - -fdcb4e -30cb 5626 52bc 5503 0000 0000 0000 0000 303b e1c8 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 20 4e -1 -e1e8 aa -1 --1 - -fdcb4f -6088 e079 7152 671f 0000 0000 0000 0000 8c22 1cf8 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 9d 4f -1 -1c95 18 -1 --1 - -fdcb50 -8cde 1409 6d69 e5b2 0000 0000 0000 0000 4a0c c75f 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 6b 50 -1 -c7ca fe -1 --1 - -fdcb51 -8f59 40cb 9543 9b3a 0000 0000 0000 0000 1942 3495 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 86 51 -1 -341b 13 -1 --1 - -fdcb52 -8905 3e41 7ab4 37f6 0000 0000 0000 0000 f82d 8b0d 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb e6 52 -1 -8af3 87 -1 --1 - -fdcb53 -efde e345 09a3 f0b2 0000 0000 0000 0000 c378 7ee1 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb d1 53 -1 -7eb2 e4 -1 --1 - -fdcb54 -72a6 cb82 d966 2fc6 0000 0000 0000 0000 3c00 5b6b 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 08 54 -1 -5b73 07 -1 --1 - -fdcb55 -855c c23b 6aab 9b00 0000 0000 0000 0000 fe93 b4b2 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 54 55 -1 -b506 46 -1 --1 - -fdcb56 -f5ad f9f6 1e8c 9e08 0000 0000 0000 0000 716a 6932 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 6f 56 -1 -69a1 df -1 --1 - -fdcb57 -37d7 b7dc be1c 38ea 0000 0000 0000 0000 5e82 a3bb 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 3c 57 -1 -a3f7 6c -1 --1 - -fdcb58 -752c 7296 3ea5 1143 0000 0000 0000 0000 d7cc 1e94 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 4e 58 -1 -1ee2 f6 -1 --1 - -fdcb59 -8056 bf2a 1809 ed31 0000 0000 0000 0000 fe2b fad3 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 2e 59 -1 -fb01 6f -1 --1 - -fdcb5a -cc74 a108 65d4 6f66 0000 0000 0000 0000 0008 7bb8 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 88 5a -1 -7b40 6e -1 --1 - -fdcb5b -5cf1 b3bd 25bd 98cf 0000 0000 0000 0000 2ba1 315c 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb e7 5b -1 -3143 b1 -1 --1 - -fdcb5c -b3e0 d43d d9c0 b04d 0000 0000 0000 0000 21a9 543e 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 74 5c -1 -54b2 e3 -1 --1 - -fdcb5d -9f49 43dd ccb3 085a 0000 0000 0000 0000 f130 3b84 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb dc 5d -1 -3b60 ef -1 --1 - -fdcb5e -6f89 eff5 993b 22b5 0000 0000 0000 0000 0f30 e165 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb e2 5e -1 -e147 17 -1 --1 - -fdcb5f -d72a a57a aca6 667e 0000 0000 0000 0000 5c33 f81b 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb ab 5f -1 -f7c6 e2 -1 --1 - -fdcb60 -15e9 8d30 43f4 c65e 0000 0000 0000 0000 1e34 8c44 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 85 60 -1 -8bc9 b9 -1 --1 - -fdcb61 -7bd1 d421 5570 cb85 0000 0000 0000 0000 32ec 92e4 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb be 61 -1 -92a2 28 -1 --1 - -fdcb62 -ba2f 4fbb 67a7 c5db 0000 0000 0000 0000 470b 7eb1 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 9d 62 -1 -7e4e 1a -1 --1 - -fdcb63 -c0a1 2cc2 ce12 e77c 0000 0000 0000 0000 71c5 1713 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb f4 63 -1 -1707 3b -1 --1 - -fdcb64 -0c1f 7847 2494 71eb 0000 0000 0000 0000 315c b336 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 35 64 -1 -b36b 8c -1 --1 - -fdcb65 -5245 a82d 1112 8f09 0000 0000 0000 0000 672a 89f4 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 37 65 -1 -8a2b 08 -1 --1 - -fdcb66 -583f c13e b136 6bc5 0000 0000 0000 0000 3ef9 6948 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 9d 66 -1 -68e5 90 -1 --1 - -fdcb67 -31b6 0f7d 48b5 cc5f 0000 0000 0000 0000 2103 6572 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb cb 67 -1 -653d 15 -1 --1 - -fdcb68 -e330 39fb a03a 59bc 0000 0000 0000 0000 e04a 03be 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb ca 68 -1 -0388 83 -1 --1 - -fdcb69 -1896 5bc2 d4d9 4e8a 0000 0000 0000 0000 3716 a603 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb e2 69 -1 -a5e5 01 -1 --1 - -fdcb6a -5bc9 0099 34f8 3e96 0000 0000 0000 0000 f251 93be 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb ae 6a -1 -936c 33 -1 --1 - -fdcb6b -bbe5 9e6c abd1 515f 0000 0000 0000 0000 73db aa2f 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 1f 6b -1 -aa4e 7c -1 --1 - -fdcb6c -144b 3af2 8f80 7be5 0000 0000 0000 0000 c379 86ba 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 0d 6c -1 -86c7 25 -1 --1 - -fdcb6d -6392 d077 668d 6e4a 0000 0000 0000 0000 b0a8 62c8 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb f0 6d -1 -62b8 e3 -1 --1 - -fdcb6e -2da0 f872 692d 92c4 0000 0000 0000 0000 36b5 4210 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 93 6e -1 -41a3 1e -1 --1 - -fdcb6f -df7b c7aa 9002 86b8 0000 0000 0000 0000 1347 004e 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 20 6f -1 -006e 37 -1 --1 - -fdcb70 -6ea9 018d 5075 cf4e 0000 0000 0000 0000 cd2b 3e68 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb d9 70 -1 -3e41 c9 -1 --1 - -fdcb71 -1b48 e3af 94d5 0996 0000 0000 0000 0000 cad5 999a 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 27 71 -1 -99c1 3e -1 --1 - -fdcb72 -e83b 26b1 8608 f3cb 0000 0000 0000 0000 6323 fd31 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 98 72 -1 -fcc9 4f -1 --1 - -fdcb73 -101b 446c c2f9 b9b1 0000 0000 0000 0000 0820 f5d8 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 7a 73 -1 -f652 31 -1 --1 - -fdcb74 -6847 38c2 0ea4 0825 0000 0000 0000 0000 d255 5e4a 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 4b 74 -1 -5e95 fe -1 --1 - -fdcb75 -56f2 c034 6e11 d35e 0000 0000 0000 0000 e702 60be 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 57 75 -1 -6115 21 -1 --1 - -fdcb76 -7375 caff dd80 c8ed 0000 0000 0000 0000 7e39 6623 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 53 76 -1 -6676 3a -1 --1 - -fdcb77 -ab10 983e 0bdc 3b46 0000 0000 0000 0000 ae51 8841 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 02 77 -1 -8843 d8 -1 --1 - -fdcb78 -2765 ce2f 4824 6930 0000 0000 0000 0000 ae69 fecb 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 7d 78 -1 -ff48 ec -1 --1 - -fdcb79 -b428 6355 7896 8a7c 0000 0000 0000 0000 9090 1cae 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 23 79 -1 -1cd1 87 -1 --1 - -fdcb7a -59f4 ca21 1482 3fae 0000 0000 0000 0000 c6c9 d923 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 42 7a -1 -d965 b3 -1 --1 - -fdcb7b -6314 0240 5efa 5e7b 0000 0000 0000 0000 3e50 0a83 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 17 7b -1 -0a9a bd -1 --1 - -fdcb7c -22a6 aff4 b89b 4dca 0000 0000 0000 0000 0ac2 d371 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb f1 7c -1 -d362 1b -1 --1 - -fdcb7d -1c95 d615 825a 5e64 0000 0000 0000 0000 32fb ac3b 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 9f 7d -1 -abda 8a -1 --1 - -fdcb7e -503c 8dfe 1019 6778 0000 0000 0000 0000 f7df 9484 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 40 7e -1 -94c4 9e -1 --1 - -fdcb7f -1b07 9ec3 14be 5ebe 0000 0000 0000 0000 1178 ce69 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb a2 7f -1 -ce0b 47 -1 --1 - -fdcb80 -e196 72ea 507e 6457 0000 0000 0000 0000 ab75 920d 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 8b 80 -1 -9198 a9 -1 --1 - -fdcb81 -3d3d b255 8759 0cb0 0000 0000 0000 0000 e078 82a5 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 55 81 -1 -82fa fa -1 --1 - -fdcb82 -4e10 5d8d 27a0 ffff 0000 0000 0000 0000 ee0a 5dd8 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 9c 82 -1 -5d74 9d -1 --1 - -fdcb83 -3c7f fd81 47fb 9f12 0000 0000 0000 0000 cbf9 374a 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 28 83 -1 -3772 d5 -1 --1 - -fdcb84 -6872 81b1 1e7a e37e 0000 0000 0000 0000 9b4c f1c3 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb aa 84 -1 -f16d ea -1 --1 - -fdcb85 -25b3 5694 57cd f34d 0000 0000 0000 0000 8ed2 0433 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 6c 85 -1 -049f e0 -1 --1 - -fdcb86 -152b 8ce1 818d 40f2 0000 0000 0000 0000 9b7a 2a50 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 7e 86 -1 -2ace 36 -1 --1 - -fdcb87 -fe1d 5353 618d 3266 0000 0000 0000 0000 1a53 246a 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 59 87 -1 -24c3 65 -1 --1 - -fdcb88 -7d14 a0ec 1e47 76e1 0000 0000 0000 0000 3871 c60d 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb d4 88 -1 -c5e1 d6 -1 --1 - -fdcb89 -86c3 50a6 8592 d6ca 0000 0000 0000 0000 947b 0a01 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb c3 89 -1 -09c4 b0 -1 --1 - -fdcb8a -599c 961a 55f9 8470 0000 0000 0000 0000 d2a5 d4d2 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb f9 8a -1 -d4cb d8 -1 --1 - -fdcb8b -2715 a209 ab47 3eac 0000 0000 0000 0000 f352 c71e 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb ed 8b -1 -c70b dc -1 --1 - -fdcb8c -2818 4259 a9b0 e7a0 0000 0000 0000 0000 6471 a202 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 97 8c -1 -a199 67 -1 --1 - -fdcb8d -14e3 c330 9aa2 8418 0000 0000 0000 0000 0d4f 5669 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb c9 8d -1 -5632 9a -1 --1 - -fdcb8e -cb79 0fff b244 c902 0000 0000 0000 0000 6246 4c81 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb c2 8e -1 -4c43 7f -1 --1 - -fdcb8f -66b4 5fbb 6c9b d0e3 0000 0000 0000 0000 ac5a 6b51 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb d4 8f -1 -6b25 59 -1 --1 - -fdcb90 -1305 1ce1 d627 7402 0000 0000 0000 0000 b470 d7f5 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb fd 90 -1 -d7f2 70 -1 --1 - -fdcb91 -10df c48f 0213 fc7e 0000 0000 0000 0000 bfab 47d2 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb bf 91 -1 -4791 0e -1 --1 - -fdcb92 -6a11 f89e f49d c115 0000 0000 0000 0000 bc5d 313a 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 0b 92 -1 -3145 f6 -1 --1 - -fdcb93 -61e5 cc2c 959a b52b 0000 0000 0000 0000 fa64 2940 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 52 93 -1 -2992 38 -1 --1 - -fdcb94 -31b4 3e5a fb3d ab83 0000 0000 0000 0000 a801 fe1c 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 95 94 -1 -fdb1 48 -1 --1 - -fdcb95 -337e 63a7 2918 ed6b 0000 0000 0000 0000 b12c e776 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 90 95 -1 -e706 eb -1 --1 - -fdcb96 -5d99 d9ec b6d0 5ed5 0000 0000 0000 0000 5d9d e6cf 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 9e 96 -1 -e66d fc -1 --1 - -fdcb97 -ccb6 8406 72c6 1ba7 0000 0000 0000 0000 6dca 187f 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 64 97 -1 -18e3 9d -1 --1 - -fdcb98 -0495 312f 8000 b749 0000 0000 0000 0000 e9cb 43b8 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb da 98 -1 -4392 15 -1 --1 - -fdcb99 -2824 a485 a30b b286 0000 0000 0000 0000 10b0 d86c 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 78 99 -1 -d8e4 b5 -1 --1 - -fdcb9a -b0cc c40c dc1a 014a 0000 0000 0000 0000 2ff9 d717 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 9c 9a -1 -d6b3 9d -1 --1 - -fdcb9b -d092 a6c2 7900 5448 0000 0000 0000 0000 fab0 cb1e 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 83 9b -1 -caa1 95 -1 --1 - -fdcb9c -b58d 1ed1 e93b 9e0c 0000 0000 0000 0000 5605 03b3 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 1e 9c -1 -03d1 78 -1 --1 - -fdcb9d -c7e9 18d3 8eed bd7d 0000 0000 0000 0000 9a7f c087 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb e6 9d -1 -c06d 53 -1 --1 - -fdcb9e -81c7 71df 45d5 0ca7 0000 0000 0000 0000 648f 41bd 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb eb 9e -1 -41a8 61 -1 --1 - -fdcb9f -ebf5 dc9f d490 15be 0000 0000 0000 0000 0e12 9d49 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 50 9f -1 -9d99 89 -1 --1 - -fdcba0 -8ccb 0057 bc19 e543 0000 0000 0000 0000 8c5d d68d 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 76 a0 -1 -d703 d4 -1 --1 - -fdcba1 -eee6 6da4 3a20 8bba 0000 0000 0000 0000 1de7 66c8 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 31 a1 -1 -66f9 ec -1 --1 - -fdcba2 -3f89 5120 0bd1 e669 0000 0000 0000 0000 2993 04bf 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 0e a2 -1 -04cd 47 -1 --1 - -fdcba3 -4439 6b8b 6178 1246 0000 0000 0000 0000 4cdb ad77 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 05 a3 -1 -ad7c 59 -1 --1 - -fdcba4 -3385 261e a487 b3bd 0000 0000 0000 0000 4b8f c0cd 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 66 a4 -1 -c133 c5 -1 --1 - -fdcba5 -6e70 b7ed 22cd aedc 0000 0000 0000 0000 46de f1a1 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb a0 a5 -1 -f141 44 -1 --1 - -fdcba6 -814b 6408 3dcb 971f 0000 0000 0000 0000 5716 93f3 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 76 a6 -1 -9469 bc -1 --1 - -fdcba7 -a4c2 679e c313 61df 0000 0000 0000 0000 67e6 79c4 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 66 a7 -1 -7a2a 2e -1 --1 - -fdcba8 -537c 1fed 6cbb bd26 0000 0000 0000 0000 c638 0d46 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb a9 a8 -1 -0cef b7 -1 --1 - -fdcba9 -ba5a 3076 cdd7 298d 0000 0000 0000 0000 59ab 0f54 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 2b a9 -1 -0f7f 8f -1 --1 - -fdcbaa -406a 2ed6 fa8c c633 0000 0000 0000 0000 87cb b3d1 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 0b aa -1 -b3dc 3a -1 --1 - -fdcbab -da61 0521 a123 c7fa 0000 0000 0000 0000 b71a 8ece 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb a9 ab -1 -8e77 1f -1 --1 - -fdcbac -34a3 81ce 07d6 f3a4 0000 0000 0000 0000 430b 0525 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 23 ac -1 -0548 9c -1 --1 - -fdcbad -5010 918e ddbc 4f89 0000 0000 0000 0000 88c5 948f 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 4e ad -1 -94dd 37 -1 --1 - -fdcbae -ec0d b57e 18c6 7b01 0000 0000 0000 0000 bac6 0c1d 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 0c ae -1 -0c29 a9 -1 --1 - -fdcbaf -b322 6731 daad 8d38 0000 0000 0000 0000 dd8f 26eb 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 0d af -1 -26f8 44 -1 --1 - -fdcbb0 -b984 796c 44b1 fef9 0000 0000 0000 0000 4069 a0cb 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 5a b0 -1 -a125 76 -1 --1 - -fdcbb1 -59c3 ab13 42ee b764 0000 0000 0000 0000 8f7f f398 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 82 b1 -1 -f31a 79 -1 --1 - -fdcbb2 -f310 ceec bbfb 3569 0000 0000 0000 0000 4a6f 33f9 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 23 b2 -1 -341c 7b -1 --1 - -fdcbb3 -9c05 0f92 bd3b 553d 0000 0000 0000 0000 c75e 51d2 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 6c b3 -1 -523e 37 -1 --1 - -fdcbb4 -3e55 1338 638d 353c 0000 0000 0000 0000 44ad 4d17 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb c5 b4 -1 -4cdc e9 -1 --1 - -fdcbb5 -2f3a b709 4167 57be 0000 0000 0000 0000 b543 8edd 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 50 b5 -1 -8f2d 0f -1 --1 - -fdcbb6 -a887 519b c91b cc91 0000 0000 0000 0000 a416 1e16 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 3a b6 -1 -1e50 13 -1 --1 - -fdcbb7 -1335 a599 9fbf c111 0000 0000 0000 0000 8bc5 00a9 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb c0 b7 -1 -0069 38 -1 --1 - -fdcbb8 -d146 1138 1a45 8259 0000 0000 0000 0000 6a03 d087 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 31 b8 -1 -d0b8 17 -1 --1 - -fdcbb9 -757b 0b9e 767b 2ad1 0000 0000 0000 0000 1498 b84e 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 3b b9 -1 -b889 b4 -1 --1 - -fdcbba -43ef 1c58 dda3 4519 0000 0000 0000 0000 b67b 383f 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 38 ba -1 -3877 d6 -1 --1 - -fdcbbb -dccb 7ab3 7615 4161 0000 0000 0000 0000 2942 e2fe 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 07 bb -1 -e305 6e -1 --1 - -fdcbbc -0e07 34f5 0995 cc42 0000 0000 0000 0000 9d42 af0c 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb f8 bc -1 -af04 cf -1 --1 - -fdcbbd -30ef e60c 9bf0 a1bf 0000 0000 0000 0000 bd1c df0d 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb aa bd -1 -deb7 8d -1 --1 - -fdcbbe -1133 bef6 5059 1089 0000 0000 0000 0000 d558 3d0f 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb c8 be -1 -3cd7 a1 -1 --1 - -fdcbbf -83d6 c893 8db8 716b 0000 0000 0000 0000 0956 bde7 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb fd bf -1 -bde4 ac -1 --1 - -fdcbc0 -3666 676c 35e5 db0a 0000 0000 0000 0000 ea93 2b31 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 0a c0 -1 -2b3b ec -1 --1 - -fdcbc1 -3902 d498 af62 9821 0000 0000 0000 0000 48b8 bd67 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 87 c1 -1 -bcee ee -1 --1 - -fdcbc2 -ad26 5a6d 6762 16c9 0000 0000 0000 0000 495a 5b2c 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 8d c2 -1 -5ab9 c2 -1 --1 - -fdcbc3 -3e6c 9a74 a2ee 9838 0000 0000 0000 0000 eafa e666 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 5a c3 -1 -e6c0 4f -1 --1 - -fdcbc4 -bf68 d00b 5283 51c2 0000 0000 0000 0000 517c 5d10 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 89 c4 -1 -5c99 61 -1 --1 - -fdcbc5 -127b db6a 00b9 5138 0000 0000 0000 0000 98f6 02bb 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb a9 c5 -1 -0264 cd -1 --1 - -fdcbc6 -35da 98c2 3f57 44a4 0000 0000 0000 0000 2771 76c4 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb ee c6 -1 -76b2 82 -1 --1 - -fdcbc7 -763f b86f 12d3 7e2d 0000 0000 0000 0000 d870 f30b 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 9e c7 -1 -f2a9 d7 -1 --1 - -fdcbc8 -1f81 c7c0 85da 3cdd 0000 0000 0000 0000 d854 c412 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 10 c8 -1 -c422 e9 -1 --1 - -fdcbc9 -ed19 3f88 1370 e084 0000 0000 0000 0000 4fdd 8b42 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 61 c9 -1 -8ba3 b7 -1 --1 - -fdcbca -c7e5 233b 2312 f7f9 0000 0000 0000 0000 e417 5190 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 1a ca -1 -51aa 90 -1 --1 - -fdcbcb -bdba a964 ea38 9422 0000 0000 0000 0000 fca3 9a72 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 5e cb -1 -9ad0 70 -1 --1 - -fdcbcc -0f4f 0261 21b0 2097 0000 0000 0000 0000 575d 14f9 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 2d cc -1 -1526 4e -1 --1 - -fdcbcd -1b79 8f9f 31bf 9ca6 0000 0000 0000 0000 7ecb bbe9 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb a1 cd -1 -bb8a 66 -1 --1 - -fdcbce -8e13 968e 1784 0a0a 0000 0000 0000 0000 1e87 b8a2 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 36 ce -1 -b8d8 45 -1 --1 - -fdcbcf -8d0a a073 c4ba 5b69 0000 0000 0000 0000 3b47 c29c 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 70 cf -1 -c30c 7a -1 --1 - -fdcbd0 -e2bb 8635 650c 689a 0000 0000 0000 0000 1294 3beb 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb bc d0 -1 -3ba7 20 -1 --1 - -fdcbd1 -5df8 f701 9494 4967 0000 0000 0000 0000 ad00 8c65 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 11 d1 -1 -8c76 b9 -1 --1 - -fdcbd2 -9876 4bd9 3148 665a 0000 0000 0000 0000 7eac c051 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb fb d2 -1 -c04c 51 -1 --1 - -fdcbd3 -8f90 bacd e87a 538f 0000 0000 0000 0000 fe5a 0a87 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 3e d3 -1 -0ac5 e0 -1 --1 - -fdcbd4 -15e2 1820 5588 e67f 0000 0000 0000 0000 7193 9478 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 36 d4 -1 -94ae 7d -1 --1 - -fdcbd5 -1409 6535 c371 abe2 0000 0000 0000 0000 2e10 8608 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 48 d5 -1 -8650 98 -1 --1 - -fdcbd6 -7801 78b6 d191 054a 0000 0000 0000 0000 2065 6aa3 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb c9 d6 -1 -6a6c 7c -1 --1 - -fdcbd7 -1b6a 266e 387f 7fcb 0000 0000 0000 0000 1941 36ab 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb be d7 -1 -3669 95 -1 --1 - -fdcbd8 -7b1b a191 efee 55b9 0000 0000 0000 0000 f789 43f8 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb bc d8 -1 -43b4 d8 -1 --1 - -fdcbd9 -0faf 4eda c556 6ed3 0000 0000 0000 0000 3fc3 0a66 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 16 d9 -1 -0a7c f4 -1 --1 - -fdcbda -9ea1 8186 c045 d6e0 0000 0000 0000 0000 34d3 d0f0 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb e8 da -1 -d0d8 6b -1 --1 - -fdcbdb -5ee0 bdea d00e 513f 0000 0000 0000 0000 690a 8c29 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 7a db -1 -8ca3 15 -1 --1 - -fdcbdc -5cfa 2e2b 1d17 dbf6 0000 0000 0000 0000 a4f2 593a 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 64 dc -1 -599e 15 -1 --1 - -fdcbdd -8773 70a6 83ce 52b8 0000 0000 0000 0000 35da 1d94 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 75 dd -1 -1e09 28 -1 --1 - -fdcbde -8310 fa01 6c69 252a 0000 0000 0000 0000 5291 c9e0 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 17 de -1 -c9f7 41 -1 --1 - -fdcbdf -780d a722 e78e 50ba 0000 0000 0000 0000 9d67 eac3 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 93 df -1 -ea56 ef -1 --1 - -fdcbe0 -10ef 4101 2ca5 f752 0000 0000 0000 0000 4747 1507 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 56 e0 -1 -155d b9 -1 --1 - -fdcbe1 -e4cb 6f72 1c11 1426 0000 0000 0000 0000 189b 0e0d 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb d1 e1 -1 -0dde 16 -1 --1 - -fdcbe2 -11a9 bae8 938b bac4 0000 0000 0000 0000 d8ed e49c 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 50 e2 -1 -e4ec c2 -1 --1 - -fdcbe3 -8832 952b 02b2 26ef 0000 0000 0000 0000 fb55 ada8 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb ca e3 -1 -ad72 ba -1 --1 - -fdcbe4 -3989 4142 89e2 785b 0000 0000 0000 0000 0bf7 5474 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 62 e4 -1 -54d6 7b -1 --1 - -fdcbe5 -e5c5 b86d 41bb 315e 0000 0000 0000 0000 1a78 a52d 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb da e5 -1 -a507 4c -1 --1 - -fdcbe6 -fd89 d888 1e2f ddf5 0000 0000 0000 0000 42f5 8b06 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 76 e6 -1 -8b7c 45 -1 --1 - -fdcbe7 -2025 d3e9 d4b6 aa30 0000 0000 0000 0000 88bd b597 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 11 e7 -1 -b5a8 a6 -1 --1 - -fdcbe8 -514d c2ab 37b5 57de 0000 0000 0000 0000 a4ec 0a77 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb ed e8 -1 -0a64 d0 -1 --1 - -fdcbe9 -974e d28e d5cb 6bd4 0000 0000 0000 0000 158a a84e 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 35 e9 -1 -a883 2f -1 --1 - -fdcbea -3ef4 3fc6 4a44 e9a4 0000 0000 0000 0000 c877 7593 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 93 ea -1 -7526 1b -1 --1 - -fdcbeb -798f 5e9b 940e 2e52 0000 0000 0000 0000 d6ad 2411 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb d0 eb -1 -23e1 47 -1 --1 - -fdcbec -38a4 07c0 6cee e715 0000 0000 0000 0000 f160 d2eb 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb f3 ec -1 -d2de 49 -1 --1 - -fdcbed -e0bc 70c1 de35 81c5 0000 0000 0000 0000 d57f 0eab 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 58 ed -1 -0f03 10 -1 --1 - -fdcbee -5fcb 9007 1736 aca8 0000 0000 0000 0000 4bab 42bc 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 02 ee -1 -42be d0 -1 --1 - -fdcbef -4ee3 d344 cb5b aeb5 0000 0000 0000 0000 de5f 2272 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 58 ef -1 -22ca 09 -1 --1 - -fdcbf0 -1080 b270 1b5b a9b7 0000 0000 0000 0000 e89d ee9e 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 45 f0 -1 -eee3 2c -1 --1 - -fdcbf1 -1702 c43b d138 316f 0000 0000 0000 0000 8067 4783 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 2f f1 -1 -47b2 dc -1 --1 - -fdcbf2 -732a 4cd1 77fe 4814 0000 0000 0000 0000 42f1 ea97 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 2c f2 -1 -eac3 5e -1 --1 - -fdcbf3 -6b97 59d3 f546 7530 0000 0000 0000 0000 6670 7d90 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 38 f3 -1 -7dc8 0c -1 --1 - -fdcbf4 -7af0 a81f 5d3a 799b 0000 0000 0000 0000 e12b 309c 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb d0 f4 -1 -306c 0e -1 --1 - -fdcbf5 -1370 f6b2 aaa2 7f0a 0000 0000 0000 0000 c9f6 6b1f 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 55 f5 -1 -6b74 f8 -1 --1 - -fdcbf6 -7c43 fcd1 34bd f4ab 0000 0000 0000 0000 ef33 c61a 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 56 f6 -1 -c670 5d -1 --1 - -fdcbf7 -e6da 231a 7bb1 800d 0000 0000 0000 0000 e37e 5789 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 9e f7 -1 -5727 66 -1 --1 - -fdcbf8 -fa29 ee74 d7c4 afaf 0000 0000 0000 0000 512c de7a 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 54 f8 -1 -dece 7a -1 --1 - -fdcbf9 -4662 a71b 5065 ed06 0000 0000 0000 0000 279e 99e3 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 30 f9 -1 -9a13 c6 -1 --1 - -fdcbfa -9426 53ec 5016 6c99 0000 0000 0000 0000 8b99 bd79 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 09 fa -1 -bd82 f4 -1 --1 - -fdcbfb -5343 b212 09ca e3c6 0000 0000 0000 0000 cd2b f875 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb ba fb -1 -f82f ed -1 --1 - -fdcbfc -0965 4392 ca25 2baa 0000 0000 0000 0000 f023 6623 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 56 fc -1 -6679 65 -1 --1 - -fdcbfd -1751 233c 6214 d119 0000 0000 0000 0000 c415 5d2b 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 25 fd -1 -5d50 27 -1 --1 - -fdcbfe -b4cf 5639 677b 0ca2 0000 0000 0000 0000 ddc5 4e4f 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb 88 fe -1 -4dd7 4a -1 --1 - -fdcbff -f151 13da 7c56 f025 0000 0000 0000 0000 2b36 2aed 0000 0000 -00 00 0 0 0 0 1 -0000 fd cb e4 ff -1 -2ad1 97 -1 --1 - -fde1 -828e 078b 1e35 8f1c 0000 0000 0000 0000 4827 b742 716e 0000 -00 00 0 0 0 0 1 -0000 fd e1 -1 -716e d5 92 -1 --1 - -fde3 -4298 c805 6030 4292 0000 0000 0000 0000 473b 9510 1a38 0000 -00 00 0 0 0 0 1 -0000 fd e3 -1 -1a38 e0 0f -1 --1 - -fde5 -d139 aa0d bf2b 2a56 0000 0000 0000 0000 e138 d4da a8e1 0000 -00 00 0 0 0 0 1 -0000 fd e5 -1 --1 - -fde9 -c14f 2eb6 edf0 27cf 0000 0000 0000 0000 09ee a2a4 0000 0000 -00 00 0 0 0 0 1 -0000 fd e9 -1 --1 - -fdf9 -c260 992e d544 67fb 0000 0000 0000 0000 ba5e 3596 353f 0000 -00 00 0 0 0 0 1 -0000 fd f9 -1 --1 - -fe -6900 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 -00 00 0 0 0 0 1 -0000 fe 82 -1 --1 - -ff -0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 5507 6d33 -00 00 0 0 0 0 1 -6d33 ff -1 --1 \ No newline at end of file diff --git a/test/cli/z80/z80test.js b/test/cli/z80/z80test.js deleted file mode 100644 index 20b9bb27..00000000 --- a/test/cli/z80/z80test.js +++ /dev/null @@ -1,235 +0,0 @@ -"use strict"; - -var wtu = require('../workertestutils.js'); -includeInThisContext('src/common/cpu/z80.js'); -if (!global.buildZ80) global.buildZ80 = global.window.buildZ80; - -var Memory = function(dump) { - var self = {}; - - var mem; - var initialMem; - - self.clear = function() { - mem = new Uint8Array(0x10000); - for (var i = 0; i < 0x10000; i += 4) { - mem[i] = 0xde; mem[i+1] = 0xad; mem[i+2] = 0xbe; mem[i+3] = 0xef; - } - initialMem = new Uint8Array(0x10000); - for (var i = 0; i < 0x10000; i += 4) { - initialMem[i] = 0xde; initialMem[i+1] = 0xad; initialMem[i+2] = 0xbe; initialMem[i+3] = 0xef; - } - } - - self.isContended = function(addr) { - return ((addr & 0xc000) == 0x4000); - } - - self.contend = function(addr, tstate) { - if (self.oncontend) self.oncontend(addr); - return 0; - } - - self.read = function(addr) { - var val = mem[addr]; - if (self.onread) self.onread(addr, val); - return val; - } - self.write = function(addr, val) { - mem[addr] = val; - if (self.onwrite) self.onwrite(addr, val); - } - self.initialWrite = function(addr, val) { - mem[addr] = val; - initialMem[addr] = val; - } - self.dump = function() { - for (var i = 0; i < mem.length; i++) { - if((initialMem[i]) != (mem[i])) { - var changeReport = hexWord(i); - while ((initialMem[i]) != (mem[i]) && i < mem.length) { - changeReport += ' ' + hexByte(mem[i]); - i++; - } - dump(changeReport + ' -1'); - } - } - } - - return self; -} - -var IOBus = function() { - var self = {}; - - self.read = function(addr) { - var val = addr >> 8; - if (self.onread) self.onread(addr, val); - return val; - } - self.write = function(addr, val) { - if (self.onwrite) self.onwrite(addr, val); - } - self.isULAPort = function(addr) { - return ((addr & 0x0001) == 0x0000); - } - self.contend = function(addr) { - if (self.oncontend) self.oncontend(addr); - return 0; - } - - return self; -} - -function runTest(input, expected) { - - var output = ""; - function dump(line) { - output += line + "\n"; - } - - var memory = Memory(dump); - var ioBus = IOBus(); - var z80 = global.Z80({ - display: {}, - memory: memory, - ioBus: ioBus - }); - memory.oncontend = function(addr) { - dump((' ' + z80.getTstates()).substr(-5) + ' MC ' + hexWord(addr)); - } - memory.onread = function(addr, val) { - dump((' ' + z80.getTstates()).substr(-5) + ' MR ' + hexWord(addr) + ' ' + hexByte(val)); - } - memory.onwrite = function(addr, val) { - dump((' ' + z80.getTstates()).substr(-5) + ' MW ' + hexWord(addr) + ' ' + hexByte(val)); - } - ioBus.onread = function(addr, val) { - dump((' ' + z80.getTstates()).substr(-5) + ' PR ' + hexWord(addr) + ' ' + hexByte(val)); - } - ioBus.onwrite = function(addr, val) { - dump((' ' + z80.getTstates()).substr(-5) + ' PW ' + hexWord(addr) + ' ' + hexByte(val)); - } - ioBus.oncontend = function(addr) { - dump((' ' + z80.getTstates()).substr(-5) + ' PC ' + hexWord(addr)); - } - - var lines = input.split("\n"); - var mainRegs = lines[1].split(/\s+/); /* AF BC DE HL AF' BC' DE' HL' IX IY SP PC */ - var af = parseInt(mainRegs[0], 16); z80.setAF(af); - var bc = parseInt(mainRegs[1], 16); z80.setBC(bc); - var de = parseInt(mainRegs[2], 16); z80.setDE(de); - var hl = parseInt(mainRegs[3], 16); z80.setHL(hl); - var af_ = parseInt(mainRegs[4], 16); z80.setAF_(af_); - var bc_ = parseInt(mainRegs[5], 16); z80.setBC_(bc_); - var de_ = parseInt(mainRegs[6], 16); z80.setDE_(de_); - var hl_ = parseInt(mainRegs[7], 16); z80.setHL_(hl_); - var ix = parseInt(mainRegs[8], 16); z80.setIX(ix); - var iy = parseInt(mainRegs[9], 16); z80.setIY(iy); - z80.setSP(parseInt(mainRegs[10], 16)); - z80.setPC(parseInt(mainRegs[11], 16)); - var otherRegs = lines[2].split(/\s+/); /* I R IFF1 IFF2 IM */ - z80.setI(parseInt(otherRegs[0], 16)); - z80.setR(parseInt(otherRegs[1], 16)); - z80.setIFF1(parseInt(otherRegs[2], 16)); - z80.setIFF2(parseInt(otherRegs[3], 16)); - z80.setIM(parseInt(otherRegs[4], 16)); - z80.setHalted(otherRegs[5] == '1'); - var runTime = parseInt(otherRegs[6]); - - memory.clear(); - - for (var j=3; j */ - dump( - hexByte(z80.getI()) + ' ' + hexByte(z80.getR()) + ' ' - + z80.getIFF1() + ' ' + z80.getIFF2() + ' ' + z80.getIM() + ' ' + (z80.getHalted() ? '1' : '0') + ' ' + z80.getTstates() - ) - /* dump memory state */ - memory.dump(); - dump(''); - return output; -} - -function hexByte(num) { - return ('00' + num.toString(16)).substr(-2); -} -function hexWord(num) { - return ('0000' + num.toString(16)).substr(-4); -} - -/// - -var fs = require('fs'); -var assert = require('assert'); -var testsIn = fs.readFileSync('test/cli/z80/tests.in', {encoding:'utf8'}).split('\n\n'); -var testsExpected = fs.readFileSync('test/cli/z80/tests.expected', {encoding:'utf8'}).split('\n\n'); -assert(testsIn.length == testsExpected.length); - -function benchmark(cycles) { - var memory = Memory(function() { }); - var ioBus = IOBus(); - var z80 = global.Z80({ - display: {}, - memory: memory, - ioBus: ioBus - }); - memory.clear(); - for (var i=0; i<0x10000; i++) - memory.write(i, i&0xff); - z80.setTstates(0); - z80.runFrame(cycles); - console.log(z80.saveState()); -} - -if (global.describe) { - describe('Z80 CPU', function() { - global.buildZ80({ - applyContention: true - }); - global.Z80 = global.window.Z80; - it('should execute Z80 test cases', function() { - for (var iter=0; iter