1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-06-13 09:29:35 +00:00
Commit Graph

14 Commits

Author SHA1 Message Date
Steven Hugg
10d04f9114 verilog: randomizeOnReset = true except for unit tests (only <=32 bit values reset) 2021-07-09 15:21:41 -05:00
Steven Hugg
9bb79c318f (WIP) new hdl package, verilator 4 (emscripten 2.0.6), hdlwasm 2021-06-30 18:07:55 -05:00
Steven Hugg
706a24c96a updated presets, changed array syntax, ice40 fpga examples 2018-10-08 20:38:39 -04:00
Steven Hugg
951088dd3b added comments to verilog examples 2018-10-01 12:30:47 -04:00
Steven Hugg
4a82d341bc make sure all presets start with a blank line, looks nicer (tools/checkpresets.py); updated nes 2018-08-14 00:05:02 -04:00
Steven Hugg
b81f4d04b9 removeBOM(); new web images 2018-08-12 11:17:59 -04:00
Steven Hugg
2dbc60aa2e updated presets; verilog code dup detect; need to handle local/ include files somehow 2018-07-31 23:03:53 -04:00
Steven Hugg
20ddb8a11f moved around ALU ops, 16-bit cpu, reg/wire 2018-02-21 11:03:38 -06:00
Steven Hugg
1790ca1747 updated verilog presets and test makefile 2018-02-16 23:33:29 -06:00
Steven Hugg
11992645d6 more presets 2018-02-09 00:11:36 -06:00
Steven Hugg
f0f6783f6b more verilog presets 2018-02-03 20:37:12 -06:00
Steven Hugg
d732f320b0 work on simple CPU, paddle game, `include local files too, scope scrolling, hvsync reset 2017-11-30 12:28:25 -05:00
Steven Hugg
48baf73ecb variable inspection, bitmaps for verilog, active high hsync/vsync, powerup vs reset 2017-11-21 14:12:02 -05:00
Steven Hugg
27a9076cb5 verilog: 2d array; digits; score; reset w/ no init; more warnings 2017-11-19 13:26:21 -05:00