1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-06-28 03:29:35 +00:00
Commit Graph

7 Commits

Author SHA1 Message Date
Steven Hugg
20ddb8a11f moved around ALU ops, 16-bit cpu, reg/wire 2018-02-21 11:03:38 -06:00
Steven Hugg
1790ca1747 updated verilog presets and test makefile 2018-02-16 23:33:29 -06:00
Steven Hugg
11992645d6 more presets 2018-02-09 00:11:36 -06:00
Steven Hugg
f0f6783f6b more verilog presets 2018-02-03 20:37:12 -06:00
Steven Hugg
d732f320b0 work on simple CPU, paddle game, `include local files too, scope scrolling, hvsync reset 2017-11-30 12:28:25 -05:00
Steven Hugg
48baf73ecb variable inspection, bitmaps for verilog, active high hsync/vsync, powerup vs reset 2017-11-21 14:12:02 -05:00
Steven Hugg
27a9076cb5 verilog: 2d array; digits; score; reset w/ no init; more warnings 2017-11-19 13:26:21 -05:00