1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-05-28 23:41:32 +00:00
8bitworkshop/test/cli/verilog/t_math_real_public.v

20 lines
409 B
Verilog

// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed into the Public Domain, for any use,
// without warranty, 2018 by Alex Solomatnikov
// SPDX-License-Identifier: CC0-1.0
module t;
sub #(.REAL(2.0)) sub;
endmodule
module sub ();
parameter REAL = 0.0;
initial begin
$display("REAL %g", REAL);
$write("*-* All Finished *-*\n");
$finish;
end
endmodule