1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-05-28 23:41:32 +00:00
8bitworkshop/test/cli/verilog/t_param_default.v

21 lines
416 B
Verilog

// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed under the Creative Commons Public Domain, for
// any use, without warranty, 2003 by Wilson Snyder.
// SPDX-License-Identifier: CC0-1.0
module m #(parameter int Foo);
endmodule
module t (/*AUTOARG*/);
m #(10) foo();
initial begin
if (foo.Foo != 10) $stop;
$write("*-* All Finished *-*\n");
$finish;
end
endmodule