1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-05-28 08:41:30 +00:00
8bitworkshop/test/cli/verilog/t_type.v

25 lines
503 B
Verilog

// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed under the Creative Commons Public Domain, for
// any use, without warranty, 2020 by Wilson Snyder.
// SPDX-License-Identifier: CC0-1.0
module t(/*AUTOARG*/);
real x;
real y;
var type(x+y) z;
initial begin
x = 1.2;
y = 2.3;
z = x + y;
if (z != (1.2+2.3)) $stop;
z = type(z)'(22);
if (z != 22.0) $stop;
$write("*-* All Finished *-*\n");
$finish;
end
endmodule