1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-06-30 16:29:49 +00:00
8bitworkshop/presets/verilog/Makefile
2018-02-16 23:33:29 -06:00

8 lines
175 B
Makefile

check:
verilator --top-module frame_buffer_top --lint-only *.v
iverilog -tnull *.v
deps.dot:
grep \`include *.v | sed "s/:/ /g" | awk '{ print "\"" $1 "\" -> " $3 ";" }'