1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-06-01 05:41:31 +00:00
8bitworkshop/fpga/examples/.gitignore

7 lines
37 B
Plaintext

*.asc
*.bin
*.blif
*.log
*.out
*.vcd