1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-05-28 08:41:30 +00:00
8bitworkshop/presets/verilog/Makefile
2021-04-08 10:58:02 -05:00

14 lines
324 B
Makefile

check:
verilator --top-module frame_buffer_top --lint-only *.v
iverilog -tnull *.v
deps.dot:
grep \`include *.v | sed "s/:/ /g" | awk '{ print "\"" $1 "\" -> " $3 ";" }'
%.bin: %.v
yosys -p "synth_ice40 -blif $*.blif" $*.v
arachne-pnr -d 1k -p icestick.pcf $*.blif -o $*.asc
icepack $*.asc $*.bin
#iceprog $*.bin