From 007ebd07c23c0933a845cf37c40a1d901d055e96 Mon Sep 17 00:00:00 2001 From: David Banks Date: Mon, 4 Nov 2019 12:30:33 +0000 Subject: [PATCH] cosmetic Change-Id: I072eb985b4913ebd9337f9c6db560deed7aa97ae --- src/MC6809CpuMon.vhd | 9 ++++----- 1 file changed, 4 insertions(+), 5 deletions(-) diff --git a/src/MC6809CpuMon.vhd b/src/MC6809CpuMon.vhd index 8a3bd26..741c893 100644 --- a/src/MC6809CpuMon.vhd +++ b/src/MC6809CpuMon.vhd @@ -7,19 +7,18 @@ -- /___/ \ / -- \ \ \/ -- \ \ --- / / Filename : MC6808CpuMonCore.vhd --- /___/ /\ Timestamp : 24/010/2019 +-- / / Filename : MC6808CpuMon.vhd +-- /___/ /\ Timestamp : 24/10/2019 -- \ \ / \ -- \___\/\___\ -- ---Design Name: MC6808CpuMonCore ---Device: XC3S250E +--Design Name: MC6808CpuMon +--Device: multiple library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; -use work.OhoPack.all ; entity MC6809CpuMon is generic (