Z80: Add mode input to other icez80 builds build

Change-Id: I1b9130ec3835f08a4c3f429860aff6f09dc92d8c
This commit is contained in:
David Banks 2019-10-27 16:56:58 +00:00
parent b9ac0628d2
commit 820ee65cee
4 changed files with 19 additions and 4 deletions

View File

@ -74,6 +74,9 @@ NET "test4" LOC="P91" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4 ;
# so we connect it to an unused pin
NET "DOE_n" LOC="P99" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4 ;
# This input controls whether the idle mode includes M1 cycles
NET "mode" LOC="P88" | IOSTANDARD = LVCMOS33 ;
# NET "" LOC="P48" | IOSTANDARD = LVCMOS33 ; # connector pin E2
# NET "" LOC="P49" | IOSTANDARD = LVCMOS33 ; # connector pin E3
# NET "" LOC="P27" | IOSTANDARD = LVCMOS33 ; # connector pin E4

View File

@ -1,5 +1,8 @@
NET "CLK_n" CLOCK_DEDICATED_ROUTE = FALSE;
TIMESPEC TS_clk_period_clk_n = PERIOD "clk_period_grp_clk_n" 125ns LOW;
NET "clock49" CLOCK_DEDICATED_ROUTE = FALSE;
NET "clock49" LOC="P89" | IOSTANDARD = LVCMOS33 | PERIOD = 20.35ns ; # 49.152 MHz Oscillator
@ -74,6 +77,9 @@ NET "test4" LOC="P91" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4 ;
# so we connect it to an unused pin
NET "DOE_n" LOC="P99" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 4 ;
# This input controls whether the idle mode includes M1 cycles
NET "mode" LOC="P88" | IOSTANDARD = LVCMOS33 ;
# NET "" LOC="P48" | IOSTANDARD = LVCMOS33 ; # connector pin E2
# NET "" LOC="P49" | IOSTANDARD = LVCMOS33 ; # connector pin E3
# NET "" LOC="P27" | IOSTANDARD = LVCMOS33 ; # connector pin E4

View File

@ -65,8 +65,11 @@ NET "avr_TxD" LOC="P51" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ;
NET "avr_RxD" LOC="P55" | IOSTANDARD = LVCMOS33 ;
# External trigger inputs
NET "trig<0>" LOC="P126" | IOSTANDARD = LVCMOS33 ;
NET "trig<1>" LOC="P127" | IOSTANDARD = LVCMOS33 ;
NET "trig<0>" LOC="P126" | IOSTANDARD = LVCMOS33 | PULLUP ;
NET "trig<1>" LOC="P127" | IOSTANDARD = LVCMOS33 | PULLUP ;
# This input controls whether the idle mode includes M1 cycles
NET "mode" LOC="P140" | IOSTANDARD = LVCMOS33 | PULLUP ;
# Test outputs
NET "test1" LOC="P138" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # led1

View File

@ -65,8 +65,11 @@ NET "avr_TxD" LOC="P51" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ;
NET "avr_RxD" LOC="P55" | IOSTANDARD = LVCMOS33 ;
# External trigger inputs
NET "trig<0>" LOC="P126" | IOSTANDARD = LVCMOS33 ;
NET "trig<1>" LOC="P127" | IOSTANDARD = LVCMOS33 ;
NET "trig<0>" LOC="P126" | IOSTANDARD = LVCMOS33 | PULLUP ;
NET "trig<1>" LOC="P127" | IOSTANDARD = LVCMOS33 | PULLUP ;
# This input controls whether the idle mode includes M1 cycles
NET "mode" LOC="P140" | IOSTANDARD = LVCMOS33 | PULLUP ;
# Test outputs
NET "test1" LOC="P138" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # led1