From e0db1ccd7c5a963fbca479762dc5f6d00898b04c Mon Sep 17 00:00:00 2001 From: David Banks Date: Sat, 2 Nov 2019 15:29:15 +0000 Subject: [PATCH] Removed ice6502mon as it's never really been used Change-Id: I0898ea3450573c5dafc143e5589aa0a3b4a1dc6c --- src/AtomBusMon.vhd | 149 ----- target/common/Makefile_ice6502mon.inc | 5 - target/godil_250/ice6502mon/Makefile | 15 - target/godil_250/ice6502mon/board.ucf | 76 --- target/godil_250/ice6502mon/ice6502mon.xise | 549 ---------------- target/godil_250/ice6502mon/memory.bmm | 38 -- target/godil_500/ice6502mon/Makefile | 15 - target/godil_500/ice6502mon/board.ucf | 76 --- target/godil_500/ice6502mon/ice6502mon.xise | 549 ---------------- target/godil_500/ice6502mon/memory.bmm | 38 -- target/lx9_jason/ice6502mon/Makefile | 18 - target/lx9_jason/ice6502mon/board.ucf | 74 --- target/lx9_jason/ice6502mon/ice6502mon.xise | 594 ------------------ target/lx9_jason/ice6502mon/memory.bmm | 38 -- target/lx9_jason_flipped/ice6502mon/Makefile | 18 - target/lx9_jason_flipped/ice6502mon/board.ucf | 74 --- .../ice6502mon/ice6502mon.xise | 594 ------------------ .../lx9_jason_flipped/ice6502mon/memory.bmm | 38 -- 18 files changed, 2958 deletions(-) delete mode 100644 src/AtomBusMon.vhd delete mode 100644 target/common/Makefile_ice6502mon.inc delete mode 100644 target/godil_250/ice6502mon/Makefile delete mode 100644 target/godil_250/ice6502mon/board.ucf delete mode 100644 target/godil_250/ice6502mon/ice6502mon.xise delete mode 100644 target/godil_250/ice6502mon/memory.bmm delete mode 100644 target/godil_500/ice6502mon/Makefile delete mode 100644 target/godil_500/ice6502mon/board.ucf delete mode 100644 target/godil_500/ice6502mon/ice6502mon.xise delete mode 100644 target/godil_500/ice6502mon/memory.bmm delete mode 100644 target/lx9_jason/ice6502mon/Makefile delete mode 100644 target/lx9_jason/ice6502mon/board.ucf delete mode 100644 target/lx9_jason/ice6502mon/ice6502mon.xise delete mode 100644 target/lx9_jason/ice6502mon/memory.bmm delete mode 100644 target/lx9_jason_flipped/ice6502mon/Makefile delete mode 100644 target/lx9_jason_flipped/ice6502mon/board.ucf delete mode 100644 target/lx9_jason_flipped/ice6502mon/ice6502mon.xise delete mode 100644 target/lx9_jason_flipped/ice6502mon/memory.bmm diff --git a/src/AtomBusMon.vhd b/src/AtomBusMon.vhd deleted file mode 100644 index e77d8b3..0000000 --- a/src/AtomBusMon.vhd +++ /dev/null @@ -1,149 +0,0 @@ --------------------------------------------------------------------------------- --- Copyright (c) 2015 David Banks --- --------------------------------------------------------------------------------- --- ____ ____ --- / /\/ / --- /___/ \ / --- \ \ \/ --- \ \ --- / / Filename : AtomBusMon.vhd --- /___/ /\ Timestamp : 30/05/2015 --- \ \ / \ --- \___\/\___\ --- ---Design Name: AtomBusMon ---Device: XC3S250E - -library ieee; -use ieee.std_logic_1164.all; -use ieee.std_logic_unsigned.all; -use ieee.numeric_std.all; - -entity AtomBusMon is - generic ( - LEDsActiveHigh : boolean := false; -- default value correct for GODIL - SW1ActiveHigh : boolean := true; -- default value correct for GODIL - SW2ActiveHigh : boolean := false; -- default value correct for GODIL - ClkMult : integer := 10; -- default value correct for GODIL - ClkDiv : integer := 31; -- default value correct for GODIL - ClkPer : real := 20.345 -- default value correct for GODIL - ); - port ( - clock49 : in std_logic; - - -- 6502 Signals - Addr : in std_logic_vector(15 downto 0); - Phi2 : in std_logic; - RNW : in std_logic; - Sync : in std_logic; - Rdy : out std_logic; - nRST : inout std_logic; - - -- External trigger inputs - trig : in std_logic_vector(1 downto 0); - - -- AVR Serial Port - avr_RxD : in std_logic; - avr_TxD : out std_logic; - - -- GODIL Switches - sw1 : in std_logic; - sw2 : in std_logic; - - -- GODIL LEDs - led3 : out std_logic; - led6 : out std_logic; - led8 : out std_logic; - - -- OHO_DY1 connected to test connector - tmosi : out std_logic; - tdin : out std_logic; - tcclk : out std_logic - ); -end AtomBusMon; - -architecture behavioral of AtomBusMon is - -signal clock_avr : std_logic; -signal Rdy_int : std_logic; -signal nRSTin : std_logic; -signal nRSTout : std_logic; - - signal led3_n : std_logic; -- led to indicate ext trig 0 is active - signal led6_n : std_logic; -- led to indicate ext trig 1 is active - signal led8_n : std_logic; -- led to indicate CPU has hit a breakpoint (and is stopped) - signal sw_interrupt_n : std_logic; -- switch to pause the CPU - signal sw_reset_n : std_logic; -- switch to reset the CPU - -begin - - -- Generics allows polarity of switches/LEDs to be tweaked from the project file - sw_interrupt_n <= not sw1 when SW1ActiveHigh else sw1; - sw_reset_n <= not sw2 when SW2ActiveHigh else sw2; - led3 <= not led3_n when LEDsActiveHigh else led3_n; - led6 <= not led6_n when LEDsActiveHigh else led6_n; - led8 <= not led8_n when LEDsActiveHigh else led8_n; - - inst_dcm0 : entity work.DCM0 - generic map ( - ClkMult => ClkMult, - ClkDiv => ClkDiv, - ClkPer => ClkPer - ) - port map( - CLKIN_IN => clock49, - CLKFX_OUT => clock_avr - ); - - mon : entity work.BusMonCore - generic map ( - avr_prog_mem_size => 1024 * 8 - ) - port map ( - clock_avr => clock_avr, - busmon_clk => Phi2, - busmon_clken => '1', - cpu_clk => not Phi2, - cpu_clken => '1', - Addr => Addr, - Data => (others => '0'), - Rd_n => not RNW, - Wr_n => RNW, - RdIO_n => '1', - WrIO_n => '1', - Sync => Sync, - Rdy => Rdy_int, - nRSTin => nRSTin, - nRSTout => nRSTout, - CountCycle => Rdy_int, - Regs => (others => '0'), - RdMemOut => open, - WrMemOut => open, - RdIOOut => open, - WrIOOut => open, - AddrOut => open, - DataOut => open, - DataIn => (others => '0'), - Done => '1', - trig => trig, - avr_RxD => avr_RxD, - avr_TxD => avr_TxD, - sw1 => not sw_interrupt_n, - nsw2 => sw_reset_n, - led3 => led3_n, - led6 => led6_n, - led8 => led8_n, - tmosi => tmosi, - tdin => tdin, - tcclk => tcclk, - SS_Step => open, - SS_Single => open - ); - Rdy <= Rdy_int; - - -- Tristate buffer driving reset back out - nRSTin <= nRST; - nRST <= '0' when nRSTout <= '0' else 'Z'; - -end behavioral; diff --git a/target/common/Makefile_ice6502mon.inc b/target/common/Makefile_ice6502mon.inc deleted file mode 100644 index 7b13ba4..0000000 --- a/target/common/Makefile_ice6502mon.inc +++ /dev/null @@ -1,5 +0,0 @@ -# CPU specfic build flags -CPU_CFLAGS = -DCPU_6502 - -# CPU specfic object files -CPU_OBJECTS = diff --git a/target/godil_250/ice6502mon/Makefile b/target/godil_250/ice6502mon/Makefile deleted file mode 100644 index 80c9e76..0000000 --- a/target/godil_250/ice6502mon/Makefile +++ /dev/null @@ -1,15 +0,0 @@ -# The root directory of the project -ROOT = ../../.. - -# The common directory for makefile includes, etc. -COMMON = ../../common - -# The project .bit file produced by the Xilinx .xise project -PROJECT = AtomBusMon - -# The target .bit file to be generated including the monitor program -TARGET = ice6502mon - -# Common include files -include $(COMMON)/Makefile_$(TARGET).inc -include $(COMMON)/Makefile.inc diff --git a/target/godil_250/ice6502mon/board.ucf b/target/godil_250/ice6502mon/board.ucf deleted file mode 100644 index cf5fb1c..0000000 --- a/target/godil_250/ice6502mon/board.ucf +++ /dev/null @@ -1,76 +0,0 @@ - -NET "clock49" LOC="P89" | IOSTANDARD = LVCMOS33 | PERIOD = 20.35ns ; # 49.152 MHz Oscillator - -#NET "VSS" LOC="P16" | IOSTANDARD = LVCMOS33 ; # 6502 pin 1 -NET "Rdy" LOC="P95" | IOSTANDARD = LVCMOS33 ; # 6502 pin 2 -#NET "Phi1" LOC="P18" | IOSTANDARD = LVCMOS33 ; # 6502 pin 3 -#NET "IRQ_n" LOC="P17" | IOSTANDARD = LVCMOS33 | PULLUP ; # 6502 pin 4 -#NET "NC" LOC="P94" | IOSTANDARD = LVCMOS33 ; # 6502 pin 5 -#NET "NMI_n" LOC="P22" | IOSTANDARD = LVCMOS33 | PULLUP ; # 6502 pin 6 -NET "Sync" LOC="P23" | IOSTANDARD = LVCMOS33 ; # 6502 pin 7 -#NET "VCC" LOC="P33" | IOSTANDARD = LVCMOS33 ; # 6502 pin 8 -NET "Addr<0>" LOC="P32" | IOSTANDARD = LVCMOS33 ; # 6502 pin 9 -NET "Addr<1>" LOC="P34" | IOSTANDARD = LVCMOS33 ; # 6502 pin 10 -NET "Addr<2>" LOC="P40" | IOSTANDARD = LVCMOS33 ; # 6502 pin 11 -NET "Addr<3>" LOC="P41" | IOSTANDARD = LVCMOS33 ; # 6502 pin 12 -NET "Addr<4>" LOC="P36" | IOSTANDARD = LVCMOS33 ; # 6502 pin 13 -NET "Addr<5>" LOC="P35" | IOSTANDARD = LVCMOS33 ; # 6502 pin 14 -NET "Addr<6>" LOC="P53" | IOSTANDARD = LVCMOS33 ; # 6502 pin 15 -NET "Addr<7>" LOC="P54" | IOSTANDARD = LVCMOS33 ; # 6502 pin 16 -NET "Addr<8>" LOC="P57" | IOSTANDARD = LVCMOS33 ; # 6502 pin 17 -NET "Addr<9>" LOC="P58" | IOSTANDARD = LVCMOS33 ; # 6502 pin 18 -NET "Addr<10>" LOC="P60" | IOSTANDARD = LVCMOS33 ; # 6502 pin 19 -NET "Addr<11>" LOC="P61" | IOSTANDARD = LVCMOS33 ; # 6502 pin 20 - -#NET "VSS" LOC="P67" | IOSTANDARD = LVCMOS33 ; # 6502 pin 21 -NET "Addr<12>" LOC="P68" | IOSTANDARD = LVCMOS33 ; # 6502 pin 22 -NET "Addr<13>" LOC="P70" | IOSTANDARD = LVCMOS33 ; # 6502 pin 23 -NET "Addr<14>" LOC="P71" | IOSTANDARD = LVCMOS33 ; # 6502 pin 24 -NET "Addr<15>" LOC="P86" | IOSTANDARD = LVCMOS33 ; # 6502 pin 25 -#NET "Data<7>" LOC="P84" | IOSTANDARD = LVCMOS33 ; # 6502 pin 26 -#NET "Data<6>" LOC="P83" | IOSTANDARD = LVCMOS33 ; # 6502 pin 27 -#NET "Data<5>" LOC="P78" | IOSTANDARD = LVCMOS33 ; # 6502 pin 28 -#NET "Data<4>" LOC="P79" | IOSTANDARD = LVCMOS33 ; # 6502 pin 29 -#NET "Data<3>" LOC="P85" | IOSTANDARD = LVCMOS33 ; # 6502 pin 30 -#NET "Data<2>" LOC="P92" | IOSTANDARD = LVCMOS33 ; # 6502 pin 31 -#NET "Data<1>" LOC="P98" | IOSTANDARD = LVCMOS33 ; # 6502 pin 32 -#NET "Data<0>" LOC="P3" | IOSTANDARD = LVCMOS33 ; # 6502 pin 33 -NET "RNW" LOC="P2" | IOSTANDARD = LVCMOS33 ; # 6502 pin 34 -#NET "NC" LOC="P4" | IOSTANDARD = LVCMOS33 ; # 6502 pin 35 -#NET "NC" LOC="P5" | IOSTANDARD = LVCMOS33 ; # 6502 pin 36 -#NET "Phi0" LOC="P90" | IOSTANDARD = LVCMOS33 | PERIOD = 500.0 ; # 6502 pin 37 -#NET "SO_n" LOC="P9" | IOSTANDARD = LVCMOS33 ; # 6502 pin 38 -NET "Phi2" LOC="P10" | IOSTANDARD = LVCMOS33 ; # 6502 pin 39 -NET "nRST" LOC="P11" | IOSTANDARD = LVCMOS33 ; # 6502 pin 40 - -NET "led3" LOC="P43" | IOSTANDARD = LVCMOS33 ; # Red LED (near SW1) -NET "led6" LOC="P25" | IOSTANDARD = LVCMOS33 ; # Red LED (just left of FPGA) -NET "led8" LOC="P47" | IOSTANDARD = LVCMOS33 ; # Green LED (near SW1) -NET "sw1" LOC="P39" | IOSTANDARD = LVCMOS33 ; # Bottom Switch -NET "sw2" LOC="P69" | IOSTANDARD = LVCMOS33 | PULLUP ; # Top Switch - -# I/O's for test connector -#NET tvs1 LOC=P48 | IOSTANDARD = LVCMOS33 | DRIVE=16 ; -#NET tvs0 LOC=P49 | IOSTANDARD = LVCMOS33 | DRIVE=16 ; -NET tmosi LOC=P27 | IOSTANDARD = LVCMOS33 | DRIVE=16 ; -NET tdin LOC=P44 | IOSTANDARD = LVCMOS33 | DRIVE=16 ; -NET tcclk LOC=P50 | IOSTANDARD = LVCMOS33 | DRIVE=16 ; -#NET tm1 LOC=P42 | IOSTANDARD = LVCMOS33 | DRIVE=16 ; -#NET thsw LOC=P99 | IOSTANDARD = LVCMOS33 | DRIVE=16 ; - -NET "avr_TxD" LOC="P26" | IOSTANDARD = LVCMOS33 ; -NET "avr_RxD" LOC="P15" | IOSTANDARD = LVCMOS33 ; - -NET "trig<0>" LOC="P62" | IOSTANDARD = LVCMOS33 ; -NET "trig<1>" LOC="P63" | IOSTANDARD = LVCMOS33 ; - -# NET "" LOC="P48" | IOSTANDARD = LVCMOS33 ; # connector pin E2 -# NET "" LOC="P49" | IOSTANDARD = LVCMOS33 ; # connector pin E3 -# NET "" LOC="P27" | IOSTANDARD = LVCMOS33 ; # connector pin E4 -# NET "" LOC="P44" | IOSTANDARD = LVCMOS33 ; # connector pin E5 -# NET "" LOC="P50" | IOSTANDARD = LVCMOS33 ; # connector pin E6 -# NET "" LOC="P42" | IOSTANDARD = LVCMOS33 ; # connector pin E7 -# NET "" LOC="P99" | IOSTANDARD = LVCMOS33 ; # connector pin E8 - - - \ No newline at end of file diff --git a/target/godil_250/ice6502mon/ice6502mon.xise b/target/godil_250/ice6502mon/ice6502mon.xise deleted file mode 100644 index dd0cb20..0000000 --- a/target/godil_250/ice6502mon/ice6502mon.xise +++ /dev/null @@ -1,549 +0,0 @@ - - - -
- - - - - - - - -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
diff --git a/target/godil_250/ice6502mon/memory.bmm b/target/godil_250/ice6502mon/memory.bmm deleted file mode 100644 index ca88624..0000000 --- a/target/godil_250/ice6502mon/memory.bmm +++ /dev/null @@ -1,38 +0,0 @@ -ADDRESS_MAP avrmap PPC405 0 - - ADDRESS_SPACE rom_code RAMB16 [0x00000000:0x00003fff] - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[0].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[1].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[2].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[3].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[4].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[5].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[6].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[7].Ram [15:0]; - END_BUS_BLOCK; - - END_ADDRESS_SPACE; - -END_ADDRESS_MAP; \ No newline at end of file diff --git a/target/godil_500/ice6502mon/Makefile b/target/godil_500/ice6502mon/Makefile deleted file mode 100644 index 80c9e76..0000000 --- a/target/godil_500/ice6502mon/Makefile +++ /dev/null @@ -1,15 +0,0 @@ -# The root directory of the project -ROOT = ../../.. - -# The common directory for makefile includes, etc. -COMMON = ../../common - -# The project .bit file produced by the Xilinx .xise project -PROJECT = AtomBusMon - -# The target .bit file to be generated including the monitor program -TARGET = ice6502mon - -# Common include files -include $(COMMON)/Makefile_$(TARGET).inc -include $(COMMON)/Makefile.inc diff --git a/target/godil_500/ice6502mon/board.ucf b/target/godil_500/ice6502mon/board.ucf deleted file mode 100644 index cf5fb1c..0000000 --- a/target/godil_500/ice6502mon/board.ucf +++ /dev/null @@ -1,76 +0,0 @@ - -NET "clock49" LOC="P89" | IOSTANDARD = LVCMOS33 | PERIOD = 20.35ns ; # 49.152 MHz Oscillator - -#NET "VSS" LOC="P16" | IOSTANDARD = LVCMOS33 ; # 6502 pin 1 -NET "Rdy" LOC="P95" | IOSTANDARD = LVCMOS33 ; # 6502 pin 2 -#NET "Phi1" LOC="P18" | IOSTANDARD = LVCMOS33 ; # 6502 pin 3 -#NET "IRQ_n" LOC="P17" | IOSTANDARD = LVCMOS33 | PULLUP ; # 6502 pin 4 -#NET "NC" LOC="P94" | IOSTANDARD = LVCMOS33 ; # 6502 pin 5 -#NET "NMI_n" LOC="P22" | IOSTANDARD = LVCMOS33 | PULLUP ; # 6502 pin 6 -NET "Sync" LOC="P23" | IOSTANDARD = LVCMOS33 ; # 6502 pin 7 -#NET "VCC" LOC="P33" | IOSTANDARD = LVCMOS33 ; # 6502 pin 8 -NET "Addr<0>" LOC="P32" | IOSTANDARD = LVCMOS33 ; # 6502 pin 9 -NET "Addr<1>" LOC="P34" | IOSTANDARD = LVCMOS33 ; # 6502 pin 10 -NET "Addr<2>" LOC="P40" | IOSTANDARD = LVCMOS33 ; # 6502 pin 11 -NET "Addr<3>" LOC="P41" | IOSTANDARD = LVCMOS33 ; # 6502 pin 12 -NET "Addr<4>" LOC="P36" | IOSTANDARD = LVCMOS33 ; # 6502 pin 13 -NET "Addr<5>" LOC="P35" | IOSTANDARD = LVCMOS33 ; # 6502 pin 14 -NET "Addr<6>" LOC="P53" | IOSTANDARD = LVCMOS33 ; # 6502 pin 15 -NET "Addr<7>" LOC="P54" | IOSTANDARD = LVCMOS33 ; # 6502 pin 16 -NET "Addr<8>" LOC="P57" | IOSTANDARD = LVCMOS33 ; # 6502 pin 17 -NET "Addr<9>" LOC="P58" | IOSTANDARD = LVCMOS33 ; # 6502 pin 18 -NET "Addr<10>" LOC="P60" | IOSTANDARD = LVCMOS33 ; # 6502 pin 19 -NET "Addr<11>" LOC="P61" | IOSTANDARD = LVCMOS33 ; # 6502 pin 20 - -#NET "VSS" LOC="P67" | IOSTANDARD = LVCMOS33 ; # 6502 pin 21 -NET "Addr<12>" LOC="P68" | IOSTANDARD = LVCMOS33 ; # 6502 pin 22 -NET "Addr<13>" LOC="P70" | IOSTANDARD = LVCMOS33 ; # 6502 pin 23 -NET "Addr<14>" LOC="P71" | IOSTANDARD = LVCMOS33 ; # 6502 pin 24 -NET "Addr<15>" LOC="P86" | IOSTANDARD = LVCMOS33 ; # 6502 pin 25 -#NET "Data<7>" LOC="P84" | IOSTANDARD = LVCMOS33 ; # 6502 pin 26 -#NET "Data<6>" LOC="P83" | IOSTANDARD = LVCMOS33 ; # 6502 pin 27 -#NET "Data<5>" LOC="P78" | IOSTANDARD = LVCMOS33 ; # 6502 pin 28 -#NET "Data<4>" LOC="P79" | IOSTANDARD = LVCMOS33 ; # 6502 pin 29 -#NET "Data<3>" LOC="P85" | IOSTANDARD = LVCMOS33 ; # 6502 pin 30 -#NET "Data<2>" LOC="P92" | IOSTANDARD = LVCMOS33 ; # 6502 pin 31 -#NET "Data<1>" LOC="P98" | IOSTANDARD = LVCMOS33 ; # 6502 pin 32 -#NET "Data<0>" LOC="P3" | IOSTANDARD = LVCMOS33 ; # 6502 pin 33 -NET "RNW" LOC="P2" | IOSTANDARD = LVCMOS33 ; # 6502 pin 34 -#NET "NC" LOC="P4" | IOSTANDARD = LVCMOS33 ; # 6502 pin 35 -#NET "NC" LOC="P5" | IOSTANDARD = LVCMOS33 ; # 6502 pin 36 -#NET "Phi0" LOC="P90" | IOSTANDARD = LVCMOS33 | PERIOD = 500.0 ; # 6502 pin 37 -#NET "SO_n" LOC="P9" | IOSTANDARD = LVCMOS33 ; # 6502 pin 38 -NET "Phi2" LOC="P10" | IOSTANDARD = LVCMOS33 ; # 6502 pin 39 -NET "nRST" LOC="P11" | IOSTANDARD = LVCMOS33 ; # 6502 pin 40 - -NET "led3" LOC="P43" | IOSTANDARD = LVCMOS33 ; # Red LED (near SW1) -NET "led6" LOC="P25" | IOSTANDARD = LVCMOS33 ; # Red LED (just left of FPGA) -NET "led8" LOC="P47" | IOSTANDARD = LVCMOS33 ; # Green LED (near SW1) -NET "sw1" LOC="P39" | IOSTANDARD = LVCMOS33 ; # Bottom Switch -NET "sw2" LOC="P69" | IOSTANDARD = LVCMOS33 | PULLUP ; # Top Switch - -# I/O's for test connector -#NET tvs1 LOC=P48 | IOSTANDARD = LVCMOS33 | DRIVE=16 ; -#NET tvs0 LOC=P49 | IOSTANDARD = LVCMOS33 | DRIVE=16 ; -NET tmosi LOC=P27 | IOSTANDARD = LVCMOS33 | DRIVE=16 ; -NET tdin LOC=P44 | IOSTANDARD = LVCMOS33 | DRIVE=16 ; -NET tcclk LOC=P50 | IOSTANDARD = LVCMOS33 | DRIVE=16 ; -#NET tm1 LOC=P42 | IOSTANDARD = LVCMOS33 | DRIVE=16 ; -#NET thsw LOC=P99 | IOSTANDARD = LVCMOS33 | DRIVE=16 ; - -NET "avr_TxD" LOC="P26" | IOSTANDARD = LVCMOS33 ; -NET "avr_RxD" LOC="P15" | IOSTANDARD = LVCMOS33 ; - -NET "trig<0>" LOC="P62" | IOSTANDARD = LVCMOS33 ; -NET "trig<1>" LOC="P63" | IOSTANDARD = LVCMOS33 ; - -# NET "" LOC="P48" | IOSTANDARD = LVCMOS33 ; # connector pin E2 -# NET "" LOC="P49" | IOSTANDARD = LVCMOS33 ; # connector pin E3 -# NET "" LOC="P27" | IOSTANDARD = LVCMOS33 ; # connector pin E4 -# NET "" LOC="P44" | IOSTANDARD = LVCMOS33 ; # connector pin E5 -# NET "" LOC="P50" | IOSTANDARD = LVCMOS33 ; # connector pin E6 -# NET "" LOC="P42" | IOSTANDARD = LVCMOS33 ; # connector pin E7 -# NET "" LOC="P99" | IOSTANDARD = LVCMOS33 ; # connector pin E8 - - - \ No newline at end of file diff --git a/target/godil_500/ice6502mon/ice6502mon.xise b/target/godil_500/ice6502mon/ice6502mon.xise deleted file mode 100644 index e40decf..0000000 --- a/target/godil_500/ice6502mon/ice6502mon.xise +++ /dev/null @@ -1,549 +0,0 @@ - - - -
- - - - - - - - -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
diff --git a/target/godil_500/ice6502mon/memory.bmm b/target/godil_500/ice6502mon/memory.bmm deleted file mode 100644 index ca88624..0000000 --- a/target/godil_500/ice6502mon/memory.bmm +++ /dev/null @@ -1,38 +0,0 @@ -ADDRESS_MAP avrmap PPC405 0 - - ADDRESS_SPACE rom_code RAMB16 [0x00000000:0x00003fff] - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[0].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[1].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[2].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[3].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[4].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[5].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[6].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[7].Ram [15:0]; - END_BUS_BLOCK; - - END_ADDRESS_SPACE; - -END_ADDRESS_MAP; \ No newline at end of file diff --git a/target/lx9_jason/ice6502mon/Makefile b/target/lx9_jason/ice6502mon/Makefile deleted file mode 100644 index 65a3773..0000000 --- a/target/lx9_jason/ice6502mon/Makefile +++ /dev/null @@ -1,18 +0,0 @@ -# The root directory of the project -ROOT = ../../.. - -# The common directory for makefile includes, etc. -COMMON = ../../common - -# The project .bit file produced by the Xilinx .xise project -PROJECT = AtomBusMon - -# The target .bit file to be generated including the monitor program -TARGET = ice6502mon - -# Frequuency that the AVR runs at -F_CPU = 19354838 - -# Common include files -include $(COMMON)/Makefile_$(TARGET).inc -include $(COMMON)/Makefile.inc diff --git a/target/lx9_jason/ice6502mon/board.ucf b/target/lx9_jason/ice6502mon/board.ucf deleted file mode 100644 index d53229a..0000000 --- a/target/lx9_jason/ice6502mon/board.ucf +++ /dev/null @@ -1,74 +0,0 @@ -NET "clock49" TNM_NET = clk_period_grp_49; -TIMESPEC TS_clk_period_49 = PERIOD "clk_period_grp_49" 20.00ns HIGH; - -NET "Phi2" TNM_NET = clk_period_grp_phi2; -TIMESPEC TS_clk_period_phi0 = PERIOD "clk_period_grp_phi2" 250ns LOW; - -NET "Phi2" CLOCK_DEDICATED_ROUTE = FALSE; - -NET "clock49" LOC="P50" | IOSTANDARD = LVCMOS33 | PERIOD = 20.00ns ; # 50.00 MHz Oscillator - -#NET "VSS" LOC="P94" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 1 -NET "Rdy" LOC="P95" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 2 -#NET "Phi1" LOC="P98" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 3 -#NET "IRQ_n" LOC="P99" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 4 -#NET "NC" LOC="P100" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 5 -#NET "NMI_n" LOC="P101" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 6 -NET "Sync" LOC="P104" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 7 -#NET "VCC" LOC="P102" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 8 -NET "Addr<0>" LOC="P111" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 9 -NET "Addr<1>" LOC="P97" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 10 -NET "Addr<2>" LOC="P78" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 11 -NET "Addr<3>" LOC="P88" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 12 -NET "Addr<4>" LOC="P87" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 13 -NET "Addr<5>" LOC="P85" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 14 -NET "Addr<6>" LOC="P84" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 15 -NET "Addr<7>" LOC="P83" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 16 -NET "Addr<8>" LOC="P82" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 17 -NET "Addr<9>" LOC="P80" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 18 -NET "Addr<10>" LOC="P92" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 19 -NET "Addr<11>" LOC="P93" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 20 - -#NET "VSS" LOC="P16" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 21 -NET "Addr<12>" LOC="P15" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 22 -NET "Addr<13>" LOC="P17" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 23 -NET "Addr<14>" LOC="P23" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 24 -NET "Addr<15>" LOC="P22" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 25 -#NET "Data<7>" LOC="P26" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 26 -#NET "Data<6>" LOC="P29" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 27 -#NET "Data<5>" LOC="P24" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 28 -#NET "Data<4>" LOC="P32" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 29 -#NET "Data<3>" LOC="P21" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 30 -#NET "Data<2>" LOC="P1" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 31 -#NET "Data<1>" LOC="P10" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 32 -#NET "Data<0>" LOC="P11" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 33 -NET "RNW" LOC="P8" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 34 -#NET "NC" LOC="P9" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 35 -#NET "NC" LOC="P6" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 36 -#NET "Phi0" LOC="P7" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 37 -#NET "SO_n" LOC="P5" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 38 -NET "Phi2" LOC="P14" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 39 -NET "nRST" LOC="P12" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 40 - -# LEDs and Switches -NET "led3" LOC="P134" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # trigger 0 active -NET "led6" LOC="P119" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # trigger 1 active -NET "led8" LOC="P117" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # stopped at breakpoint -NET "sw1" LOC="P132" | IOSTANDARD = LVCMOS33 ; # reset -NET "sw2" LOC="P131" | IOSTANDARD = LVCMOS33 ; # interrupt - -# 7-segment LED -NET tmosi LOC="P45" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; -NET tdin LOC="P44" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; -NET tcclk LOC="P43" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; - -# UART -NET "avr_TxD" LOC="P51" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; -NET "avr_RxD" LOC="P55" | IOSTANDARD = LVCMOS33 ; - -# External trigger inputs -NET "trig<0>" LOC="P126" | IOSTANDARD = LVCMOS33 ; -NET "trig<1>" LOC="P127" | IOSTANDARD = LVCMOS33 ; - -# Jumpers -# NET "fakeTube_n" LOC="P123" | IOSTANDARD = LVCMOS33 ; diff --git a/target/lx9_jason/ice6502mon/ice6502mon.xise b/target/lx9_jason/ice6502mon/ice6502mon.xise deleted file mode 100644 index c7ba93f..0000000 --- a/target/lx9_jason/ice6502mon/ice6502mon.xise +++ /dev/null @@ -1,594 +0,0 @@ - - - -
- - - - - - - - -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
diff --git a/target/lx9_jason/ice6502mon/memory.bmm b/target/lx9_jason/ice6502mon/memory.bmm deleted file mode 100644 index ca88624..0000000 --- a/target/lx9_jason/ice6502mon/memory.bmm +++ /dev/null @@ -1,38 +0,0 @@ -ADDRESS_MAP avrmap PPC405 0 - - ADDRESS_SPACE rom_code RAMB16 [0x00000000:0x00003fff] - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[0].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[1].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[2].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[3].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[4].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[5].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[6].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[7].Ram [15:0]; - END_BUS_BLOCK; - - END_ADDRESS_SPACE; - -END_ADDRESS_MAP; \ No newline at end of file diff --git a/target/lx9_jason_flipped/ice6502mon/Makefile b/target/lx9_jason_flipped/ice6502mon/Makefile deleted file mode 100644 index 65a3773..0000000 --- a/target/lx9_jason_flipped/ice6502mon/Makefile +++ /dev/null @@ -1,18 +0,0 @@ -# The root directory of the project -ROOT = ../../.. - -# The common directory for makefile includes, etc. -COMMON = ../../common - -# The project .bit file produced by the Xilinx .xise project -PROJECT = AtomBusMon - -# The target .bit file to be generated including the monitor program -TARGET = ice6502mon - -# Frequuency that the AVR runs at -F_CPU = 19354838 - -# Common include files -include $(COMMON)/Makefile_$(TARGET).inc -include $(COMMON)/Makefile.inc diff --git a/target/lx9_jason_flipped/ice6502mon/board.ucf b/target/lx9_jason_flipped/ice6502mon/board.ucf deleted file mode 100644 index 1f1f9d3..0000000 --- a/target/lx9_jason_flipped/ice6502mon/board.ucf +++ /dev/null @@ -1,74 +0,0 @@ -NET "clock49" TNM_NET = clk_period_grp_49; -TIMESPEC TS_clk_period_49 = PERIOD "clk_period_grp_49" 20.00ns HIGH; - -NET "Phi2" TNM_NET = clk_period_grp_phi2; -TIMESPEC TS_clk_period_phi0 = PERIOD "clk_period_grp_phi2" 250ns LOW; - -NET "Phi2" CLOCK_DEDICATED_ROUTE = FALSE; - -NET "clock49" LOC="P50" | IOSTANDARD = LVCMOS33 | PERIOD = 20.00ns ; # 50.00 MHz Oscillator - -#NET "VSS" LOC="P16" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 1 -NET "Rdy" LOC="P15" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 2 -#NET "Phi1" LOC="P17" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 3 -#NET "IRQ_n" LOC="P23" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 4 -#NET "NC" LOC="P22" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 5 -#NET "NMI_n" LOC="P26" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 6 -NET "Sync" LOC="P29" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 7 -#NET "VCC" LOC="P24" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 8 -NET "Addr<0>" LOC="P32" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 9 -NET "Addr<1>" LOC="P21" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 10 -NET "Addr<2>" LOC="P1" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 11 -NET "Addr<3>" LOC="P10" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 12 -NET "Addr<4>" LOC="P11" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 13 -NET "Addr<5>" LOC="P8" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 14 -NET "Addr<6>" LOC="P9" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 15 -NET "Addr<7>" LOC="P6" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 16 -NET "Addr<8>" LOC="P7" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 17 -NET "Addr<9>" LOC="P5" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 18 -NET "Addr<10>" LOC="P14" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 19 -NET "Addr<11>" LOC="P12" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 20 - -#NET "VSS" LOC="P94" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 21 -NET "Addr<12>" LOC="P95" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 22 -NET "Addr<13>" LOC="P98" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 23 -NET "Addr<14>" LOC="P99" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 24 -NET "Addr<15>" LOC="P100" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 25 -#NET "Data<7>" LOC="P101" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 26 -#NET "Data<6>" LOC="P104" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 27 -#NET "Data<5>" LOC="P102" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 28 -#NET "Data<4>" LOC="P111" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 29 -#NET "Data<3>" LOC="P97" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 30 -#NET "Data<2>" LOC="P78" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 31 -#NET "Data<1>" LOC="P88" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 32 -#NET "Data<0>" LOC="P87" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 33 -NET "RNW" LOC="P85" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 34 -#NET "NC" LOC="P84" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 35 -#NET "NC" LOC="P83" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 36 -#NET "Phi0" LOC="P82" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 37 -#NET "SO_n" LOC="P80" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 38 -NET "Phi2" LOC="P92" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 39 -NET "nRST" LOC="P93" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # dip pin 40 - -# LEDs and Switches -NET "led3" LOC="P134" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # trigger 0 active -NET "led6" LOC="P119" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # trigger 1 active -NET "led8" LOC="P117" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # stopped at breakpoint -NET "sw1" LOC="P132" | IOSTANDARD = LVCMOS33 ; # reset -NET "sw2" LOC="P131" | IOSTANDARD = LVCMOS33 ; # interrupt - -# 7-segment LED -NET tmosi LOC="P45" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; -NET tdin LOC="P44" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; -NET tcclk LOC="P43" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; - -# UART -NET "avr_TxD" LOC="P51" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; -NET "avr_RxD" LOC="P55" | IOSTANDARD = LVCMOS33 ; - -# External trigger inputs -NET "trig<0>" LOC="P126" | IOSTANDARD = LVCMOS33 ; -NET "trig<1>" LOC="P127" | IOSTANDARD = LVCMOS33 ; - -# Jumpers -# NET "fakeTube_n" LOC="P123" | IOSTANDARD = LVCMOS33 ; diff --git a/target/lx9_jason_flipped/ice6502mon/ice6502mon.xise b/target/lx9_jason_flipped/ice6502mon/ice6502mon.xise deleted file mode 100644 index c7ba93f..0000000 --- a/target/lx9_jason_flipped/ice6502mon/ice6502mon.xise +++ /dev/null @@ -1,594 +0,0 @@ - - - -
- - - - - - - - -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
diff --git a/target/lx9_jason_flipped/ice6502mon/memory.bmm b/target/lx9_jason_flipped/ice6502mon/memory.bmm deleted file mode 100644 index ca88624..0000000 --- a/target/lx9_jason_flipped/ice6502mon/memory.bmm +++ /dev/null @@ -1,38 +0,0 @@ -ADDRESS_MAP avrmap PPC405 0 - - ADDRESS_SPACE rom_code RAMB16 [0x00000000:0x00003fff] - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[0].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[1].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[2].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[3].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[4].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[5].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[6].Ram [15:0]; - END_BUS_BLOCK; - - BUS_BLOCK - mon/Inst_AVR8/PM_Inst/RAM_Inst[7].Ram [15:0]; - END_BUS_BLOCK; - - END_ADDRESS_SPACE; - -END_ADDRESS_MAP; \ No newline at end of file