AtomBusMon/src/AVR8/Peripheral/SynchronizerCompPack.vhd
David Banks 43df61cd06 Single-stepping functionality complete
Change-Id: Ic21b05ae8ecb828d32e55fe36be501800cfb3407
2015-06-07 11:19:33 +01:00

30 lines
899 B
VHDL

--************************************************************************************************
-- Component declaration for the synchronizer
-- Version 0.2
-- Designed by Ruslan Lepetenok
-- Modified 10.08.2003
--************************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
package SynchronizerCompPack is
-- Transparent D latch
component SynchronizerLatch is port(
D : in std_logic;
G : in std_logic;
Q : out std_logic;
QN : out std_logic);
end component;
-- Falling edge triggered flip-flop
component SynchronizerDFF is port(
NRST : in std_logic;
CLK : in std_logic;
D : in std_logic;
Q : out std_logic);
end component;
end SynchronizerCompPack;