1
0
mirror of https://github.com/TomHarte/CLK.git synced 2024-09-30 07:55:01 +00:00

Quick fix: supply the port being written to correctly.

This commit is contained in:
Thomas Harte 2017-08-16 09:15:57 -04:00
parent 82367a2246
commit 1a831bcf9b

View File

@ -213,7 +213,7 @@ void AY38910::set_register_value(uint8_t value) {
evaluate_output_volume();
});
} else {
if(port_handler_) port_handler_->set_port_output(value == 15, value);
if(port_handler_) port_handler_->set_port_output(selected_register_ == 15, value);
}
}