1
0
mirror of https://github.com/TomHarte/CLK.git synced 2024-10-03 10:54:46 +00:00

Provides an empty value for the interrupt cycle.

This commit is contained in:
Thomas Harte 2017-12-13 22:44:03 -05:00
parent c36de4f640
commit 7a52e7d6d2

View File

@ -175,6 +175,10 @@ class ConcreteMachine:
} }
} break; } break;
case CPU::Z80::PartialMachineCycle::Interrupt:
*cycle.value = 0xff;
break;
default: break; default: break;
} }