1
0
mirror of https://github.com/TomHarte/CLK.git synced 2024-10-04 01:57:54 +00:00
CLK/Machines/Oric
2017-07-24 21:19:05 -04:00
..
Microdisc.cpp Converted the TimedEventLoop and the things that sit atop it into ClockReceivers. 2017-07-24 21:19:05 -04:00
Microdisc.hpp
Oric.cpp Converted the TimedEventLoop and the things that sit atop it into ClockReceivers. 2017-07-24 21:19:05 -04:00
Oric.hpp
Typer.cpp
Video.cpp Decided to follow through on Cycles and HalfCycles as complete integer-alikes. Which means giving them the interesting range of operators. Also killed the implicit conversion to int as likely to lead to type confusion. 2017-07-24 20:10:05 -04:00
Video.hpp Converted the Oric's video output into a ClockReceiver. 2017-07-22 23:11:30 -04:00