From abdf3c3adb3d86b39b32cf9afdc68137fb9a7a03 Mon Sep 17 00:00:00 2001 From: jespergravgaard Date: Tue, 27 Aug 2019 22:45:18 +0200 Subject: [PATCH] Added missing fragments for Clay Cowgill --- .../vwsc1_eq_pwsc2_derefidx_vbuxx_then_la1.asm | 7 +++++++ .../vwsc1_eq_pwsc2_derefidx_vbuyy_then_la1.asm | 7 +++++++ .../vwsz1_eq_pwsc2_derefidx_vbuxx_then_la1.asm | 7 +++++++ .../vwsz1_eq_pwsc2_derefidx_vbuyy_then_la1.asm | 7 +++++++ .../vwsz1_ge_pwsc1_derefidx_vbuxx_then_la1.asm | 8 ++++++++ .../vwsz1_ge_pwsc1_derefidx_vbuyy_then_la1.asm | 8 ++++++++ .../vwsz1_gt_pwsc1_derefidx_vbuxx_then_la1.asm | 10 ++++++++++ .../vwsz1_gt_pwsc1_derefidx_vbuyy_then_la1.asm | 10 ++++++++++ .../vwsz1_neq_pwsc1_derefidx_vbuxx_then_la1.asm | 6 ++++++ .../vwsz1_neq_pwsc1_derefidx_vbuyy_then_la1.asm | 6 ++++++ 10 files changed, 76 insertions(+) create mode 100644 src/main/fragment/vwsc1_eq_pwsc2_derefidx_vbuxx_then_la1.asm create mode 100644 src/main/fragment/vwsc1_eq_pwsc2_derefidx_vbuyy_then_la1.asm create mode 100644 src/main/fragment/vwsz1_eq_pwsc2_derefidx_vbuxx_then_la1.asm create mode 100644 src/main/fragment/vwsz1_eq_pwsc2_derefidx_vbuyy_then_la1.asm create mode 100644 src/main/fragment/vwsz1_ge_pwsc1_derefidx_vbuxx_then_la1.asm create mode 100644 src/main/fragment/vwsz1_ge_pwsc1_derefidx_vbuyy_then_la1.asm create mode 100644 src/main/fragment/vwsz1_gt_pwsc1_derefidx_vbuxx_then_la1.asm create mode 100644 src/main/fragment/vwsz1_gt_pwsc1_derefidx_vbuyy_then_la1.asm create mode 100644 src/main/fragment/vwsz1_neq_pwsc1_derefidx_vbuxx_then_la1.asm create mode 100644 src/main/fragment/vwsz1_neq_pwsc1_derefidx_vbuyy_then_la1.asm diff --git a/src/main/fragment/vwsc1_eq_pwsc2_derefidx_vbuxx_then_la1.asm b/src/main/fragment/vwsc1_eq_pwsc2_derefidx_vbuxx_then_la1.asm new file mode 100644 index 000000000..567c12e1b --- /dev/null +++ b/src/main/fragment/vwsc1_eq_pwsc2_derefidx_vbuxx_then_la1.asm @@ -0,0 +1,7 @@ +lda #>{c1} +cmp {c2}+1,x +bne !+ +lda #<{c1} +cmp {c2},x +beq {la1} +!: \ No newline at end of file diff --git a/src/main/fragment/vwsc1_eq_pwsc2_derefidx_vbuyy_then_la1.asm b/src/main/fragment/vwsc1_eq_pwsc2_derefidx_vbuyy_then_la1.asm new file mode 100644 index 000000000..af707da7d --- /dev/null +++ b/src/main/fragment/vwsc1_eq_pwsc2_derefidx_vbuyy_then_la1.asm @@ -0,0 +1,7 @@ +lda #>{c1} +cmp {c2}+1,y +bne !+ +lda #<{c1} +cmp {c2},y +beq {la1} +!: \ No newline at end of file diff --git a/src/main/fragment/vwsz1_eq_pwsc2_derefidx_vbuxx_then_la1.asm b/src/main/fragment/vwsz1_eq_pwsc2_derefidx_vbuxx_then_la1.asm new file mode 100644 index 000000000..1f815f333 --- /dev/null +++ b/src/main/fragment/vwsz1_eq_pwsc2_derefidx_vbuxx_then_la1.asm @@ -0,0 +1,7 @@ +lda {z1}+1 +cmp {c1}+1,x +bne !+ +lda {z1} +cmp {c1},x +beq {la1} +!: \ No newline at end of file diff --git a/src/main/fragment/vwsz1_eq_pwsc2_derefidx_vbuyy_then_la1.asm b/src/main/fragment/vwsz1_eq_pwsc2_derefidx_vbuyy_then_la1.asm new file mode 100644 index 000000000..8b58c24cc --- /dev/null +++ b/src/main/fragment/vwsz1_eq_pwsc2_derefidx_vbuyy_then_la1.asm @@ -0,0 +1,7 @@ +lda {z1}+1 +cmp {c1}+1,y +bne !+ +lda {z1} +cmp {c1},y +beq {la1} +!: \ No newline at end of file diff --git a/src/main/fragment/vwsz1_ge_pwsc1_derefidx_vbuxx_then_la1.asm b/src/main/fragment/vwsz1_ge_pwsc1_derefidx_vbuxx_then_la1.asm new file mode 100644 index 000000000..d63218217 --- /dev/null +++ b/src/main/fragment/vwsz1_ge_pwsc1_derefidx_vbuxx_then_la1.asm @@ -0,0 +1,8 @@ +lda {z1} +cmp {c1},y +lda {z1}+1 +sbc {c1}+1,y +bvc !+ +eor #$80 +!: +bpl {la1} diff --git a/src/main/fragment/vwsz1_ge_pwsc1_derefidx_vbuyy_then_la1.asm b/src/main/fragment/vwsz1_ge_pwsc1_derefidx_vbuyy_then_la1.asm new file mode 100644 index 000000000..d63218217 --- /dev/null +++ b/src/main/fragment/vwsz1_ge_pwsc1_derefidx_vbuyy_then_la1.asm @@ -0,0 +1,8 @@ +lda {z1} +cmp {c1},y +lda {z1}+1 +sbc {c1}+1,y +bvc !+ +eor #$80 +!: +bpl {la1} diff --git a/src/main/fragment/vwsz1_gt_pwsc1_derefidx_vbuxx_then_la1.asm b/src/main/fragment/vwsz1_gt_pwsc1_derefidx_vbuxx_then_la1.asm new file mode 100644 index 000000000..d22fd432c --- /dev/null +++ b/src/main/fragment/vwsz1_gt_pwsc1_derefidx_vbuxx_then_la1.asm @@ -0,0 +1,10 @@ +lda {z1} +cmp {c1},x +lda {z1}+1 +sbc {c1}+1,x +bvc !+ +eor #$80 +!: +beq !e+ +bpl {la1} +!e: diff --git a/src/main/fragment/vwsz1_gt_pwsc1_derefidx_vbuyy_then_la1.asm b/src/main/fragment/vwsz1_gt_pwsc1_derefidx_vbuyy_then_la1.asm new file mode 100644 index 000000000..e0952e2d9 --- /dev/null +++ b/src/main/fragment/vwsz1_gt_pwsc1_derefidx_vbuyy_then_la1.asm @@ -0,0 +1,10 @@ +lda {z1} +cmp {c1},y +lda {z1}+1 +sbc {c1}+1,y +bvc !+ +eor #$80 +!: +beq !e+ +bpl {la1} +!e: diff --git a/src/main/fragment/vwsz1_neq_pwsc1_derefidx_vbuxx_then_la1.asm b/src/main/fragment/vwsz1_neq_pwsc1_derefidx_vbuxx_then_la1.asm new file mode 100644 index 000000000..bf738d53d --- /dev/null +++ b/src/main/fragment/vwsz1_neq_pwsc1_derefidx_vbuxx_then_la1.asm @@ -0,0 +1,6 @@ +lda {z1}+1 +cmp {c1}+1,x +bne {la1} +lda {z1} +cmp {c1},x +bne {la1} \ No newline at end of file diff --git a/src/main/fragment/vwsz1_neq_pwsc1_derefidx_vbuyy_then_la1.asm b/src/main/fragment/vwsz1_neq_pwsc1_derefidx_vbuyy_then_la1.asm new file mode 100644 index 000000000..16cfa9004 --- /dev/null +++ b/src/main/fragment/vwsz1_neq_pwsc1_derefidx_vbuyy_then_la1.asm @@ -0,0 +1,6 @@ +lda {z1}+1 +cmp {c1}+1,y +bne {la1} +lda {z1} +cmp {c1},y +bne {la1} \ No newline at end of file