diff --git a/ossc.qsf b/ossc.qsf index bdb144a..1a7f583 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -224,7 +224,7 @@ set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 12 +set_global_assignment -name SEED 10 diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index b08faca..e6769bb 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000911728 :04002D00F5010113C5 :04002E000000871730 -:04002F00B0C707133C +:04002F00BB470713B1 :0400300000008797AE -:040031000647879364 +:040031001107879399 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,41 +56,41 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367E1D2 -:04003A0067E1BC4777 -:04003B00BC87A58356 +:04003A0067E1C6C7ED +:04003B00C707A583CB :04003C0040A267E196 -:04003D00BCC7A50394 +:04003D00C747A50309 :04003E00206F0131FD -:04003F00A3A14DC06C +:04003F00A3A13AC07F :0400400067E1808272 :04004100000207377B -:04004200BCE7AA234A +:04004200C6E7AE233C :0400430007B7655D39 :040044006761019C53 :04004500CC078793CA -:040046001205051387 -:04004700BCF72823B7 +:040046001C850513FD +:04004700C6F72C23A9 :040048006761AE49F5 -:04004900BD072703C5 +:04004900C78727033B :04004A00A78367E140 -:04004B00557DBD47DB +:04004B00557DC7C751 :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067E1808261 -:04005200BD07A783BC +:04005200C787A78332 :04005300CF91557D77 :04005400A70367E1B6 -:040055002823BD4758 +:040055002823C7C7CE :040056004B1C000738 :0400570007C24B4849 :0400580083C1054219 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367E1B1 -:04005C008082BD07DA +:04005C008082C78750 :04005D002703C93973 :04005E0011510C052B :04005F0000859793EE @@ -174,7 +174,7 @@ :0400AD00953E8626D0 :0400AE00CC3ACE1A60 :0400AF00C836CA166F -:0400B00066D040EFE7 +:0400B000713040EF7C :0400B10007B3101071 :0400B200C03E0096B6 :0400B3008793678543 @@ -273,7 +273,7 @@ :04011000C222853A48 :040111008436C40666 :0401120040EFC026D4 -:04011300872A4E30B9 +:04011300872A58904F :0401140025B76605A0 :04011500652100025E :040116000613468105 @@ -308,7 +308,7 @@ :04013300E963FEB7C7 :0401340066D902F690 :040135008693078A1C -:0401360097B6D6465C +:0401360097B6E0C6D2 :040137008782439CDC :040138002000079309 :040139000D05268307 @@ -341,7 +341,7 @@ :04015400D918DD5C7D :0401550002052A2352 :04015600C134DD10C3 -:04015700B0058593D7 +:04015700BA8585934D :0401580040A222019E :04015900853E478117 :04015A00808201316D @@ -408,7 +408,7 @@ :04019700BFD5078544 :04019800451CC11928 :0401990067E1EF919A -:04019A00AFC7A783C1 +:04019A00BA47A78336 :04019B001151C395A6 :04019C009782C4067C :04019D0047D940A25C @@ -419,7 +419,7 @@ :0401A200C188C3C885 :0401A3008082450110 :0401A4000513656179 -:0401A50047D912051F +:0401A50047D91CC555 :0401A6005529C11CFA :0401A70067338082B8 :0401A80053FD00B54E @@ -612,7 +612,7 @@ :04026300013106104F :040264001151BF591C :040265006461C222EC -:04026600BE8404133B +:04026600C9040413B0 :0402670000244583A7 :04026800C40645156E :040269004783374947 @@ -688,9 +688,9 @@ :0402AF000C500513D7 :0402B0004702359D2F :0402B100479264E12B -:0402B200BE848693ED +:0402B200C904869362 :0402B3004705C6989D -:0402B400BE848493ED +:0402B400C904849362 :0402B5004622440990 :0402B60000E7836377 :0402B700470544298A @@ -871,11 +871,11 @@ :04036600CA0684320D :04036700468236692B :0403680006136761B0 -:040369008A85BE873C +:040369008A85C907B1 :04036A00001697934F :04036B0000C64683FF :04036C008EDD9AF593 -:04036D00BE870793AD +:04036D00C907079322 :04036E0000D606238C :04036F008A89C03E79 :040370004505C299E4 @@ -917,10 +917,10 @@ :0403940065E147C117 :0403950014F49F635A :040396008593460DF8 -:040397000513B08515 +:040397000513BB058A :040398003CC9073025 :04039900464965DD8F -:04039A0022458593E0 +:04039A002CC5859356 :04039B00E593A83509 :04039C00BFA50405F0 :04039D000805E593D7 @@ -932,10 +932,10 @@ :0403A3000FF47413CC :0403A40065E1B775E3 :0403A5008593460DE9 -:0403A6000513B0C5C6 +:0403A6000513BB453B :0403A7003C59073086 :0403A800464965DD80 -:0403A9002385859390 +:0403A9002E05859305 :0403AA0007600513D0 :0403AB00A89FF0EF28 :0403AC000513448968 @@ -997,17 +997,17 @@ :0403E400450544B2D5 :0403E50080820161B0 :0403E600460D65E17A -:0403E700B0C5859385 +:0403E700BB458593FA :0403E80007300513C2 :0403E900991FF0EF79 :0403EA00464965DD3E -:0403EB0021058593D0 +:0403EB002B85859346 :0403EC00460DBDE518 -:0403ED00B0858593BF +:0403ED00BB05859334 :0403EE0007300513BC :0403EF00979FF0EFF5 :0403F000464965DD38 -:0403F1001FC585930C +:0403F1002A45859381 :0403F2004401B5C548 :0403F300B5D54481B7 :0403F400051345E1C7 @@ -1032,9 +1032,9 @@ :040407000590051344 :04040800F06F01315F :0404090067DD905FBC -:04040A0012078793BB -:04040B002C236761D6 -:04040C00A703BEF78D +:04040A001C87879331 +:04040B0020236761E2 +:04040C00A703CAF781 :04040D0005130C8740 :04040E00CB01F380AB :04040F000D47A703EB @@ -1043,7 +1043,7 @@ :04041200808245019E :0404130086AE67E169 :04041400A50385AA0D -:040415001151BF873B +:040415001151CA07B0 :04041600F0EFC40639 :04041700C119BC2F1C :04041800F370051365 @@ -1055,7 +1055,7 @@ :04041E00872E86AAF5 :04041F0064E106226C :04042000A503C385E8 -:040421007593BF848C +:040421007593CA0401 :0404220005A2F0043B :040423009AAFF0EFAD :040424000513C119E2 @@ -1063,7 +1063,7 @@ :0404260044B2444256 :04042700808201616D :04042800A503C22A3C -:04042900C42EBF849A +:04042900C42ECA040F :04042A00C03285B2A5 :04042B0093EFF0EF6C :04042C0046924602AC @@ -1108,19 +1108,19 @@ :04045300B61346A2F4 :0404540085BA001451 :04045500C2368536F0 -:04045600481020EF3B +:0404560051D020EF72 :040457008493872AD9 :0404580046921004B4 :040459001141B77D19 :04045A006461C422F3 :04045B000513C02A9B -:04045C00C606BFC44D -:04045D004DB030EF7F +:04045C00C606CA44C2 +:04045D00581030EF14 :04045E00E9094582E1 :04045F00200007135F :04046000460146818A -:04046100BFC40513FC -:0404620060F030EF27 +:04046100CA44051371 +:040462006B5030EFBC :04046300442240B23D :040464008082014150 :04046500C822112177 @@ -1136,9 +1136,9 @@ :04046F0067E101033D :0404700046818341FD :040471008513862247 -:04047200C41EBFC71E +:04047200C41ECA4793 :0404730030EFC21A8A -:0404740043125C9043 +:04047400431266F0D9 :04047500C90143A2D4 :0404760040A005336A :04047700444240D2E9 @@ -1153,13 +1153,13 @@ :04048000406484B39D :0404810065E1B74535 :040482008593656198 -:040483000513C58513 -:04048400206FC1859F -:0404850065E16310BA +:040483000513CF4549 +:04048400206FCBC555 +:0404850065E16CD0F1 :040486008593656194 -:040487000513CC8508 -:04048800206FC2C55A -:04048900115162109B +:040487000513D6453E +:04048800206FCD050F +:0404890011516BD0D2 :04048A00C222C406C0 :04048B00F0EF842AE0 :04048C0045819B5FAC @@ -1167,12 +1167,12 @@ :04048E004789A9DF12 :04048F0002F405630B :04049000041364618C -:0404910067E1CE440D -:0404920003444603D6 -:040493000334458366 -:04049400C107A503F4 +:0404910067E1D7C484 +:0404920003344603E6 +:040493000324458376 +:04049400CB47A503AA :04049500FF2FF0EF56 -:0404960003344503E3 +:0404960003244503F3 :0404970040A2441229 :04049800F06F0131CF :0404990040A2DCCFD2 @@ -1185,10 +1185,10 @@ :0404A0004581943FBF :0404A100F0EF450132 :0404A20064E1975F1B -:0404A300C6C48793B1 +:0404A300D0848793E7 :0404A4000107C50384 :0404A5008493478570 -:0404A6003533C6C460 +:0404A6003533D08496 :0404A700050500A0A7 :0404A8001363460193 :0404A900460900F40C @@ -1198,14 +1198,14 @@ :0404AD004789AD7F4F :0404AE0002F40863E9 :0404AF00031363616F -:0404B000C783CE43ED +:0404B000C783D7C364 :0404B100470300F409 :0404B20035B3023329 :0404B30046810080FE :0404B4000586460172 :0404B500F0EF45011E :0404B60067E1CE6FBD -:0404B700CE47831396 +:0404B700D7C783130D :0404B8000233478341 :0404B90002F48E2398 :0404BA003F35852223 @@ -1215,76 +1215,76 @@ :0404BE001151A61F13 :0404BF00C222C4068B :0404C00067E1E559B2 -:0404C100C6C7879390 +:0404C100D0878793C6 :0404C200043743D4E4 :0404C3000413019C81 :0404C4005433CC04DD :0404C500868302D454 :0404C600467100A7D4 :0404C700879367E1CF -:0404C80086B3D80718 +:0404C80086B3E2C74E :0404C90097B602C61A :0404CA0000E7D783ED :0404CB00043346A10F :0404CC0067E102F4EE -:0404CD00C3D7C78347 +:0404CD00CE17C783FC :0404CE0002D78D6361 :0404CF00896346C136 :0404D000471100D7F9 :0404D10004E7916348 -:0404D200314030EF96 +:0404D2003B0030EFCC :0404D300A82945050A :0404D40001C9C7B7DC :0404D50037F78793DB :0404D600E363872A2B :0404D700473D008716 :0404D80030EF853A42 -:0404D900450D2FA0FE +:0404D900450D396034 :0404DA0040A24412E6 :0404DB00206F01315C -:0404DC0030EF6B7022 -:0404DD00B5372EA061 +:0404DC0030EF753058 +:0404DD00B537386097 :0404DE00051304C43A :0404DF0035333FF57D :0404E0000509008585 :0404E10030EFB7D56C -:0404E20045012D6043 +:0404E2004501372079 :0404E30067E1BFF11D -:0404E400CE47871365 -:0404E50002D7468371 +:0404E400D7C78713DC +:0404E50002C7468381 :0404E600841347092B -:0404E700453DCE477A +:0404E700453DD7C7F1 :0404E80000E6836344 :0404E90030EF4501AA -:0404EA0047832B60B9 -:0404EB00470902D4E7 +:0404EA0047833520EF +:0404EB00470902C4F7 :0404EC007BE3450D5C :0404ED004515FAF7C0 :0404EE0075138D1DD8 :0404EF00B76D0FF5E1 :0404F0002603676117 -:0404F1001111B1072D -:0404F200CC0667E1EC -:0404F300C826CA222B +:0404F1001101BB87B3 +:0404F200CE0667E1EA +:0404F300CA26CC2227 :0404F4006A07879379 :0404F5000036468304 :0404F600E299829D68 :0404F700FBFD17FDF5 -:0404F800520030EF8F +:0404F8005BC030EFC6 :0404F900879362E1A2 -:0404FA00C783C6C22C +:0404FA00C783D08262 :0404FB00676100B77E :0404FC00849383AAB8 -:0404FD000713C6C259 -:0404FE00C789B107F2 +:0404FD000713D0828F +:0404FE00C789BB8768 :0404FF00C783431458 :040500008B8D0026B9 :04050100037005136B :04050200C43EC61E0F -:04050300697020EF0C +:04050300733020EF42 :040504000513C02AF1 :0405050020EF038060 -:04050600430268D074 +:0405060043027290AA :0405070016936605DC :040508000613008551 :040509008EF1F00679 @@ -1294,11 +1294,11 @@ :04050D00039005133F :04050E0084A3C036CC :04050F0020EF00C415 -:04051000C22A665045 +:04051000C22A70107B :0405110003A005132B -:0405120065B020EFC1 +:040512006F7020EFF7 :04051300871367E102 -:040514004310B107D8 +:040514004310BB874E :04051500468247A231 :040516000026470371 :0405170062E143B2A8 @@ -1323,18 +1323,18 @@ :04052A0047850015EC :04052B0000B4C30352 :04052C00676166613C -:04052D00BD8606136E -:04052E00CE4704139D -:04052F001C03116335 -:040530003E038163A2 -:040531003C058F6393 +:04052D00C8060613E3 +:04052E00D7C7041314 +:04052F001E03136331 +:040530003E038363A0 +:040531003E0581639F :040532000006058337 -:0405330053634309C2 -:0405340000231AB3D3 +:0405330055634309C0 +:0405340000231CB3D1 :040535004711000664 :0405360085A346054E :04053700C03A00C402 -:04053800C6C2A6038E +:04053800D082A603C4 :040539000085131313 :04053A0065054712FA :04053B00F0050513AF @@ -1347,8 +1347,8 @@ :0405420040D000C5E0 :0405430000661663D5 :040544000084C60366 -:0405450038F60A6317 -:04054600CC0582A3BB +:0405450038F60C6315 +:04054600D605802333 :040547000194458353 :040548000324C603BF :0405490008C59A63E4 @@ -1379,14 +1379,14 @@ :040562000184458348 :040563000314C603B4 :0405640002C59463D5 -:040565000264458364 -:0405660003F4C603D1 +:040565000254458374 +:0405660003E4C603E1 :0405670000C59E63CA -:040568000274458351 -:040569000404C603BD +:040568000264458361 +:0405690003F4C603CE :04056A0000C59863CD -:04056B0003144583AD -:04056C0004A4C6031A +:04056B0003044583BD +:04056C000494C6032A :04056D0000C58C63D6 :04056E004589470272 :04056F00000146033E @@ -1399,7 +1399,7 @@ :0405760000A4860354 :04057700063345F111 :0405780065E102B681 -:04057900D805859389 +:04057900E2C58593BF :04057A005583962EE1 :04057B00061301065C :04057C009C6320D08C @@ -1409,6514 +1409,6556 @@ :04058000460900B771 :040581000FF67713E7 :040582006761C03AB3 -:04058300CDD7460387 -:040584008603CA39E7 -:0405850045F100A498 -:04058600063363E1F4 -:04058700839302B6A2 -:04058800C503D803CC +:04058300D7674603ED +:040584008583CE2D70 +:0405850040F100A49D +:0405860085B3666172 +:0405870003930215C3 +:04058800C503E2C6FF :04058900C083011416 -:04058A00159303F4CE -:04058B0081C1010326 -:04058C00D60393B24D -:04058D00053300E34F -:04058E00460102C55B -:04058F00814105425F -:04059000000086637E -:040591000183A60339 -:040592008A0582054F -:04059300C41AC63E82 -:0405940020EFC2365C -:040595004702609029 -:040596004322469224 -:0405970062E147B224 -:040598004705E31917 -:04059900A623C03A9B -:04059A00A223C6D200 -:04059B008423006451 -:04059C00A03D00F48A -:04059D0000230585AD -:04059E00C00200B6E1 -:04059F008463B59527 -:0405A0009063000361 -:0405A1000303220529 -:0405A20050F100060E -:0405A3002013566368 -:0405A40000234711D8 -:0405A50085A3000624 -:0405A600C03A000453 -:0405A700E40592E3F2 -:0405A800C60367E13E -:0405A900C683CE47F0 -:0405AA001E63019437 -:0405AB0046030AD623 -:0405AC00C6830014EE -:0405AD00186301A42A -:0405AE0046030AD620 -:0405AF00C6830024DB -:0405B000126301B41D -:0405B10046030AD61D -:0405B200C6830034C8 -:0405B3001C6301C400 -:0405B400460308D61C -:0405B500C683006495 -:0405B600166301F4D3 -:0405B70065E108D61C -:0405B800461565611E -:0405B900C8D5859389 -:0405BA00CEC5051392 -:0405BB0021B030EF4C -:0405BC0065E1E93DCF -:0405BD004619656115 -:0405BE00C925859333 -:0405BF00CF1505133C -:0405C000207030EF88 -:0405C1004603E12DDF -:0405C200C6830044A8 -:0405C3001C6301D4E0 -:0405C400460304D610 -:0405C500C683005495 -:0405C600166301E4D3 -:0405C700460304D60D -:0405C800C683007472 -:0405C90010630204B5 -:0405CA00460304D60A -:0405CB00C68301F4EE -:0405CC001A63038427 -:0405CD00460302D609 -:0405CE00C6830204DA -:0405CF00146303941A -:0405D000460302D606 -:0405D100C6830214C7 -:0405D2001E6303A4FD -:0405D300460300D605 -:0405D400C68301E4F5 -:0405D5001863037430 -:0405D600460300D602 -:0405D700C6830324B0 -:0405D800066304B4FE -:0405D900478200D67F -:0405DA004785E399D5 -:0405DB004503C03ED6 -:0405DC00C68302547C -:0405DD008C6303E444 -:0405DE00C58300A62B -:0405DF0020EF0114F4 -:0405E00047827830A6 -:0405E10000A48923C6 -:0405E2004785E399CD -:0405E3004503C03ECE -:0405E400C683029434 -:0405E5008463042403 -:0405E60020EF00A65C -:0405E700450379F05F -:0405E800C68302A420 -:0405E90084630434EF -:0405EA0020EF00A658 -:0405EB004503437011 -:0405EC00C68302B40C -:0405ED0084630444DB -:0405EE0020EF00A654 -:0405EF00450343106D -:0405F000C68302E4D8 -:0405F10045830474C6 -:0405F200966302F416 -:0405F300C68300A615 -:0405F4008463048494 -:0405F50020EF00B63D -:0405F60045033ED0AB -:0405F700C683028431 -:0405F8008B630414F9 -:0405F90046E100A631 -:0405FA0002D50533EE -:0405FB00869366DDA0 -:0405FC009536CD86DD -:0405FD00571020EF84 -:0405FE0002D44503DB -:0405FF000464C68347 -:0406000000A6846369 -:04060100AF7FF0EFE8 -:0406020002C44503E6 -:040603000454C68352 -:0406040000A6846365 -:0406050066D020EFAC -:0406060065E166E163 -:04060700D1A68513E0 -:040608008593461D73 -:0406090030EFCBB54E -:04060A0066E10E1087 -:04060B008513C50985 -:04060C0020EFD1A664 -:04060D0046033C1054 -:04060E00C683033468 -:04060F00186304C4A4 -:04061000460300D6C7 -:04061100C683034455 -:04061200066304D4A3 -:04061300450300D6C5 -:04061400F0EF0224DD -:0406150066E19D5F9E -:04061600CDE6C683E4 -:040617004503CE8148 -:04061800C7030354BD -:04061900076304E48B -:04061A00155100A7CF -:04061B00856105628E -:04061C00686020EF03 -:04061D00859367E179 -:04061E008513CE472B -:04061F000613019429 -:0406200030EF03E0D4 -:0406210040E20AB0F9 -:0406220067E14452F6 -:04062300CC078EA3CF -:0406240044C2450285 -:04062500808201715D -:040626000023137D1D -:04062700C0020066A7 -:040628000023BBF5FB -:04062900BFE5000623 -:04062A00CC55C603E2 -:04062B0007E3450597 -:04062C000605C6A653 -:04062D000FF676133B -:04062E00CCC582A312 -:04062F00C6A610E368 -:0406300045894702AF -:04063100000146037B -:0406320000B7736337 -:0406330077134609EA -:04063400C03A0FF6C3 -:040635000113B1A15B -:040636006761FC817B -:04063700D826DA22C5 -:04063800C6C7079397 -:0406390000A784830F -:04063A00656146713F -:04063B0002C486333C -:04063C00D805069344 -:04063D0001A7C583C9 -:04063E000117C303DA -:04063F00C6C7071310 -:04064000D60396B295 -:04064100C40300C628 -:04064200CC3201466F -:040643000136C68333 -:04064400CE36C002EC -:0406450001E7C68380 -:0406460000C7C7839F -:040647000693D4360C -:04064800C43ED805CF -:04064900F793D0361D -:04064A00EB9D0FD540 -:04064B00478356A2E9 -:04064C00C299020746 -:04064D00A005468539 -:04064E00468D46226D -:04064F0000D60B6363 -:0406500046114522E8 -:0406510010C50B6362 -:0406520015E34689DD -:040653000786FED543 -:0406540046A2B7D52E -:0406550097B30786CA -:04065600F79300F620 -:04065700C03E0FF79B -:04065800FFF5869391 -:040659000FF6F6930F -:04065A00C20247850C -:04065B0002D7E0637F -:04065C00460346917A -:04065D00E563020748 -:04065E0006930E668B -:04065F0086B3FFF36C -:0406600096B302C685 -:04066100F79300D734 -:04066200C23E0FF68F -:0406630001F74783D1 -:04066400C602468103 -:04066500438DCA3EB9 -:040666009513429511 -:040667009B6300266B -:0406680007B30C7553 -:04066900C78300D76C -:04066A0086130217DA -:04066B008A3DFFF7CE -:04066C0000A616339B -:04066D0037B3453228 -:04066E0097B300F04E -:04066F008E4900D7D9 -:040670004602C63246 -:04067100F7938FD19B -:04067200C03E0FF780 -:0406730096E306857F -:040674004681FC5669 -:04067500438DC802E7 -:0406760095134299FD -:040677009263002664 -:0406780007B30A7545 -:04067900C78300D75C -:04067A00861302677A -:04067B008A3DFFF7BE -:04067C0000A616338B -:04067D0037B3454208 -:04067E0097B300F03E -:04067F008E4900D7C9 -:040680004612C83224 -:04068100F7938FD18B -:04068200C23E0FF76E -:0406830096E306856F -:0406840047F1FC56E8 -:0406850002F487B341 -:0406860097B656824B -:04068700D2024F9CB0 -:04068800CB818B8512 -:04068900C79147A22C -:04068A0001D74783CA -:04068B000017B7930A -:04068C004783D23E90 -:04068D004685019706 -:04068E0004D79C638E -:04068F00CB9947A21A -:0406900087B347F1F4 -:04069100568202F497 -:04069200C68397B6CE -:040693004791017713 -:0406940004F6916374 -:04069500C002C202DB -:0406960087B3A82D51 -:04069700BDE502D7E4 -:04069800FFE3051364 -:0406990002C506335D -:04069A001633450DC1 -:04069B00779300C58C -:04069C00BF210FF675 -:04069D00953347D278 -:04069E0047B200A7B8 -:04069F00C63E8FC9FB -:0406A00047D2B7B1D5 -:0406A10000A79533E6 -:0406A2008FC947C2F3 -:0406A300BFBDC83ED1 -:0406A4004B50D3F1F3 -:0406A50005636785FD -:0406A600E96336F6D8 -:0406A700079302C7EC -:0406A80007630400E0 -:0406A900069334F68A -:0406AA00FC630200EB -:0406AB00069302C7E9 -:0406AC00479108006A -:0406AD0002D60A6304 -:0406AE008006069329 -:0406AF00C68D478D20 -:0406B000000406B785 -:0406B1007663879A4B -:0406B200A83930D65D -:0406B300000207B783 -:0406B40032F60D63AA -:0406B5002EC7E16308 -:0406B6004799668971 -:0406B70000D6066300 -:0406B800479166C13F -:0406B900FCD61EE36A -:0406BA00C58366E1AD -:0406BB0046A1C3D6BB -:0406BC0000D595636D -:0406BD0004420406E9 -:0406BE0046838041AE -:0406BF004585013735 -:0406C000F763CA2EE4 -:0406C10006B30086F6 -:0406C20006C240D458 -:0406C300CA3682C1F0 -:0406C40085334571C4 -:0406C50006B302A4D2 -:0406C600D63640F3F1 -:0406C7004589568289 -:0406C800031742834F -:0406C900962674F904 -:0406CA0012FD44D207 -:0406CB00538395368A -:0406CC0006B300A5CC -:0406CD0032B340F311 -:0406CE0086B300509F -:0406CF0002F602D35A -:0406D00000163613C7 -:0406D100C6B3067234 -:0406D20006C202B6A4 -:0406D300D03682C1DA -:0406D40003874683CF -:0406D5004403558203 -:0406D60086B30125C1 -:0406D7008B9D02D71E -:0406D80096AE07C211 -:0406D90000D745837E -:0406DA00029304B3D0 -:0406DB007FF6F6931D -:0406DC00E5B305FA83 -:0406DD0006CE0055F0 -:0406DE0047D28EDD94 -:0406DF0075938E4D34 -:0406E00005AA0073F4 -:0406E100028302B3DB -:0406E2000FF4F4938A -:0406E3008E4504D26A -:0406E400026383B377 -:0406E50000878333D4 -:0406E600F29357B282 -:0406E70002AE1FF24E -:0406E800005666331F -:0406E90004B744030B -:0406EA000333887DD1 -:0406EB00F39302F390 -:0406EC0063B37FF382 -:0406ED00460300764A -:0406EE005782012707 -:0406EF008A1D04124A -:0406F0008ED106366B -:0406F100933E4622CC -:0406F20003974783A0 -:0406F30006768ECD2C -:0406F40003F7F7937E -:0406F50001554583E3 -:0406F6008FD107D2C7 -:0406F700899D467221 -:0406F800751305C6AB -:0406F9008FCD03F6A8 -:0406FA008D5D052EDF -:0406FB0003A7478387 -:0406FC0073134662CC -:0406FD008BBD3FF37F -:0406FE0047B28C5D16 -:0406FF00C006F693A8 -:040700000066E6B3F6 -:040701007FF67313F9 -:0407020000656533F6 -:0407030000C7931385 -:0407040046034782DF -:04070500531301B7D2 -:040706008BFD00C3A4 -:0407070067B307D2FB -:04070800430300F3B4 -:040709008A7D01C71D -:04070A0073130666F9 -:04070B0017130013AD -:04070C00E33301E3EF -:04070D00579200C738 -:04070E0000E363336E -:04070F008000073728 -:0407100001F79593C5 -:04071100471347C281 -:040712007333FFF747 -:04071300949300E3D8 -:040714004792008781 -:04071500633380A129 -:04071600F79300B3A2 -:0407170007E203F7FB -:0407180057A28CDD7B -:0407190007FE8CF952 -:04071A0067E18CDD2A -:04071B00B107A70378 -:04071C000FF3F7934D -:04071D0000C74603C8 -:04071E0000F70623B7 -:04071F000083D793E9 -:040720000FF7F79345 -:0407210000D74603B4 -:0407220000F706A333 -:040723000103D79364 -:040724000FF7F79341 -:0407250000E74603A0 -:0407260000F70723AE -:0407270000F747830D -:040728000183D393E3 -:04072900007707A3AB -:04072A000FF6F7933C -:04072B000107460379 -:04072C0000F70823A7 -:04072D000086D793D8 -:04072E000FF7F79337 -:04072F000117460365 -:0407300000F708A323 -:040731000106D79353 -:040732000FF7F79333 -:040733000127460351 -:0407340000F709239E -:0407350001374783BE -:0407360009A382E1B0 -:04073700779300D7DD -:0407380046830FF5F0 -:040739000A23014747 -:04073A00579300F7DA -:04073B00F7930085AB -:04073C0046830FF7EA -:04073D000AA30157B3 -:04073E00579300F7D6 -:04073F00F793010526 -:0407400046830FF7E6 -:040741000B2301671E -:04074200478300F7F2 -:040743008161017758 -:0407440000A70BA35C -:040745000FF47793A3 -:04074600018746835E -:0407470000F70C2388 -:04074800008457933F -:04074900019746834B -:04074A0000F70CA305 -:04074B0001A7478338 -:04074C0000070D2372 -:04074D0001B7478326 -:04074E000DA3806116 -:04074F007793008715 -:0407500046830FF3DA -:040751000E2301C7AB -:04075200579300F7C2 -:04075300F793008395 -:0407540046830FF7D2 -:040755000EA301D717 -:04075600579300F7BE -:04075700F793010310 -:0407580046830FF7CE -:040759000F2301E782 -:04075A00478300F7DA -:04075B00531301F73C -:04075C000FA3018363 -:04075D00F7930067A7 -:04075E0046830FF4CB -:04075F00002302076A -:04076000D79302F732 -:04076100F793008486 -:0407620046830FF7C4 -:0407630000A30217D6 -:04076400D79302F72E -:04076500F793010401 -:0407660046830FF7C0 -:0407670080E1022704 -:0407680002F7012370 -:040769000237478389 -:04076A00029701A34E -:04076B0054C25452CE -:04076C0003810113F1 -:04076D0007B78082C8 -:04076E000C63001008 -:04076F0007B704F6CE -:040770001FE3002063 -:040771004683CEF6F7 -:040772004799037729 -:04077300F7938F95D4 -:0407740046830FF7B2 -:0407750099E30317EA -:0407760046E2D00681 -:0407770006C216A1FF -:04077800CC3682C138 -:0407790006B146F28D -:04077A0082C106C270 -:04077B00B9EDCE36D0 -:04077C000377468336 -:04077D008F95478D80 -:04077E00F793078660 -:04077F00B1ED0FF7D2 -:040780000377468332 -:040781008F95479178 -:040782004683BFCD1E -:04078300479503771C -:040784004795BFDDF9 -:040785001111BF7D12 -:04078600CA2267E13B -:04078700646147055D -:04078800CCE782A395 -:04078900C6C4079348 -:04078A000047A283FF -:04078B00CC06C826AA -:04078C00C6C4049348 -:04078D002202896358 -:04078E00C6C42703B3 -:04078F0022070563D5 -:04079000A0EEC7B759 -:04079100B007879393 -:0407920002E7D7B3F0 -:040793000084C70314 -:04079400019C03B70A -:04079500CC0383937B -:040796000253D3B384 -:0407970020070363D1 -:040798000257D2B37F -:0407990003B0051391 -:04079A00C21EC6169F -:04079B00436020EFA8 -:04079C000513C42A53 -:04079D0020EF03C086 -:04079E00C78342C00B -:04079F00C603018408 -:0407A00043920084FC -:0407A1000027971383 -:0407A200879367D9F9 -:0407A30097BADE071C -:0407A4002703439450 -:0407A5000793C6C42C -:0407A60042B2070054 -:0407A7000793E219B9 -:0407A800663706901A -:0407A9006561000185 -:0407AA00E946061303 -:0407AB00051345C528 -:0407AC00C216C2C5EA -:0407AD0030EFC61E45 -:0407AE00429234E05F -:0407AF00079343B2B7 -:0407B0000693064066 -:0407B10065613E80C0 -:0407B20002F2D73345 -:0407B300051345C520 -:0407B400F633CC85C7 -:0407B500C23A02D36F -:0407B60002F2F2B3A6 -:0407B700472987BA8D -:0407B80002E65733CB -:0407B900000166379E -:0407BA000613C0164C -:0407BB00D6B3E9C602 -:0407BC0030EF02D345 -:0407BD0067E131209F -:0407BE00D6D7C78340 -:0407BF00F0EFE399DB -:0407C00067E1B18FAD -:0407C100CDC7C68357 -:0407C200C583461293 -:0407C3002503008486 -:0407C40020EFC6C498 -:0407C50067E1239035 -:0407C60086BE577D17 -:0407C70014E50C63C6 -:0407C80000A48523E1 -:0407C90000A48703FE -:0407CA00467167E12C -:0407CB00C0E78523DB -:0407CC0002C7073326 -:0407CD0007936461C9 -:0407CE00C503D80483 -:0407CF00C683CDC64A -:0407D00040D0011400 -:0407D10003F4C283E8 -:0407D200D804041330 -:0407D300C70397BA07 -:0407D4008D790167B3 -:0407D5000EA36761A7 -:0407D600D583C2A75E -:0407D700472200E7CE -:0407D80002D585B30E -:0407D9000FF777138C -:0407DA00073346811A -:0407DB0005C202B79A -:0407DC00573381C14D -:0407DD00064202C707 -:0407DE00856382416C -:0407DF004F94000231 -:0407E0008A858285FF -:0407E1000FF7771384 -:0407E200025020EFB2 -:0407E3000464C503E2 -:0407E400B6AFF0EFCD -:0407E5000114C583B3 -:0407E60003E4C50360 -:0407E700764020EF49 -:0407E80000A48923BD -:0407E900933FF0EF5B -:0407EA0003B4C7038A -:0407EB00C503478972 -:0407EC00458100E45F -:0407ED0000F70763A7 -:0407EE0000F4C583CB -:0407EF00B5938D89A8 -:0407F000F0EF001511 -:0407F10040D8826FFB -:0407F200019C07B7A8 -:0407F300CC07879315 -:0407F40002E7D7B38E -:0407F5000114C70321 -:0407F600C60346F1FF -:0407F70087B300D4F0 -:0407F800870302E78A -:0407F900073300A41E -:0407FA00468D02D74F -:0407FB005703943AD2 -:0407FC0087B300E4DB -:0407FD00C70302E745 -:0407FE00070500C427 -:0407FF0002E787B3D3 -:0408000000E4C70346 -:0408010087B30705AD -:04080200676102E741 -:04080300C0F72823EF -:0408040006D616639B -:04080500282383859C -:040806002683C0F78E -:040807000737C107E7 -:0408080007130511BC -:040809004785F40724 -:04080A0000D76B6345 -:04080B0004787737BF -:04080C008BF707134C -:04080D007463478148 -:04080E00C78300D7C5 -:04080F00C703010416 -:04081000C583049404 -:04081100E70903B43C -:040812000104C70313 -:0408130002F70F6376 -:04081400882344529F -:0408150040E200F4C9 -:04081600852E44C225 -:04081700F06F01710C -:040818000786A10F9F -:040819006285BBF544 -:04081A0082936391D1 -:04081B00839377024A -:04081C00BBCDD54338 -:04081D008523479157 -:04081E00B56D00F4C0 -:04081F001EE345850A -:04082000078AF8B695 -:0408210002D7D7B370 -:040822004789B779D2 -:0408230002F58163F6 -:040824004589C191B0 -:04082500C7834452EF -:04082600C70300F410 -:0408270040E203C4E4 -:04082800468144C2FF -:04082900450146013E -:04082A00E06F017109 -:04082B0040E2F12F87 -:04082C0044C244522C -:04082D008082017153 -:04082E00C02611517E -:04082F00C50364E1B8 -:040830004581C0D46A -:04083100C406C22215 -:04083200149010EF1F -:04083300E121842A11 -:04083400C0D4C683E3 -:04083500472967E107 -:04083600C6D784A3FA -:04083700C78367E12B -:040838008563D217EB -:04083900676100E70C -:04083A00C0F70AA356 -:04083B00C70367E1A7 -:04083C004781C6A783 -:04083D0067E1C701A7 -:04083E00C157C78354 -:04083F0007136761D3 -:0408400097BAC48718 -:040841008023453D8E -:0408420010EF00D7DC -:0408430085227A0090 -:04084400441240A278 -:0408450001314482B7 -:04084600115180824A -:0408470064E1C02682 -:04084800C0D4C50350 -:04084900C406C222FD -:04084A00782010EF13 -:04084B00E51D842AF9 -:04084C00C0D4C683CB -:04084D0084A367E138 -:04084E0067E1C6D7C1 -:04084F00C6A7C7036E -:04085000C701478114 -:04085100C78367E111 -:040852006761C847CB -:04085300C48707133C -:04085400453D97BACD -:0408550000D7802325 -:04085600752010EF0A -:0408570040A2852214 -:040858004482441280 -:040859008082013167 -:04085A00C70367E188 -:04085B0046F1C0A7FB -:04085C00822367E1AB -:04085D000733CCE7AA -:04085E0067E102D775 -:04085F00D80787939C -:04086000D68397BAEA -:04086100676100E7E4 -:04086200C4D71A23BA -:040863000147C68300 -:040864001023676195 -:04086500C683CED7A1 -:04086600676101279E -:04086700C0D71423BF -:0408680000A7D6838C -:04086900132367618D -:04086A00C683C4D7A6 -:04086B006761015769 -:04086C00C2D71F23AD -:04086D000137C68306 -:04086E001D2367617E -:04086F00D703CCD708 -:0408700067E100C775 -:04087100C4E7912324 -:0408720067E1808238 -:04087300C603115156 -:0408740067E1CC4725 -:04087500D483C22640 -:0408760067E1C5472A -:04087700D403C422C0 -:0408780067E1CE075F -:04087900C087C383EE -:04087A00D28367E1DD -:04087B0067E1C46706 -:04087C00C3E7D303F8 -:04087D00C58367E1E7 -:04087E006761CDA73A -:04087F00D78367E1D3 -:040880000713C4276F -:0408810086AAC6C7B6 -:0408820000B7450373 -:0408830067E1C03E2B -:04088400D807879377 -:040885000703C9316B -:04088600176300A74D -:04088700477104C7EA -:0408880002E607334A -:040889005503973E3E -:04088A001B6300E705 -:04088B00450302958A -:04088C0017630147A6 -:04088D004503028598 -:04088E0013630127C8 -:04088F005503027596 -:040890001F6300A73B -:0408910045030055C6 -:040892001B6301578C -:0408930045030065B4 -:0408940017630137AE -:04089500570300B550 -:04089600450200C750 -:0408970000A706634D -:04089800450567614A -:04089900CCA70EA337 -:04089A000633477169 -:04089B00656102E6AB -:04089C00C5850513F6 -:04089D0089A397B2E2 -:04089E008A2300B7F2 -:04089F008923008722 -:0408A0008AA30077B0 -:0408A10047020067A3 -:0408A2009723442232 -:0408A30044920097E4 -:0408A4000057952341 -:0408A50000E79623AF -:0408A60000017637A0 -:0408A700C7860613E7 -:0408A800013145C510 -:0408A9007610206F36 -:0408AA00C40611511E -:0408AB0047C5C22259 -:0408AC0000021437FB -:0408AD000EF4202302 -:0408AE00A78367E1D4 -:0408AF002023B1074A -:0408B00065090E04C4 -:0408B10000C7C703B2 -:0408B2000007862392 -:0408B30000D7C703A0 -:0408B400000786A310 -:0408B50000E7C7038E -:0408B600000787238D -:0408B70000F7C7037C -:0408B800000787A30B -:0408B9000147C70329 -:0408BA0000078A2386 -:0408BB000157C70317 -:0408BC0000078AA304 -:0408BD000167C70305 -:0408BE0000078B2381 -:0408BF000177C703F3 -:0408C00071050513A6 -:0408C10000078BA3FE -:0408C200DF7FD0EF15 -:0408C3001D100713EA -:0408C400902367E135 -:0408C5001537C4E738 -:0408C6000793000391 -:0408C70020231D10BD -:0408C80005130EF412 -:0408C900D0EFD40593 -:0408CA002637DD9F51 -:0408CB0005B7000667 -:0408CC000513019C73 -:0408CD000613040406 -:0408CE008593A80660 -:0408CF00E0EFCC0585 -:0408D00010EFA34F33 -:0408D10010EF4610CE -:0408D200547969B03C -:0408D3004501CD41CD -:0408D400753010EF7C -:0408D5000FF0079386 -:0408D60005635475ED -:0408D70020EF08F511 -:0408D800450934A0FA -:0408D900DB2FE0EF42 -:0408DA00547147CD41 -:0408DB0006F51C639F -:0408DC00E22FE0EF38 -:0408DD003A5010EF8E -:0408DE0067E1C50900 -:0408DF008F23470517 -:0408E000E0EFCCE792 -:0408E100842ACA5F3C -:0408E20000EFE13D05 -:0408E30065D96BC0A8 -:0408E4000613656131 -:0408E500859303E014 -:0408E6000513F3C53E -:0408E70020EFC855E1 -:0408E80065D958F086 -:0408E900061365612C -:0408EA00859303608F -:0408EB0005130B8561 -:0408EC0020EFD245E2 -:0408ED00458157B03A -:0408EE0010EF453D85 -:0408EF0067E16560F8 -:0408F000C697C503DF -:0408F10010EF45813E -:0408F20017B764A030 -:0408F300A7830002D5 -:0408F40097130D0742 -:0408F500446300E771 -:0408F60000EF000708 -:0408F70045016A80CD -:0408F800E8FFE0EF46 -:0408F90040A2852272 -:0408FA000131441272 -:0408FB00547D808226 -:0408FC0067E1BFD51C -:0408FD00C0B7C78336 -:0408FE00FDC1011324 -:0408FF000785CE2279 -:040900000FF7F79363 -:0409010007C207B270 -:04090200C83E83C1A7 -:040903005783646151 -:040904004742C4049E -:04090500CC26D00626 -:0409060067218FD9FD -:0409070010238FD951 -:040908001737C4F4E5 -:04090900C202000224 -:04090A006637C40286 -:04090B0064E10001A2 -:04090C000EF720239F -:04090D00E0C6061327 -:04090E00851345C543 -:04090F0020EFC5848C -:04091000E0EF5C7048 -:040911006741DC5FFF -:040912004785177D81 -:040913001737CA3A8E -:040914002683000234 -:0409150046520D0732 -:04091600C6368EF162 -:04091700C6F1E7F945 -:04091800869366E17B -:04091900D603D246E9 -:04091A0045B201667B -:04091B00C404578336 -:04091C0012B6146398 -:04091D0016FD76F558 -:04091E0010238FF51E -:04091F002023C4F4D9 -:040920008C230EF71F -:04092100E0EFC4043B -:040922002537D81F7E -:0409230005130006B2 -:04092400D0EFA80563 -:040925005783C6DF4F -:040926004742C4047C -:0409270005136691BD -:040928008FD93E80A5 -:0409290010238FD533 -:04092A001737C4F4C3 -:04092B002023000283 -:04092C0020EF0EF7B3 -:04092D0020EF40B0C7 -:04092E0047854310A6 -:04092F001C6366E1FE -:04093000A60302F523 -:040931004783B10641 -:0409320047030086F1 -:040933000722009601 -:0409340047838F5D09 -:0409350007C200A64F -:0409360047838F5D07 -:0409370007E200B61D -:0409380097138FD9A9 -:0409390083410107EE -:04093A009713C23A13 -:04093B00835100479D -:04093C00D2E3C43A04 -:04093D0020EFFC07A4 -:04093E00479240900C -:04093F006637EF9593 -:040940000613000199 -:0409410045C5E18641 -:04094200C5848513D0 -:040943004F9020EFC2 -:04094400CF7FE0EF92 -:04094500C40457038C -:0409460017FD77F131 -:0409470010238FF9F1 -:040948001737C4F4A5 -:040949002023000265 -:04094A0065090EF736 -:04094B00710505131A -:04094C00BCFFD0EF2D -:04094D00BF2147B2CD -:04094E004752479233 -:04094F0000E79763C3 -:040950000001663705 -:04095100E286061321 -:040952004712BF7D0C -:04095300660545A24E -:0409540006400793BF -:0409550056B3167D02 -:04095600773302F7FA -:040957009C6302F7A4 -:04095800663700C539 -:040959000613000180 -:04095A0045C5E306A6 -:04095B00C5848513B7 -:04095C00495020EFEF -:04095D004622BF71FE -:04095E00851345C5F3 -:04095F007633C584A2 -:04096000C03202F6A9 -:0409610057B3462220 -:04096200663702F6FC -:040963000613000176 -:0409640020EFE3C6D7 -:04096500BFAD4730AB -:040966000186D683AD -:040967009BE3463296 -:0409680007D2F6C6F6 -:04096900102383D103 -:04096A005082C4F4FF -:04096B00202344728F -:04096C0044E20EF75C -:04096D00011345012C -:04096E008082024140 -:04096F00C406115158 -:04097000857FF0EFA0 -:040971005C9010EF97 -:0409720040A267E157 -:04097300D067C50381 -:04097400E06F0131FE -:040975000113C9DFC2 -:04097600D406FD4165 -:04097700D026D22292 -:04097800B8DFD0EF25 -:04097900F0EF842AED -:04097A0086AACC3F3E -:04097B00656164E16D -:04097C001206C66336 -:04097D0000016637D8 -:04097E000530071326 -:04097F000613468194 -:0409800045C5E5067E -:04098100C2C50513D3 -:040982003FD020EF53 -:04098300000165B753 -:0409840085934645CC -:040985008513E805E9 -:0409860020EFCC840E -:04098700E0EF31303C -:04098800A537BF9F31 -:04098900051300074B -:04098A00D0EF120593 -:04098B00D0EFAD5F9D -:04098C005513AF5FF1 -:04098D00079300A428 -:04098E0007B312C0D9 -:04098F00646102F5A8 -:04099000C402C602D5 -:04099100C202C002DC -:04099200C6C40413C0 -:040993004799CC3E76 -:0409940017B7C83E8B -:04099500A783000232 -:0409960066610D0782 -:0409970097136561EC -:04099800834101078F -:04099900FFF7C6930B -:04099A00D6E6222358 -:04099B00073783E1B6 -:04099C008F75000350 -:04099D000FF7F593C8 -:04099E00A42366E147 -:04099F000623D6E66F -:0409A0008532D6B511 -:0409A10066E186364F -:0409A2008793CF81E7 -:0409A300F793FFE5E2 -:0409A400430D0FF7F9 -:0409A50000F3766382 -:0409A600D606C78327 -:0409A70000B794639E -:0409A800D60522232B -:0409A900802367E15F -:0409AA00A683D6B694 -:0409AB00AE23D5C7DB -:0409AC00EAD1D4E7D1 -:0409AD00C78366E1B5 -:0409AE0064E1CDF63D -:0409AF004783C3F1C6 -:0409B000CFDD018412 -:0409B10047836761B0 -:0409B200460300B444 -:0409B3008FD1D6D733 -:0409B400D0EFEBC5D0 -:0409B50047E2A75F0F -:0409B6000AF5646377 -:0409B7000793473229 -:0409B800EF630630B3 -:0409B90066E108E704 -:0409BA00CDF6C6832D -:0409BB004605478521 -:0409BC0000F6876357 -:0409BD00861347C294 -:0409BE003633FFA726 -:0409BF00478300C0AA -:0409C00046A10184C7 -:0409C100F79317FD94 -:0409C200E9630FF7DF -:0409C30066D904F6F7 -:0409C4008693078A85 -:0409C50097B6D98682 -:0409C6008782439C45 -:0409C700000166378E -:0409C800E6460613E6 -:0409C900051345C508 -:0409CA0020EFC2C593 -:0409CB0065B72DB02F -:0409CC00464500019B -:0409CD0040058593C9 -:0409CE00CC8485133D -:0409CF00363020EFAF -:0409D000AD7FE0EF28 -:0409D1002423A0013A -:0409D200B7ADD606E1 -:0409D300C78367E18E -:0409D40037B3C4472A -:0409D500078900F09E -:0409D600C0F48AA33C -:0409D700474247B29A -:0409D800F793078505 -:0409D900C63E0FF710 -:0409DA0007634799CF -:0409DB00079300F787 -:0409DC00F793001776 -:0409DD00C83E0FF70A -:0409DE009ABFD0EFFD -:0409DF00C43E478546 -:0409E00067E1218129 -:0409E100D6D7C7831B -:0409E200C781CA2AD5 -:0409E30000EF4501DB -:0409E400C78366F06F -:0409E5004683C15430 -:0409E60084630184A1 -:0409E700475200F67D -:0409E8004722E711AA -:0409E9004683CB1561 -:0409EA00C69D00B4F2 -:0409EB00C70366E1F7 -:0409EC00C43AC6A69D -:0409ED0066E1C315E7 -:0409EE00C4868693A2 -:0409EF00C50397B6EF -:0409F00067E10007B4 -:0409F100C697C6835C -:0409F2008763C40251 -:0409F300458100A694 -:0409F400C6A784A36B -:0409F50023C010EF1C -:0409F600C154C6031F -:0409F70001844783AD -:0409F80012C783633C -:0409F900473D67E12E -:0409FA00CCE78E2395 -:0409FB0085BE470D61 -:0409FC0006C7736354 -:0409FD00F4634795C3 -:0409FE00478906C758 -:0409FF00450947055A -:040A00000793C23E58 -:040A0100F793FFF672 -:040A020046A10FF703 -:040A030004F6ED63A5 -:040A0400078A66D91E -:040A0500DBC6869333 -:040A0600439C97B6C0 -:040A07004785878216 -:040A080067E1FE059F -:040A0900B0F7C783F8 -:040A0A000017B79387 -:040A0B00B72D07916B -:040A0C00D21D479917 -:040A0D00C154C78386 -:040A0E00479DB70544 -:040A0F0067E1BF31AB -:040A1000C147C78390 -:040A110000F037B307 -:040A1200B73907A148 -:040A130015E3479907 -:040A14004785F0061C -:040A1500470DB711C1 -:040A1600C2024505CE -:040A17004709B75D77 -:040A1800BFE54501F0 -:040A19004783C0024D -:040A1A000C23046441 -:040A1B0005A300C46B -:040A1C004685000407 -:040A1D00F663458DAA -:040A1E00459500F604 -:040A1F00F5938D9D21 -:040A2000CE3A0FF5C6 -:040A21001D7010EF45 -:040A22002A7010EF37 -:040A2300B56FE0EFDC -:040A2400C78367E13C -:040A25004772CDE760 -:040A2600853AC781C5 -:040A2700634010EF29 -:040A280045124582AC -:040A2900791010EF41 -:040A2A000184478379 -:040A2B004645656176 -:040A2C0000279713F5 -:040A2D00879367D96B -:040A2E0097BADE078E -:040A2F000513438CDC -:040A30002223C2C5F6 -:040A310020EF0004AE -:040A320065B71D90F7 -:040A330065610001F8 -:040A3400859346451B -:040A35000513E74579 -:040A360020EFCC855C -:040A370067E11C5007 -:040A3800D6D7C783C3 -:040A3900E0EFE3996E -:040A3A0047D2931FED -:040A3B0067E1CF8917 -:040A3C00C0C7C70365 -:040A3D00156347A94D -:040A3E00453D00F73B -:040A3F007AF000EF5A +:04058A00071303E46C +:04058B004601E2C67D +:04058C00D58393AED2 +:04058D00C23A00E38B +:04058E0002B505337A +:04058F0001031593BC +:04059000054281C1DE +:0405910086638141BB +:04059200A6030000BC +:040593008205018359 +:04059400C61A8A05F4 +:04059500C83EC43662 +:0405960069F020EFF9 +:0405970000A4860333 +:04059800479245F150 +:0405990002B606336D +:04059A000114C58300 +:04059B004503963E40 +:04059C0030EF0166D5 +:04059D00470212A05F +:04059E0000A4892309 +:04059F00433246A2FB +:0405A00062E147C20B +:0405A1004705E3190E +:0405A200A423C03A94 +:0405A300A223D0D2ED +:0405A4008423006448 +:0405A500A03D00F481 +:0405A60000230585A4 +:0405A700C00200B6D8 +:0405A8008463B58132 +:0405A9009063000358 +:0405AA000303200522 +:0405AB0050F1000605 +:0405AC001E13566361 +:0405AD0000234711CF +:0405AE0085A300061B +:0405AF00C03A00044A +:0405B000E20590E3ED +:0405B100C60367E135 +:0405B200C683D7C75E +:0405B3001E6301942E +:0405B40046030AD61A +:0405B500C6830014E5 +:0405B600186301A421 +:0405B70046030AD617 +:0405B800C6830024D2 +:0405B900126301B414 +:0405BA0046030AD614 +:0405BB00C6830034BF +:0405BC001C6301C4F7 +:0405BD00460308D613 +:0405BE00C68300648C +:0405BF00166301F4CA +:0405C00065E108D613 +:0405C1004615656115 +:0405C200D2958593B6 +:0405C300D8450513FF +:0405C40029D030EF1B +:0405C50065E1E93DC6 +:0405C600461965610C +:0405C700D2E5859361 +:0405C800D8950513AA +:0405C900289030EF57 +:0405CA004603E12DD6 +:0405CB00C68300449F +:0405CC001C6301D4D7 +:0405CD00460304D607 +:0405CE00C68300548C +:0405CF00166301E4CA +:0405D000460304D604 +:0405D100C683007469 +:0405D20010630204AC +:0405D300460304D601 +:0405D400C68301F4E5 +:0405D5001A6303841E +:0405D600460302D600 +:0405D700C6830204D1 +:0405D8001463039411 +:0405D900460302D6FD +:0405DA00C6830214BE +:0405DB001E6303A4F4 +:0405DC00460300D6FC +:0405DD00C68301E4EC +:0405DE001863037427 +:0405DF00460300D6F9 +:0405E000C6830314B7 +:0405E100066304A405 +:0405E200478200D676 +:0405E3004785E399CC +:0405E4004503C03ECD +:0405E500C683028443 +:0405E6008463041412 +:0405E70030EF00A64B +:0405E8004503036064 +:0405E900C68302942F +:0405EA0084630424FE +:0405EB0020EF00A657 +:0405EC0045034CF087 +:0405ED00C68302A41B +:0405EE0084630434EA +:0405EF0020EF00A653 +:0405F00045034C90E3 +:0405F100C68302D4E7 +:0405F20045830464D5 +:0405F300966302E425 +:0405F400C68300A614 +:0405F50084630474A3 +:0405F60020EF00B63C +:0405F7004503485020 +:0405F800C683027440 +:0405F9008B63040408 +:0405FA0046E100A630 +:0405FB0002D50533ED +:0405FC00869366DD9F +:0405FD009536D80651 +:0405FE00609020EFFA +:0405FF0002C44503EA +:040600000454C68355 +:0406010000A6846368 +:04060200AF3FF0EF27 +:0406030002B44503F5 +:040604000444C68361 +:0406050000A6846364 +:04060600705020EF21 +:0406070065E166E162 +:04060800DB16851365 +:040609008593461D72 +:04060A0030EFD56593 +:04060B0066E118305C +:04060C008513C50984 +:04060D0020EFDB16E9 +:04060E0046034590CA +:04060F00C683032477 +:04061000186304B4B3 +:04061100460300D6C6 +:04061200C683033464 +:04061300066304C4B2 +:04061400450300D6C4 +:04061500F0EF0224DC +:0406160066E19D1FDD +:04061700D776C68349 +:040618004503CE8147 +:04061900C7030344CC +:04061A00076304D49A +:04061B00155100A7CE +:04061C00856105628D +:04061D0071E020EF79 +:04061E00859367E178 +:04061F008513D7C7A1 +:040620000613019428 +:0406210030EF03D0E3 +:0406220040F214D0BE +:0406230067E14462E5 +:04062400D6078B2347 +:0406250044D2450274 +:040626008082610568 +:040627000023137D1C +:04062800C0020066A6 +:040629000023BD31BC +:04062A00BFE5000622 +:04062B00D605C60327 +:04062C0005E3450598 +:04062D000605C6A652 +:04062E000FF676133A +:04062F00D6C5802389 +:04063000C4A61EE35B +:0406310045894702AE +:04063200000146037A +:0406330000B7736336 +:0406340077134609E9 +:04063500C03A0FF6C2 +:040636000113B1916A +:040637006761FC817A +:04063800D826DA22C4 +:04063900D0870793CC +:04063A0000A784830E +:04063B00656146713E +:04063C0002C486333B +:04063D00E2C5069379 +:04063E0001A7C583C8 +:04063F000117C303D9 +:04064000D087071345 +:04064100D60396B294 +:04064200C40300C627 +:04064300CC3201466E +:040644000136C68332 +:04064500CE36C002EB +:0406460001E7C6837F +:0406470000C7C7839E +:040648000693D4360B +:04064900C43EE2C504 +:04064A00F793D0361C +:04064B00EB9D0FD53F +:04064C00478356A2E8 +:04064D00C299020745 +:04064E00A005468538 +:04064F00468D46226C +:0406500000D60B6362 +:0406510046114522E7 +:0406520010C50B6361 +:0406530015E34689DC +:040654000786FED542 +:0406550046A2B7D52D +:0406560097B30786C9 +:04065700F79300F61F +:04065800C03E0FF79A +:04065900FFF5869390 +:04065A000FF6F6930E +:04065B00C20247850B +:04065C0002D7E0637E +:04065D004603469179 +:04065E00E563020747 +:04065F0006930E668A +:0406600086B3FFF36B +:0406610096B302C684 +:04066200F79300D733 +:04066300C23E0FF68E +:0406640001F74783D0 +:04066500C602468102 +:04066600438DCA3EB8 +:040667009513429510 +:040668009B6300266A +:0406690007B30C7552 +:04066A00C78300D76B +:04066B0086130217D9 +:04066C008A3DFFF7CD +:04066D0000A616339A +:04066E0037B3453227 +:04066F0097B300F04D +:040670008E4900D7D8 +:040671004602C63245 +:04067200F7938FD19A +:04067300C03E0FF77F +:0406740096E306857E +:040675004681FC5668 +:04067600438DC802E6 +:0406770095134299FC +:040678009263002663 +:0406790007B30A7544 +:04067A00C78300D75B +:04067B008613026779 +:04067C008A3DFFF7BD +:04067D0000A616338A +:04067E0037B3454207 +:04067F0097B300F03D +:040680008E4900D7C8 +:040681004612C83223 +:04068200F7938FD18A +:04068300C23E0FF76D +:0406840096E306856E +:0406850047F1FC56E7 +:0406860002F487B340 +:0406870097B656824A +:04068800D2024F9CAF +:04068900CB818B8511 +:04068A00C79147A22B +:04068B0001D74783C9 +:04068C000017B79309 +:04068D004783D23E8F +:04068E004685019705 +:04068F0004D79C638D +:04069000CB9947A219 +:0406910087B347F1F3 +:04069200568202F496 +:040693004BDC97B6EF +:0406940083F5469113 +:0406950004D7916392 +:04069600C002C202DA +:0406970087B3A82D50 +:04069800BDE502D7E3 +:04069900FFE3051363 +:04069A0002C506335C +:04069B001633450DC0 +:04069C00779300C58B +:04069D00BF210FF674 +:04069E00953347D277 +:04069F0047B200A7B7 +:0406A000C63E8FC9FA +:0406A10047D2B7B1D4 +:0406A20000A79533E5 +:0406A3008FC947C2F2 +:0406A400BFBDC83ED0 +:0406A5004B50D3F1F2 +:0406A60005636785FC +:0406A700E96336F6D7 +:0406A800079302C7EB +:0406A90007630400DF +:0406AA00069334F689 +:0406AB00FC630200EA +:0406AC00069302C7E8 +:0406AD004791080069 +:0406AE0002D60A6303 +:0406AF008006069328 +:0406B000C68D478D1F +:0406B100000406B784 +:0406B2007663879A4A +:0406B300A83930D65C +:0406B400000207B782 +:0406B50032F60D63A9 +:0406B6002EC7E16307 +:0406B7004799668970 +:0406B80000D60663FF +:0406B900479166C13E +:0406BA00FCD61EE369 +:0406BB00C58366E1AC +:0406BC0046A1CE166F +:0406BD0000D595636C +:0406BE0004420406E8 +:0406BF0046838041AD +:0406C0004585013734 +:0406C100F763CA2EE3 +:0406C20006B30086F5 +:0406C30006C240D457 +:0406C400CA3682C1EF +:0406C50085334571C3 +:0406C60006B302A4D1 +:0406C700D63640F3F0 +:0406C8004589568288 +:0406C900031742834E +:0406CA00962674F903 +:0406CB0012FD44D206 +:0406CC005383953689 +:0406CD0006B300A5CB +:0406CE0032B340F310 +:0406CF0086B300509E +:0406D00002F602D359 +:0406D10000163613C6 +:0406D200C6B3067233 +:0406D30006C202B6A3 +:0406D400D03682C1D9 +:0406D50003874683CE +:0406D6004403558202 +:0406D70086B30125C0 +:0406D8008B9D02D71D +:0406D90096AE07C210 +:0406DA0000D745837D +:0406DB00029304B3CF +:0406DC007FF6F6931C +:0406DD00E5B305FA82 +:0406DE0006CE0055EF +:0406DF0047D28EDD93 +:0406E00075938E4D33 +:0406E10005AA0073F3 +:0406E200028302B3DA +:0406E3000FF4F49389 +:0406E4008E4504D269 +:0406E500026383B376 +:0406E60000878333D3 +:0406E700F29357B281 +:0406E80002AE1FF24D +:0406E900005666331E +:0406EA0004A744031A +:0406EB000333887DD0 +:0406EC00F39302F38F +:0406ED0063B37FF381 +:0406EE004603007649 +:0406EF005782012706 +:0406F0008A1D041249 +:0406F1008ED106366A +:0406F200933E4622CB +:0406F300039747839F +:0406F40006768ECD2B +:0406F50003F7F7937D +:0406F60001554583E2 +:0406F7008FD107D2C6 +:0406F800899D467220 +:0406F900751305C6AA +:0406FA008FCD03F6A7 +:0406FB008D5D052EDE +:0406FC0003A7478386 +:0406FD0073134662CB +:0406FE008BBD3FF37E +:0406FF0047B28C5D15 +:04070000C006F693A6 +:040701000066E6B3F5 +:040702007FF67313F8 +:0407030000656533F5 +:0407040000C7931384 +:0407050046034782DE +:04070600531301B7D1 +:040707008BFD00C3A3 +:0407080067B307D2FA +:04070900430300F3B3 +:04070A008A7D01C71C +:04070B0073130666F8 +:04070C0017130013AC +:04070D00E33301E3EE +:04070E00579200C737 +:04070F0000E363336D +:040710008000073727 +:0407110001F79593C4 +:04071200471347C280 +:040713007333FFF746 +:04071400949300E3D7 +:040715004792008780 +:04071600633380A128 +:04071700F79300B3A1 +:0407180007E203F7FA +:0407190057A28CDD7A +:04071A0007FE8CF951 +:04071B0067E18CDD29 +:04071C00BB87A703ED +:04071D000FF3F7934C +:04071E0000C74603C7 +:04071F0000F70623B6 +:040720000083D793E8 +:040721000FF7F79344 +:0407220000D74603B3 +:0407230000F706A332 +:040724000103D79363 +:040725000FF7F79340 +:0407260000E746039F +:0407270000F70723AD +:0407280000F747830C +:040729000183D393E2 +:04072A00007707A3AA +:04072B000FF6F7933B +:04072C000107460378 +:04072D0000F70823A6 +:04072E000086D793D7 +:04072F000FF7F79336 +:040730000117460364 +:0407310000F708A322 +:040732000106D79352 +:040733000FF7F79332 +:040734000127460350 +:0407350000F709239D +:0407360001374783BD +:0407370009A382E1AF +:04073800779300D7DC +:0407390046830FF5EF +:04073A000A23014746 +:04073B00579300F7D9 +:04073C00F7930085AA +:04073D0046830FF7E9 +:04073E000AA30157B2 +:04073F00579300F7D5 +:04074000F793010525 +:0407410046830FF7E5 +:040742000B2301671D +:04074300478300F7F1 +:040744008161017757 +:0407450000A70BA35B +:040746000FF47793A2 +:04074700018746835D +:0407480000F70C2387 +:04074900008457933E +:04074A00019746834A +:04074B0000F70CA304 +:04074C0001A7478337 +:04074D0000070D2371 +:04074E0001B7478325 +:04074F000DA3806115 +:040750007793008714 +:0407510046830FF3D9 +:040752000E2301C7AA +:04075300579300F7C1 +:04075400F793008394 +:0407550046830FF7D1 +:040756000EA301D716 +:04075700579300F7BD +:04075800F79301030F +:0407590046830FF7CD +:04075A000F2301E781 +:04075B00478300F7D9 +:04075C00531301F73B +:04075D000FA3018362 +:04075E00F7930067A6 +:04075F0046830FF4CA +:040760000023020769 +:04076100D79302F731 +:04076200F793008485 +:0407630046830FF7C3 +:0407640000A30217D5 +:04076500D79302F72D +:04076600F793010400 +:0407670046830FF7BF +:0407680080E1022703 +:0407690002F701236F +:04076A000237478388 +:04076B00029701A34D +:04076C0054C25452CD +:04076D0003810113F0 +:04076E0007B78082C7 +:04076F000C63001007 +:0407700007B704F6CD +:040771001FE3002062 +:040772004683CEF6F6 +:040773004799037728 +:04077400F7938F95D3 +:0407750046830FF7B1 +:0407760099E30317E9 +:0407770046E2D00680 +:0407780006C216A1FE +:04077900CC3682C137 +:04077A0006B146F28C +:04077B0082C106C26F +:04077C00B9EDCE36CF +:04077D000377468335 +:04077E008F95478D7F +:04077F00F79307865F +:04078000B1ED0FF7D1 +:040781000377468331 +:040782008F95479177 +:040783004683BFCD1D +:04078400479503771B +:040785004795BFDDF8 +:040786001111BF7D11 +:04078700CA2267E13A +:04078800646147055C +:04078900D6E780230C +:04078A00D08407937D +:04078B000047A283FE +:04078C00CC06C826A9 +:04078D00D08404937D +:04078E0022028F6351 +:04078F00D0842703E8 +:0407900022070B63CE +:04079100A0EEC7B758 +:04079200B007879392 +:0407930002E7D7B3EF +:040794000084C70313 +:04079500019C03B709 +:04079600CC0383937A +:040797000253D3B383 +:0407980020070963CA +:040799000257D2B37E +:04079A0003B0051390 +:04079B00C21EC6169E +:04079C004CE020EF1E +:04079D000513C42A52 +:04079E0020EF03C085 +:04079F00C7834C4080 +:0407A000C603018407 +:0407A10043920084FB +:0407A2000027971382 +:0407A300879367D9F8 +:0407A40097BAE88791 +:0407A500270343944F +:0407A6000793D08461 +:0407A70042B2070053 +:0407A8000793E219B8 +:0407A9006637069019 +:0407AA006561000184 +:0407AB00F3C6061378 +:0407AC00051345C527 +:0407AD00C216CD059E +:0407AE0030EFC61E44 +:0407AF0042923F0033 +:0407B000079343B2B6 +:0407B1000693064065 +:0407B20065613E80BF +:0407B30002F2D73344 +:0407B400051345C51F +:0407B500F633D645FC +:0407B600C23A02D36E +:0407B70002F2F2B3A5 +:0407B800472987BA8C +:0407B90002E65733CA +:0407BA00000166379D +:0407BB000613C0164B +:0407BC00D6B3F44676 +:0407BD0030EF02D344 +:0407BE0067E13B4074 +:0407BF00E0A7C78365 +:0407C000F0EFE399DA +:0407C1006761B14F6C +:0407C200D75746833C +:0407C300C583461292 +:0407C4002503008485 +:0407C50020EFD084CD +:0407C60056FD2D109F +:0407C7000363676100 +:0407C800852316D59A +:0407C900878300A47E +:0407CA0066E100A440 +:0407CB0084A364613E +:0407CC0046F1E0F61C +:0407CD0002D787B315 +:0407CE00E2C40693E8 +:0407CF00D7574703AE +:0407D000C28340D0D0 +:0407D100041303E426 +:0407D20097B6E2C430 +:0407D3000177C503E2 +:0407D4000114C683C3 +:0407D5008D79897D14 +:0407D60000A36761B4 +:0407D700D583CEA751 +:0407D800472200E7CD +:0407D90002D585B30D +:0407DA000FF777138B +:0407DB000733468119 +:0407DC0005C202B799 +:0407DD00573381C14C +:0407DE00064202C706 +:0407DF00856382416B +:0407E0004F94000230 +:0407E1008A858285FE +:0407E2000FF7771383 +:0407E3000BD020EF28 +:0407E4000454C503F1 +:0407E500B66FF0EF0C +:0407E60000A4878361 +:0407E700C58347710E +:0407E80087B30114BE +:0407E90097A202E7EA +:0407EA000167C503DB +:0407EB007F0020EF7C +:0407EC0000A48923B9 +:0407ED00927FF0EF18 +:0407EE0003B4C70386 +:0407EF00C50347896E +:0407F000458100E45B +:0407F10000F70763A3 +:0407F20000F4C583C7 +:0407F300B5938D89A4 +:0407F400F0EF00150D +:0407F50040D8816FF8 +:0407F600019C07B7A4 +:0407F700CC07879311 +:0407F80002E7D7B38A +:0407F9000114C7031D +:0407FA00C60346F1FB +:0407FB0087B300D4EC +:0407FC00870302E786 +:0407FD00073300A41A +:0407FE00468D02D74B +:0407FF005703943ACE +:0408000087B300E4D6 +:04080100C70302E740 +:04080200070500C422 +:0408030002E787B3CE +:0408040000E4C70342 +:0408050087B30705A9 +:04080600676102E73D +:04080700CAF72A23DF +:0408080006D6166397 +:040809002A23838596 +:04080A002683CAF780 +:04080B000737CB4799 +:04080C0007130511B8 +:04080D004785F40720 +:04080E0000D76B6341 +:04080F0004787737BB +:040810008BF7071348 +:040811007463478144 +:04081200C78300D7C1 +:04081300C703010412 +:04081400C583048410 +:04081500E70903B438 +:040816000104C7030F +:0408170002F70F6372 +:04081800882344529B +:0408190040E200F4C5 +:04081A00852E44C221 +:04081B00F06F017108 +:04081C000786A00F9C +:04081D006285BBC570 +:04081E0082936391CD +:04081F008393770246 +:04082000B3DDD5432C +:040821008523479153 +:04082200BD7100F4B0 +:040823001EE3458506 +:04082400078AF8B691 +:0408250002D7D7B36C +:040826004789B779CE +:0408270002F58163F2 +:040828004589C191AC +:04082900C7834452EB +:04082A00C70300F40C +:04082B0040E203C4E0 +:04082C00468144C2FB +:04082D00450146013A +:04082E00E06F017105 +:04082F0040E2F02F84 +:0408300044C2445228 +:04083100808201714F +:04083200C02611517A +:04083300C50364E1B4 +:040834004581CB240B +:04083500C406C22211 +:040836001D5010EF52 +:04083700E121842A0D +:04083800CB24C68384 +:04083900472967E103 +:04083A00D0D782A3EE +:04083B00C78367E127 +:04083C008563DB876E +:04083D00676100E708 +:04083E00CAF70CA346 +:04083F00C70367E1A3 +:040840004781D067B5 +:0408410067E1C701A3 +:04084200CB97C78306 +:0408430007136761CF +:0408440097BACE870A +:040845008023453D8A +:0408460010EF00D7D8 +:04084700852202D034 +:04084800441240A274 +:0408490001314482B3 +:04084A001151808246 +:04084B0064E1C0267E +:04084C00CB24C503F1 +:04084D00C406C222F9 +:04084E0000F010EFB7 +:04084F00E51D842AF5 +:04085000CB24C6836C +:0408510082A367E136 +:0408520067E1D0D7B3 +:04085300D067C703A0 +:04085400C701478110 +:04085500C78367E10D +:040856006761D207FD +:04085700CE8707132E +:04085800453D97BAC9 +:0408590000D7802321 +:04085A007DE010EF3E +:04085B0040A2852210 +:04085C00448244127C +:04085D008082013163 +:04085E00C40611516A +:04085F0047C5C222A5 +:040860000002143747 +:040861000EF420234E +:04086200A78367E120 +:040863002023BB870C +:0408640065090E0410 +:0408650000C7C703FE +:0408660000078623DE +:0408670000D7C703EC +:04086800000786A35C +:0408690000E7C703DA +:04086A0000078723D9 +:04086B0000F7C703C8 +:04086C00000787A357 +:04086D000147C70375 +:04086E0000078A23D2 +:04086F000157C70363 +:0408700000078AA350 +:040871000167C70351 +:0408720000078B23CD +:040873000177C7033F +:0408740071050513F2 +:0408750000078BA34A +:04087600F27FD0EF4E +:040877001D10071336 +:04087800912367E180 +:040879001537CEE77A +:04087A0007930003DD +:04087B0020231D1009 +:04087C0005130EF45E +:04087D00D0EFD405DF +:04087E002637F09F8A +:04087F0005B70006B3 +:040880000513019CBF +:040881000613040452 +:040882008593A806AC +:04088300E0EFCC05D1 +:0408840010EFB64F6C +:0408850020EF62D02E +:04088600547906603B +:040887004501CD4119 +:0408880011E020EF6C +:040889000FF00793D2 +:04088A000563547539 +:04088B0020EF08F55D +:04088C004509516069 +:04088D00EE2FE0EF7B +:04088E00547147CD8D +:04088F0006F51C63EB +:04089000F52FE0EF71 +:04089100571010EFFD +:0408920067E1C5094C +:040893008BA34705E7 +:04089400E0EFD6E7D4 +:04089500842ADD5F75 +:0408960000EFE13D51 +:0408970065D96BC0F4 +:04089800061365617D +:04089900859303D070 +:04089A000513FE45FF +:04089B0020EFD21563 +:04089C0065D9765054 +:04089D000613656178 +:04089E0085930360DB +:04089F000513160522 +:0408A00020EFDBC5A5 +:0408A1004581751008 +:0408A20010EF453DD1 +:0408A30067E10230D7 +:0408A400D057C50361 +:0408A50010EF45818A +:0408A60017B701700F +:0408A700A783000221 +:0408A80097130D078E +:0408A900446300E7BD +:0408AA0000EF000754 +:0408AB0045016A8019 +:0408AC00FBFFE0EF7F +:0408AD0040A28522BE +:0408AE0001314412BE +:0408AF00547D808272 +:0408B00067E1BFD568 +:0408B100CB07C78327 +:0408B200FDC1011370 +:0408B3000785CE22C5 +:0408B4000FF7F793B0 +:0408B50007C207B2BD +:0408B600C83E83C1F4 +:0408B700578364619E +:0408B8004742CE24C1 +:0408B900CC26D00673 +:0408BA0067218FD94A +:0408BB0011238FD99D +:0408BC001737CEF428 +:0408BD00C202000271 +:0408BE006637C402D3 +:0408BF0064E10001EF +:0408C0000EF72023EC +:0408C100EB460613E9 +:0408C200851345C590 +:0408C30020EFCF440F +:0408C400E0EF79D018 +:0408C5006741EF5F39 +:0408C6004785177DCE +:0408C7001737CA3ADB +:0408C8002683000281 +:0408C90046520D077F +:0408CA00C6368EF1AF +:0408CB00C6F1E7F992 +:0408CC00869366E1C8 +:0408CD00D603DBC6AD +:0408CE0045B20166C8 +:0408CF00CE24578359 +:0408D00012B61463E5 +:0408D10016FD76F5A5 +:0408D20011238FF56A +:0408D3002023CEF41C +:0408D4008A230EF76E +:0408D500E0EFCE047E +:0408D6002537EB1FB8 +:0408D70005130006FF +:0408D800D0EFA805B0 +:0408D9005783D9DF89 +:0408DA004742CE249F +:0408DB00051366910A +:0408DC008FD93E80F2 +:0408DD0011238FD57F +:0408DE001737CEF406 +:0408DF0020230002D0 +:0408E00020EF0EF700 +:0408E10020EF5E1096 +:0408E2004785607076 +:0408E3001C6366E14B +:0408E400A60302F570 +:0408E5004783BB8604 +:0408E600470300863E +:0408E700072200964E +:0408E80047838F5D56 +:0408E90007C200A69C +:0408EA0047838F5D54 +:0408EB0007E200B66A +:0408EC0097138FD9F6 +:0408ED00834101073B +:0408EE009713C23A60 +:0408EF0083510047EA +:0408F000D2E3C43A51 +:0408F10020EFFC07F1 +:0408F20047925DF0DC +:0408F3006637EF95E0 +:0408F40006130001E6 +:0408F50045C5EC0603 +:0408F600CF44851353 +:0408F7006CF020EF92 +:0408F800E27FE0EFCC +:0408F900CE245703AF +:0408FA0017FD77F17E +:0408FB0011238FF93D +:0408FC001737CEF4E8 +:0408FD0020230002B2 +:0408FE0065090EF783 +:0408FF007105051367 +:04090000CFFFD0EF66 +:04090100BF2147B219 +:04090200475247927F +:0409030000E797630F +:040904000001663751 +:04090500ED060613E2 +:040906004712BF7D58 +:04090700660545A29A +:04090800064007930B +:0409090056B3167D4E +:04090A00773302F746 +:04090B009C6302F7F0 +:04090C00663700C585 +:04090D0006130001CC +:04090E0045C5ED8668 +:04090F00CF44851339 +:0409100066B020EFBE +:040911004622BF714A +:04091200851345C53F +:040913007633CF4424 +:04091400C03202F6F5 +:0409150057B346226C +:04091600663702F648 +:0409170006130001C2 +:0409180020EFEE4698 +:04091900BFAD64907A +:04091A000186D683F9 +:04091B009BE34632E2 +:04091C0007D2F6C642 +:04091D00112383D14E +:04091E005082CEF441 +:04091F0020234472DB +:0409200044E20EF7A8 +:040921000113450178 +:04092200808202418C +:04092300C4061151A4 +:0409240098BFF0EF99 +:04092500795010EF06 +:0409260040A267E1A3 +:04092700D9E7C50344 +:04092800E06F01314A +:040929000113DCDFFB +:04092A00D406FD41B1 +:04092B00D026D222DE +:04092C00CBDFD0EF5E +:04092D00F0EF842A39 +:04092E0086AACC3F8A +:04092F00656164E1B9 +:040930001206C66382 +:040931000001663724 +:040932000530071372 +:0409330006134681E0 +:0409340045C5EF8640 +:04093500CD050513D4 +:040936005D3020EF21 +:04093700000165B79F +:040938008593464518 +:040939008513F285AB +:04093A0020EFD64490 +:04093B00E0EF4E900B +:04093C00A537D29F6A +:04093D000513000797 +:04093E00D0EF1205DF +:04093F00D0EFC05FD6 +:040940005513C25F2A +:04094100079300A474 +:0409420007B312C025 +:04094300646102F5F4 +:04094400C402C60221 +:04094500C202C00228 +:04094600D084041342 +:040947004799CC3EC2 +:0409480017B7C83ED7 +:04094900A78300027E +:04094A0066610D07CE +:04094B009713656138 +:04094C0083410107DB +:04094D00FFF7C69357 +:04094E00DEE62E2390 +:04094F00073783E102 +:040950008F7500039C +:040951000FF7F59314 +:04095200A02366E197 +:040953000223E0E6B5 +:040954008532E0B553 +:0409550066E186369B +:040956008793CF8133 +:04095700F793FFE52E +:04095800430D0FF745 +:0409590000F37663CE +:04095A00DF86C783EA +:04095B0000B79463EA +:04095C00DE052E2363 +:04095D008C2367E19F +:04095E00A683DEB6D8 +:04095F00AA23DF47A1 +:04096000EAD1DEE713 +:04096100C78366E101 +:0409620064E1D786EF +:040963004783C3F112 +:04096400CFDD01845E +:0409650047836761FC +:04096600460300B490 +:040967008FD1E0A7A5 +:04096800D0EFEBC51C +:0409690047E2BA5F48 +:04096A000AF56463C3 +:04096B000793473275 +:04096C00EF630630FF +:04096D0066E108E750 +:04096E00D786C683DF +:04096F00460547856D +:0409700000F68763A3 +:04097100861347C2E0 +:040972003633FFA772 +:04097300478300C0F6 +:0409740046A1018413 +:04097500F79317FDE0 +:04097600E9630FF72B +:0409770066D904F643 +:040978008693078AD1 +:0409790097B6E40643 +:04097A008782439C91 +:04097B0000016637DA +:04097C00F0C60613A8 +:04097D00051345C554 +:04097E0020EFCD0594 +:04097F0065B74B10FD +:0409800046450001E7 +:040981004A8585938B +:04098200D6448513BF +:04098300539020EF7E +:04098400C07FE0EF61 +:040985002023A0018A +:04098600B7ADE00623 +:04098700C78367E1DA +:0409880037B3CE476C +:04098900078900F0EA +:04098A00CAF48CA37C +:04098B00474247B2E6 +:04098C00F793078551 +:04098D00C63E0FF75C +:04098E00076347991B +:04098F00079300F7D3 +:04099000F7930017C2 +:04099100C83E0FF756 +:04099200ADBFD0EF36 +:04099300C43E478592 +:0409940067E1218175 +:04099500E0A7C7838D +:04099600C781CA2A21 +:0409970010EF450117 +:04099800C78303A06E +:040999004683CB9432 +:04099A0084630184ED +:04099B00475200F6C9 +:04099C004722E711F6 +:04099D004683CB15AD +:04099E00C69D00B43E +:04099F00C70366E143 +:0409A000C43AD0661F +:0409A10066E1C31533 +:0409A200CE868693E4 +:0409A300C50397B63B +:0409A40067E1000700 +:0409A500D057C683DE +:0409A6008763C4029D +:0409A700458100A6E0 +:0409A800D0A782A3AF +:0409A900408010EF8B +:0409AA00CB94C60321 +:0409AB0001844783F9 +:0409AC0012C7836388 +:0409AD00473D67E17A +:0409AE00D6E78AA35B +:0409AF0085BE470DAD +:0409B00006C77363A0 +:0409B100F46347950F +:0409B200478906C7A4 +:0409B30045094705A6 +:0409B4000793C23EA5 +:0409B500F793FFF6BF +:0409B60046A10FF750 +:0409B70004F6ED63F2 +:0409B800078A66D96B +:0409B900E6468693F5 +:0409BA00439C97B60D +:0409BB004785878263 +:0409BC0067E1FE05EC +:0409BD00BB77C783BA +:0409BE000017B793D4 +:0409BF00B72D0791B8 +:0409C000D21D479964 +:0409C100CB94C78389 +:0409C200479DB70591 +:0409C30067E1BF31F8 +:0409C400CB87C78393 +:0409C50000F037B354 +:0409C600B73907A195 +:0409C70015E3479954 +:0409C8004785F00669 +:0409C900470DB7110E +:0409CA00C20245051B +:0409CB004709B75DC4 +:0409CC00BFE545013D +:0409CD004783C0029A +:0409CE000C2304549E +:0409CF0005A300C4B8 +:0409D0004685000454 +:0409D100F663458DF7 +:0409D200459500F651 +:0409D300F5938D9D6E +:0409D400CE3A0FF513 +:0409D5003A3010EFB5 +:0409D600473010EFA7 +:0409D700C86FE0EF16 +:0409D800C78367E189 +:0409D9004772D77713 +:0409DA00853AC78112 +:0409DB00001010EF09 +:0409DC0045124582F9 +:0409DD0015C020EF32 +:0409DE0001844783C6 +:0409DF0046456561C3 +:0409E0000027971342 +:0409E100879367D9B8 +:0409E20097BAE88751 +:0409E3000513438C29 +:0409E4002223CD05F8 +:0409E50020EF0004FB +:0409E60065B73AF0C7 +:0409E7006561000145 +:0409E8008593464568 +:0409E9000513F1C53C +:0409EA0020EFD645DF +:0409EB0067E139B0D7 +:0409EC00E0A7C78336 +:0409ED00E0EFE399BB +:0409EE0047D2A61F27 +:0409EF0067E1CF8964 +:0409F000CB17C70357 +:0409F100156347A99A +:0409F200453D00F788 +:0409F30017A010EF4A +:0409F400953FD0EF6C +:0409F5006361C60272 +:0409F600D7C30793C9 +:0409F7000227C70309 +:0409F80003B447837A +:0409F900D7C303134A +:0409FA0002F7096394 +:0409FB0047014781E8 +:0409FC0046014681E9 +:0409FD0045014581EA +:0409FE00FC5FD0EFDB +:0409FF00831364E119 +:040A00004503D7C40F +:040A0100E0EF0223FD +:040A02008313A69F15 +:040A03004783D7C48A +:040A04002223022384 +:040A05000DA3000439 +:040A0600458302F42E +:040A070047890223F6 +:040A080002F5886308 +:040A0900023347036A +:040A0A0003C4478357 +:040A0B0002E7826319 +:040A0C004589C191C6 +:040A0D0000F4478327 +:040A0E0046014681D6 +:040A0F00D0EF4501DE +:040A100067E1F7FFA4 +:040A1100D7C78313AD +:040A120002334783E1 +:040A130002F40E23B8 +:040A1400018447838F +:040A15004582CFB196 +:040A1600E0EF4512B6 +:040A17004789B67FD6 +:040A18000AF5056373 +:040A19000563479199 +:040A1A00478502F515 +:040A1B0004F5126369 +:040A1C0000B4478358 +:040A1D00F0EFCF9592 +:040A1E00A81D864F3A +:040A1F00C03E478905 +:040A2000478DBD5DE4 +:040A210047C1BFED1D +:040A2200D6F58AA3D8 +:040A2300BFC547857F +:040A240000B4478350 +:040A25006761C39DA5 +:040A2600CE275783FD +:040A27000027E7932A +:040A2800CEF71123D1 +:040A29000002173779 +:040A2A000EF7202380 +:040A2B00BE1FF0EF0B +:040A2C0012C00513DC +:040A2D0084BFD0EFC3 +:040A2E002223B1AD21 +:040A2F0010EF0004C0 +:040A3000478330D0F8 +:040A31006561018476 +:040A3200971346458B +:040A330067D9002758 +:040A3400E887879335 +:040A3500438C97BA9D +:040A3600CD050513D2 +:040A3700269020EFF6 +:040A3800000165B79D +:040A39004645656168 +:040A3A00F1C58593EA +:040A3B00D645051384 +:040A3C00255020EF32 +:040A3D00C78367E123 +:040A3E00E399E0A7B1 +:040A3F0091BFE0EF94 :040A4000823FD0EF32 -:040A41006361C60225 -:040A4200CE43079305 -:040A43000227C703BC -:040A440003B447832D -:040A4500CE43031386 -:040A460002F7096347 -:040A4700470147819B -:040A4800460146819C -:040A4900450145819D -:040A4A00E95FD0EFA1 -:040A4B00831364E1CC -:040A4C004503CE444C -:040A4D00E0EF0223B1 -:040A4E008313939FDC -:040A4F004783CE44C7 -:040A50002223022338 -:040A51000DA30004ED -:040A5200458302F4E2 -:040A530047890223AA -:040A540002F58863BC -:040A5500023347031E -:040A560003C447830B -:040A570002E78263CD -:040A58004589C1917A -:040A590000F44783DB -:040A5A00460146818A -:040A5B00D0EF450192 -:040A5C0067E1E4FF6B -:040A5D00CE478313EA -:040A5E000233478395 -:040A5F0002F40E236C -:040A60000184478343 -:040A61004582CFB14A -:040A6200E0EF45126A -:040A63004789A37F9D -:040A64000AF5056327 -:040A6500056347914D -:040A6600478502F5C9 -:040A670004F512631D -:040A680000B447830C -:040A6900E0EFCF9556 -:040A6A00A81DF31FB1 -:040A6B00C03E4789B9 -:040A6C00478DBD5D98 -:040A6D0047C1BFEDD1 -:040A6E00CCF58E2312 -:040A6F00BFC5478533 -:040A700000B4478304 -:040A71006761C39D59 -:040A7200C4075783DB -:040A73000027E793DE -:040A7400C4F7102390 -:040A7500000217372D -:040A76000EF7202334 -:040A7700BE1FF0EFBF -:040A780012C0051390 -:040A7900F1AFD0EF1A -:040A7A002223B1ADD5 -:040A7B0010EF000474 -:040A7C004783141088 -:040A7D00656101842A -:040A7E00971346453F -:040A7F0067D900270C -:040A8000DE07879373 -:040A8100438C97BA51 -:040A8200C2C50513D1 -:040A8300093020EF27 -:040A8400000165B751 -:040A8500464565611C -:040A8600E745859328 -:040A8700CC85051302 -:040A880007F020EF64 -:040A8900C78367E1D7 -:040A8A00E399D6D73F -:040A8B00FEAFE0EFEB -:040A8C00EF2FD0EF89 -:040A8D00C602C802D3 -:040A8E004783B7657E -:040A8F00D3CD00B40F -:040A9000BD6FF0EF57 -:040A910065D9BF71F3 -:040A92001151656138 -:040A930003E0061363 -:040A9400F3C585938E -:040A9500CE45051332 -:040A960020EFC40683 -:040A970065DD6D208C -:040A9800061365617B -:040A9900859339C048 -:040A9A000513D20569 -:040A9B0020EFD8056B -:040A9C0040A26BE029 -:040A9D00470567E1C1 -:040A9E00CCE78EA370 -:040A9F0001314501DB -:040AA0006759808290 -:040AA1000793111195 -:040AA200C82604C797 -:040AA300CA22CC0691 -:040AA4004481C002C7 -:040AA5006461C43E86 -:040AA600000165B72F -:040AA70085934645A8 -:040AA80005130F051E -:040AA90020EFC184F5 -:040AAA0047A27F8060 -:040AAB000024971379 -:040AAC00973E6561AB -:040AAD004645430C6B -:040AAE00C5850513E2 -:040AAF007E2020EF96 -:040AB000F46FE0EF10 -:040AB100C222468196 -:040AB20000021737F0 -:040AB3000D07258383 -:040AB40017FD67C102 -:040AB50000F5F6331F -:040AB6002223646132 -:040AB7002703D6C477 -:040AB80005370D07EA -:040AB90067E10003EE -:040ABA00FFF74713E8 -:040ABB00A4238F6978 -:040ABC00C63ED6E775 -:040ABD004782CA158D -:040ABE0002F60863D1 -:040ABF0095136761C3 -:040AC0000713001404 -:040AC100972AD24757 -:040AC2004792EABDB0 -:040AC30000B7102345 -:040AC400000165B711 -:040AC500859346458A -:040AC60085130F8500 -:040AC70020EFC187D4 -:040AC800E0EF7800E3 -:040AC9004685EE4F21 -:040ACA0047B2676167 -:040ACB00D5C7260362 -:040ACC00D687A30323 -:040ACD00E60587BAF9 -:040ACE001263674107 -:040ACF00E0BD02E3A1 -:040AD000656165D91E -:040AD10003600613A5 -:040AD2000B85859378 -:040AD300D2450513F0 -:040AD40020EFC01A35 -:040AD50043025DA0DB -:040AD60044ED46891C -:040AD700270367E1A9 -:040AD800AE23D6442F -:040AD900C636D467E2 -:040ADA004709C03ACE -:040ADB0002E68F633D -:040ADC000513650990 -:040ADD00D0EF7105E0 -:040ADE0046B2D88FB5 -:040ADF005703B7B151 -:040AE000468900073C -:040AE100FAE602E34C -:040AE20065B747921B -:040AE3004645000183 -:040AE40010058593E1 -:040AE500C18785132D -:040AE600706020EF2D -:040AE700E6AFE0EFA7 -:040AE800B759468133 -:040AE900468914F92D -:040AEA000485BF5D63 -:040AEB0054E3476920 -:040AEC004452EE97EB -:040AED0044C240E2DD -:040AEE000171453D10 -:040AEF004EF0006F56 -:040AF000FB81011372 -:040AF10001710793F5 -:040AF20067E1D03EAA -:040AF300CFD787933F -:040AF40067E1D23EA6 -:040AF500CFE787932D -:040AF60067E1D43EA2 -:040AF700CFF787931B -:040AF80067E1D63E9E -:040AF900D007879308 -:040AFA00DA3ED83ECA -:040AFB00879367E195 -:040AFC00DC3ED017F5 -:040AFD000F0327B705 -:040AFE00F0178793D3 -:040AFF000793CC3E4F -:040B0000C0A230302F -:040B010000F11E23BE -:040B0200478D646156 -:040B030000F10F23CB -:040B0400C6C40793C9 -:040B05000187C7831A -:040B0600C286DE269F -:040B070000010BA33B -:040B08000413472566 -:040B09004485C6C495 -:040B0A0000E7866317 -:040B0B0000178493B8 -:040B0C000FF4F4935B -:040B0D00676167E1D4 -:040B0E00D647A6031D -:040B0F00D2470713AF -:040B100045E94781EB -:040B11000007568300 -:040B120000D61C638A -:040B13006663476965 -:040B140067590EF718 -:040B15000713078A31 -:040B160097BAF7C7CC -:040B17008782439CF2 -:040B180081630709E5 -:040B190007853EB757 -:040B1A004785BFF15B -:040B1B00D70366E1B5 -:040B1C004713C406B1 -:040B1D00902310070A -:040B1E006761C4E661 -:040B1F00D68727034B -:040B200000F7169331 -:040B21000006D36394 -:040B2200169387A6F9 -:040B2300DE6300E7A6 -:040B240067610006FF -:040B2500CE474683EE -:040B260046014585BA -:040B270000D5E563AD -:040B2800F613068535 -:040B290002230FF69E -:040B2A004729CEC7C2 -:040B2B008663450197 -:040B2C00676100E716 -:040B2D00C0F70AA360 -:040B2E0067E1450531 -:040B2F00C407D7031D -:040B3000C68366E131 -:040B31009B4DD6D62C -:040B320083410742B2 -:040B3300C4E7902360 -:040B340066E1EA810B -:040B3500C526C68388 -:040B36008F55068A47 -:040B3700C4E790235C -:040B3800C407D70314 -:040B39004406409698 -:040B3A00000217B7E7 -:040B3B000EE7A023FE -:040B3C00011354F25B -:040B3D00808204812D -:040B3E00BF8D478997 -:040B3F00B7BD478D6A -:040B4000B7AD479175 -:040B4100B79D479580 -:040B4200B78D47998B -:040B4300BFB9479D52 -:040B4400BFA947A15D -:040B4500BF9947A568 -:040B46004783676119 -:040B4700B693D6D7B4 -:040B480006A30017E9 -:040B49006761D6D733 -:040B4A00C697468381 -:040B4B0006A3676135 -:040B4C00E791C0D796 -:040B4D0000EF45056B -:040B4E0047A90C7037 -:040B4F00E0EFBF050F -:040B5000BFE5CD8FA1 -:040B5100A70367E1AE -:040B52006637B1074A -:040B530065610001D7 -:040B540000074783CC -:040B550000174683BC -:040B5600FE860613FE -:040B570006A245C5E8 -:040B580047838EDD64 -:040B59000513002759 -:040B5A0007C2C18588 -:040B5B0047838EDD61 -:040B5C0007E2003775 -:040B5D00D3938FD5CA -:040B5E00F29300B757 -:040B5F00F3937FF796 -:040B6000C8160013A0 -:040B6100C41EC63EAA -:040B6200004746837F -:040B630000574303F1 -:040B640066B303224F -:040B6500430300D373 -:040B660047030067DA -:040B670003420077CE -:040B680000D3633320 -:040B690000A406835B -:040B6A00033247719A -:040B6B0002E686B365 -:040B6C0007136761A3 -:040B6D005313D8073F -:040B6E00C21A00C3E4 -:040B6F0066E197366E -:040B7000C696C683DC -:040B7100440020EF2D -:040B720000B4470381 -:040B730042C2C7298A -:040B740047B243A29F -:040B75000012869351 -:040B7600007696B3BC -:040B77000147961389 -:040B78000713969E2B -:040B7900431206908D -:040B7A0000064463CA -:040B7B000700071355 -:040B7C000003063735 -:040B7D0007938E7DCF -:040B7E00E21902A0D6 -:040B7F0002000793D6 -:040B800000016637D3 -:040B8100C01A6561D0 -:040B8200FF46061311 -:040B8300051345C54C -:040B840020EFC58514 -:040B8500E0EF3F203E -:040B8600B705BF0FE1 -:040B870057836761C8 -:040B8800C793C40744 -:040B8900102301072D -:040B8A00BF01C4F7EC -:040B8B00C70367E154 -:040B8C004605CE4705 -:040B8D0065634681D5 -:040B8E00070500E671 -:040B8F000FF7769353 -:040B9000CED7822317 -:040B910067E1BDDD7E -:040B9200CE478713B0 -:040B930000174703FD -:040B940087934605F8 -:040B95004681CE4780 -:040B960000E66563AD -:040B97007693070545 -:040B980080A30FF730 -:040B9900BDD100D7F3 -:040B9A0007936761F5 -:040B9B00C783CE47F7 -:040B9C0007130067D4 -:040B9D00C781CE47F7 -:040B9E00F79317FDB5 -:040B9F0003230FF726 -:040BA000BD6500F738 -:040BA100071367616E -:040BA2004783CE4770 -:040BA30046B90067E8 -:040BA40000F6F36301 -:040BA500078547B9C0 -:040BA60065B7B7DD9B -:040BA7006561000183 -:040BA80085934645A6 -:040BA90005130045EB -:040BAA0020EFC185F2 -:040BAB0063613F4003 -:040BAC00000165B728 -:040BAD00C5830513E4 -:040BAE0085934645A0 -:040BAF0020EF0145ED -:040BB000E0EF3E0034 -:040BB1006761B44F75 -:040BB2000713636161 -:040BB30017B7D80791 -:040BB400A603000292 -:040BB50067E10D07E0 -:040BB600D247879308 -:040BB700824106422F -:040BB80045ED468140 -:040BB9000007D50359 -:040BBA0000C5066309 -:040BBB00078906851B -:040BBC00FEB69AE304 -:040BBD0000A4078306 -:040BBE0087B3467142 -:040BBF00461502C70E -:040BC000C78397BA96 -:040BC10064630177F1 -:040BC200461102F6E0 -:040BC30004D64A63A7 -:040BC400973E1878C8 -:040BC500FDC747031E -:040BC60040D757338A -:040BC700CF118B05BA -:040BC8001878078A08 -:040BC900A78397BAAD -:040BCA008023FE473F -:040BCB00E0EF00D780 -:040BCC0067E1AE8FA0 -:040BCD00D60786A31E -:040BCE006637B509C8 -:040BCF000685000196 -:040BD0000206061300 -:040BD100051345C5FE -:040BD20020EFC583C8 -:040BD300E0EF2BA084 -:040BD400A537AB8F07 -:040BD50005130007FD -:040BD600D0EF120545 -:040BD700BFC19A4FB1 -:040BD80086E347B1B8 -:040BD9006509FCF6B8 -:040BDA007105051389 -:040BDB00992FD0EF8F -:040BDC00871367E133 -:040BDD006361D80771 -:040BDE0067E1BF9973 -:040BDF00CE47871363 -:040BE000025747036E -:040BE1008793467937 -:040BE2004681CE4733 -:040BE30000E6656360 -:040BE40076930705F8 -:040BE50082A30FF7E1 -:040BE600B34502D73A -:040BE700871367E128 -:040BE8004703CE47AA -:040BE9008793025795 -:040BEA0046FDCE47AF -:040BEB00177DD76D2E -:040BEC0065B7B7CD65 -:040BED00656100013D -:040BEE008593464560 -:040BEF0005130305E2 -:040BF00020EFC185AC -:040BF10065B72DC0F7 -:040BF2006561000138 -:040BF300859346455B -:040BF40005130405DC -:040BF50020EFC585A3 -:040BF60064412C80AA -:040BF700A2AFE0EFDA -:040BF80017B7147D9A -:040BF900A6830002CD -:040BFA0067E10D079B -:040BFB00D247871343 -:040BFC0047818EE1BE -:040BFD005583466D69 -:040BFE008C630007FD -:040BFF00078500D591 -:040C00009AE3070963 -:040C01006509FEC7BC -:040C02007105051360 -:040C03008F2FD0EF70 -:040C04004725BFC9F8 -:040C050000F74C6345 -:040C060007854729EE -:040C070002E7E7B366 -:040C080006A3676177 -:040C0900F0EFC0F751 -:040C0A00B711892F66 -:040C0B009CE34731EE -:040C0C00BDF5FCE74F -:040C0D00C70367E1D1 -:040C0E0047A9D6D745 -:040C0F00C20718E31D -:040C1000B12D87A6D5 -:040C1100B91547A921 -:040C1200DBC101132E -:040C1300202318285A -:040C14002E23241156 -:040C15002C232281E9 -:040C1600E0EF229158 -:040C1700C22A90CF8E -:040C1800024020EF87 -:040C1900440D4792AD -:040C1A004611EBC5CF -:040C1B000828182C61 -:040C1C0022E020EFC3 -:040C1D00000165B7B6 -:040C1E008593461163 -:040C1F0008282985F3 -:040C20001F2020EF82 -:040C210006400793EF -:040C22005783E941CA -:040C2300059303C171 -:040C2400462103E181 -:040C250001E10513D1 -:040C260000F11E2398 -:040C2700202020EF7A -:040C28000461578389 -:040C2900020102A31F -:040C2A0000F11A2398 -:040C2B000481578366 -:040C2C0000F11B2395 -:040C2D0000EF45523D -:040C2E0057834FF0A9 -:040C2F00D42A04A11E -:040C300000F11A2392 -:040C310004C1578320 -:040C320000F11B238F -:040C330000EF455237 -:040C340057834E7024 -:040C3500D62A04E1D6 -:040C360000F11A238C -:040C370005015783D9 -:040C380000F11B2389 -:040C390000EF455231 -:040C3A00D82A4CF078 -:040C3B002341250329 -:040C3C0000EFCA2AD1 -:040C3D0055A24C3040 -:040C3E000793DA2A14 -:040C3F0087131E20D9 -:040C4000E063FE650A -:040C4100460514E769 -:040C420000EF18287F -:040C430057D24CF048 -:040C440006F50B6343 -:040C450006600793AB -:040C460010EFC23EAB -:040C4700479276B0AA -:040C48008963470570 -:040C490047632AE7EC -:040C4A00071326F76F -:040C4B008863F35077 -:040C4C0044632AE7EC -:040C4D00071324F76E -:040C4E008763F34085 -:040C4F0065B72AE774 -:040C50008593000187 -:040C5100C23E1E453C -:040C5200851367E1BE -:040C53004645C587C6 -:040C540014E020EF99 -:040C55008B2FE0EF12 -:040C5600000F45370F -:040C57002405051358 -:040C5800F9FFC0EFF1 -:040C5900DA63479281 -:040C5A0058632A07AA -:040C5B0066372A804E -:040C5C0065610001CD -:040C5D003046061304 -:040C5E00051345C570 -:040C5F0020EFC1853C -:040C6000147D086097 -:040C61006461A2B96F -:040C620000016637F0 -:040C63002A06061344 -:040C6400051345C56A -:040C650020EFC18437 -:040C660056B206E09C -:040C6700663767E1A4 -:040C680085130001EF -:040C69000613C58722 -:040C6A0045C52B064B -:040C6B00058020EFF1 -:040C6C00856FE0EFC1 -:040C6D0054B257C264 -:040C6E00C23E43013E -:040C6F00C422450155 -:040C7000089364631E -:040C710092634792B1 -:040C720047831AA7F3 -:040C7300468301E1D2 -:040C7400470301C170 -:040C7500CFD501D105 -:040C7600000167B75B -:040C77001B0787933D -:040C780006134522F8 -:040C7900C03201E1A3 -:040C7A0000016637D8 -:040C7B002BC606136B -:040C7C00051345C552 -:040C7D0020EFC1851E -:040C7E0067E100E04A -:040C7F00000165B754 -:040C800085934645CD -:040C81008513314561 -:040C820010EFC58723 -:040C83006441723026 -:040C8400FF7FD0EF2F -:040C850014B7147D0F -:040C8600A78300023E -:040C870067610D0490 -:040C8800D247568376 -:040C89008B638FE109 -:040C8A00071306F650 -:040C8B005703D247F2 -:040C8C0000630027DA -:040C8D00650914F7EA -:040C8E0071050513D4 -:040C8F00EC3FC0EF87 -:040C90000793BFD92E -:040C9100BDC9065083 -:040C9200406482B385 -:040C930020000793A3 -:040C94000057F463AE -:040C950020000293A6 -:040C9600041367E1FB -:040C9700971320038C -:040C980085130102BD -:040C99008341BFC70D -:040C9A005613468126 -:040C9B00182C00947D -:040C9C00C616C81A96 -:040C9D00523010EFD2 -:040C9E00166387AAA8 -:040C9F0043420E05B9 -:040CA000182842B21C -:040CA10000133613F3 -:040CA20000EF859644 -:040CA300832234F084 -:040CA40067B7BF056A -:040CA5008793000130 -:040CA600B7994007B3 -:040CA700092010EF21 -:040CA80057836761A6 -:040CA9006509C4070E -:040CAA0071050513B8 -:040CAB000027E793A4 -:040CAC00C4F7102356 -:040CAD000EF4A0237E -:040CAE00E47FC0EF30 -:040CAF00000165B724 -:040CB00046456561EF -:040CB1002C85859376 -:040CB200C1850513E0 -:040CB3007D3010EF91 -:040CB40067E1440DA3 -:040CB500000164B71F -:040CB600C587851356 -:040CB7008593464596 -:040CB80010EF2D44C8 -:040CB900D0EF7BD02D -:040CBA005632F21F9D -:040CBB004581183423 -:040CBC00D0EF45052B -:040CBD0087AAEA3FD9 -:040CBE00E20510E358 -:040CBF0065B767614D -:040CC0000513000117 -:040CC1004645C1875C -:040CC2002E458593A3 -:040CC300793010EF85 -:040CC4002D448593A3 -:040CC500464564E15B -:040CC600C584851349 -:040CC700783010EF82 -:040CC800EE7FD0EFFC -:040CC90055B2564288 -:040CCA004501183494 -:040CCB00DD7FD0EF0A -:040CCC0013E387AAFD -:040CCD0010EFDE0541 -:040CCE00676154F016 -:040CCF00000165B704 -:040CD000859346457D -:040CD1000513328550 -:040CD20010EFC187D7 -:040CD30065B75E3073 -:040CD4004645000190 -:040CD5002F4585938F -:040CD600C584851339 -:040CD700743010EF76 -:040CD800EA7FD0EFF0 -:040CD900440DA00125 -:040CDA00440DBB45C5 -:040CDB000670079305 -:040CDC00440DB365AB -:040CDD0006800793F3 -:040CDE000713B34500 -:040CDF008A63F360D1 -:040CE000071306E709 -:040CE1009CE3F3702D -:040CE20065B7DAE731 -:040CE30085930001F4 -:040CE400BB55244593 -:040CE500066007138B -:040CE60006E7826338 -:040CE70000F74F6360 -:040CE80006400713A8 -:040CE90006E7816336 -:040CEA000650071396 -:040CEB00D8E799E3CA -:040CEC00000165B7E7 -:040CED001FC5859307 -:040CEE000713B379BC -:040CEF00896306709F -:040CF000071304E7FB -:040CF1009CE30680FA -:040CF20065B7D6E725 -:040CF30085930001E4 -:040CF400BB95230584 -:040CF500000165B7DE -:040CF6001EC58593FF -:040CF70065B7B3AD7D -:040CF80085930001DF -:040CF900B385264554 -:040CFA00000165B7D9 -:040CFB002745859371 -:040CFC0065B7BB9984 -:040CFD0085930001DA -:040CFE00B3B1254524 -:040CFF00000165B7D4 -:040D000020C58593F2 -:040D010065B7B38996 -:040D020085930001D4 -:040D0300BB2528855F -:040D0400000165B7CE -:040D050021C58593EC -:040D06002083B33D56 -:040D0700240324019C -:040D0800248323C15C -:040D0900557D238170 -:040D0A00244101136C -:040D0B000693808249 -:040D0C0006B32710F3 -:040D0D00079302D571 -:040D0E0066370640FE -:040D0F006561000119 -:040D10003FC60613C1 -:040D1100051345C5BC -:040D1200C6B3C5851A -:040D1300106F02F665 -:040D1400F06F5B70B1 -:040D150086AAFDDFCE -:040D1600000176372B -:040D170006136561F9 -:040D180045C5C746C0 -:040D1900C585051374 -:040D1A0059D0106F2D -:040D1B0046500693A5 -:040D1C0002D506B343 -:040D1D0006400793F2 -:040D1E000001663733 -:040D1F0006136561F1 -:040D200045C53F4640 -:040D2100C58505136C -:040D220002F6C6B35C -:040D23005790106F66 -:040D2400663786AAFE -:040D25006561000103 -:040D26003A06061370 -:040D2700051345C5A6 -:040D2800106FC585FE -:040D290046B7563043 -:040D2A008693000F9D -:040D2B000533240662 -:040D2C00678902D5FC -:040D2D0096478793CB -:040D2E003E800713E9 -:040D2F00006336B770 -:040D3000EA068693B6 -:040D31000001663720 -:040D32003946061325 -:040D330057B345C5A8 -:040D3400F7B302F51A -:040D3500472902E761 -:040D360002D556B3D9 -:040D370005136561DA -:040D3800D733C58563 -:040D3900106F02E74E -:040D3A00069351F0DB -:040D3B0006B3467045 -:040D3C00079302D542 -:040D3D0076370640BF -:040D3E0065610001EA -:040D3F00C6C606130B -:040D4000051345C58D -:040D4100C6B3C585EB -:040D4200106F02F636 -:040D430006934FB014 -:040D4400050527106A -:040D450002D505339B -:040D460006400693CA -:040D4700000166370A -:040D48003FC6061389 -:040D490046B345C5A3 -:040D4A00656102D508 -:040D4B00C585051342 -:040D4C004D50106F87 -:040D4D00663786AAD5 -:040D4E0065610001DA -:040D4F003CC6061385 -:040D5000051345C57D -:040D5100106FC585D5 -:040D520016934BF0B9 -:040D530086E10185AF -:040D540000016637FD -:040D550016D16561ED -:040D560037C6061383 -:040D5700051345C576 -:040D5800106FC585CE -:040D590045F14A30E6 -:040D5A0002B50533A6 -:040D5B00859365E136 -:040D5C004645D8052B -:040D5D00656195AA8D -:040D5E00C58505132F -:040D5F005230106F8F -:040D600000251793C0 -:040D610005136559B8 -:040D6200953E3C0579 -:040D63006561410C79 -:040D640005134645E8 -:040D6500106FC585C1 -:040D660047A95090B9 -:040D670000F50F6321 -:040D680000251793B8 -:040D69000513655DAC -:040D6A00953E258508 -:040D6B006561410C71 -:040D6C0005134645E0 -:040D6D00106FC585B9 -:040D6E0065B74E9087 -:040D6F008593000167 -:040D7000B7F53AC5D4 -:040D71004585114162 -:040D7200C02AC606C7 -:040D73006761219102 -:040D7400D7074783D3 -:040D75000713468298 -:040D7600E789D7072B -:040D770000016737D9 -:040D78003E470713D8 -:040D7900663740B2E7 -:040D7A0065610001AE -:040D7B003EC6061357 -:040D7C00051345C551 -:040D7D000141C585E6 -:040D7E0040D0106FE2 -:040D7F00A70367E17E -:040D800067E1D6470A -:040D8100D24787933B -:040D8200464546ADEF -:040D83000167D583AC -:040D840006E592638B -:040D85000FF6F693DC -:040D86000763E11905 -:040D870011312807F7 -:040D880064E1C42638 -:040D8900BD94C283D0 -:040D8A006461C622B8 -:040D8B009F440793E7 -:040D8C000032959309 -:040D8D00C80697AE4F -:040D8E000007A303B4 -:040D8F000047C783CF -:040D90008513465130 -:040D91008733FF564F -:040D9200260302C76B -:040D93007513004391 -:040D940043950FF57F -:040D9500BD948493F2 -:040D96009F4404135F -:040D97004703963A3E -:040D9800E8630046C6 -:040D990063D902A375 -:040D9A008393050A30 -:040D9B00951E33C3AB -:040D9C008502410883 -:040D9D000789068537 -:040D9E00F8C69AE316 -:040D9F00BF69468161 -:040DA0004783E39909 -:040DA10017FD000337 -:040DA2000FF7F793BD -:040DA300822395A270 -:040DA400470100F50E -:040DA500A091468152 -:040DA60000034703FC -:040DA70095A2078585 -:040DA80002E7E7B3C4 -:040DA9008763B7EDB8 -:040DAA008793000229 -:040DAB008023FFF2B0 -:040DAC00B7C500F4D3 -:040DAD0040C24432CA -:040DAE0067E144A213 -:040DAF00D60786A33A -:040DB000D06F0151AE -:040DB100478DB55F56 -:040DB20004F70E63D1 -:040DB30012E347916F -:040DB400461CFCF7E6 -:040DB500872A978270 -:040DB600C783468524 -:040DB700656100046E -:040DB800078E464517 -:040DB9004783943E9A -:040DBA0040040044AD -:040DBB0084334451E8 -:040DBC0040DC02878E -:040DBD00C1850513D4 -:040DBE00C036C23A3F -:040DBF00438C97A228 -:040DC00039F010EF07 -:040DC100459140DC3C -:040DC200C60397A22B -:040DC300EC63004796 -:040DC40065D912C516 -:040DC5008593060A02 -:040DC600962E3545EB -:040DC700468242100E -:040DC8008602471246 -:040DC900C3914A1C6C -:040DCA00C7839782C2 -:040DCB00435100048C -:040DCC0000178593F4 -:040DCD000733078E53 -:040DCE00431400F4D6 -:040DCF00004747038F -:040DD000073342D0D3 -:040DD100969302678C -:040DD20005330035B0 -:040DD300230300D422 -:040DD400973200054D -:040DD5000463471854 -:040DD600022300E311 -:040DD70097A20005DA -:040DD8000047C78386 -:040DD90096A2475146 -:040DDA0002E787B3F2 -:040DDB0000B48023BD -:040DDC00479C97B2E7 -:040DDD00BF31C29CC4 -:040DDE00ECE347916A -:040DDF0067D9F0E7F9 -:040DE0003687879338 -:040DE100973E070A28 -:040DE2008782431CA5 -:040DE300433D460C3A -:040DE40000C64503FD -:040DE50000D64703EA -:040DE6000005C783BA -:040DE70000E64603D9 -:040DE80000669D63A1 -:040DE90000F7786334 -:040DEA00F61317FDE8 -:040DEB0080230FF75B -:040DEC00B5C500C5C4 -:040DED00863AFD6DD8 -:040DEE00F863BFDD0A -:040DEF00078500C7AD -:040DF0000FF7F713EF -:040DF10000E5802376 -:040DF200FD6DB5E9F5 -:040DF300BFDD8732A7 -:040DF40045BD46189B -:040DF5000007578319 -:040DF60000B69C6344 -:040DF70000C6568359 -:040DF80000F6F563A9 -:040DF90007C217FD19 -:040DFA00102383C17E -:040DFB00B55500F7F3 -:040DFC0000E6568334 -:040DFD00FED7FBE33F -:040DFE00B7F50785B9 -:040DFF0045BD465058 -:040E000047834218CA -:040E010099630007EA -:040E0200C78100B6EE -:040E0300F79317FD4D -:040E040000230FF7C1 -:040E0500BDB500F780 -:040E060000464683D9 -:040E070000D7F563B8 -:040E0800F6930785D1 -:040E090000230FF7BC -:040E0A00B5A500D7B3 -:040E0B004B9C47981D -:040E0C0047036561D2 -:040E0D00464500074F -:040E0E00C58505137E -:040E0F0097BA070A7D -:040E100010EF438C10 -:040E1100443225D072 -:040E120044A240C2F4 -:040E1300D06F01514A -:040E140047989B9FC1 -:040E150045034B9CAA -:040E160097820007B8 -:040E17004798B7ED54 -:040E180055034B9C97 -:040E190097820007B5 -:040E1A0067E1BFF9D4 -:040E1B00C4078C2359 -:040E1C00C695BFD9DF -:040E1D0066B7C305EC -:040E1E0086930001B6 -:040E1F00663738C634 -:040E20006561000107 -:040E21003F0606136F -:040E2200051345C5AA -:040E230010EFC58582 -:040E2400BF5517702F -:040E2500000166B7AB -:040E26003846869331 -:040E270047DCB7CD20 -:040E28004398D7E92B -:040E2900BF45479CDE -:040E2A0047BD8082BE -:040E2B0016A7E063C3 -:040E2C00445257B71E -:040E2D00EF4101137D -:040E2E00355787931A -:040E2F0057B7C03EB3 -:040E30008793004163 -:040E3100C23E441762 -:040E320087936795A6 -:040E3300142330074D -:040E3400379300F1FF -:040E3500222300F57F -:040E360005231081FF -:040E3700041300F1AF -:040E38002423010569 -:040E39002023101151 -:040E3A0047B9109113 -:040E3B00F463042236 -:040E3C0065E10AA7BB -:040E3D000480079393 -:040E3E008593462929 -:040E3F000513C4854E -:040E400005A300D135 -:040E4100062300F193 -:040E420010EF0001AC -:040E430067E1023031 -:040E4400C157C78348 -:040E4500061365E14A -:040E46000C23036016 -:040E470067E100F16E -:040E4800C0C7C783D5 -:040E4900D245859376 -:040E4A0001F105139A -:040E4B0000F10CA303 -:040E4C00C78367E110 -:040E4D000BA3C6A786 -:040E4E0067E100F167 -:040E4F00C527C78369 -:040E500000F10D237D -:040E5100C78367E10B -:040E52000DA3CDF728 -:040E530067E100F162 -:040E5400C447C78345 -:040E550000F10E2377 -:040E5600C78367E106 -:040E57000EA3B0F73F -:040E580067E100F15D -:040E5900C147C78343 -:040E5A0000F10F2371 -:040E5B007C0010EF18 -:040E5C000593862252 -:040E5D00850A0550AD -:040E5E00EF2FD0EFB3 -:040E5F0000A0353387 -:040E600040A0053376 -:040E61001081208359 -:040E62001041240314 -:040E630010012483D3 -:040E640010C10113A5 -:040E650007B78082C9 -:040E66008793039CCF -:040E670064E103E758 -:040E6800C783CC3E32 -:040E6900EB91D7042E -:040E6A0000017637D6 -:040E6B00CD06061397 -:040E6C00851345B5F0 -:040E6D0010EFD704A7 -:040E6E00463504F011 -:040E6F00D70485938C -:040E700000B10513B5 -:040E71000DB010EFC1 -:040E7200061365E11D -:040E7300859303E080 -:040E74000868CE45F7 -:040E7500758010EF85 -:040E7600061365E119 -:040E770085930A60F5 -:040E78000513D80581 -:040E790010EF05A1D0 -:040E7A0086227460F8 -:040E7B0010000593CB -:040E7C00D0EF850A24 -:040E7D006561E78F35 -:040E7E000014061343 -:040E7F002F60059348 -:040E8000E26505130F -:040E8100EBAFD0EF14 -:040E8200BFAD4501BA -:040E83008082557D97 -:040E8400882367E177 -:040E850047BDD60788 -:040E860000A7F56369 -:040E8700853E57FD50 -:040E88000113808250 -:040E89000793EE815C -:040E8A00C22E01056E -:040E8B0001079513B3 -:040E8C00059300705A -:040E8D00C03E100053 -:040E8E0010112A23F2 -:040E8F001081282383 -:040E90001091262374 -:040E9100E08FD0EF2F -:040E9200E91D57FD02 -:040E9300000175B72E -:040E9400CC858593F1 -:040E9500C0EF006842 -:040E960087AAC49FC4 -:040E97001A051A63BB -:040E9800014144834D -:040E99001A0498633C -:040E9A000151468339 -:040E9B000530071304 -:040E9C001AE692635D -:040E9D0001614703A5 -:040E9E004685CF11A5 -:040E9F000CD70A63FF -:040EA0001141208359 -:040EA1001101240314 -:040EA20010C12483D4 -:040EA3000113853E74 -:040EA40080821181B6 -:040EA500018147037D -:040EA600017146830D -:040EA7008F5507223A -:040EA8000480069329 -:040EA900FCD71EE371 -:040EAA00FB79471277 -:040EAB00007866E184 -:040EAC0045394781FC -:040EAD00C4868693DE -:040EAE00460345A909 -:040EAF00666300D79F -:040EB000833300C5C3 -:040EB100002300D743 -:040EB200078500C3ED -:040EB30096E30705B6 -:040EB4004783FEB7BB -:040EB500676102511E -:040EB600C0F7062358 -:040EB7006663472502 -:040EB800676100F777 -:040EB900C0F70AA3D1 -:040EBA004783A029A1 -:040EBB007AE3024193 -:040EBC004703FEF7F3 -:040EBD0067E1027176 -:040EBE008FA3656138 -:040EBF004703CCE732 -:040EC00067E1028163 -:040EC10003600613B1 -:040EC200C4E78223DC -:040EC300029147034E -:040EC400059367E14A -:040EC50087A302B14C -:040EC6004703B0E747 -:040EC70067E102A13C -:040EC800D2450513F7 -:040EC900C0E78A23D1 -:040ECA0002314703A7 -:040ECB00852367E133 -:040ECC0067E1C6E72D -:040ECD00C487C7030C -:040ECE0084A367E1B1 -:040ECF004703C6E728 -:040ED00067E1026173 -:040ED100C4E78923C6 -:040ED2005E4010EF7F -:040ED300BF0D478187 -:040ED40002415683FE -:040ED50003E007131C -:040ED600F2E694E3C9 -:040ED700026154035D -:040ED80039C0071303 -:040ED900F0E41EE340 -:040EDA00C42A67E1DE -:040EDB008513463500 -:040EDC000593D7079C -:040EDD0010EF0171A0 -:040EDE0047127280C5 -:040EDF0011E347A232 -:040EE0006561F00751 -:040EE10003E0061311 -:040EE2000513102CB8 -:040EE30010EFCE45F9 -:040EE400478259E008 -:040EE500470166E17A -:040EE600C03E07A261 -:040EE700D806869310 -:040EE80005A00793C7 -:040EE90010000613DC -:040EEA0000D70533F5 -:040EEB008E1DC43A5A -:040EEC00C23E00788A -:040EED0000F705B352 -:040EEE0004C448638D -:040EEF00570010EFA9 -:040EF00047224792BC -:040EF100943E0485A2 -:040EF20047828F1D87 -:040EF30010070713CA -:040EF4000FF4F49370 -:040EF50000F485334D -:040EF60083410742EB -:040EF700F0040413EC -:040EF80005930070EE -:040EF90005221000BE -:040EFA000442C23AB2 -:040EFB00C60FD0EF5F -:040EFC00804166E1EA -:040EFD0047124781D0 -:040EFE00D8068693F9 -:040EFF0067E1F4456E -:040F00008EA3470570 -:040F0100B799CCE7E9 -:040F020010EF862244 -:040F0300BFC55220F4 -:040F0400B5BD4785AB -:040F0500B5AD4789B6 -:040F0600DD81011375 -:040F07002223086831 -:040F0800202322116F -:040F09002E232281F0 -:040F0A00D0EF209173 -:040F0B00C02AD3CF56 -:040F0C00454010EF5D -:040F0D009A6347821A -:040F0E0064611607FD -:040F0F00000175B7B1 -:040F1000859346453A -:040F11000513CA05F5 -:040F120064E1C18451 -:040F13004E0010EF8D -:040F1400C4048C2362 -:040F1500DB2FD0EF0F -:040F160064C1C626C6 -:040F170014FDC422DF -:040F1800000217B705 -:040F19000D07A78396 -:040F1A005683676132 -:040F1B008FE5D24745 -:040F1C0000F68E63EA -:040F1D00D24707139D -:040F1E00002757034E -:040F1F0012F707635B -:040F20000513650947 -:040F2100C0EF7105A7 -:040F2200BFD9C78FDD -:040F230075B747A2B5 -:040F2400464500013D -:040F2500CB458593A0 -:040F2600C1878513E7 -:040F2700490010EF7E -:040F280065B747B2B0 -:040F29004645000138 -:040F2A002D45859339 -:040F2B00C5878513DE -:040F2C005EE010EF84 -:040F2D00D52FD0EFFD -:040F2E0044014481B5 -:040F2F00010417138F -:040F3000200707137C -:040F310040975793FB -:040F3200863EC23EF7 -:040F3300472D67E1FE -:040F3400080C4681DE -:040F3500BFC785139A -:040F36002BE010EFAD -:040F370075B7E5792C -:040F3800859300019C -:040F39000808CC8553 -:040F3A009B7FC0EFEA -:040F3B004703ED1D5E -:040F3C00EF0501813B -:040F3D000191468355 -:040F3E000530071360 -:040F3F0002E69763CC -:040F400001A1468342 -:040F4100626347059B -:040F4200660502D767 -:040F4300008415937E -:040F4400061395B249 -:040F450084633F6022 -:040F4600061300E6A8 -:040F470045120550FA -:040F4800D0EF08746A -:040F4900E151C72F7C -:040F4A000405048511 -:040F4B0017E3474120 -:040F4C0010EFF8E4C6 -:040F4D004581352085 -:040F4E00F0EF453D3E -:040F4F0067E1CD7F0A -:040F5000C157C7833B -:040F510007136761BA -:040F520097BAC487FF -:040F53000007C503CB -:040F540067E145818B -:040F5500C6A784A304 -:040F5600CB9FF0EF4E -:040F5700763747A200 -:040F580086A6000168 -:040F5900C88606132D -:040F5A00851345C5F1 -:040F5B0010EFC1874B -:040F5C0047B24960EF -:040F5D00000175B763 -:040F5E0085934645EC -:040F5F008513C945E8 -:040F600010EFC58742 -:040F6100D0EF51C0BC -:040F62004537C80F38 -:040F63000513000F63 -:040F6400C0EF2405B1 -:040F65002083B6CF60 -:040F660024032241FD -:040F6700450222011C -:040F680021C12483FC -:040F690022810113CD -:040F6A0010EF808282 -:040F6B0057FD2DA061 -:040F6C00B7D5C03EF7 -:040F6D000185571390 -:040F6E00018517934F -:040F6F0006B78FD959 -:040F7000171300FF54 -:040F71008F750085F3 -:040F720067418FD96B -:040F7300F007071369 -:040F74008D798121D1 -:040F750080828D5D8C -:040F760017B7C609DA -:040F7700A0230002B1 -:040F78004701000726 -:040F7900FFC5F69327 -:040F7A000002163724 -:040F7B0000E507B3D3 -:040F7C0002D76463D1 -:040F7D00470D898D06 -:040F7E0002E5946391 -:040F7F000007D6830E -:040F8000000217371D -:040F810000D7122360 -:040F82000027C783FA -:040F830000F702234E -:040F8400000217B799 -:040F850080824B8893 -:040F86000711439C70 -:040F8700B7F9C25C98 -:040F88009963470919 -:040F8900D70300E5A5 -:040F8A0017B700078E -:040F8B0092230002AB -:040F8C00BFF900E7C2 -:040F8D009DE3470594 -:040F8E00C703FCE5B4 -:040F8F0017B7000789 -:040F900082230002B6 -:040F9100B7E900E7D5 -:040F9200C222115115 -:040F9300000214370D -:040F94004601C0262C -:040F9500059384AA92 -:040F9600051304A09B -:040F9700C406040484 -:040F9800F3CFC0EFE4 -:040F9900460185A6E2 -:040F9A000404051333 -:040F9B00F6AFC0EFFE -:040F9C0004A0059315 -:040F9D000404051330 -:040F9E00C0EF460555 -:040F9F000513F22F15 -:040FA00044120404EF -:040FA100448240A2A4 -:040FA200013145854F -:040FA300F30FC06F19 -:040FA400C422114111 -:040FA50000021437FB -:040FA600C02AC22675 -:040FA700460184AECD -:040FA80004A0059309 -:040FA9000404051324 -:040FAA00C0EFC606C8 -:040FAB004782EF2F5B -:040FAC0005134601E2 -:040FAD0085BE0404F5 -:040FAE00F1EFC0EFB0 -:040FAF00040405131E -:040FB00040B24422E5 -:040FB100449285A63B -:040FB20001414605AE -:040FB300F0AFC06F6C -:040FB400C2221151F3 -:040FB50014334405A8 -:040FB600741300A40C -:040FB70085A20FF40C -:040FB800C40645190D -:040FB900FADFF0EF7C -:040FBA00441285A2B6 -:040FBB00451D40A2EE -:040FBC00F06F0131A0 -:040FBD001151F9FFD6 -:040FBE00C222050640 -:040FBF000FF57413A3 -:040FC000450585A2BC -:040FC100F0EFC40683 -:040FC20085A2F8BF4D -:040FC30040A24412F2 -:040FC40001314509A9 -:040FC500F7DFF06FF3 -:040FC600451511516B -:040FC700F0EFC4067D -:040FC8000713F2BF5A -:040FC90047810860F4 -:040FCA0004E51363C4 -:040FCB000900059381 -:040FCC000200051307 -:040FCD00F5DFF0EF6D -:040FCE000513458141 -:040FCF00F0EF02102D -:040FD0004581F53F23 -:040FD10002200513E2 -:040FD200F49FF0EFA9 -:040FD3000513458D30 -:040FD400F0EF023008 -:040FD5004581F3FF60 -:040FD600028005137D -:040FD700F35FF0EFE5 -:040FD800030005937A -:040FD90007100513E5 -:040FDA00F29FF0EFA3 -:040FDB0040A2478564 -:040FDC000131853E1C -:040FDD0011418082BC -:040FDE0000A101A3CA -:040FDF0000021537C0 -:040FE0004605C422DC -:040FE1000513842E42 -:040FE200059304056A -:040FE300C60600310D -:040FE400E90FC0EF62 -:040FE500C0EF8522B2 -:040FE60040B2968FF0 -:040FE700014144225E -:040FE80011518082A1 -:040FE9006461C2225B -:040FEA00C404578361 -:040FEB00C026C40652 -:040FEC00F9F7F79387 -:040FED0083C107C2F3 -:040FEE00C4F4102314 -:040FEF00000214B731 -:040FF0000EF4A02338 -:040FF100C0EF4551B7 -:040FF20045D1938FC3 -:040FF300038005135F -:040FF400FA7FF0EFA1 -:040FF500051345D1CA -:040FF600F0EF039085 -:040FF70045D1F9DF08 -:040FF800F0EF455180 -:040FF90045D1F95F86 -:040FFA0007100513C4 -:040FFB00F8BFF0EF5C -:040FFC00051345D1C3 -:040FFD00F0EF05E02C -:040FFE0045D1F81FC2 -:040FFF0006D0051300 -:04100000F77FF0EF97 -:04100100453145D15F -:04100200F6FFF0EF16 -:04100300320005931F -:04100400F0EF4505BF -:0410050045D1F65F7C -:04100600F0EF4519A9 -:041007000593F5DF79 -:041008004509320064 -:04100900F53FF0EFD0 -:04100A00C404578340 -:04100B00E79340A285 -:04100C0010230407A2 -:04100D004412C4F4D1 -:04100E000EF4A02319 -:04100F0001314482E5 -:0410100011218082A8 -:041011006461C8222C -:04101200C404578338 -:04101300C626CA061D -:04101400F9F7F7935E -:0410150083C107C2CA -:041016001023C02EB5 -:041017001737C4F4CF -:04101800202300028F -:0410190084AA0EF7A0 -:04101A003200059308 -:04101B00F0EF4505A8 -:04101C005783F09F67 -:04101D001737C404B9 -:04101E0045C10002C6 -:04101F000207E7934A -:04102000C4F41023E1 -:041021000EF7202383 -:0410220010EF852620 -:04102300771323809C -:04102400EB110FF5C8 -:04102500000175B79A -:041026008593464523 -:0410270085260E0507 -:041028001FE010EFC6 -:0410290047814705AF -:04102A0000F486B395 -:04102B000006C503F3 -:04102C00C43A45D1AC -:04102D00F0EFC23EE0 -:04102E004792EC1FDA -:04102F0007854722C8 -:041030000FF7F6932D -:04103100FEE6E2E312 -:04103200C404578318 -:04103300000214B7EC -:04103400F79345D118 -:0410350007C2FDF7FA -:04103600102383C13F -:04103700A023C4F43A -:0410380005130EF49A -:04103900F0EF0C00C8 -:04103A005783E91FD0 -:04103B004502C404A2 -:04103C00E79345C130 -:04103D001023020773 -:04103E00A023C4F433 -:04103F0010EF0EF4AC -:0410400077931C4046 -:04104100EB910FF52B -:0410420075B7450237 -:04104300464500011D -:041044000E0585937D -:0410450018A010EFF0 -:041046004481478515 -:041047004782C23EDC -:04104800873345D1D4 -:0410490045030097C4 -:04104A000485000712 -:04104B00E4BFF0EF1F -:04104C00F7134792BD -:04104D0063E30FF456 -:04104E005783FEF7CF -:04104F0040D2C404C3 -:04105000000217374C -:041051000407E79316 -:04105200C4F41023AF -:0410530020234442D0 -:0410540044B20EF79D -:041055008082016133 -:04105600C222115150 -:041057000002143748 -:041058004601C02667 -:04105900059384AACD -:04105A00051302C0B8 -:04105B00C4060404BF -:04105C00C2CFC0EF50 -:04105D00460585A619 -:04105E00040405136E -:04105F00C5AFC0EF6A -:0410600002C0059332 -:04106100040405136B -:04106200C0EF460590 -:041063000513C12F81 -:04106400441204042A -:04106500448240A2DF -:04106600013145858A -:04106700C20FC06F85 -:04106800C42211414C -:041069000002143736 -:04106A00C02AC226B0 -:04106B00460184AE08 -:04106C0002C0059326 -:04106D00040405135F -:04106E00C0EFC60603 -:04106F004782BE2FC7 -:04107000051346011D -:0410710085BE040430 -:04107200C0EFC0EF1C -:041073000404051359 -:0410740040B2442220 -:04107500449285A676 -:0410760001414605E9 -:04107700BFAFC06FD8 -:041078000002153726 -:0410790046011151CA -:04107A000513458194 -:04107B00C40604059E -:04107C00BE6FC0EF94 -:04107D00B0EF452962 -:04107E0045E1F09FB9 -:04107F00F0EF450544 -:0410800045E1FA3F0D -:04108100F0EF45093E -:0410820045E1F9BF8C -:04108300F0EF450D38 -:041084004505F93FE6 -:04108500F45FF0EF35 -:04108600152140A24E -:041087000015351308 -:041088008082013130 -:04108900C22211511D -:04108A004505842A6A -:04108B00F0EFC406B8 -:04108C00991DF2BFF9 -:04108D008C49040E78 -:04108E000FF47413D4 -:04108F00450585A2EC -:04109000F61FF0EF68 -:04109100450985A2E6 -:04109200F59FF0EFE7 -:04109300441285A2DC -:04109400450D40A224 -:04109500F06F0131C6 -:041096001151F4BF41 -:04109700842AC222C3 -:04109800C406450540 -:04109900EF5FF0EF26 -:04109A0075134789FA -:04109B0013630D8549 -:04109C00641302F4E3 -:04109D0085A2001513 -:04109E00F0EF450525 -:04109F0085A2F27FB5 -:0410A000F0EF45091F -:0410A10085A2F1FF34 -:0410A20040A2441212 -:0410A3000131450DC5 -:0410A400F11FF06FD9 -:0410A5008C49041658 -:0410A6000FF47413BC -:0410A700004464138A -:0410A8001151BFD94A -:0410A9001437C22214 -:0410AA00C02600025A -:0410AB0084AA4601CC -:0410AC0005C00593E3 -:0410AD00040405131F -:0410AE00C0EFC406C5 -:0410AF00F593AE2FD8 -:0410B00046050FF4EE -:0410B100040405131B -:0410B200B0EFC0EFEC -:0410B30005C00593DC -:0410B4000404051318 -:0410B500C0EF46053D -:0410B6000513AC6F03 -:0410B70044120404D7 -:0410B800448240A28C -:0410B9000131458537 -:0410BA00AD4FC06F07 -:0410BB00C4221141F9 -:0410BC0000021437E3 -:0410BD00C02AC2265D -:0410BE00460184AEB5 -:0410BF0005C00593D0 -:0410C000040405130C -:0410C100C0EFC606B0 -:0410C2004782A96F49 -:0410C30005134601CA -:0410C400F593040498 -:0410C500C0EF0FF772 -:0410C6000513AC0F53 -:0410C70044220404B7 -:0410C80085A640B207 -:0410C9004605449202 -:0410CA00C06F0141B1 -:0410CB001151AACF46 -:0410CC0002200513E6 -:0410CD00C222C40671 -:0410CE00F0EFC02659 -:0410CF007413F69F01 -:0410D00045CD0FF506 -:0410D100F0EF45599E -:0410D2006489FA7FB4 -:0410D300710485130C -:0410D400DAFFB0EFA0 -:0410D500455D458DA3 -:0410D600F95FF0EFDF -:0410D7007104851308 -:0410D800D9FFB0EF9D -:0410D900080465930F -:0410DA0002200513D8 -:0410DB00F81FF0EF1B -:0410DC003E8005133A -:0410DD00D8BFB0EFD9 -:0410DE0007F475930B -:0410DF0040A24412D5 -:0410E000051344822E -:0410E10001310220B7 -:0410E200F65FF06F56 -:0410E300C2221151C3 -:0410E4000513640983 -:0410E500C4067104C8 -:0410E600D67FB0EF12 -:0410E700455945C55D -:0410E800F4DFF0EF52 -:0410E9007104051376 -:0410EA00D57FB0EF0F -:0410EB004589455D91 -:0410EC00F3DFF0EF4F -:0410ED007104051372 -:0410EE0040A24412C6 -:0410EF00B06F0131AC -:0410F0001151D41FA7 -:0410F100842EC22265 -:0410F200454985AA3D -:0410F300F0EFC40650 -:0410F40085A2F1FFE1 -:0410F50040A24412BF -:0410F6000131454D32 -:0410F700F11FF06F86 -:0410F800051385AAAD -:0410F900F06F03D0C1 -:0410FA0085AAF07F54 -:0410FB00F06F454508 -:0410FC001151EFFFA0 -:0410FD00C222C40641 -:0410FE0000654783BF -:0410FF00456D842A8D -:04110000004795937C -:04110100F5938DDDF8 -:04110200F0EF0FF506 -:041103004583EE3FF3 -:0411040045710064CD -:04110500ED9FF0EF7B -:0411060000344583E9 -:04110700F0EF452997 -:041108004583ECFF30 -:041109004525004434 -:04110A00EC5FF0EFB7 -:04110B0000544583C4 -:04110C00F0EF45219A -:04110D004583EBBF6C -:04110E00453500045F -:04110F00EB1FF0EFF3 -:0411100000144583FF -:04111100F0EF453185 -:041112004583EA7FA8 -:04111300441200245E -:04111400452D40A283 -:04111500F06F013145 -:041116001141E97F1B -:04111700842AC42240 -:04111800C226451195 -:0411190084AEC032AE -:04111A00F0EFC60626 -:04111B004602E39F06 -:04111C000F85759333 -:04111D000793CE4D19 -:04111E00EA637FF011 -:04111F0045110A87E5 -:041120000015E5933E -:04112100E69FF0EF66 -:04112200001415138D -:04112300010514139B -:04112400559380411E -:04112500F5930044FA -:0411260045050FF577 -:04112700E51FF0EFE1 -:0411280000441593D7 -:041129000F05F59326 -:04112A00F0EF450994 -:04112B000737E43F5F -:04112C000713019C08 -:04112D005733CC0761 -:04112E0056B7029717 -:04112F00869302257C -:0411300047810FF6EE -:0411310002870733F7 -:0411320002E6F0637E -:04113300042C26B7AB -:04113400D7F68693D1 -:04113500F96347858E -:04113600F7B700E621 -:041137008793080B87 -:04113800B7B3FBF757 -:04113900078900E73B -:04113A0007136761CF -:04113B00973EBC0718 -:04113C000007450360 -:04113D000280071312 -:04113E0002E505338E -:04113F00001457132E -:041140004533953A64 -:04114100471D0285BF -:041142000FF575131D -:041143000FF575939C -:0411440000A773632A -:041145004422459D5E -:04114600449240B2DD -:04114700079A058E70 -:04114800F5938DDDB1 -:04114900450D0F85BC -:04114A00F06F014100 -:04114B004511DC3F2F -:04114C00DBDFF0EF06 -:04114D001151BFB9C4 -:04114E00842AC2220B -:04114F00C406456924 -:04115000D63FF0EFA7 -:0411510075934785C6 -:041152001A630FA568 -:04115300E59300F42C -:04115400441200251C -:04115500456940A206 -:04115600F06F013104 -:04115700E593D93F04 -:04115800BFC500A56A -:0411590000A5558315 -:04115A00C22211514B -:04115B00842A81A1C0 -:04115C0004B00513C3 -:04115D00F0EFC406E5 -:04115E004583D77F6F -:04115F00051300A4D0 -:04116000F0EF04A008 -:041161005583D6BF1D -:04116200051300C4AD -:0411630081A104D092 -:04116400D5DFF0EFF4 -:0411650000C44583FA -:0411660004C00513A9 -:04116700D51FF0EFB1 -:0411680000E45583C7 -:0411690004F0051376 -:04116A00F0EF81A180 -:04116B004583D43FA5 -:04116C00051300E483 -:04116D00F0EF04E0BB -:04116E005583D37F53 -:04116F000513004420 -:0411700081A1051044 -:04117100D29FF0EF2A -:04117200004445836D -:04117300050005135B -:04117400D1DFF0EFE8 -:04117500006455833A -:041176000530051328 -:04117700F0EF81A173 -:041178004583D0FFDC -:0411790005130064F6 -:04117A00F0EF05206D -:04117B005583D03F89 -:04117C0005130084D3 -:04117D0081A10550F7 -:04117E00CF5FF0EF60 -:04117F000084458320 -:04118000054005130E -:04118100CE9FF0EF1E -:04118200010455838C -:0411830005700513DB -:04118400F0EF81A166 -:041185004583CDBF12 -:041186000513010448 -:04118700F0EF056020 -:041188005583CCFFC0 -:041189000513012425 -:04118A0081A10590AA -:04118B00CC1FF0EF96 -:04118C000124458372 -:04118D0005800513C1 -:04118E00CB5FF0EF54 -:04118F00014455833F -:0411900005B005138E +:040A4100C602C8021F +:040A42004783B765CA +:040A4300D3CD00B45B +:040A4400D0AFF0EF50 +:040A450065D9BF713F +:040A46001151656184 +:040A470003D00613BF +:040A4800FE4585934F +:040A4900D7C50513F5 +:040A4A0020EFC406CF +:040A4B0065DD0A90CB +:040A4C0006136561C7 +:040A4D00859339C094 +:040A4E000513DC852B +:040A4F0020EFE2C5ED +:040A500040A2095067 +:040A5100470567E10D +:040A5200D6E78B2335 +:040A53000131450127 +:040A540067598082DC +:040A550007931111E1 +:040A5600C8260F4758 +:040A5700CA22CC06DD +:040A58004481C00213 +:040A59006461C43ED2 +:040A5A00000165B77B +:040A5B0085934645F4 +:040A5C0005131985E0 +:040A5D0020EFCBC4F7 +:040A5E0047A21CF09F +:040A5F0000249713C5 +:040A6000973E6561F7 +:040A61004645430CB7 +:040A6200CF45051364 +:040A63001B9020EFD5 +:040A6400877FE0EFB9 +:040A6500C2224681E2 +:040A6600000217373C +:040A67000D072583CF +:040A680017FD67C14E +:040A690000F5F6336B +:040A6A002E23646172 +:040A6B002703DEC4BB +:040A6C0005370D0736 +:040A6D0067E100033A +:040A6E00FFF7471334 +:040A6F00A0238F69C8 +:040A7000C63EE0E7B7 +:040A71004782CA15D9 +:040A720002F608631D +:040A7300951367610F +:040A74000713001450 +:040A7500972ADBC71A +:040A76004792EABDFC +:040A770000B7102391 +:040A7800000165B75D +:040A790085934645D6 +:040A7A0085131A05C1 +:040A7B0020EFCBC7D6 +:040A7C00E0EF157022 +:040A7D004685815FCA +:040A7E0047B26761B3 +:040A7F00DF47260324 +:040A8000E007A303E5 +:040A8100E60587BA45 +:040A82001263674153 +:040A8300E0BD02E3ED +:040A8400656165D96A +:040A850003600613F1 +:040A86001605859339 +:040A8700DBC50513B3 +:040A880020EFC01A81 +:040A890043027B00A9 +:040A8A0044ED468968 +:040A8B00270367E1F5 +:040A8C00AA23DFC4F6 +:040A8D00C636DE6724 +:040A8E004709C03A1A +:040A8F0002E68F6389 +:040A900005136509DC +:040A9100D0EF71052C +:040A920046B2EB8FEE +:040A93005703B7B19D +:040A94004689000788 +:040A9500FAE602E398 +:040A960065B7479267 +:040A970046450001CF +:040A98001A858593A3 +:040A9900CBC785132F +:040A9A000DD020EF6C +:040A9B00F9AFE0EFE0 +:040A9C00B75946817F +:040A9D00468914F979 +:040A9E000485BF5DAF +:040A9F0054E347696C +:040AA0004452EE9737 +:040AA10044C240E229 +:040AA2000171453D5C +:040AA3006BB0006FC5 +:040AA400FBC101137E +:040AA5000131079381 +:040AA60067E1CE3EF8 +:040AA700D957879301 +:040AA80067E1D03EF4 +:040AA900D9678793EF +:040AAA0067E1D23EF0 +:040AAB00D9778793DD +:040AAC0067E1D43EEC +:040AAD00D9878793CB +:040AAE00D83ED63E1A +:040AAF00879367E1E1 +:040AB000DA3ED997BA +:040AB1000F0327B751 +:040AB200F01787931F +:040AB3000793CA3E9D +:040AB400636130301A +:040AB50000F11C230D +:040AB6000D23478D38 +:040AB700079300F1B0 +:040AB800C783D0839D +:040AB900DE220187B1 +:040ABA00DC26C086F0 +:040ABB00000109A38A +:040ABC0003134725B4 +:040ABD004405D08399 +:040ABE0000E7866364 +:040ABF000017841385 +:040AC0000FF47413A8 +:040AC100676167E121 +:040AC200DFC7A603E1 +:040AC300DBC70493F6 +:040AC400071347814C +:040AC50045E9DBC75D +:040AC600000756834C +:040AC70000D61C63D6 +:040AC80066634769B1 +:040AC90067590EF764 +:040ACA000713078A7D +:040ACB0097BA02478D +:040ACC008782439C3E +:040ACD008B63070927 +:040ACE00078540B7A1 +:040ACF004785BFF1A7 +:040AD000D70366E101 +:040AD1004713CE26D3 +:040AD2009123100755 +:040AD3006761CEE6A3 +:040AD400E00727030D +:040AD50000F716937D +:040AD6000006D363E0 +:040AD700169387A249 +:040AD800DE6300E7F2 +:040AD900676100064B +:040ADA00D7C74683B1 +:040ADB004601458506 +:040ADC0000D5E563F9 +:040ADD00F613068581 +:040ADE000E230FF6DE +:040ADF004729D6C706 +:040AE00086634501E3 +:040AE100676100E762 +:040AE200CAF70CA3A0 +:040AE30067E145057D +:040AE400CE27D7033F +:040AE500C68366E17D +:040AE6009B4DE0A69E +:040AE70083410742FE +:040AE800CEE79123A1 +:040AE90066E1EA8157 +:040AEA00CF26C683CA +:040AEB008F55068A93 +:040AEC00CEE791239D +:040AED00CE27D70336 +:040AEE005472408678 +:040AEF00000217B733 +:040AF0000EE7A0234A +:040AF100011354E2B7 +:040AF20080820441B9 +:040AF300BF8D4789E3 +:040AF400B7BD478DB6 +:040AF500B7AD4791C1 +:040AF600B79D4795CC +:040AF700B78D4799D7 +:040AF800BFB9479D9E +:040AF900BFA947A1A9 +:040AFA00BF9947A5B4 +:040AFB004783676165 +:040AFC00B693E0A726 +:040AFD0005230017B6 +:040AFE006761E0D775 +:040AFF00D057468303 +:040B000009236761FD +:040B0100E791CAD7D7 +:040B020000EF4505B6 +:040B030047A928F0E6 +:040B0400E0EFBF055A +:040B0500BFE5E04F19 +:040B0600A70367E1F9 +:040B07006637BB870B +:040B08006561000122 +:040B0900000744831A +:040B0A000017478306 +:040B0B0009060613BE +:040B0C0007A245C532 +:040B0D0044838FC5C9 +:040B0E0005130027A4 +:040B0F0004C2CBC58C +:040B100044838FC5C6 +:040B110004E20037C3 +:040B1200D3938CDD10 +:040B1300F79300B4A0 +:040B1400F3937FF4E4 +:040B1500C63E0013C5 +:040B16004683C41E30 +:040B170042830047CE +:040B180002A20057DE +:040B190000D2E6B36D +:040B1A0000674283AB +:040B1B000077470315 +:040B1C00E2B302C27C +:040B1D00068300D279 +:040B1E00477100A378 +:040B1F0086B302B2E5 +:040B2000676102E621 +:040B2100E2C707130D +:040B220000C2D293A8 +:040B23009736C21629 +:040B2400C68366E13D +:040B250020EFD05697 +:040B260067E16140E2 +:040B2700D0878313DD +:040B280000B34703CC +:040B290047B2C721E7 +:040B2A00071343A2C8 +:040B2B008693069017 +:040B2C0096B3001765 +:040B2D009793007624 +:040B2E00969E01444A +:040B2F00C4634292C7 +:040B300007130007A0 +:040B310007B70700FB +:040B32008CFD000333 +:040B330002A0079382 +:040B34000793E099AA +:040B3500663702001D +:040B360065610001F4 +:040B37000613C016CB +:040B380045C509C6E0 +:040B3900CF4505138C +:040B3A005C2020EF2C +:040B3B00D1AFE0EF67 +:040B3C006761BF39F5 +:040B3D00CE275783E5 +:040B3E000107C79351 +:040B3F00CEF71123B9 +:040B400067E1B73979 +:040B4100D7C7C70348 +:040B4200468146059D +:040B430000E6656300 +:040B44007693070598 +:040B45008E230FF7F5 +:040B4600BDD5D6D76C +:040B4700871367E1C8 +:040B48004703D7C7C1 +:040B49004605001746 +:040B4A00D7C78793EF +:040B4B006563468117 +:040B4C00070500E6B3 +:040B4D000FF7769395 +:040B4E0000D780A3A9 +:040B4F006761BDC954 +:040B5000D7C7079369 +:040B51000067C783EF +:040B5200D7C70713E7 +:040B530017FDC78142 +:040B54000FF7F7930D +:040B550000F703237F +:040B56006761BD5DB9 +:040B5700D7C70713E2 +:040B58000067478368 +:040B5900F36346B943 +:040B5A0047B900F6A1 +:040B5B00B7DD078576 +:040B5C00000165B778 +:040B5D004645656143 +:040B5E000AC58593AC +:040B5F00CBC50513EA +:040B60005C4020EFE6 +:040B610065B762E131 +:040B620085130001F6 +:040B63004645CF42F2 +:040B64000BC58593A5 +:040B65005B0020EF22 +:040B6600C6EFE0EF07 +:040B670067E167617A +:040B6800071362E12C +:040B69008313E2C749 +:040B6A0017B7D08762 +:040B6B00A6030002DB +:040B6C0046810D07AA +:040B6D00064287A60F +:040B6E0045ED82418E +:040B6F000007D503A3 +:040B700000C5066353 +:040B71000789068565 +:040B7200FEB69AE34E +:040B730000A3078351 +:040B740087B346718C +:040B7500461502C758 +:040B76004BDC97BA03 +:040B7700646383F53B +:040B7800461102F62A +:040B790004D64A63F1 +:040B7A00973E183852 +:040B7B00FDC7470368 +:040B7C0040D75733D4 +:040B7D00CF118B0504 +:040B7E001838078A92 +:040B7F00A78397BAF7 +:040B80008023FE4789 +:040B8100E0EF00D7CA +:040B820067E1C10F57 +:040B8300E0078523DF +:040B84006637BBFD18 +:040B850006850001E0 +:040B86000C860613C0 +:040B8700851345C5C8 +:040B880020EFCF4249 +:040B8900E0EF4880D1 +:040B8A00A537BE0FBE +:040B8B000513000747 +:040B8C00D0EF12058F +:040B8D00BFC1ACCF69 +:040B8E0086E347B102 +:040B8F006509FCF602 +:040B900071050513D3 +:040B9100ABAFD0EF47 +:040B9200871367E17D +:040B930067E1E2C76D +:040B9400D087831370 +:040B9500BF9162E1C9 +:040B960000A30703AE +:040B970067E146715B +:040B980002C7063357 +:040B9900E2C7869396 +:040B9A00879345F9FF +:040B9B0096B2E2C765 +:040B9C000166C683A5 +:040B9D00E5634601C5 +:040B9E00068500D5F3 +:040B9F000FF6F61344 +:040BA000073346F1E0 +:040BA10097BA02D726 +:040BA20000C78B23DA +:040BA30067E14705BA +:040BA400D6E78B23E2 +:040BA5000703BBADDA +:040BA600467100A3F1 +:040BA700063367E1C9 +:040BA800869302C767 +:040BA9008793E2C785 +:040BAA0096B2E2C756 +:040BAB000166C68396 +:040BAC00D6F9467DB3 +:040BAD00B7D916FDA1 +:040BAE00000165B726 +:040BAF0046456561F1 +:040BB0000D85859397 +:040BB100CBC5051398 +:040BB20047C020EF29 +:040BB300000165B721 +:040BB40046456561EC +:040BB5000E85859391 +:040BB600CF4505130F +:040BB700468020EF65 +:040BB800B26FE0EF49 +:040BB900177D6741FC +:040BBA00000217B767 +:040BBB000D07A60379 +:040BBC00478186A641 +:040BBD0045ED8E79FB +:040BBE000006D50355 +:040BBF0000C50F63FB +:040BC0000689078516 +:040BC100FEB79AE3FE +:040BC20005136509A9 +:040BC300D0EF7105F9 +:040BC40067C19F0F57 +:040BC500FFF787139C +:040BC60046A5BFC1C0 +:040BC70000F6CC6305 +:040BC800078547292D +:040BC90002E7E7B3A5 +:040BCA000923676133 +:040BCB00F0EFCAF786 +:040BCC00BDD199AF4F +:040BCD0099E346B1B1 +:040BCE00B5F1FCD7AA +:040BCF00C70367E110 +:040BD00047A9E0A7AA +:040BD100BE071EE35A +:040BD200BEDD87A25B +:040BD300B10147A97C +:040BD400DBC101136D +:040BD5002023182899 +:040BD6002E23241195 +:040BD7002C23228128 +:040BD800E0EF229197 +:040BD900C22AA04F3D +:040BDA001C2020EFCC +:040BDB00440D4792EC +:040BDC004611EBC50E +:040BDD000828182CA0 +:040BDE003CC020EF08 +:040BDF00000165B7F5 +:040BE00085934611A2 +:040BE10008283405A7 +:040BE200390020EFC7 +:040BE300064007932E +:040BE4005783E94109 +:040BE500059303C1B0 +:040BE600462103E1C0 +:040BE70001E1051310 +:040BE80000F11E23D7 +:040BE9003A0020EFBF +:040BEA0004615783C8 +:040BEB00020102A35E +:040BEC0000F11A23D7 +:040BED0004815783A5 +:040BEE0000F11B23D4 +:040BEF0000EF45527C +:040BF000578369308E +:040BF100D42A04A15D +:040BF20000F11A23D1 +:040BF30004C157835F +:040BF40000F11B23CE +:040BF50000EF455276 +:040BF600578367B00A +:040BF700D62A04E115 +:040BF80000F11A23CB +:040BF9000501578318 +:040BFA0000F11B23C8 +:040BFB0000EF455270 +:040BFC00D82A66305D +:040BFD002341250368 +:040BFE0000EFCA2A10 +:040BFF0055A2657026 +:040C00000793DA2A52 +:040C010087131E2017 +:040C0200E063FE6548 +:040C0300460514E7A7 +:040C040000EF1828BD +:040C050057D266302C +:040C060006F50B6381 +:040C070006600793E9 +:040C080020EFC23ED9 +:040C0900479210807E +:040C0A0089634705AE +:040C0B0047632AE72A +:040C0C00071326F7AD +:040C0D008863F350B5 +:040C0E0044632AE72A +:040C0F00071324F7AC +:040C10008763F340C3 +:040C110065B72AE7B2 +:040C120085930001C5 +:040C1300C23E28C5F0 +:040C1400851367E1FC +:040C15004645CF473A +:040C16002EC020EFDD +:040C17009AAFE0EFC1 +:040C1800000F45374D +:040C19002405051396 +:040C1A00896FD0EF1F +:040C1B00DA634792BF +:040C1C0058632A07E8 +:040C1D0066372A808C +:040C1E00656100010B +:040C1F003AC60613B8 +:040C2000051345C5AE +:040C210020EFCBC530 +:040C2200147D2240DB +:040C23006461A2B9AD +:040C2400000166372E +:040C250034860613F8 +:040C2600051345C5A8 +:040C270020EFCBC42B +:040C280056B220C0E0 +:040C2900663767E1E2 +:040C2A00851300012D +:040C2B000613CF4796 +:040C2C0045C53586FF +:040C2D001F6020EF35 +:040C2E0094EFE0EF70 +:040C2F0054B257C2A2 +:040C3000C23E43017C +:040C3100C422450193 +:040C3200089364635C +:040C330092634792EF +:040C340047831AA731 +:040C3500468301E110 +:040C3600470301C1AE +:040C3700CFD501D143 +:040C3800000167B799 +:040C390025878793F1 +:040C3A000613452236 +:040C3B00C03201E1E1 +:040C3C000001663716 +:040C3D00364606131E +:040C3E00051345C590 +:040C3F0020EFCBC512 +:040C400067E11AC08E +:040C4100000165B792 +:040C4200859346450B +:040C430085133BC515 +:040C440020EFCF4787 +:040C450064410C00FA +:040C46008EEFE0EF5E +:040C470014B7147D4D +:040C4800A78300027C +:040C490067610D04CE +:040C4A00DBC756832B +:040C4B008B638FE147 +:040C4C00071306F68E +:040C4D005703DBC7A7 +:040C4E000063002718 +:040C4F00650914F728 +:040C50007105051312 +:040C5100FBBFC0EF36 +:040C52000793BFD96C +:040C5300BDC90650C1 +:040C5400406482B3C3 +:040C550020000793E1 +:040C56000057F463EC +:040C570020000293E4 +:040C5800041367E139 +:040C590097132003CA +:040C5A0085130102FB +:040C5B008341CA47C0 +:040C5C005613468164 +:040C5D00182C0094BB +:040C5E00C616C81AD4 +:040C5F006C1010EF16 +:040C6000166387AAE6 +:040C610043420E05F7 +:040C6200182842B25A +:040C63000013361331 +:040C640000EF859682 +:040C650083224E3068 +:040C660067B7BF05A8 +:040C6700879300016E +:040C6800B7994A8767 +:040C6900226010EF06 +:040C6A0057836761E4 +:040C6B006509CE2722 +:040C6C0071050513F6 +:040C6D000027E793E2 +:040C6E00CEF7112389 +:040C6F000EF4A023BC +:040C7000F3FFC0EFDF +:040C7100000165B762 +:040C7200464565612D +:040C73003705859329 +:040C7400CBC50513D4 +:040C7500170020EF55 +:040C760067E1440DE1 +:040C7700000164B75D +:040C7800CF478513CA +:040C790085934645D4 +:040C7A0020EF37C46C +:040C7B00E0EF15A0F1 +:040C7C005632818FDC +:040C7D004581183461 +:040C7E00D0EF450569 +:040C7F0087AAF9BF88 +:040C8000E20510E396 +:040C810065B767618B +:040C82000513000155 +:040C83004645CBC750 +:040C840038C5859357 +:040C8500130020EF49 +:040C860037C4859357 +:040C8700464564E199 +:040C8800CF448513BD +:040C8900120020EF46 +:040C8A00FDFFD0EFAB +:040C8B0055B25642C6 +:040C8C0045011834D2 +:040C8D00ECFFD0EFB9 +:040C8E0013E387AA3B +:040C8F0010EFDE057F +:040C900067616ED05A +:040C9100000165B742 +:040C920085934645BB +:040C930005133D0503 +:040C940010EFCBC7CB +:040C950065B77810B7 +:040C960046450001CE +:040C970039C5859343 +:040C9800CF448513AD +:040C99000E0020EF3A +:040C9A00F9FFD0EF9F +:040C9B00440DA00163 +:040C9C00440DBB4503 +:040C9D000670079343 +:040C9E00440DB365E9 +:040C9F000680079331 +:040CA0000713B3453E +:040CA1008A63F3600F +:040CA200071306E747 +:040CA3009CE3F3706B +:040CA40065B7DAE76F +:040CA5008593000132 +:040CA600BB552EC547 +:040CA70006600713C9 +:040CA80006E7826376 +:040CA90000F74F639E +:040CAA0006400713E6 +:040CAB0006E7816374 +:040CAC0006500713D4 +:040CAD00D8E799E308 +:040CAE00000165B725 +:040CAF002A458593BA +:040CB0000713B379FA +:040CB10089630670DD +:040CB200071304E739 +:040CB3009CE3068038 +:040CB40065B7D6E763 +:040CB5008593000122 +:040CB600BB952D8538 +:040CB700000165B71C +:040CB80029458593B2 +:040CB90065B7B3ADBB +:040CBA00859300011D +:040CBB00B38530C508 +:040CBC00000165B717 +:040CBD0031C5859325 +:040CBE0065B7BB99C2 +:040CBF008593000118 +:040CC000B3B12FC5D8 +:040CC100000165B712 +:040CC2002B458593A6 +:040CC30065B7B389D5 +:040CC4008593000113 +:040CC500BB25330513 +:040CC600000165B70D +:040CC7002C458593A0 +:040CC8002083B33D95 +:040CC90024032401DB +:040CCA00248323C19B +:040CCB00557D2381AF +:040CCC0024410113AB +:040CCD0067E18082D9 +:040CCE00E097C703E1 +:040CCF0067E146F1A2 +:040CD000E0E78A23AC +:040CD10002D707330C +:040CD200879367E1BC +:040CD30097BAE2C723 +:040CD40000E7D683DC +:040CD5001923676117 +:040CD600C683E0D71A +:040CD7006761014709 +:040CD800E0D71C2322 +:040CD9000127C683A6 +:040CDA001323676118 +:040CDB00D683E0D705 +:040CDC00676100A7A5 +:040CDD00E0D7182321 +:040CDE000157C68371 +:040CDF001623676110 +:040CE000C683E0D710 +:040CE100676101370F +:040CE200E0D71B2319 +:040CE30000C7D683ED +:040CE400172367610A +:040CE500C703E0D78A +:040CE60067E101675A +:040CE700E0E784239B +:040CE800666180823F +:040CE900D086061398 +:040CEA00458367E1F6 +:040CEB00C70300B685 +:040CEC0067E1E14794 +:040CED00E087C68353 +:040CEE00879367E1A0 +:040CEF00C18DE2C70A +:040CF00000A6060351 +:040CF10000E61E6398 +:040CF200063346710E +:040CF300963E02C760 +:040CF400016646034C +:040CF50000D60663BC +:040CF6004585666169 +:040CF700D6B60B233F +:040CF8000733467107 +:040CF900663702C791 +:040CFA0006130001DC +:040CFB0045C549C6DC +:040CFC008B2397BAF5 +:040CFD00069300D783 +:040CFE000533465024 +:040CFF00069302D581 +:040D000046B30640B0 +:040D0100656102D551 +:040D0200CF450513C1 +:040D030069F0106F14 +:040D0400115167E141 +:040D0500E147C603F9 +:040D0600C22667E1B9 +:040D0700E127D48389 +:040D0800C42267E1B9 +:040D0900E187D403A7 +:040D0A00C38367E157 +:040D0B0067E1E06755 +:040D0C00E107D283A6 +:040D0D00D30367E1C4 +:040D0E0067E1E0C7F2 +:040D0F00E167C58350 +:040D100067E16761CF +:040D1100E0E7D783BD +:040D1200D08707136C +:040D1300450386AA64 +:040D1400C03E00B726 +:040D1500879367E178 +:040D1600C931E2C736 +:040D170000A7070327 +:040D180004C7176392 +:040D190007334771E4 +:040D1A00973E02E618 +:040D1B0000E7550395 +:040D1C0002951B63BE +:040D1D000147450342 +:040D1E0002851763D0 +:040D1F000127450360 +:040D200002751363E2 +:040D210000A75503CF +:040D220000551F63F6 +:040D2300015745032C +:040D240000651B63E8 +:040D2500013745034A +:040D260000B517639A +:040D270000C75703A7 +:040D28000663450217 +:040D2900676100A757 +:040D2A000B2345054D +:040D2B004771D6A78F +:040D2C0002E60633A2 +:040D2D0005136561E4 +:040D2E0097B2CF4564 +:040D2F0000B789A3DD +:040D300000878A238B +:040D3100007789239B +:040D320000678AA329 +:040D3300442247020D +:040D3400009797236A +:040D3500952344922C +:040D360096230057A9 +:040D3700763700E724 +:040D3800061300019D +:040D390045C5D206D4 +:040D3A00106F013104 +:040D3B0006935C10AF +:040D3C0006B32710C3 +:040D3D00079302D541 +:040D3E0066370640CE +:040D3F0065610001E9 +:040D40004A46061306 +:040D4100051345C58C +:040D4200C6B3CF4520 +:040D4300106F02F635 +:040D4400F06F59D023 +:040D450086AAFDDF9E +:040D460000017637FB +:040D470006136561C9 +:040D480045C5D1C606 +:040D4900CF4505137A +:040D4A005830106F9E +:040D4B00663786AAD7 +:040D4C0065610001DC +:040D4D0044860613BF +:040D4E00051345C57F +:040D4F00106FCF450D +:040D500046B756D07C +:040D51008693000F76 +:040D5200053324063B +:040D5300678902D5D5 +:040D540096478793A4 +:040D55003E800713C2 +:040D5600006336B749 +:040D5700EA0686938F +:040D580000016637F9 +:040D590043C6061374 +:040D5A0057B345C581 +:040D5B00F7B302F5F3 +:040D5C00472902E73A +:040D5D0002D556B3B2 +:040D5E0005136561B3 +:040D5F00D733CF4572 +:040D6000106F02E727 +:040D61000693529013 +:040D620006B346701E +:040D6300079302D51B +:040D64007637064098 +:040D650065610001C3 +:040D6600D146061359 +:040D6700051345C566 +:040D6800C6B3CF45FA +:040D6900106F02F60F +:040D6A00069350504C +:040D6B000505271043 +:040D6C0002D5053374 +:040D6D0006400693A3 +:040D6E0000016637E3 +:040D6F004A460613D7 +:040D700046B345C57C +:040D7100656102D5E1 +:040D7200CF45051351 +:040D73004DF0106FC0 +:040D7400663786AAAE +:040D750065610001B3 +:040D760047460613D3 +:040D7700051345C556 +:040D7800106FCF45E4 +:040D790016934C90F1 +:040D7A0086E1018588 +:040D7B0000016637D6 +:040D7C0016D16561C6 +:040D7D0042460613D1 +:040D7E00051345C54F +:040D7F00106FCF45DD +:040D800045F14AD01F +:040D810002B505337F +:040D8200859365E10F +:040D83004645E2C53A +:040D8400656195AA66 +:040D8500CF4505133E +:040D860052D0106FC8 +:040D87000025179399 +:040D88000513655991 +:040D8900953E4685C8 +:040D8A006561410C52 +:040D8B0005134645C1 +:040D8C00106FCF45D0 +:040D8D0047A95130F1 +:040D8E0000F50F63FA +:040D8F000025179391 +:040D90000513655D85 +:040D9100953E300556 +:040D92006561410C4A +:040D930005134645B9 +:040D9400106FCF45C8 +:040D950065B74F30BF +:040D96008593000140 +:040D9700B7F5454522 +:040D9800458511413B +:040D9900C02AC606A0 +:040D9A0067612191DB +:040D9B00E1C74783E2 +:040D9C000713468271 +:040D9D00E789E1C73A +:040D9E0000016737B2 +:040D9F0048C7071327 +:040DA000663740B2C0 +:040DA1006561000187 +:040DA20049460613A5 +:040DA300051345C52A +:040DA4000141CF45F5 +:040DA5004170106F1A +:040DA600A70367E157 +:040DA70067E1DFC75A +:040DA800DBC787938B +:040DA900464546ADC8 +:040DAA000167D58385 +:040DAB0006E5926364 +:040DAC000FF6F693B5 +:040DAD000763E119DE +:040DAE0011312807D0 +:040DAF0064E1C42611 +:040DB000C814C2831E +:040DB1006461C62291 +:040DB200A9C4079336 +:040DB30000329593E2 +:040DB400C80697AE28 +:040DB5000007A3038D +:040DB6000047C783A8 +:040DB7008513465109 +:040DB8008733FF5628 +:040DB900260302C744 +:040DBA00751300436A +:040DBB0043950FF558 +:040DBC00C814849340 +:040DBD00A9C40413AE +:040DBE004703963A17 +:040DBF00E86300469F +:040DC00063D902A34E +:040DC1008393050A09 +:040DC200951E3E43F9 +:040DC300850241085C +:040DC4000789068510 +:040DC500F8C69AE3EF +:040DC600BF6946813A +:040DC7004783E399E2 +:040DC80017FD000310 +:040DC9000FF7F79396 +:040DCA00822395A249 +:040DCB00470100F5E7 +:040DCC00A09146812B +:040DCD0000034703D5 +:040DCE0095A207855E +:040DCF0002E7E7B39D +:040DD0008763B7ED91 +:040DD1008793000202 +:040DD2008023FFF289 +:040DD300B7C500F4AC +:040DD40040C24432A3 +:040DD50067E144A2EC +:040DD600E00785238A +:040DD700D06F015187 +:040DD800478DAB9FF9 +:040DD90004F70E63AA +:040DDA0012E3479148 +:040DDB00461CFCF7BF +:040DDC00872A978249 +:040DDD00C7834685FD +:040DDE006561000447 +:040DDF00078E4645F0 +:040DE0004783943E73 +:040DE1004004004486 +:040DE20084334451C1 +:040DE30040DC028767 +:040DE400CBC5051363 +:040DE500C036C23A18 +:040DE600438C97A201 +:040DE7003A9010EF3F +:040DE800459140DC15 +:040DE900C60397A204 +:040DEA00EC6300476F +:040DEB0065D912C5EF +:040DEC008593060ADB +:040DED00962E3FC53A +:040DEE0046824210E7 +:040DEF00860247121F +:040DF000C3914A1C45 +:040DF100C78397829B +:040DF2004351000465 +:040DF30000178593CD +:040DF4000733078E2C +:040DF500431400F4AF +:040DF6000047470368 +:040DF700073342D0AC +:040DF8009693026765 +:040DF9000533003589 +:040DFA00230300D4FB +:040DFB009732000526 +:040DFC00046347182D +:040DFD00022300E3EA +:040DFE0097A20005B3 +:040DFF000047C7835F +:040E000096A247511E +:040E010002E787B3CA +:040E020000B4802395 +:040E0300479C97B2BF +:040E0400BF31C29C9C +:040E0500ECE3479142 +:040E060067D9F0E7D1 +:040E07004107879385 +:040E0800973E070A00 +:040E09008782431C7D +:040E0A00433D460C12 +:040E0B0000C64503D5 +:040E0C0000D64703C2 +:040E0D000005C78392 +:040E0E0000E64603B1 +:040E0F0000669D6379 +:040E100000F778630C +:040E1100F61317FDC0 +:040E120080230FF733 +:040E1300B5C500C59C +:040E1400863AFD6DB0 +:040E1500F863BFDDE2 +:040E1600078500C785 +:040E17000FF7F713C7 +:040E180000E580234E +:040E1900FD6DB5E9CD +:040E1A00BFDD87327F +:040E1B0045BD461873 +:040E1C0000075783F1 +:040E1D0000B69C631C +:040E1E0000C6568331 +:040E1F0000F6F56381 +:040E200007C217FDF1 +:040E2100102383C156 +:040E2200B55500F7CB +:040E230000E656830C +:040E2400FED7FBE317 +:040E2500B7F5078591 +:040E260045BD465030 +:040E270047834218A3 +:040E280099630007C3 +:040E2900C78100B6C7 +:040E2A00F79317FD26 +:040E2B0000230FF79A +:040E2C00BDB500F759 +:040E2D0000464683B2 +:040E2E0000D7F56391 +:040E2F00F6930785AA +:040E300000230FF795 +:040E3100B5A500D78C +:040E32004B9C4798F6 +:040E330047036561AB +:040E34004645000728 +:040E3500CF4505138D +:040E360097BA070A56 +:040E370010EF438CE9 +:040E380044322670AA +:040E390044A240C2CD +:040E3A00D06F015123 +:040E3B00479891DF64 +:040E3C0045034B9C83 +:040E3D009782000791 +:040E3E004798B7ED2D +:040E3F0055034B9C70 +:040E4000978200078E +:040E410067E1BFF9AD +:040E4200CE078A232A +:040E4300C695BFD9B8 +:040E440066B7C305C5 +:040E4500869300018F +:040E46006637434682 +:040E470065610001E0 +:040E480049860613BE +:040E4900051345C583 +:040E4A0010EFCF4591 +:040E4B00BF55181067 +:040E4C00000166B784 +:040E4D0042C6869380 +:040E4E0047DCB7CDF9 +:040E4F004398D7E904 +:040E5000BF45479CB7 +:040E510047BD808297 +:040E520016A7E0639C +:040E5300445257B7F7 +:040E5400EF41011356 +:040E550035578793F3 +:040E560057B7C03E8C +:040E5700879300413C +:040E5800C23E44173B +:040E5900879367957F +:040E5A001423300726 +:040E5B00379300F1D8 +:040E5C00222300F558 +:040E5D0005231081D8 +:040E5E00041300F188 +:040E5F002423010542 +:040E6000202310112A +:040E610047B91091EC +:040E6200F46304220F +:040E630065E10AA794 +:040E6400048007936C +:040E65008593462902 +:040E66000513CE851D +:040E670005A300D10E +:040E6800062300F16C +:040E690010EF000185 +:040E6A0067E102D06A +:040E6B00CB97C783D7 +:040E6C00061365E123 +:040E6D000C230360EF +:040E6E0067E100F147 +:040E6F00CB17C78353 +:040E7000DBC58593C6 +:040E710001F1051373 +:040E720000F10CA3DC +:040E7300C78367E1E9 +:040E74000BA3D06795 +:040E750067E100F140 +:040E7600CF27C78338 +:040E770000F10D2356 +:040E7800C78367E1E4 +:040E79000DA3D78767 +:040E7A0067E100F13B +:040E7B00CE47C78314 +:040E7C0000F10E2350 +:040E7D00C78367E1DF +:040E7E000EA3BB778D +:040E7F0067E100F136 +:040E8000CB87C783D2 +:040E810000F10F234A +:040E82007CA010EF51 +:040E8300059386222B +:040E8400850A055086 +:040E8500E56FD0EF56 +:040E860000A0353360 +:040E870040A005334F +:040E88001081208332 +:040E890010412403ED +:040E8A0010012483AC +:040E8B0010C101137E +:040E8C0007B78082A2 +:040E8D008793039CA8 +:040E8E0064E103D741 +:040E8F00C783CC3E0B +:040E9000EB91E1C43D +:040E910000017637AF +:040E9200D7860613E6 +:040E9300851345B5C9 +:040E940010EFE1C4B6 +:040E95004635059049 +:040E9600E1C485939B +:040E970000B105138E +:040E98000E5010EFF9 +:040E9900061365E1F6 +:040E9A00859303D069 +:040E9B000868D7C547 +:040E9C00762010EFBD +:040E9D00061365E1F2 +:040E9E0085930A70BE +:040E9F000513E2C590 +:040EA00010EF0591B9 +:040EA1008622750030 +:040EA20010000593A4 +:040EA300D0EF850AFD +:040EA4006561DDCFD8 +:040EA500001406131C +:040EA6002F50059331 +:040EA700ED3505130D +:040EA800E1EFD0EFB7 +:040EA900BFAD450193 +:040EAA008082557D70 +:040EAB008E2367E14A +:040EAC0047BDE00757 +:040EAD0000A7F56342 +:040EAE00853E57FD29 +:040EAF000113808229 +:040EB0000793EE8135 +:040EB100C22E010547 +:040EB200010795138C +:040EB3000593007033 +:040EB400C03E10002C +:040EB50010112A23CB +:040EB600108128235C +:040EB700109126234D +:040EB800D6CFD0EFD2 +:040EB900E91D57FDDB +:040EBA00000175B707 +:040EBB00D70585933F +:040EBC00C0EF00681B +:040EBD0087AABADF67 +:040EBE001A051A6394 +:040EBF000141448326 +:040EC0001A04986315 +:040EC1000151468312 +:040EC20005300713DD +:040EC3001AE6926336 +:040EC400016147037E +:040EC5004685CF117E +:040EC6000CD70A63D8 +:040EC7001141208332 +:040EC80011012403ED +:040EC90010C12483AD +:040ECA000113853E4D +:040ECB00808211818F +:040ECC000181470356 +:040ECD0001714683E6 +:040ECE008F55072213 +:040ECF000480069302 +:040ED000FCD71EE34A +:040ED100FB79471250 +:040ED200007866E15D +:040ED30045394781D5 +:040ED400CE868693AD +:040ED500460345A9E2 +:040ED600666300D778 +:040ED700833300C59C +:040ED800002300D71C +:040ED900078500C3C6 +:040EDA0096E307058F +:040EDB004783FEB794 +:040EDC0067610251F7 +:040EDD00CAF708A3A5 +:040EDE0066634725DB +:040EDF00676100F750 +:040EE000CAF70CA39E +:040EE1004783A0297A +:040EE2007AE302416C +:040EE3004703FEF7CC +:040EE40067E102714F +:040EE5008C23656194 +:040EE6004703D6E701 +:040EE70067E102813C +:040EE800036006138A +:040EE900CEE78223AB +:040EEA000291470327 +:040EEB00059367E123 +:040EEC008BA302B121 +:040EED004703BAE716 +:040EEE0067E102A115 +:040EEF00DBC5051347 +:040EF000CAE78C239E +:040EF1000231470380 +:040EF200832367E10E +:040EF30067E1D0E7FC +:040EF400CE87C703DB +:040EF50082A367E18C +:040EF6004703D0E7F7 +:040EF70067E102614C +:040EF800CEE7892395 +:040EF9005EE010EFB8 +:040EFA00BF0D478160 +:040EFB0002415683D7 +:040EFC0003D0071305 +:040EFD00F2E694E3A2 +:040EFE000261540336 +:040EFF0039C00713DC +:040F0000F0E41EE318 +:040F0100C42A67E1B6 +:040F020085134635D8 +:040F03000593E1C7AA +:040F040010EF017178 +:040F050047127320FC +:040F060011E347A20A +:040F07006561F00729 +:040F080003D00613F9 +:040F09000513102C90 +:040F0A0010EFD7C548 +:040F0B0047825A803F +:040F0C00470166E152 +:040F0D00C03E07A239 +:040F0E00E2C686931E +:040F0F0005900793AF +:040F100010000613B4 +:040F110000D70533CD +:040F12008E1DC43A32 +:040F1300C23E007862 +:040F140000F705B32A +:040F150004C4486365 +:040F160057A010EFE1 +:040F17004722479294 +:040F1800943E04857A +:040F190047828F1D5F +:040F1A0010070713A2 +:040F1B000FF4F49348 +:040F1C0000F4853325 +:040F1D0083410742C3 +:040F1E00F0040413C4 +:040F1F0005930070C6 +:040F20000522100096 +:040F21000442C23A8A +:040F2200BC4FD0EF01 +:040F2300804166E1C2 +:040F240047124781A8 +:040F2500E2C6869307 +:040F260067E1F44546 +:040F27008B234705CC +:040F2800B799D6E7B8 +:040F290010EF86221D +:040F2A00BFC552C02D +:040F2B00B5BD478584 +:040F2C00B5AD47898F +:040F2D00DD8101134E +:040F2E00222308680A +:040F2F002023221148 +:040F30002E232281C9 +:040F3100D0EF20914C +:040F3200C02ACA0FF8 +:040F330045E010EF96 +:040F34009A634782F3 +:040F350064611607D6 +:040F3600000175B78A +:040F37008593464513 +:040F38000513D48544 +:040F390064E1CBC4E0 +:040F3A004EA010EFC6 +:040F3B00CE048A2333 +:040F3C00D16FD0EFB2 +:040F3D0064C1C6269F +:040F3E0014FDC422B8 +:040F3F00000217B7DE +:040F40000D07A7836F +:040F4100568367610B +:040F42008FE5DBC795 +:040F430000F68E63C3 +:040F4400DBC70713ED +:040F45000027570327 +:040F460012F7076334 +:040F47000513650920 +:040F4800C0EF710580 +:040F4900BFD9BDCF80 +:040F4A0075B747A28E +:040F4B004645000116 +:040F4C00D5C58593EF +:040F4D00CBC7851376 +:040F4E0049A010EFB7 +:040F4F0065B747B289 +:040F50004645000111 +:040F510037C5859388 +:040F5200CF478513ED +:040F53005F8010EFBC +:040F5400CB6FD0EFA0 +:040F5500440144818E +:040F56000104171368 +:040F57002007071355 +:040F580040975793D4 +:040F5900863EC23ED0 +:040F5A00472D67E1D7 +:040F5B00080C4681B7 +:040F5C00CA478513E8 +:040F5D002C8010EFE5 +:040F5E0075B7E57905 +:040F5F008593000175 +:040F60000808D705A1 +:040F610091BFC0EF8D +:040F62004703ED1D37 +:040F6300EF05018114 +:040F6400019146832E +:040F65000530071339 +:040F660002E69763A5 +:040F670001A146831B +:040F68006263470574 +:040F6900660502D740 +:040F6A000084159357 +:040F6B00061395B222 +:040F6C0084633F500B +:040F6D00061300E681 +:040F6E0045120550D3 +:040F6F00D0EF087443 +:040F7000E151BD6F1F +:040F710004050485EA +:040F720017E34741F9 +:040F730010EFF8E49F +:040F7400458135C0BE +:040F7500F0EF453D17 +:040F760067E1CD7FE3 +:040F7700CB97C783CA +:040F78000713676193 +:040F790097BACE87CE +:040F7A000007C503A4 +:040F7B0067E1458164 +:040F7C00D0A782A3D5 +:040F7D00CB9FF0EF27 +:040F7E00763747A2D9 +:040F7F0086A6000141 +:040F8000D30606137B +:040F8100851345C5CA +:040F820010EFCBC7DA +:040F830047B24A0027 +:040F8400000175B73C +:040F850085934645C5 +:040F86008513D3C537 +:040F870010EFCF4751 +:040F8800D0EF5260F4 +:040F89004537BE4FDB +:040F8A000513000F3C +:040F8B00C0EF24058A +:040F8C002083AD0F02 +:040F8D0024032241D6 +:040F8E0045022201F5 +:040F8F0021C12483D5 +:040F900022810113A6 +:040F910010EF80825B +:040F920057FD2E4099 +:040F9300B7D5C03ED0 +:040F94000185571369 +:040F95000185179328 +:040F960006B78FD932 +:040F9700171300FF2D +:040F98008F750085CC +:040F990067418FD944 +:040F9A00F007071342 +:040F9B008D798121AA +:040F9C0080828D5D65 +:040F9D0017B7C609B3 +:040F9E00A02300028A +:040F9F0047010007FF +:040FA000FFC5F69300 +:040FA10000021637FD +:040FA20000E507B3AC +:040FA30002D76463AA +:040FA400470D898DDF +:040FA50002E594636A +:040FA6000007D683E7 +:040FA70000021737F6 +:040FA80000D7122339 +:040FA9000027C783D3 +:040FAA0000F7022327 +:040FAB00000217B772 +:040FAC0080824B886C +:040FAD000711439C49 +:040FAE00B7F9C25C71 +:040FAF0099634709F2 +:040FB000D70300E57E +:040FB10017B7000767 +:040FB2009223000284 +:040FB300BFF900E79B +:040FB4009DE347056D +:040FB500C703FCE58D +:040FB60017B7000762 +:040FB700822300028F +:040FB800B7E900E7AE +:040FB900C2221151EE +:040FBA0000021437E6 +:040FBB004601C02605 +:040FBC00059384AA6B +:040FBD00051304A074 +:040FBE00C40604045D +:040FBF00EA0FC0EF86 +:040FC000460185A6BB +:040FC100040405130C +:040FC200ECEFC0EFA1 +:040FC30004A00593EE +:040FC4000404051309 +:040FC500C0EF46052E +:040FC6000513E86FB8 +:040FC70044120404C8 +:040FC800448240A27D +:040FC9000131458528 +:040FCA00E94FC06FBC +:040FCB00C4221141EA +:040FCC0000021437D4 +:040FCD00C02AC2264E +:040FCE00460184AEA6 +:040FCF0004A00593E2 +:040FD00004040513FD +:040FD100C0EFC606A1 +:040FD2004782E56FFE +:040FD30005134601BB +:040FD40085BE0404CE +:040FD500E82FC0EF52 +:040FD60004040513F7 +:040FD70040B24422BE +:040FD800449285A614 +:040FD9000141460587 +:040FDA00E6EFC06F0F +:040FDB00C2221151CC +:040FDC001433440581 +:040FDD00741300A4E5 +:040FDE0085A20FF4E5 +:040FDF00C4064519E6 +:040FE000FADFF0EF55 +:040FE100441285A28F +:040FE200451D40A2C7 +:040FE300F06F013179 +:040FE4001151F9FFAF +:040FE500C222050619 +:040FE6000FF574137C +:040FE700450585A295 +:040FE800F0EFC4065C +:040FE90085A2F8BF26 +:040FEA0040A24412CB +:040FEB000131450982 +:040FEC00F7DFF06FCC +:040FED004515115144 +:040FEE00F0EFC40656 +:040FEF000713F2BF33 +:040FF00047810860CD +:040FF10004E513639D +:040FF200090005935A +:040FF30002000513E0 +:040FF400F5DFF0EF46 +:040FF500051345811A +:040FF600F0EF021006 +:040FF7004581F53FFC +:040FF80002200513BB +:040FF900F49FF0EF82 +:040FFA000513458D09 +:040FFB00F0EF0230E1 +:040FFC004581F3FF39 +:040FFD000280051356 +:040FFE00F35FF0EFBE +:040FFF000300059353 +:0410000007100513BD +:04100100F29FF0EF7B +:0410020040A247853C +:041003000131853EF4 +:041004001141808294 +:0410050000A101A3A2 +:041006000002153798 +:041007004605C422B4 +:041008000513842E1A +:041009000593040542 +:04100A00C6060031E5 +:04100B00DF4FC0EF04 +:04100C00C0EF85228A +:04100D0040B28CCF92 +:04100E000141442236 +:04100F001151808279 +:041010006461C22233 +:04101100CE2457830F +:04101200C026C4062A +:04101300F9F7F7935F +:0410140083C107C2CB +:04101500CEF41123E1 +:04101600000214B709 +:041017000EF4A02310 +:04101800C0EF45518F +:0410190045D189CF65 +:04101A000380051337 +:04101B00FA7FF0EF79 +:04101C00051345D1A2 +:04101D00F0EF03905D +:04101E0045D1F9DFE0 +:04101F00F0EF455158 +:0410200045D1F95F5E +:04102100071005139C +:04102200F8BFF0EF34 +:04102300051345D19B +:04102400F0EF05E004 +:0410250045D1F81F9A +:0410260006D00513D8 +:04102700F77FF0EF70 +:04102800453145D138 +:04102900F6FFF0EFEF +:04102A0032000593F8 +:04102B00F0EF450598 +:04102C0045D1F65F55 +:04102D00F0EF451982 +:04102E000593F5DF52 +:04102F00450932003D +:04103000F53FF0EFA9 +:04103100CE245783EF +:04103200E79340A25E +:04103300112304077A +:041034004412CEF4A0 +:041035000EF4A023F2 +:0410360001314482BE +:041037001121808281 +:041038006461C82205 +:04103900CE245783E7 +:04103A00C626CA06F6 +:04103B00F9F7F79337 +:04103C0083C107C2A3 +:04103D001123C02E8D +:04103E001737CEF49E +:04103F002023000268 +:0410400084AA0EF779 +:0410410032000593E1 +:04104200F0EF450581 +:041043005783F09F40 +:041044001737CE2468 +:0410450045C100029F +:041046000207E79323 +:04104700CEF41123AF +:041048000EF720235C +:0410490010EF8526F9 +:04104A0077132420D4 +:04104B00EB110FF5A1 +:04104C00000175B773 +:04104D0085934645FC +:04104E008526188556 +:04104F00208010EFFE +:041050004781470588 +:0410510000F486B36E +:041052000006C503CC +:04105300C43A45D185 +:04105400F0EFC23EB9 +:041055004792EC1FB3 +:0410560007854722A1 +:041057000FF7F69306 +:04105800FEE6E2E3EB +:04105900CE245783C7 +:04105A00000214B7C5 +:04105B00F79345D1F1 +:04105C0007C2FDF7D3 +:04105D00112383C117 +:04105E00A023CEF409 +:04105F0005130EF473 +:04106000F0EF0C00A1 +:041061005783E91FA9 +:041062004502CE2451 +:04106300E79345C109 +:04106400112302074B +:04106500A023CEF402 +:0410660010EF0EF485 +:0410670077931CE07F +:04106800EB910FF504 +:0410690075B7450210 +:04106A0046450001F6 +:04106B0018858593CC +:04106C00194010EF28 +:04106D0044814785EE +:04106E004782C23EB5 +:04106F00873345D1AD +:04107000450300979D +:0410710004850007EB +:04107200E4BFF0EFF8 +:04107300F713479296 +:0410740063E30FF42F +:041075005783FEF7A8 +:0410760040D2CE2472 +:041077000002173725 +:041078000407E793EF +:04107900CEF411237D +:04107A0020234442A9 +:04107B0044B20EF776 +:04107C00808201610C +:04107D00C222115129 +:04107E000002143721 +:04107F004601C02640 +:04108000059384AAA6 +:04108100051302C091 +:04108200C406040498 +:04108300B90FC0EFF2 +:04108400460585A6F2 +:041085000404051347 +:04108600BBEFC0EF0D +:0410870002C005930B +:041088000404051344 +:04108900C0EF460569 +:04108A000513B76F24 +:04108B004412040403 +:04108C00448240A2B8 +:04108D000131458563 +:04108E00B84FC06F28 +:04108F00C422114125 +:04109000000214370F +:04109100C02AC22689 +:04109200460184AEE1 +:0410930002C00593FF +:041094000404051338 +:04109500C0EFC606DC +:041096004782B46F6A +:0410970005134601F6 +:0410980085BE040409 +:04109900B72FC0EFBE +:04109A000404051332 +:04109B0040B24422F9 +:04109C00449285A64F +:04109D0001414605C2 +:04109E00B5EFC06F7B +:04109F0000021537FF +:0410A00046011151A3 +:0410A100051345816D +:0410A200C406040577 +:0410A300B4AFC0EF37 +:0410A400B0EF45293B +:0410A50045E1E6DF5C +:0410A600F0EF45051D +:0410A70045E1FA3FE6 +:0410A800F0EF450917 +:0410A90045E1F9BF65 +:0410AA00F0EF450D11 +:0410AB004505F93FBF +:0410AC00F45FF0EF0E +:0410AD00152140A227 +:0410AE0000153513E1 +:0410AF008082013109 +:0410B000C2221151F6 +:0410B1004505842A43 +:0410B200F0EFC40691 +:0410B300991DF2BFD2 +:0410B4008C49040E51 +:0410B5000FF47413AD +:0410B600450585A2C5 +:0410B700F61FF0EF41 +:0410B800450985A2BF +:0410B900F59FF0EFC0 +:0410BA00441285A2B5 +:0410BB00450D40A2FD +:0410BC00F06F01319F +:0410BD001151F4BF1A +:0410BE00842AC2229C +:0410BF00C406450519 +:0410C000EF5FF0EFFF +:0410C10075134789D3 +:0410C20013630D8522 +:0410C300641302F4BC +:0410C40085A20015EC +:0410C500F0EF4505FE +:0410C60085A2F27F8E +:0410C700F0EF4509F8 +:0410C80085A2F1FF0D +:0410C90040A24412EB +:0410CA000131450D9E +:0410CB00F11FF06FB2 +:0410CC008C49041631 +:0410CD000FF4741395 +:0410CE000044641363 +:0410CF001151BFD923 +:0410D0001437C222ED +:0410D100C026000233 +:0410D20084AA4601A5 +:0410D30005C00593BC +:0410D40004040513F8 +:0410D500C0EFC4069E +:0410D600F593A46F7B +:0410D70046050FF4C7 +:0410D80004040513F4 +:0410D900A72FC0EF8E +:0410DA0005C00593B5 +:0410DB0004040513F1 +:0410DC00C0EF460516 +:0410DD000513A2AFA6 +:0410DE0044120404B0 +:0410DF00448240A265 +:0410E0000131458510 +:0410E100A38FC06FAA +:0410E200C4221141D2 +:0410E30000021437BC +:0410E400C02AC22636 +:0410E500460184AE8E +:0410E60005C00593A9 +:0410E70004040513E5 +:0410E800C0EFC60689 +:0410E90047829FAFEC +:0410EA0005134601A3 +:0410EB00F593040471 +:0410EC00C0EF0FF74B +:0410ED000513A24FF6 +:0410EE004422040490 +:0410EF0085A640B2E0 +:0410F00046054492DB +:0410F100C06F01418A +:0410F2001151A10FE8 +:0410F30002200513BF +:0410F400C222C4064A +:0410F500F0EFC02632 +:0410F6007413F69FDA +:0410F70045CD0FF5DF +:0410F800F0EF455977 +:0410F9006489FA7F8D +:0410FA0071048513E5 +:0410FB00D13FB0EF42 +:0410FC00455D458D7C +:0410FD00F95FF0EFB8 +:0410FE0071048513E1 +:0410FF00D03FB0EF3F +:0411000008046593E7 +:0411010002200513B0 +:04110200F81FF0EFF3 +:041103003E80051312 +:04110400CEFFB0EF7B +:0411050007F47593E3 +:0411060040A24412AD +:041107000513448206 +:04110800013102208F +:04110900F65FF06F2E +:04110A00C22211519B +:04110B00051364095B +:04110C00C4067104A0 +:04110D00CCBFB0EFB4 +:04110E00455945C535 +:04110F00F4DFF0EF2A +:04111000710405134E +:04111100CBBFB0EFB1 +:041112004589455D69 +:04111300F3DFF0EF27 +:04111400710405134A +:0411150040A244129E +:04111600B06F013184 +:041117001151CA5F49 +:04111800842EC2223D +:04111900454985AA15 +:04111A00F0EFC40628 +:04111B0085A2F1FFB9 +:04111C0040A2441297 +:04111D000131454D0A +:04111E00F11FF06F5E +:04111F00051385AA85 +:04112000F06F03D099 +:0411210085AAF07F2C +:04112200F06F4545E0 +:041123001151EFFF78 +:04112400C222C40619 +:041125000065478397 +:04112600456D842A65 +:041127000047959355 +:04112800F5938DDDD1 +:04112900F0EF0FF5DF +:04112A004583EE3FCC +:04112B0045710064A6 +:04112C00ED9FF0EF54 +:04112D0000344583C2 +:04112E00F0EF452970 +:04112F004583ECFF09 +:04113000452500440D +:04113100EC5FF0EF90 +:04113200005445839D +:04113300F0EF452173 +:041134004583EBBF45 +:041135004535000438 +:04113600EB1FF0EFCC +:0411370000144583D8 +:04113800F0EF45315E +:041139004583EA7F81 +:04113A004412002437 +:04113B00452D40A25C +:04113C00F06F01311E +:04113D001141E97FF4 +:04113E00842AC42219 +:04113F00C22645116E +:0411400084AEC03287 +:04114100F0EFC606FF +:041142004602E39FDF +:041143000F8575930C +:041144000793CE4DF2 +:04114500EA637FF0EA +:0411460045110A87BE +:041147000015E59317 +:04114800E69FF0EF3F +:041149000014151366 +:04114A000105141374 +:04114B0055938041F7 +:04114C00F5930044D3 +:04114D0045050FF550 +:04114E00E51FF0EFBA +:04114F0000441593B0 +:041150000F05F593FF +:04115100F0EF45096D +:041152000737E43F38 +:041153000713019CE1 +:041154005733CC073A +:0411550056B70297F0 +:041156008693022555 +:0411570047810FF6C7 +:0411580002870733D0 +:0411590002E6F06357 +:04115A00042C26B784 +:04115B00D7F68693AA +:04115C00F963478567 +:04115D00F7B700E6FA +:04115E008793080B60 +:04115F00B7B3FBF730 +:04116000078900E714 +:0411610007136761A8 +:04116200973EC68767 +:041163000007450339 +:0411640002800713EB +:0411650002E5053367 +:041166000014571307 +:041167004533953A3D +:04116800471D028598 +:041169000FF57513F6 +:04116A000FF5759375 +:04116B0000A7736303 +:04116C004422459D37 +:04116D00449240B2B6 +:04116E00079A058E49 +:04116F00F5938DDD8A +:04117000450D0F8595 +:04117100F06F0141D9 +:041172004511DC3F08 +:04117300DBDFF0EFDF +:041174001151BFB99D +:04117500842AC222E4 +:04117600C4064569FD +:04117700D63FF0EF80 +:04117800759347859F +:041179001A630FA541 +:04117A00E59300F405 +:04117B0044120025F5 +:04117C00456940A2DF +:04117D00F06F0131DD +:04117E00E593D93FDD +:04117F00BFC500A543 +:0411800000A55583EE +:04118100C222115124 +:04118200842A81A199 +:0411830004B005139C +:04118400F0EFC406BE +:041185004583D77F48 +:04118600051300A4A9 +:04118700F0EF04A0E1 +:041188005583D6BFF6 +:04118900051300C486 +:04118A0081A104D06B +:04118B00D5DFF0EFCD +:04118C0000C44583D3 +:04118D0004C0051382 +:04118E00D51FF0EF8A +:04118F0000E45583A0 +:0411900004F005134F :04119100F0EF81A159 -:041192004583CA7F48 -:0411930044120144BD -:04119400051340A25D -:04119500013105A07F -:04119600C95FF06FCE -:04119700C22211510E -:041198000513842A8D -:04119900C40603F095 -:04119A00C3BFF0EFF0 -:04119B000F05759334 -:04119C0044128DC1AB -:04119D00051340A254 -:04119E00013103F028 -:04119F00C71FF06F07 -:0411A000C222115105 -:0411A1004569842AEE -:0411A200F0EFC406A0 -:0411A3001593C19F40 -:0411A400441200648D -:0411A50003F57513C6 -:0411A6008DC940A20D -:0411A7000FF5F593B8 -:0411A8000131456963 -:0411A900C49FF06F80 -:0411AA0065DD1131BD -:0411AB008593461DC5 -:0411AC00850A11851A -:0411AD0000EFC80681 -:0411AE00F0EF2770C7 -:0411AF004501C75FD0 -:0411B000E77FF0EFF6 -:0411B1000513458558 -:0411B200F0EF035007 -:0411B300655DC23F75 -:0411B400CD850513CD -:0411B500E91FF0EF4F -:0411B600F0EF450110 -:0411B7004519FA7F5D -:0411B800D01FF0EF65 -:0411B90004400513D6 -:0411BA00D03FF0EF43 -:0411BB004505458120 -:0411BC00CD3FF0EF44 -:0411BD00F0EF850AC0 -:0411BE0040C2CFDF7D -:0411BF0080820151D8 -:0411C000C4221141F3 -:0411C1004511842A26 -:0411C200C02EC6066F -:0411C300B97FF0EF11 -:0411C4000433458229 -:0411C500759302B468 -:0411C600451100755A -:0411C70001F4779325 -:0411C8008DDD078E24 -:0411C900BC9FF0EFE8 -:0411CA004054551325 -:0411CB00442240B2C8 -:0411CC000FF5751393 -:0411CD0080820141DA -:0411CE00C2221151D7 -:0411CF004541842AE8 -:0411D000F0EFC40672 -:0411D1001593B61F9D -:0411D200441200348F -:0411D30040A2891D90 -:0411D400F5938DC939 -:0411D50045410FF58C -:0411D600F06F013184 -:0411D700C139B93F22 -:0411D800C0261151CB -:0411D9000260051398 -:0411DA00059384AE47 -:0411DB00C222080024 -:0411DC008432C4068F -:0411DD00B79FF0EFD9 -:0411DE0005934785A9 -:0411DF00896300948C -:0411E00047A100F42F -:0411E10005A40593C9 -:0411E20000F484632E -:0411E30001840593EB -:0411E40040A24412CF -:0411E500F5934482B8 -:0411E60005130FF5E9 -:0411E70001310310BF -:0411E800B4DFF06F11 -:0411E9000513458124 -:0411EA00BFDD026003 -:0411EB00C8221121E4 -:0411EC00CA06C62643 -:0411ED00C232C02E1C -:0411EE004785C43637 -:0411EF0084BA842A10 -:0411F00000F50E6395 -:0411F1000D6347A1A2 -:0411F200059304F568 -:0411F300F593006709 -:0411F40045150FF599 -:0411F500B19FF0EFC7 -:0411F600A80945C13E -:0411F7000027059335 -:0411F8000FF5F59367 -:0411F900F0EF4515B9 -:0411FA004599B07FE4 -:0411FB00F0EF4519B3 -:0411FC0085A2AFFF1A -:0411FD0045058626F8 -:0411FE00F67FF0EF99 -:0411FF0045B147C1EE -:0412000000F4036390 -:04120100051345A1EB -:04120200F0EF0220E7 -:041203004442AE3F74 -:0412040045924622A7 -:0412050040D245028C -:04120600016144B28C -:04120700C3FFF06FC2 -:041208000327059320 -:041209000FF5F59355 -:04120A00F0EF4515A7 -:04120B000593AC3F5C -:04120C00BF6D0200B0 -:04120D008793115161 -:04120E00C222FFE514 -:04120F00C406C0262B -:041210000FF7F7934A -:0412110084AA47055F -:041212006963842E5A -:04121300470906F78A -:041214001363478198 -:04121500478900E520 -:041216000024971306 -:041217000044959367 -:04121800079A8DD9CB -:041219008DDD8DC515 -:04121A000FF5F59344 -:04121B00F0EF456546 -:04121C004541A7FFA2 -:04121D00A2FFF0EF4D -:04121E007593478DF0 -:04121F0014630F85C0 -:04122000E59300F45E -:0412210045410055EE -:04122200A65FF0EFE4 -:041223009D634789F7 -:04122400478502F404 -:041225000287EA63EF -:041226000520059307 -:0412270000F4046368 -:0412280005300593F5 -:04122900F0EF453964 -:04122A000513A47F85 -:04122B00B0EF3E8062 -:04122C004551851F84 -:04122D009EFFF0EF41 -:04122E00A03D458119 -:04122F00FD494789A5 -:0412300000B037B320 -:04123100BF49078525 -:0412320005B005936B -:04123300F0EF45395A -:041234000513A1FFFE -:04123500B0EF3E8058 -:041236004551829FFD -:041237009C7FF0EFB9 -:0412380045C1478DD8 -:04123900FCF41AE3C4 -:04123A00F0EF45612B -:04123B004412A03F7A -:04123C00448240A206 -:04123D0005134581CF -:04123E000131036017 -:04123F009F1FF06F8E -:04124000C422114172 -:041241004551842A65 -:04124200C606C02EEE -:04124300997FF0EFB0 -:04124400779347094C -:0412450045820FF5DA -:0412460002E4156346 -:041247009C63470558 -:04124800751300E535 -:04124900051309057B -:04124A003513F7055C -:04124B0040B2001598 -:04124C0001414422F6 -:04124D00E591808225 -:04124E000885751387 -:04124F00F785051307 -:04125000D513B7ED0E -:0412510089050017F4 -:041252000113B7DDF0 -:041253004791FB8143 -:0412540067E1CA3E46 -:04125500C6C787136E -:04125600C0A6C2A2CA -:041257004583C42ED9 -:04125800462102D752 -:0412590003D74283F2 -:04125A0000B6163391 -:04125B0002E74583DE -:04125C00008666138F -:04125D000613CC3276 -:04125E001633100033 -:04125F00458300B60D -:04126000CE3202F791 -:0412610016336611C9 -:04126200458300B60A -:04126300D03203077B -:041264000004063745 -:0412650000B6163386 -:041266000613D23267 -:04126700D43201314B -:0412680006136661A2 -:04126900D632C9E6CA -:04126A0006136661A0 -:04126B00D832C9F6B6 -:04126C00061366619E -:04126D00DA32CA06A1 -:04126E00061366619C -:04126F00DC32CA168D -:04127000061366619A -:041271006761CA26C1 -:041272000613DE324F -:041273000713D8077E -:04127400C03AD8079D -:041275000713675D97 -:04127600C22AD08731 -:04127700000109A3C6 -:041278008793430114 -:04127900C63AC6C7E4 -:04127A0001831513C4 -:04127B000210071343 -:04127C001463856111 -:04127D00557D00E3B8 -:04127E004703A0C9B9 -:04127F00441501769B -:04128000016645833B -:041281000EE46863AC -:04128200139344324C -:04128300941E00278E -:0412840084024000A0 -:041285000800041346 -:041286006409CE2207 -:041287008DF5D022EF -:041288000080C9F128 -:04128900A38393A206 -:04128A004E0CFE8385 -:04128B000003C38316 -:04128C0093A2038A9C -:04128D00FD43A383F7 -:04128E000075F3B341 -:04128F000A038C635F -:04129000C59344229C -:041291008985FFF557 -:041292000A859663D0 -:041293000106558378 -:0412940005F9441202 -:041295000A85E06383 -:0412960088A345855F -:04129700972300B7E2 -:0412980089A300071F -:04129900AA2300077D -:04129A0085930077C1 -:04129B0082638003E7 -:04129C00658522053D -:04129D0080058593B0 -:04129E000E75E06386 -:04129F0004000593AF -:0412A0001EB3826394 -:0412A1000875EF637A -:0412A200886345A177 -:0412A300ED6314B330 -:0412A40045910675F5 -:0412A50006B3906399 -:0412A6000007962384 -:0412A7000FD77713D3 -:0412A8001C634685F8 -:0412A900C68300D721 -:0412AA00856304074D -:0412AB0047091206D7 -:0412AC0000E788A32C -:0412AD0087A34705C7 -:0412AE00441600E7FB -:0412AF00011344865D -:0412B00080820481B3 -:0412B1001000041312 -:0412B2006411CE22D3 -:0412B3005483BF8120 -:0412B4000413010618 -:0412B50094E320D0CE -:0412B6004483F484F5 -:0412B700440DFFB62D -:0412B80000849D63AE -:0412B9000002956337 -:0412BA000EF5F593A5 -:0412BB004409BF0D16 -:0412BC00F28297E340 -:0412BD0006710305AE -:0412BE009563BDC5B2 -:0412BF00F5930002A1 -:0412C000BF310FB576 -:0412C100B7ED44053C -:0412C20088634741B5 -:0412C300071314E316 -:0412C40091E30200B0 -:0412C5000713FEE32A -:0412C600962330102B -:0412C700471100E7E4 -:0412C8000593A2B92F -:0412C9008763100027 -:0412CA00EC6314B30A -:0412CB000713007590 -:0412CC0091E30800A2 -:0412CD000713FCE324 -:0412CE009623201033 -:0412CF00471500E7D8 -:0412D0000713A23D21 -:0412D1008163200015 -:0412D200071314E307 -:0412D30093E3400061 -:0412D4000713FAE31F -:0412D500A221202012 -:0412D6008C6365C1FF -:0412D700E66314B303 -:0412D80065890275AD -:0412D90012B38A635F -:0412DA000075E86350 -:0412DB0093E367052D -:0412DC000713F8E319 -:0412DD00B7D1202045 -:0412DE008A63659129 -:0412DF00672112B3BE -:0412E000F6E39AE3B4 -:0412E100203007139F -:0412E2000737A8D949 -:0412E3008863000814 -:0412E400606312E34E -:0412E500073702774E -:0412E6008F63000210 -:0412E700073710E3D2 -:0412E80099E3000482 -:0412E9004711F4E3D2 -:0412EA0000E7962360 -:0412EB00078007135E -:0412EC000737A8E533 -:0412ED008E630010FC -:0412EE00073710E3CB -:0412EF009BE300205D -:0412F0000713F2E30B -:0412F10096232040E0 -:0412F200471900E7B1 -:0412F30000E788A3E5 -:0412F400A8D94751DD -:0412F50000E7872364 -:0412F6004685BDF17B -:0412F70000D7862373 -:0412F80004A7C683FE -:0412F90046F1E2A92F -:0412FA0002D306B362 -:0412FB0096B246025F -:0412FC0000E6D6032F -:0412FD00577006938D -:0412FE0002C6E763DA -:0412FF00FFF70613DC -:041300000FF676135B -:04130100E0634689D6 -:04130200460D02C6CC -:0413030000C786A3F6 -:0413040000D788A3E3 -:04130500EAD713E32D -:041306000407C7030E -:041307004705EB1D8E -:0413080000E7872350 -:041309000693BD5931 -:04130A0086A3FFE7D0 -:04130B00F69300074E -:04130C00D2FD0FD629 -:04130D0012E346950C -:04130E004771E8D764 -:04130F0002E30333BF -:04131000933A4702C3 -:0413110000E356831C -:041312004AF0071383 -:04131300FCD776E3AA -:0413140086A3B5AD4A -:04131500470900077D -:041316000713A819F8 -:0413170096232010E9 -:04131800BFD500E756 -:041319003010071376 -:04131A0000E796232F -:04131B0088A3471943 -:04131C00B5A100E790 -:04131D009623468944 -:04131E00468D00D721 -:04131F00E2D71FE30F -:041320000407C703F4 -:04132100BFC1DF4920 -:04132200102007137D -:0413230000E7962326 -:041324000713B52DC9 -:04132500B55120207E -:041326002020071369 -:0413270000E7962322 -:0413280088A3471D32 -:04132900473500E75D -:04132A0000E789A3AC -:04132B00468DB539FD -:04132C000713B7D913 -:04132D00B595203022 -:04132E002030071351 -:04132F000713BDBD26 -:0413300096232040A0 -:04133100470D00E77D -:0413320000E788A3A5 -:04133300028007131A -:041334000713BFE1FB -:04133500962320409B -:04133600471500E770 -:0413370000E788A3A0 -:04133800B7D9476179 -:0413390017931111E4 -:04133A00CA2201853D -:04133B00CC06C826EE -:04133C00842A87E197 -:04133D00DD6384AE3A -:04133E0045810007DE -:04133F00077005131B -:0413400001A337D5F9 -:04134100478500A13B -:0413420006A7EE63A9 -:0413430007F4741324 -:0413440045912E950C -:0413450026814501B7 -:04134600D7932E818A -:0413470002A3018478 -:04134800D79300F146 -:041349000323010475 -:04134A00D79300F144 -:04134B0003A3008474 -:04134C00022300F187 -:04134D0004230081F4 -:04134E0007130091F0 -:04134F0007930400FC -:0413500009630950D4 -:04135100071300E49A -:041352004785048047 -:0413530000E414633B -:041354000870079383 -:04135500004845996E -:0413560000F104A3FB -:04135700451524ED27 -:04135800842A2EB104 -:0413590005134585AE -:04135A0024F5003145 -:04135B0000310783D3 -:04135C000007D6634D -:04135D0047852E8D05 -:04135E00FEF506E3AF -:04135F002641E01132 -:041360000031450310 -:04136100445240E2D0 -:04136200017144C20F -:041363000113808270 -:04136400C02AFD811D -:0413650005134581A6 -:04136600D206049017 -:04136700CE26D0229C -:04136800F45FF0EF4F -:041369004501C90170 -:04136A005402509247 -:04136B00011344F234 -:04136C0080820281F8 -:04136D004515842A74 -:04136E0084AA261116 -:04136F000513458598 -:041370002C5100718B -:0413710000714703BD -:041372000FF00793DE -:0413730000F7166306 -:0413740047852E1962 -:04137500FEF504E39A -:041376002615E091C7 -:0413770000714703B7 -:041378000FE00793E8 -:04137900FCF711E389 -:04137A00002845C939 -:04137B00478224ADD4 -:04137C000047C783DC -:04137D000027F7133B -:04137E004503CF153F -:04137F00478300E1BF -:04138000470300F12E -:04138100890D0121B0 -:041382008D5D052256 -:04138300010147839A -:041384004403050A0F -:04138500839900D177 -:0413860047838D5DAF -:04138700831D0111B0 -:041388000786883D0F -:041389008FD98B99D4 -:04138A000505943E83 -:04138B00153314659D -:04138C00BF9D00857C -:04138D00CB898B91EC -:04138E000101450311 -:04138F00011147837E -:041390008D5D052248 -:04139100B7CD47C5C8 -:041392004501478149 -:041393000113BFF192 -:0413940065D9FDC159 -:041395004629CC26F3 -:04139600859384AA0D -:041397000068D58590 -:04139800CE22D0068B -:04139900478D24E177 -:04139A0022C1C03E6E -:04139B0024A92C2134 -:04139C00006845A9F7 -:04139D0045812AC993 -:04139E000004A02384 -:04139F00040005132E -:0413A000E65FF0EF25 -:0413A100842A4785CE -:0413A2000EF51B63C6 -:0413A3001AA00593F4 -:0413A40004800513A9 -:0413A500E51FF0EF61 -:0413A6001763C22ADD -:0413A70045910885DF -:0413A8002A5500289A -:0413A90000A1470355 -:0413AA001A634792E9 -:0413AB0047030CF7F1 -:0413AC00079300B1F2 -:0413AD0014630AA01B -:0413AE0005130CF720 -:0413AF002AFD3E8055 -:0413B0004785241D2C -:0413B10000F51963C7 -:0413B200400005B73B -:0413B3000E90051380 -:0413B400E15FF0EF16 -:0413B5002C01F5759D -:0413B60006634785FE -:0413B700440102F5F6 -:0413B80047822C3903 -:0413B900F79317FD92 -:0413BA00C03E0FF72B -:0413BB00DC35CBD979 -:0413BC0082234785BC -:0413BD00C09C00844C -:0413BE00F0EF8526A1 -:0413BF00157DE95F50 -:0413C0002A69C4884A -:0413C1004581A04181 -:0413C20007A0051368 -:0413C300DD9FF0EFCB -:0413C4004591F579E1 -:0413C5002281002859 -:0413C60000814783D8 -:0413C700F793443123 -:0413C800FFDD04073A -:0413C900BF6D44119F -:0413CA000513458141 -:0413CB00F0EF0E90A1 -:0413CC000793DB7F29 -:0413CD006563041040 -:0413CE00440900A42A -:0413CF000E900793E2 -:0413D0000FA0051352 -:0413D1002A9DC23E51 -:0413D20047852A79A8 -:0413D30000F51763A7 -:0413D40045814512F8 -:0413D500D91FF0EF3D -:0413D6002271F96522 -:0413D7004401E111DB -:0413D80045812A79A8 -:0413D90007B0051341 -:0413DA00D7DFF0EF7A -:0413DB004401C111F7 -:0413DC002000059355 -:0413DD0005000513EF -:0413DE00D6DFF0EF77 -:0413DF004401D13DB7 -:0413E000F43DB78D94 -:0413E100351320CDD3 -:0413E2005082001421 -:0413E30044E244722A -:0413E40002410113AE -:0413E500451C8082A1 -:0413E600C8221121E7 -:0413E700C626CA0646 -:0413E800C03AC22E17 -:0413E900E163440D6B -:0413EA00CF1902C74E -:0413EB0000454783EF -:0413EC008BA184B697 -:0413ED000626E3915C -:0413EE00051385B2AC -:0413EF00F0EF051006 -:0413F000C909D27FD6 -:0413F1002045440946 -:0413F20040D285223E -:0413F30044B244427A -:0413F4008082016191 -:0413F5000640051396 -:0413F600458520D534 -:0413F70000B1051329 -:0413F8004703289DE2 -:0413F900079300B1A5 -:0413FA0016630FF077 -:0413FB0028E500F7EA -:0413FC0004E347853A -:0413FD002221FEF5B6 -:0413FE0000B14703F0 -:0413FF000FE0079361 -:04140000FCF712E300 -:041401000413478207 -:041402008C05202015 -:0414030004428C1DF6 -:04140400C4818041DE -:04140500450185A672 -:041406004582283DB6 -:04140700282545123D -:04140800450185A273 -:041409004401280D65 -:04140A002637BF7949 -:04140B0005B700061B -:04140C001537019CF3 -:04140D0006130002C0 -:04140E008593A80614 -:04140F000513CC05F0 -:04141000B06F0205B2 -:04141100862ED30F41 -:04141200153785AA5B -:0414130005130002BB -:04141400B06F0205AE -:04141500862EDCEF54 -:04141600153785AA57 -:0414170005130002B7 -:04141800B06F0205AA -:041419008082D92FC5 -:04141A00578367612C -:04141B00F793C40778 -:04141C0007C2F7F715 -:04141D00102383C154 -:04141E001737C4F7C1 -:04141F002023000284 -:0414200080820EF7C1 -:041421005783676125 -:04142200E793C40781 -:041423001023080783 -:041424001737C4F7BB -:04142500202300027E -:0414260080820EF7BB -:04142700002936372B -:04142800019C05B767 -:041429000002153771 -:04142A002E06061371 -:04142B00CC058593D4 -:04142C00020505139D -:04142D00CBEFB06FE2 -:04142E00F73FF06F25 -:04142F002783676147 -:04143000E38511C778 -:041431008793679D99 -:041432000533978760 -:04143300115102F55C -:041434002E23C40699 -:04143500B0EF10A75D -:0414360040A284CF7D -:041437000131450139 -:041438004505808264 -:04143900115180824B -:04143A00B0EFC40645 -:04143B0067E185CF11 -:04143C0011C7A783AA -:04143D00353340A261 -:04143E00013100F583 -:04143F0067E180825F -:041440001007AE23C0 -:04144100470180825D -:0414420000E6146349 -:04144300808245015D -:0414440000E507B305 -:0414450086B307055E -:04144600C78300E573 -:04144700C683000751 -:0414480083E3FFF645 -:041449008533FED712 -:04144A00808240D785 -:04144B0000A5C7B37E -:04144C0007338B8D4A -:04144D00E78100C56E -:04144E00E963478D7A -:04144F0087AA02C79F -:041450000CE57163D3 -:041451000005C68349 -:041452000585078580 -:04145300FED78FA38E -:04145400FEE7EAE3E2 -:04145500C683808248 -:041456000785000501 -:041457008FA30585D5 -:04145800EAE3FED7EE -:041459004402FEE764 -:04145A00808201117A -:04145B00003576934F -:04145C00CA9187AA00 -:04145D000005C6833D -:04145E000585078574 -:04145F00FED78FA382 -:041460000037F693C8 -:041461007693B7FDCA -:041462008613FFC727 -:04146300F563FE0629 -:04146400117106C735 -:0414650049C0C02298 -:041466000005A303D7 -:041467000085A383D6 -:041468004D80CBC028 -:041469000067A02355 -:04146A000045A30393 -:04146B004DC0CF8021 -:04146C000067A22350 -:04146D0000C5A28391 -:04146E000105A303CE -:04146F00024585931A -:04147000A403CFC042 -:04147100A423FFC5EC -:04147200A623007736 -:04147300A823005753 -:0414740087930067F3 -:04147500AE23024759 -:04147600EEE3FE871C -:04147700F2E3FAC7DB -:041478004190F8D7D0 -:041479000591079141 -:04147A00FEC7AE23D8 -:04147B004190BFCD10 -:04147C00059107913E -:04147D00FEC7AE23D5 -:04147E00FED7EBE3C7 -:04147F00F4E7E4E3C7 -:041480008082808264 -:04148100F7C101139B -:04148200C13ED6A6EB -:0414830067E1DA86BD -:04148400DCB6D8A258 -:04148500A483DEBAA4 -:04148600DC63B5C7A7 -:0414870007930005C2 -:04148800C09C08B04C -:0414890050D6557D67 -:04148A0054B65446BA -:04148B000841011300 -:04148C0007938082C0 -:04148D001823208080 -:04148E00C22A00F17D -:04148F004781CA2A9D -:041490008793C199E4 -:04149100C63EFFF55F -:0414920018B4CC3E80 -:04149300842E57FD4F -:04149400004C85265D -:0414950000F1192326 -:041496002601C03635 -:04149700556357FD45 -:04149800079300F5C1 -:04149900C09C08B03B -:04149A004792DC5D3C -:04149B0000078023A3 -:04149C00C605BF5D65 -:04149D004701167D70 -:04149E0000E507B3AB -:04149F0000E586B32B -:0414A0000007C783F7 -:0414A1000006C683F8 -:0414A20000D7966376 -:0414A30000C7046317 -:0414A400F3FD070548 -:0414A50040D7853374 -:0414A60045018082FA -:0414A70087AA80820E -:0414A8000585CA09E3 -:0414A900FFF5C70381 -:0414AA00167D07851F -:0414AB00FEE78FA326 -:0414AC00963EFB6508 -:0414AD0000C793637E -:0414AE0007858082AC -:0414AF00FE078FA302 -:0414B00095AABFD565 -:0414B100856387AA1E -:0414B200C70300B7B5 -:0414B300E701000746 -:0414B40040A7853395 -:0414B50007858082A5 -:0414B600C5D5B7FDE4 -:0414B700FFC5A78343 -:0414B800C2221151EA -:0414B900C026C4067F -:0414BA00FFC58413D3 -:0414BB000007D363F0 -:0414BC0084AA943E2C -:0414BD0015F000EF37 -:0414BE0027836761B8 -:0414BF00863ABDC7E5 -:0414C0002223EF8173 -:0414C1002E230004D2 -:0414C2004412BC878D -:0414C300852640A298 -:0414C400013144822C -:0414C5001410006F90 -:0414C60002F4706359 -:0414C7000733401493 -:0414C800966300D453 -:0414C900439800E75D -:0414CA00973643DC32 -:0414CB00C05CC01829 -:0414CC00BC862E2389 -:0414CD0087BABFD942 -:0414CE00C31943D823 -:0414CF00FEE47DE3D7 -:0414D0008633439488 -:0414D1001F6300D7BE -:0414D2004010008640 -:0414D300C39496B276 -:0414D40000D7863384 -:0414D500FAC71BE354 -:0414D6004358431024 -:0414D700C39496B272 -:0414D800B765C3D859 -:0414D90000C4756373 -:0414DA00C09C47B1BA -:0414DB004010BF7985 -:0414DC0000C406B38F -:0414DD0000D71663BB -:0414DE004358431418 -:0414DF00C01496B2ED -:0414E000C3C0C0586D -:0414E1008082B759F5 -:0414E200C2261141CC -:0414E30000358493B9 -:0414E400C60698F1AF -:0414E50004A1C42278 -:0414E600FB6347B1AC -:0414E70044B104F414 -:0414E80004B4EA63FB -:0414E90000EFC02A26 -:0414EA0067610AD05C -:0414EB00BDC72683D0 -:0414EC00061347821A -:0414ED008436BDC7BD -:0414EE006461E43120 -:0414EF00BE04041320 -:0414F000EB014018B4 -:0414F1004581853E6E -:0414F20000EFC03E09 -:0414F30047827F802D -:0414F400853EC00869 -:0414F500C03E85A6CA -:0414F6007EA000EFE5 -:0414F7004782577D54 -:0414F80006E515638D -:0414F900C39847311C -:0414FA0000EF853E3C -:0414FB00A02906B06E -:0414FC00FA04D8E333 -:0414FD00C11C47B116 -:0414FE0040B24501B2 -:0414FF0044924422AD -:0415000080820141A3 -:041501008F054018FA -:0415020002074E632B -:04150300F66345AD99 -:04150400C01800E526 -:04150500C004943A50 -:041506004058A02980 -:041507000286926363 -:04150800853EC21842 -:04150900031000EFDC -:04150A0000B4051311 -:04150B00004407137E -:04150C0007B3996127 -:04150D00D3F140E5F1 -:04150E008F09943E6F -:04150F00BF75C018CC -:04151000B7C5C2D8C1 -:04151100404086A22E -:041512000413BF857A -:041513009871003596 -:04151400FC8503E36C -:0415150040A405B336 -:04151600C03E853E10 -:04151700577D279D38 -:041518001AE3478209 -:04151900BFBDFAE573 -:04151A000085A303A2 -:04151B00C8261111BC -:04151C00CA22CC060D -:04151D0084AEC232A4 -:04151E000866E36315 -:04151F0000C5D6032A -:0415200048067713EF -:0415210082AACB3D92 -:04152200470D48C861 -:0415230007334080CA -:04152400498C02A745 -:0415250007B34509BA -:04152600C03E40B4CF -:0415270002A74433A0 -:041528000016851311 -:041529007363953E15 -:04152A00842A00A46B -:04152B0040067613ED -:04152C00C63DC636BC -:04152D0085A28516F8 -:04152E00F0EFC41600 -:04152F0042A2ECFFE9 -:04153000473146B247 -:041531004602CD2D74 -:04153200C636488CE5 -:04153300F0EFC42AE7 -:04153400D603C5FF16 -:04153500432200C489 -:04153600761346B230 -:041537006613B7F68A -:0415380096230806E8 -:04153900478200C421 -:04153A000064A8237E -:04153B00933EC8C053 -:04153C00A0238C1D3F -:04153D00C480006402 -:04153E00F36383369A -:04153F008336006689 -:041540004088459208 -:04154100C01A861A2C -:0415420044982701A1 -:041543004501430219 -:0415440040670733C2 -:041545004098C4986E -:04154600A023933A11 -:04154700A80D006487 -:04154800862285165C -:041549002F05C41690 -:04154A0042A2832A0C -:04154B00FD4546B262 -:04154C008516488C2C -:04154D00F0EFC016E5 -:04154E004282DA5F9C -:04154F00A02347315D -:04155000D70300E2DB -:04155100557D00C400 -:041552000407671310 -:0415530000E49623F7 -:04155400445240E2DB -:04155500017144C21A -:04155600D7038082B5 -:04155700011300C5B7 -:04155800C122F78134 -:041559000793DEA670 -:04155A00C30601F1D2 -:04155B00C02EC22AB2 -:04155C0008077713F2 -:04155D00F41384B24D -:04155E00CB1DFF871B -:04155F00EB0D4998AF -:0415600004000593EB -:04156100F0EFC636AB -:041562004782E03F9D -:04156300C38846B241 -:04156400E919CB882E -:0415650047B1471231 -:04156600C31C557DD0 -:04156700440A409A58 -:04156800011354F621 -:0415690080820881F3 -:04156A00071347829A -:04156B00CBD80400D5 -:04156C00020007135F -:04156D0000E40CA3E7 -:04156E00030007135C -:04156F0000042A2327 -:0415700000E40D2363 -:041571008726CA36C9 -:04157200025006130A -:0415730000074683A4 -:041574009863C2991D -:0415750003330AC66C -:041576000263409735 -:0415770045820203A4 -:04157800869A4512F8 -:04157900C83A8626C0 -:04157A00F0EFC61AAE -:04157B0056FDE7FF33 -:04157C001CD5026315 -:04157D004332485459 -:04157E00969A4742B0 -:04157F004683C85483 -:041580008963000774 -:0415810004931A06AF -:04158200577D00177A -:04158300000420231D -:041584000004262316 -:041585002423C05803 -:0415860001A30004B9 -:041587002C23040409 -:04158800C58304040F -:0415890077B700042C -:04158A004615000101 -:04158B000DC78513F0 -:04158C0087132B7D19 -:04158D0040140014F2 -:04158E00F613E53932 -:04158F00C609010682 -:04159000020006133C -:0415910004C401A3EA -:041592000086F613C6 -:041593000613C6096C -:0415940001A302B0FD -:04159500C58304C442 -:041596000613000434 -:04159700816302A0CA -:04159800445404C5EE -:0415990045818726DB -:04159A0042A94525F8 -:04159B0000074603FC -:04159C00001703131E -:04159D00FD0606132E -:04159E0006C57963A2 -:04159F00C454C995D2 -:0415A0000705A8058E -:0415A10077B7B7A1C0 -:0415A20086130001AB -:0415A30006330DC737 -:0415A400450540C5F4 -:0415A50000C5153335 -:0415A600C0148EC916 -:0415A700B75184BAFA -:0415A800059346520F -:0415A90042100046A6 -:0415AA004963CA2E99 -:0415AB00C450020620 -:0415AC0000074603EB -:0415AD0002E00693BF -:0415AE0006D61163E9 -:0415AF0000174603D8 -:0415B00002A00693FC -:0415B10002D61B63E0 -:0415B200070946D20D -:0415B3000046861355 -:0415B400CA32429461 -:0415B5000206C16306 -:0415B600A081C054FC -:0415B70040C00633F7 -:0415B8000026E69390 -:0415B900C014C45046 -:0415BA0086B3B7E15C -:0415BB00458502560A -:0415BC0096B2871A42 -:0415BD0056FDBFA573 -:0415BE000705B7C5A1 -:0415BF0000042223DF -:0415C000468145819A -:0415C10042A94525D1 -:0415C20000074603D5 -:0415C30000170313F7 -:0415C400FD06061307 -:0415C50006C577637D -:0415C6004583F1E187 -:0415C70074B70007EE -:0415C800460D0001CB -:0415C9000E44851334 -:0415CA0021D1C63A2B -:0415CB00CD014732D5 -:0415CC000E448493B2 -:0415CD0006938D05EF -:0415CE0096B30400CC -:0415CF00400800A62A -:0415D0008D55070529 -:0415D1004583C00886 -:0415D2007537000762 -:0415D30046190001B4 -:0415D4000E85051368 -:0415D5000017049364 -:0415D60000B40C232E -:0415D700C52D2949AC -:0415D80000000737D1 -:0415D90000070713ED -:0415DA004014E70DC5 -:0415DB00F6934752EA -:0415DC00CE91100696 -:0415DD00CA3A0711EE -:0415DE0047A2485880 -:0415DF00C858973E13 -:0415E00086B3B59980 -:0415E10045850256E4 -:0415E20096B2871A1C -:0415E3000721BFB568 -:0415E4004602B7DD27 -:0415E50056B745129E -:0415E60008580001A0 -:0415E700468686931B -:0415E800009785A241 -:0415E90000E7000017 -:0415EA00C42A00000F -:0415EB00577D47A23F -:0415EC00FCE794E3A1 -:0415ED00557D47825F -:0415EE0000C7D70358 -:0415EF000407771363 -:0415F000DC071EE313 -:0415F100BBD94848D2 -:0415F2004512460256 -:0415F300000156B7E6 -:0415F400869308587A -:0415F50085A24686FF -:0415F600BFC12A0542 -:0415F700CA261101EE -:0415F80084B6833200 -:0415F900499445903C -:0415FA00CE06CC222B -:0415FB0087AE842A09 -:0415FC0000C6D363EF -:0415FD00202386B26F -:0415FE00C60300D34D -:0415FF00C6010437E6 -:041600002023068518 -:04160100439400D33B -:041602000206F69353 -:041603002683C691E3 -:041604000689000350 -:0416050000D32023CB -:041606000007A283B4 -:041607000197839331 -:041608000062F293F7 -:0416090006028363EF -:04160A00C6834390C0 -:04160B007613043717 -:04160C0036B30206E9 -:04160D00EA4100D0DE -:04160E000437861304 -:04160F00852285A605 -:04161000C23EC41AF8 -:041611009702C03A42 -:04161200086356FD16 -:04161300479206D51F -:041614004511432217 -:0416150026034394D1 -:0416160047CC0003BA -:0416170043018A9968 -:04161800976347028B -:04161900833300A671 -:04161A00536340C511 -:04161B004301000384 -:04161C004B90479414 -:04161D0000D654633C -:04161E0093368E91E0 -:04161F0007E9428114 -:0416200006531263F8 -:04162100A81D4501BA -:0416220047D4028522 -:041623000003260397 -:04162400DBE38E91E5 -:041625004685F8D22C -:0416260085A6861EF1 -:04162700C81A852236 -:04162800C416C63EE0 -:04162900C03AC21EE3 -:04162A0056FD9702D0 -:04162B00439247029D -:04162C0047B242A2DD -:04162D0019E3434238 -:04162E00557DFCD515 -:04162F00446240F2DF -:04163000610544D23A -:0416310085B380827B -:04163200051300D7C5 -:0416330081A303008C -:04163400C58304A5C1 -:0416350086130457BD -:04163600963E0016C6 -:0416370001A306897C -:04163800BF9904B69C -:04163900863E46851E -:04163A00852285A6DA -:04163B00C41AC616F1 -:04163C00C03AC23EB0 -:04163D0056FD9702BD -:04163E00FCD501E3F3 -:04163F00432242B24E -:041640000285479246 -:04164100BFAD4702F0 -:04164200CC221101A4 -:04164300C232842EFD -:0416440001844603D4 -:04164500CE06CA26DD -:04164600C02A84B67C -:0416470006E0069320 -:04164800043585934D -:041649001ED608633E -:04164A0006C6E46389 -:04164B0006300693CC -:04164C000AD6046353 -:04164D0000C6ED6383 -:04164E00200604630B -:04164F000580069379 -:0416500016D60C633B -:041651000424031357 -:0416520004C40123A8 -:041653000693A879D9 -:0416540006630640E3 -:04165500069300D622 -:0416560015E3069002 -:041657004014FED667 -:041658000007230361 -:041659000806F61376 -:04165A000043051331 -:04165B002683C249D7 -:04165C00C3080003BC -:04165D0000017637DB -:04165E000006D86347 -:04165F0002D007139B -:0416600040D006B3BD -:0416610004E401A3F9 -:041662000F06061356 -:04166300A07D4729F6 -:0416640007300693B2 -:041665001AD60A6324 -:0416660000C6EF6368 -:0416670006F00693F0 -:0416680006D600633F -:0416690007000693DD -:04166A00F8D61EE3AD -:04166B00E6934014AE -:04166C00C01402069E -:04166D000693A8092F -:04166E0003630750BB -:04166F00069304D604 -:0416700011E30780FB -:041671000693F8D60E -:041672007637078040 -:0416730002A30001CD -:04167400061304D481 -:04167500A0FD10467E -:041676000313431403 -:0416770086130424AE -:04167800C310004655 -:04167900012342986F -:04167A00470504E438 -:04167B00F613AABDFB -:04167C0026830406B7 -:04167D00C30800039B -:04167E0006C2DE358D -:04167F00BF9D86C1C4 -:0416800043144008C7 -:041681000805729353 -:041682000046831388 -:041683000002866378 -:0416840000672023B8 -:04168500A8014294E2 -:0416860004057513CF -:0416870000672023B5 -:04168800D683D975B7 -:04168900051300063F -:04168A00773706F0B8 -:04168B000D630001EA -:04168C0006130CA68F -:04168D0047290F07D3 -:04168E00040401A3AC -:04168F00C408404803 -:0416900000054863A6 -:04169100000423032B -:04169200FFB373131C -:0416930000642023AC -:04169400832EE29926 -:04169500832ECD11C2 -:0416960002E6F53340 -:041697009532137DF8 -:041698000005450301 -:0416990000A3002387 -:04169A0002E6D5335C -:04169B000AE6F26306 -:04169C001E6346A1E2 -:04169D00401800D71A -:04169E00CB118B05DC -:04169F004818405453 -:0416A00000D74763C5 -:0416A1000300071328 -:0416A200FEE30FA3B1 -:0416A30085B3137D7B -:0416A400C80C4065C9 -:0416A5004502469222 -:0416A600081087267B -:0416A700C41A85A23A -:0416A800D3DFF0EFAD -:0416A9004322577D04 -:0416AA000CE51563D3 -:0416AB0040F2557D37 -:0416AC0044D244627E -:0416AD0080826105D1 -:0416AE0004C402A3CB -:0416AF000001763789 -:0416B0000F06061308 -:0416B10023034008C7 -:0416B2007293000728 -:0416B300268308057D -:0416B400031100031B -:0416B5000202816349 -:0416B6000067202386 -:0416B7000015771390 -:0416B8006513C701EE -:0416B900C00802055E -:0416BA00F6B94741F5 -:0416BB00751340085B -:0416BC00C008FDF570 -:0416BD007293B791DC -:0416BE0020230405DC -:0416BF008FE300674E -:0416C00006C2FC0260 -:0416C100BFD982C14A -:0416C2000F070613F5 -:0416C300B72D4721D7 -:0416C400B79986AAA2 -:0416C500431440107A -:0416C600729348488B -:0416C700831308067B -:0416C80087630046EE -:0416C90020230002D8 -:0416CA0042980067DB -:0416CB00A809C3089F -:0416CC000067202370 -:0416CD000406761386 -:0416CE00DA6D4298F7 -:0416CF0000A710233D -:0416D00000042823C7 -:0416D100B7B9832EF4 -:0416D20045814314F7 -:0416D3000046861334 -:0416D400A303C31099 -:0416D500405000067B -:0416D600C41A851A93 -:0416D7004322284939 -:0416D8000533C50110 -:0416D900C048406560 -:0416DA00C818405894 -:0416DB00040401A35F -:0416DC004814B715E2 -:0416DD0045024592EB -:0416DE009482861A52 -:0416DF0007E3577D49 -:0416E0004018F2E5D7 -:0416E100EB158B0971 -:0416E20044484742EF -:0416E300F2E551E3F8 -:0416E400BF31853A53 -:0416E50045024592E3 -:0416E600861A468595 -:0416E700C41AC63A21 -:0416E80056FD948295 -:0416E900F0D504E351 -:0416EA00432247321E -:0416EB004454070557 -:0416EC008E91464253 -:0416ED00FED740E301 -:0416EE004701BFC130 -:0416EF00019403134C -:0416F0001151B7FDE0 -:0416F100C026C2222B -:0416F20084AA646101 -:0416F300C406852E76 -:0416F4001204202399 -:0416F50057FD20FD80 -:0416F60000F5166382 -:0416F700120427832F -:0416F800C09CC3913E -:0416F900441240A2B5 -:0416FA0001314482F4 -:0416FB00F593808261 -:0416FC00962A0FF526 -:0416FD0000C51463AD -:0416FE0080824501A0 -:0416FF000005478318 -:04170000FEB78DE3C0 -:04170100B7FD050526 -:0417020004A5F363E4 -:0417030000C586B3E4 -:0417040002D57F6328 -:04170500FFF6459313 -:0417060017FD478103 -:0417070000F59363F3 -:041708008733808221 -:04170900430300F6A0 -:04170A00873300071A -:04170B00972A00C752 -:04170C00006700234F -:04170D008733B7DD8A -:04170E00468300F519 -:04170F000733000795 -:04171000078500F554 -:0417110000D70023DA -:04171200FEF617E3E5 -:041713004781808208 -:041714008082BFE52B -:04171500E581808268 -:04171600F06F85B239 -:041717001131F2EFAB -:04171800C622C80617 -:04171900EA11C426E7 -:04171A00E72FF0EFD6 -:04171B0040C2478100 -:04171C0044A244326D -:04171D000151853EB3 -:04171E00842E808213 -:04171F0084AAC032A6 -:041720004602203D20 -:0417210074E387A244 -:0417220085B2FEC5C9 -:04172300C232852623 -:04172400EF8FF0EF64 -:04172500DD6187AA51 -:0417260085A2461240 -:04172700F0EFC02AF5 -:0417280085A2C8EFDF -:04172900F0EF852632 -:04172A004782E34FC0 -:04172B00A783B7C910 -:04172C008513FFC55D -:04172D00D563FFC7BA -:04172E0095AA000771 -:04172F00953E419C06 -:041730001141808261 -:041731006461C42209 -:04173200BE44278307 -:04173300C226C606FE -:041734000413832AED -:04173500EB85BE443E -:0417360045814501A3 -:0417370046814601A0 -:0417380002934701D0 -:0417390000730D60CC -:04173A0084AA00007D -:04173B0000055C63E6 -:04173C0004B3288149 -:04173D00C104409013 -:04173E0040B2557DE3 -:04173F00449244226A -:041740008082014161 -:041741004008C00894 -:041742004601458196 -:041743004681951A2C -:041744004781470191 -:041745000D6002939E -:04174600000000732C -:04174700596384AAB4 -:04174800C01A0005BE -:0417490043022831FE -:04174A00409004B314 -:04174B0054FDC10484 -:04174C00557D401C6B -:04174D0092E3933E52 -:04174E00C004FC6473 -:04174F00BF75853E9F -:04175000A50367E1A5 -:041751008082B5C716 -:041752000000000093 -:041753000000000092 -:041754000000000091 -:041755000000000090 -:04175600FFFFFFFF93 -:04175700FFFFFFFF92 -:041758000000FFFF8F -:041759000001052C5A -:04175A000001053253 -:04175B00000105384C -:04175C000001053E45 -:04175D00000104E0A3 -:04175E000001050081 -:04175F000001050080 -:04176000000105007F -:04176100000105007E -:04176200000105007D -:04176300000105007C -:041764000001050477 -:041765000001050A70 -:041766000001274C0B -:041767000001281E37 -:041768000001281E36 -:041769000001283023 -:04176A000001283022 -:04176B000001283A17 -:04176C000001283E12 -:04176D000001284C03 -:04176E000001284C02 -:04176F0000012864E9 -:04177000000129AC9F -:04177100000129B298 -:04177200000129B297 -:04177300000129AC9C -:04177400000129B691 -:0417750000012864E3 -:04177600000129AC99 -:04177700000129B292 -:0417780000015EB45A -:0417790000015EC449 -:04177A0000015ED03C -:04177B0000015EDC2F -:04177C0000015EE822 -:04177D0000015EF415 -:04177E0000015F0007 -:04177F0000015F0CFA -:0417800000015F18ED -:0417810000015F24E0 -:0417820000015F30D3 -:0417830074204B4F34 -:041784006E69206FFB -:041785000000746983 -:04178600736C6146D9 -:0417870072742065F3 -:0417880065676769C1 -:0417890000000072EA -:04178A00656D6954CC -:04178B000074756F02 -:04178C00252E75256C -:04178D006D75322E16 -:04178E0000000073E4 -:04178F00252E752569 -:041790006D75322E13 -:0417910075252F7318 -:04179200322E252EA0 -:0417930000736D75FD -:041794004353534F19 -:041795007766202033 -:041796007525202E67 -:04179700322E252E9B -:041798000000617577 -:0417990074696E49B8 -:04179A0072726520E2 -:04179B002020726F29 -:04179C0000006425C0 -:04179D0020202020C8 -:04179E0053204F4E37 -:04179F0000434E595C -:0417A000343130327E -:0417A1003130322D84 -:0417A2006D2020385E -:0417A300737172618B -:0417A4000000000041 -:0417A5002520732563 -:0417A6000063257542 -:0417A700252E752551 -:0417A8006B75322EFD -:0417A90025207A4835 -:0417AA002E252E7545 -:0417AB007A487532D1 -:0417AC000000000039 -:0417AD007473655498 -:0417AE0074617020D2 -:0417AF006E7265747D -:0417B0000000000035 -:0417B1003A31564132 -:0417B2004247522038 -:0417B30000000053DF -:0417B4003A3156412F -:0417B5007347522004 -:0417B60000000042ED -:0417B7003A3156412C -:0417B8006250592002 -:0417B900000072506A -:0417BA003A32564128 -:0417BB0062505920FF -:0417BC000000725067 -:0417BD003A32564125 -:0417BE0073475220FB -:0417BF0000000042E4 -:0417C0003A33564121 -:0417C1004247522029 -:0417C2000000564885 -:0417C3003A3356411E -:0417C4004247522026 -:0417C50000000053CD -:0417C6003A3356411B -:0417C70073475220F2 -:0417C80000000042DB -:0417C9003A33564118 -:0417CA0062505920F0 -:0417CB000000725058 -:0417CC007473614C85 -:0417CD0065737520AB -:0417CE0000000064B3 -:0417CF000000000016 -:0417D0000000000114 -:0417D1000000000014 -:0417D2000000000013 -:0417D3000000000012 -:0417D4000000010010 -:0417D500010101000D -:0417D600000001000E -:0417D700000000000E -:0417D80000011000FC -:0417D90044060B00B7 -:0417DA00000100000A -:0417DB000100000009 -:0417DC0080800C00FD -:0417DD001A1A1A803A -:0417DE0000000A08F5 -:0417DF0000012C6A6F -:0417E00000012D00D7 -:0417E10000012D08CE -:0417E20000012CF8DE -:0417E30000012D04D0 -:0417E40000012D0CC7 -:0417E50000012CFCD7 -:0417E60000012D3A97 -:0417E70000012D10C0 -:0417E80000012D14BB -:0417E90000012D18B6 -:0417EA0000012D3A93 -:0417EB0000012D3A92 -:0417EC0000012D3A91 -:0417ED0000012D3A90 -:0417EE0000012D3A8F -:0417EF000001303491 -:0417F00000012D4483 -:0417F10000012E1CA9 -:0417F20000012E2C98 -:0417F30000012E467D -:0417F40000012E843E -:0417F50000012E6859 -:0417F60000012E9A26 -:0417F70000012F7A44 -:0417F80000012F9C21 -:0417F90000012FB20A -:0417FA00666F725054 -:0417FB002075252E02 -:0417FC000073392518 -:0417FD00756C3425AE -:0417FE0063256325D7 -:0417FF006C25202015 -:04180000000000756F -:04180100656E694C5B -:04180200746C756D20 -:04180300646F6D2081 -:0418040000003A6541 -:041805007365727025 -:041806002D312073ED -:0418070000000035A8 -:0418080020787525AA -:0418090075736E7510 -:04180A00726F707019 -:04180B00006465749C -:04180C00666F725041 -:04180D0020656C697D -:04180E0064616F6C36 -:04180F000000003A9B -:04181000736572701A -:041811002D302073E3 -:041812000000003999 -:04181300000161105F -:04181400000161145A -:041815000001611855 -:041816000001611C50 -:041817000001601C50 -:04181800000161204A -:041819000001612445 -:04181A000001612840 -:04181B000001604820 -:04181C0000016B78E4 -:04181D000001612C39 -:04181E000001613430 -:04181F00000161382B -:041820000001614022 -:04182100000161441D -:041822000001614C14 -:04182300000161540B -:041824000001615C02 -:0418250000016164F9 -:0418260000016174E8 -:0418270000016184D7 -:0418280000016194C6 -:04182900000161A4B5 -:04182A00000161B4A4 -:04182B00000161C493 -:04182C00000161CC8A -:04182D00000161D481 -:04182E003EA93E2968 -:04182F003EE93E69E7 -:041830003E993E1986 -:041831003ED93E5905 -:041832003EC93E3934 -:041833003E1D3E4DCB -:041834003E2D3EED1A -:041835003EAD3ECDB9 -:041836003E653E6D60 -:041837001C483E010A -:041838001C501C180C -:041839001CC81CD0DB -:04183A005ED85E58BE -:04183B0000003EB9B2 -:04183C00736572500E -:04183D000000007334 -:04183E00666E6F4320 -:04183F00006D72695D -:041840006D73694D0E -:041841006863746103 -:041842006572202C7F -:041843000079727442 -:04184400000000316F -:04184500000000326D -:04184600000000336B -:041847000000003469 -:041848000000003666 -:041849000000003764 -:04184A000000003862 -:04184B00554E454D64 -:04184C000000000098 -:04184D0000004B4FFD -:04184E004B43414285 -:04184F000000000095 -:0418500000005055EF -:041851004E574F445B -:041852000000000092 -:041853005446454C66 -:041854000000000090 -:041855004847495265 -:04185600000000543A -:041857004F464E4961 -:04185800000000008C -:041859005F44434C59 -:04185A004B43414279 -:04185B004847494C65 -:04185C000000005434 -:04185D004E41435362 -:04185E00454E494C5E -:04185F00444F4D5F46 -:04186000000000453F -:041861004E4143535E -:04186200454E494C5A -:041863005059545F25 -:04186400000000453B -:041865004E4143535A -:04186600454E494C56 -:04186700544E495F33 -:041868000000002B51 -:041869004E41435356 -:04186A00454E494C52 -:04186B00544E495F2F -:04186C000000002D4B -:04186D00454E494C4F -:04186E00544C554D34 -:04186F00444F4D5F36 -:04187000000000452F -:041871005341485047 -:0418720000002B4502 -:041873005341485045 -:0418740000002D45FE -:04187500464F525038 -:041876005F454C4935 -:041877004B544F4837 -:0418780000005945CE -:041879006F727245D3 -:04187A0000000072F8 -:04187B0053206F4E39 -:04187C006163204440 -:04187D00642064720D -:04187E00002E74655F -:04187F0061766E49D7 -:041880002064696C0B -:0418810064616568D1 -:04188200000072658B -:0418830061766E49D3 -:041884002064696C07 -:041885002072646801 -:041886000043524386 -:0418870061766E49CF -:041888002064696C03 -:0418890061746164C1 -:04188A004352432062 -:04188B000000000059 -:04188C0061647055CE -:04188D0063206574FB -:04188E0065636E61BF -:04188F0064656C6CB4 -:041890000000000054 -:0418910073616C46CD -:0418920065722068F3 -:041893006520646107 -:04189400000072726C -:0418950073616C46C9 -:0418960072652068EF -:0418970020657361F4 -:041898000072726503 -:0418990073616C46C5 -:04189A0072772068D9 -:04189B0020657469E7 -:04189C0000727265FF -:04189D0073616C46C1 -:04189E0065762068E3 -:04189F0020666972E4 -:0418A0006C696166A8 -:0418A1000000000043 -:0418A20061766E49B4 -:0418A3002064696CE8 -:0418A40067616D69A2 -:0418A50000000065DA -:0418A6004353534F06 -:0418A700000000003D -:0418A800696C6156B0 -:0418A9006974616499 -:0418AA006420676EE1 -:0418AB000061746103 -:0418AC00622075251C -:0418AD007365747972 -:0418AE000000000036 -:0418AF00252E752548 -:0418B0002575322E3A -:0418B1000073257328 -:0418B20061647055A8 -:0418B300676E69747F -:0418B4000057462073 -:0418B50061656C708D -:0418B60077206573BF -:0418B7002E746961C1 -:0418B80000002E2ED0 -:0418B9006972655695 -:0418BA006E69796674 -:0418BB006C662067D0 -:0418BC0000687361EC -:0418BD0061656C7085 -:0418BE0072206573BC -:0418BF006174736578 -:0418C000000074723E -:0418C1007274655286 -:0418C200676E69796B -:0418C30064707520B8 -:0418C40000657461E6 -:0418C5006164705595 -:0418C600203F6574E6 -:0418C7002C593D312A -:0418C8004E3D32203F -:0418C900000000001B -:0418CA006D7269468C -:0418CB00657261776A -:0418CC0064707520AF -:0418CD006465746179 -:0418CE000000000016 -:0418CF00000136C618 -:0418D000000136A637 -:0418D100000136805C -:0418D2000001369843 -:0418D3000001377861 -:0418D4000001377860 -:0418D5000001382CAA -:0418D6000001385283 -:0418D7000001385E76 -:0418D8000001389E35 -:0418D9000001387260 -:0418DA000001378C46 -:0418DB000001378C45 -:0418DC00000137D000 -:0418DD00000137FCD3 -:0418DE00000137FCD2 -:0418DF0064206425F8 -:0418E00000000042C2 -:0418E100656E6F447D -:0418E2000000000002 -:0418E3006C69614685 -:0418E4000000646537 -:0418E500252E752512 -:0418E6002075322E09 -:0418E7000000737515 -:0418E8006C207525D6 -:0418E90073656E694C -:0418EA0000000000FA -:0418EB006C206F4EB0 -:0418EC00006B6E69B6 -:0418ED0000017C0B6F -:0418EE0000000002F4 -:0418EF00000135803F -:0418F00000016A1475 -:0418F10000016A2068 -:0418F20000016A285F -:0418F30070207525C7 -:0418F4006C6578693E -:0418F500000000737C -:0418F60000017C0D64 -:0418F7000000000EDF -:0418F800000135C4F2 -:0418F900706D653C6D -:0418FA00003E7974BF -:0418FB00203A7525F5 -:0418FC000000732550 -:0418FD0064206425DA -:0418FE00000067651A -:0418FF002525752501 -:0419000000000000E3 -:04190100656469565A -:041902006E69206F7B -:041903006F7270206F -:041904003E202063FE -:0419050000000000DE -:04190600706D61534C -:04190700676E696C32 -:0419080074706F2068 -:041909003E20202E2E -:04190A0000000000D9 -:04190B00636E79533B -:04190C0074706F2064 -:04190D002020202E48 -:04190E003E20202037 -:04190F0000000000D4 -:041910007074754F2B -:041911006F2074755A -:04191200202E74709F -:041913003E20202032 +:041192004583D43F7E +:04119300051300E45C +:04119400F0EF04E094 +:041195005583D37F2C +:0411960005130044F9 +:0411970081A105101D +:04119800D29FF0EF03 +:041199000044458346 +:04119A000500051334 +:04119B00D1DFF0EFC1 +:04119C000064558313 +:04119D000530051301 +:04119E00F0EF81A14C +:04119F004583D0FFB5 +:0411A00005130064CF +:0411A100F0EF052046 +:0411A2005583D03F62 +:0411A30005130084AC +:0411A40081A10550D0 +:0411A500CF5FF0EF39 +:0411A60000844583F9 +:0411A70005400513E7 +:0411A800CE9FF0EFF7 +:0411A9000104558365 +:0411AA0005700513B4 +:0411AB00F0EF81A13F +:0411AC004583CDBFEB +:0411AD000513010421 +:0411AE00F0EF0560F9 +:0411AF005583CCFF99 +:0411B00005130124FE +:0411B10081A1059083 +:0411B200CC1FF0EF6F +:0411B300012445834B +:0411B400058005139A +:0411B500CB5FF0EF2D +:0411B6000144558318 +:0411B70005B0051367 +:0411B800F0EF81A132 +:0411B9004583CA7F21 +:0411BA004412014496 +:0411BB00051340A236 +:0411BC00013105A058 +:0411BD00C95FF06FA7 +:0411BE00C2221151E7 +:0411BF000513842A66 +:0411C000C40603F06E +:0411C100C3BFF0EFC9 +:0411C2000F0575930D +:0411C30044128DC184 +:0411C400051340A22D +:0411C500013103F001 +:0411C600C71FF06FE0 +:0411C700C2221151DE +:0411C8004569842AC7 +:0411C900F0EFC40679 +:0411CA001593C19F19 +:0411CB004412006466 +:0411CC0003F575139F +:0411CD008DC940A2E6 +:0411CE000FF5F59391 +:0411CF00013145693C +:0411D000C49FF06F59 +:0411D10065DD113196 +:0411D2008593461D9E +:0411D300850A1C0568 +:0411D40000EFC8065A +:0411D500F0EF2810FF +:0411D6004501C75FA9 +:0411D700E77FF0EFCF +:0411D8000513458531 +:0411D900F0EF0350E0 +:0411DA00655DC23F4E +:0411DB00D80505131B +:0411DC00E91FF0EF28 +:0411DD00F0EF4501E9 +:0411DE004519FA7F36 +:0411DF00D01FF0EF3E +:0411E00004400513AF +:0411E100D03FF0EF1C +:0411E20045054581F9 +:0411E300CD3FF0EF1D +:0411E400F0EF850A99 +:0411E50040C2CFDF56 +:0411E60080820151B1 +:0411E700C4221141CC +:0411E8004511842AFF +:0411E900C02EC60648 +:0411EA00B97FF0EFEA +:0411EB000433458202 +:0411EC00759302B441 +:0411ED004511007533 +:0411EE0001F47793FE +:0411EF008DDD078EFD +:0411F000BC9FF0EFC1 +:0411F10040545513FE +:0411F200442240B2A1 +:0411F3000FF575136C +:0411F40080820141B3 +:0411F500C2221151B0 +:0411F6004541842AC1 +:0411F700F0EFC4064B +:0411F8001593B61F76 +:0411F9004412003468 +:0411FA0040A2891D69 +:0411FB00F5938DC912 +:0411FC0045410FF565 +:0411FD00F06F01315D +:0411FE00C139B93FFB +:0411FF00C0261151A4 +:041200000260051370 +:04120100059384AE1F +:04120200C2220800FC +:041203008432C40667 +:04120400B79FF0EFB1 +:041205000593478581 +:041206008963009464 +:0412070047A100F407 +:0412080005A40593A1 +:0412090000F4846306 +:04120A0001840593C3 +:04120B0040A24412A7 +:04120C00F593448290 +:04120D0005130FF5C1 +:04120E000131031097 +:04120F00B4DFF06FE9 +:0412100005134581FC +:04121100BFDD0260DB +:04121200C8221121BC +:04121300CA06C6261B +:04121400C232C02EF4 +:041215004785C4360F +:0412160084BA842AE8 +:0412170000F50E636D +:041218000D6347A17A +:04121900059304F540 +:04121A00F5930067E1 +:04121B0045150FF571 +:04121C00B19FF0EF9F +:04121D00A80945C116 +:04121E00002705930D +:04121F000FF5F5933F +:04122000F0EF451591 +:041221004599B07FBC +:04122200F0EF45198B +:0412230085A2AFFFF2 +:0412240045058626D0 +:04122500F67FF0EF71 +:0412260045B147C1C6 +:0412270000F4036369 +:04122800051345A1C4 +:04122900F0EF0220C0 +:04122A004442AE3F4D +:04122B004592462280 +:04122C0040D2450265 +:04122D00016144B265 +:04122E00C3FFF06F9B +:04122F0003270593F9 +:041230000FF5F5932E +:04123100F0EF451580 +:041232000593AC3F35 +:04123300BF6D020089 +:04123400879311513A +:04123500C222FFE5ED +:04123600C406C02604 +:041237000FF7F79323 +:0412380084AA470538 +:041239006963842E33 +:04123A00470906F763 +:04123B001363478171 +:04123C00478900E5F9 +:04123D0000249713DF +:04123E000044959340 +:04123F00079A8DD9A4 +:041240008DDD8DC5EE +:041241000FF5F5931D +:04124200F0EF45651F +:041243004541A7FF7B +:04124400A2FFF0EF26 +:041245007593478DC9 +:0412460014630F8599 +:04124700E59300F437 +:0412480045410055C7 +:04124900A65FF0EFBD +:04124A009D634789D0 +:04124B00478502F4DD +:04124C000287EA63C8 +:04124D0005200593E0 +:04124E0000F4046341 +:04124F0005300593CE +:04125000F0EF45393D +:041251000513A47F5E +:04125200B0EF3E803B +:041253004551FB4FB7 +:041254009EFFF0EF1A +:04125500A03D4581F2 +:04125600FD4947897E +:0412570000B037B3F9 +:04125800BF490785FE +:0412590005B0059344 +:04125A00F0EF453933 +:04125B000513A1FFD7 +:04125C00B0EF3E8031 +:04125D004551F8CF30 +:04125E009C7FF0EF92 +:04125F0045C1478DB1 +:04126000FCF41AE39D +:04126100F0EF456104 +:041262004412A03F53 +:04126300448240A2DF +:0412640005134581A8 +:0412650001310360F0 +:041266009F1FF06F67 +:04126700C42211414B +:041268004551842A3E +:04126900C606C02EC7 +:04126A00997FF0EF89 +:04126B007793470925 +:04126C0045820FF5B3 +:04126D0002E415631F +:04126E009C63470531 +:04126F00751300E50E +:041270000513090554 +:041271003513F70535 +:0412720040B2001571 +:0412730001414422CF +:04127400E5918082FE +:041275000885751360 +:04127600F7850513E0 +:04127700D513B7EDE7 +:0412780089050017CD +:041279000113B7DDC9 +:04127A004791FB811C +:04127B0067E1CA3E1F +:04127C00D08787137D +:04127D00C0A6C2A2A3 +:04127E0002D746034A +:04127F0046A1C4368A +:0412800000C696B35B +:0412810002E7460337 +:041282000086E69369 +:041283000693CC36CC +:0412840096B310000D +:04128500460300C656 +:04128600CE3602F767 +:0412870096B3669123 +:04128800460300C653 +:04128900D036030751 +:04128A00000406B79F +:04128B0000C696B350 +:04128C000693D236BD +:04128D00D436013121 +:04128E00869366E1FC +:04128F00D636D3A6D6 +:04129000869366E1FA +:04129100D836D3B6C2 +:04129200869366E1F8 +:04129300DA36D3C6AE +:04129400869366E1F6 +:04129500DC36D3D69A +:0412960003D74283B5 +:04129700675D66E148 +:041298008693C22E49 +:0412990065E1D3E652 +:04129A00DB07071354 +:04129B00E2C5831312 +:04129C0009A3C02AB8 +:04129D00DE36000138 +:04129E0087934601EB +:04129F008593D087DC +:0412A000C63AE2C5A3 +:0412A100018615139A +:0412A200021007131C +:0412A30014638561EA +:0412A400557D00E68E +:0412A5004771A0E904 +:0412A60002E6073322 +:0412A700972E441525 +:0412A80056934B58B6 +:0412A90083750187C1 +:0412AA006D638AFDE9 +:0412AB0044320EE4D7 +:0412AC000027139371 +:0412AD004000941E4B +:0412AE00041384029F +:0412AF00CE22080043 +:0412B000D0226409DB +:0412B1008EE1442264 +:0412B2000094CEF1E5 +:0412B300A68393B6C5 +:0412B4000084FE8331 +:0412B500018324038A +:0412B6000006C683E5 +:0412B70096A6068A67 +:0412B800FD46A683C6 +:0412B900CEDD8EE117 +:0412BA004413449203 +:0412BB008805FFF4AF +:0412BC000A941A6313 +:0412BD000103538353 +:0412BE0003F94402EA +:0412BF000A83E46357 +:0412C00097234385A8 +:0412C10088A30007F7 +:0412C20089A3007785 +:0412C300CBD4000781 +:0412C4008006841309 +:0412C500220402639A +:0412C60004136405A4 +:0412C70065638004D7 +:0412C80004130ED429 +:0412C9008263040038 +:0412CA0064631E86B5 +:0412CB0044210AD4DC +:0412CC0014868D6394 +:0412CD0008D462637C +:0412CE009563439150 +:0412CF0096230676E6 +:0412D0008B15000773 +:0412D1001C634685CF +:0412D200C68300D7F8 +:0412D3008B6303F72F +:0412D40047091206AE +:0412D50000E788A303 +:0412D60087A347059E +:0412D700441600E7D2 +:0412D8000113448634 +:0412D900808204818A +:0412DA0010000413E9 +:0412DB006411CE22AA +:0412DC005483BF89EF +:0412DD0004130103F2 +:0412DE0095E320D0A4 +:0412DF0044F1F4845E +:0412E000FFF60413FE +:0412E100029404333C +:0412E200942E448D75 +:0412E300807548408A +:0412E40000941D63F2 +:0412E500000295630B +:0412E6000EF6F69377 +:0412E7004409B725DA +:0412E800F28292E319 +:0412E9000371060582 +:0412EA009563BDF15A +:0412EB00F693000274 +:0412EC00BF090FB671 +:0412ED00B7ED440510 +:0412EE00836347418E +:0412EF00071314E6E7 +:0412F00091E3020084 +:0412F1000713FEE6FB +:0412F20096233010FF +:0412F300471100E7B8 +:0412F4000393A2912D +:0412F5008263100000 +:0412F600EC6314761B +:0412F700071300D306 +:0412F80091E3080076 +:0412F9000713FCE6F5 +:0412FA009623201007 +:0412FB00471500E7AC +:0412FC000713A2151D +:0412FD008C632000DE +:0412FE00071312E6DA +:0412FF0093E3400035 +:041300000713FAE6EF +:04130100A8FD202003 +:04130200876363C1D9 +:04130300E663147613 +:04130400638902D324 +:041305001276856374 +:0413060000D3E863C5 +:0413070093E3670500 +:041308000713F8E6E9 +:04130900B7D1202018 +:04130A008563639103 +:04130B0067211276CE +:04130C00F6E69AE384 +:04130D002030071372 +:04130E000737A0F10C +:04130F0083630008EC +:04131000606312E61E +:04131100073702D7C1 +:041312008A630002E8 +:04131300073710E6A2 +:0413140099E3000455 +:041315004711F4E6A2 +:0413160000E7962333 +:041317000780071331 +:041318000737A0FDF6 +:0413190089630010D4 +:04131A00073710E69B +:04131B009BE3002030 +:04131C000713F2E6DB +:04131D0096232040B3 +:04131E00471900E784 +:04131F0000E788A3B8 +:04132000A0F14751A0 +:0413210000E7872337 +:04132200C683BDC100 +:041323008623049782 +:04132400EE9D0077C3 +:0413250006B346F1D4 +:0413260096AE02D6A7 +:0413270000E6D30306 +:041328005770069361 +:041329000266E6630F +:04132A0001D716933E +:04132B0002D0526337 +:04132C0086A3468DC1 +:04132D00468900D716 +:04132E0000D788A3B9 +:04132F0010E34689F8 +:04133000C703EAD72E +:04133100EB0D03F7C6 +:0413320087234705C1 +:04133300BD4100E7D1 +:0413340000670693B5 +:04133500000786A384 +:04133600D6E58A95D9 +:0413370010E34695E4 +:041338004771E8D73A +:0413390002E606338F +:04133A004AF007135B +:04133B00D68395B20E +:04133C0078E300E56D +:04133D00B5A5FCD77F +:04133E00000786A37B +:04133F00A819470999 +:04134000201007135F +:0413410000E7962308 +:041342000713BFD5F9 +:0413430096233010AD +:04134400471900E75E +:0413450000E788A392 +:041346004689B59986 +:0413470000D7962312 +:041348001EE3468DCD +:04134900C703E2D71D +:04134A00DF5903F76D +:04134B000713BFC104 +:04134C0096231020B4 +:04134D00B52500E7DB +:04134E002020071341 +:04134F000713B57952 +:0413500096232020A0 +:04135100471D00E74D +:0413520000E788A385 +:0413530089A34735EE +:04135400B53100E7C8 +:04135500B7D9468D31 +:041356002030071329 +:041357000713B5BD06 +:04135800B56120302B +:041359002040071316 +:04135A0000E79623EF +:04135B0088A3470D0F +:04135C00071300E78C +:04135D00BFE102806A +:04135E002040071311 +:04135F0000E79623EA +:0413600088A3471502 +:04136100476100E7F9 +:041362001111B7D9D5 +:041363000185179356 +:04136400C826CA22AB +:0413650087E1CC064A +:0413660084AE842AA3 +:041367000007DD633B +:0413680005134581A3 +:0413690037D50770FD +:04136A0000A101A33A +:04136B00EE63478561 +:04136C00741306A749 +:04136D002E9507F4BE +:04136E00450145915F +:04136F002E81268124 +:041370000184D7938A +:0413710000F102A3E2 +:041372000104D79308 +:0413730000F103235F +:041374000084D79387 +:0413750000F103A3DD +:0413760000810223CD +:0413770000910423BA +:041378000400071353 +:04137900095007937D +:04137A0000E409631F +:04137B0004800713D0 +:04137C00146347852A +:04137D00079300E4EE +:04137E004599087015 +:04137F0004A300487B +:0413800024ED00F167 +:041381002EB145152F +:041382004585842AEF +:04138300003105131D +:04138400078324F5C2 +:04138500D6630031FA +:041386002E8D0007A1 +:0413870006E34785AD +:04138800E011FEF57D +:0413890045032641B1 +:04138A0040E200310C +:04138B0044C24452C2 +:04138C0080820171E9 +:04138D00FD810113CA +:04138E004581C02AAB +:04138F0004900513AE +:04139000D022D2068F +:04139100F0EFCE2685 +:04139200C901F45F3A +:04139300509245012E +:0413940044F25402C9 +:0413950002810113BD +:04139600842A8082A3 +:0413970026114515C1 +:04139800458584AA59 +:0413990000710513C7 +:04139A0047032C5188 +:04139B000793007143 +:04139C0016630FF0D5 +:04139D002E1900F70E +:04139E0004E3478598 +:04139F00E091FEF5E6 +:0413A00047032615C4 +:0413A100079300713D +:0413A20011E30FE064 +:0413A30045C9FCF745 +:0413A40024AD00284C +:0413A500C783478231 +:0413A600F7130047F2 +:0413A700CF15002737 +:0413A80000E1450318 +:0413A90000F1478385 +:0413AA0001214703D3 +:0413AB000522890D81 +:0413AC0047838D5D89 +:0413AD00050A01012B +:0413AE0000D1440323 +:0413AF008D5D839934 +:0413B000011147835D +:0413B100883D831DD3 +:0413B2008B99078686 +:0413B300943E8FD9FC +:0413B40014650505B2 +:0413B5000085153367 +:0413B6008B91BF9DBB +:0413B7004503CB8996 +:0413B8004783010165 +:0413B90005220111F7 +:0413BA0047C58D5D39 +:0413BB004781B7CDE2 +:0413BC00BFF1450137 +:0413BD00FDC101135A +:0413BE00CC2665D9FB +:0413BF0084AA46298D +:0413C000E00585932C +:0413C100D0060068EA +:0413C20024E1CE2232 +:0413C300C03E478D54 +:0413C4002C2122C1F5 +:0413C50045A924A969 +:0413C6002AC90068C8 +:0413C700A023458199 +:0413C8000513000405 +:0413C900F0EF04003D +:0413CA004785E65F0E +:0413CB001B63842AF2 +:0413CC0005930EF582 +:0413CD0005131AA04A +:0413CE00F0EF0480B8 +:0413CF00C22AE51F2A +:0413D0000885176312 +:0413D100002845911A +:0413D20047032A554E +:0413D300479200A19C +:0413D4000CF71A6395 +:0413D50000B1470319 +:0413D6000AA00793CF +:0413D7000CF7146398 +:0413D8003E8005133B +:0413D900241D2AFDA8 +:0413DA0019634785C7 +:0413DB0005B700F55D +:0413DC0005134000B5 +:0413DD00F0EF0E908F +:0413DE00F575E15F61 +:0413DF0047852C0111 +:0413E00002F50663A9 +:0413E1002C3944015E +:0413E20017FD47822A +:0413E3000FF7F79376 +:0413E400CBD9C03E63 +:0413E5004785DC3527 +:0413E60000848223DA +:0413E7008526C09CFB +:0413E800E95FF0EFDA +:0413E900C488157D22 +:0413EA00A0412A698B +:0413EB000513458120 +:0413EC00F0EF07A077 +:0413ED00F579DD9F12 +:0413EE0000284591FD +:0413EF00478322818D +:0413F0004431008103 +:0413F1000407F79363 +:0413F2004411FFDDC6 +:0413F3004581BF6D04 +:0413F4000E9005133F +:0413F500DB7FF0EFBB +:0413F6000410079345 +:0413F70000A4656386 +:0413F800079344090A +:0413F90005130E903A +:0413FA00C23E0FA040 +:0413FB002A792A9D84 +:0413FC0017634785A7 +:0413FD00451200F5A0 +:0413FE00F0EF458146 +:0413FF00F965D91F94 +:04140000E111227163 +:041401002A794401FF +:041402000513458108 +:04140300F0EF07B04F +:04140400C111D7DF5C +:041405000593440106 +:0414060005132000AA +:04140700F0EF0500FD +:04140800D13DD6DF1D +:04140900B78D440156 +:04140A0020CDF43DC0 +:04140B000014351381 +:04140C004472508254 +:04140D00011344E2A1 +:04140E008082024195 +:04140F001121451C46 +:04141000CA06C8221E +:04141100C22EC626FB +:04141200440DC03A8B +:0414130002C7E163C8 +:041414004783CF1922 +:0414150084B6004554 +:04141600E3918BA132 +:0414170085B206266E +:0414180005100513A3 +:04141900D27FF0EF9F +:04141A004409C909AF +:04141B0085222045C1 +:04141C00444240D234 +:04141D00016144B273 +:04141E0005138082B0 +:04141F0020D506408E +:0414200005134585E6 +:04142100289D00B151 +:0414220000B14703CB +:041423000FF007932C +:0414240000F7166354 +:04142500478528E5EA +:04142600FEF504E3E8 +:041427004703222134 +:04142800079300B175 +:0414290012E30FE0DB +:04142A004782FCF702 +:04142B002020041366 +:04142C008C1D8C0582 +:04142D0080410442B4 +:04142E0085A6C4814A +:04142F00283D45010E +:04143000451245829A +:0414310085A2282543 +:04143200280D45013B +:04143300BF79440138 +:041434000006263751 +:04143500019C05B75A +:041436000002153764 +:04143700A8060613EA +:04143800CC058593C7 +:041439000205051390 +:04143A00C8AFB06F18 +:04143B0085AA862ECA +:04143C00000215375E +:04143D00020505138C +:04143E00D28FB06F2A +:04143F0085AA862EC6 +:04144000000215375A +:041441000205051388 +:04144200CECFB06FEA +:0414430067618082DB +:04144400CE275783D5 +:04144500F7F7F7932B +:0414460083C107C295 +:04144700CEF71123A8 +:041448000002173750 +:041449000EF7202357 +:04144A0067618082D4 +:04144B00CE275783CE +:04144C000807E79313 +:04144D00CEF71123A2 +:04144E00000217374A +:04144F000EF7202351 +:041450003637808229 +:0414510005B70029B2 +:041452001537019CAD +:04145300061300027A +:0414540085932E0648 +:041455000513CC05AA +:04145600B06F02056C +:04145700F06FC18FE2 +:041458006761F73F92 +:041459001C87278342 +:04145A00679DE38522 +:04145B009787879355 +:04145C0002F505335D +:04145D00C40611515F +:04145E001CA7242380 +:04145F00FA7FA0EF81 +:04146000450140A260 +:041461008082013153 +:04146200808245053A +:04146300C406115159 +:04146400FB7FA0EF7B +:04146500A78367E111 +:0414660040A21C87FD +:0414670000F5353324 +:04146800808201314C +:04146900A42367E170 +:04146A0080821C0759 +:04146B0014634701BE +:04146C00450100E650 +:04146D0007B38082BF +:04146E00070500E589 +:04146F0000E586B35B +:041470000007C78327 +:04147100FFF6C68339 +:04147200FED783E33B +:0414730040D78533A6 +:04147400C7B38082F8 +:041475008B8D00A5B6 +:0414760000C5073373 +:04147700478DE78135 +:0414780002C7E9635B +:04147900716387AA6A +:04147A00C6830CE534 +:04147B0007850005DC +:04147C008FA30585B0 +:04147D00EAE3FED7C9 +:04147E008082FEE783 +:04147F000005C6831B +:041480000585078552 +:04148100FED78FA360 +:04148200FEE7EAE3B4 +:04148300011144020D +:041484007693808259 +:0414850087AA0035FD +:04148600C683CA91BE +:0414870007850005D0 +:041488008FA30585A4 +:04148900F693FED701 +:04148A00B7FD003773 +:04148B00FFC776938E +:04148C00FE068613BF +:04148D0006C7F56336 +:04148E00C0221171F6 +:04148F00A30349C0AA +:04149000A38300052D +:04149100CBC0008547 +:04149200A0234D80C6 +:04149300A303006748 +:04149400CF800045C0 +:04149500A2234DC081 +:04149600A2830067C6 +:04149700A30300C5E6 +:041498008593010532 +:04149900CFC0024579 +:04149A00FFC5A403E3 +:04149B000077A4230F +:04149C000057A6232C +:04149D000067A82319 +:04149E0002478793E7 +:04149F00FE87AE23F3 +:0414A000FAC7EEE3B6 +:0414A100F8D7F2E3A3 +:0414A20007914190DD +:0414A300AE230591DE +:0414A400BFCDFEC7F3 +:0414A50007914190DA +:0414A600AE230591DB +:0414A700EBE3FEC7AE +:0414A800E4E3FED7A4 +:0414A9008082F4E762 +:0414AA000113808228 +:0414AB00D6A6F7C109 +:0414AC00DA86C13EDD +:0414AD00D8A267E179 +:0414AE00DEBADCB610 +:0414AF00C047A4830B +:0414B0000005DC63F4 +:0414B10008B00793E5 +:0414B200557DC09C08 +:0414B300544650D675 +:0414B400011354B616 +:0414B50080820841E8 +:0414B60020800793F8 +:0414B70000F1182305 +:0414B800CA2AC22A50 +:0414B900C19947810D +:0414BA00FFF5879320 +:0414BB00CC3EC63E1F +:0414BC0057FD18B40C +:0414BD008526842ECE +:0414BE001923004CA2 +:0414BF00C03600F142 +:0414C00057FD2601AD +:0414C10000F555637A +:0414C20008B00793D4 +:0414C300DC5DC09C90 +:0414C40080234792A8 +:0414C500BF5D000700 +:0414C600167DC605C4 +:0414C70007B347011F +:0414C80086B300E502 +:0414C900C78300E5F0 +:0414CA00C6830007CE +:0414CB00966300061E +:0414CC00046300D7DE +:0414CD00070500C748 +:0414CE008533F3FD72 +:0414CF00808240D700 +:0414D00080824501D0 +:0414D100CA0987AA13 +:0414D200C7030585C2 +:0414D3000785FFF595 +:0414D4008FA3167D4F +:0414D500FB65FEE7CE +:0414D6009363963E48 +:0414D700808200C748 +:0414D8008FA3078552 +:0414D900BFD5FE0776 +:0414DA0087AA95AA9E +:0414DB0000B785636E +:0414DC000007C7033B +:0414DD008533E7016B +:0414DE00808240A721 +:0414DF00B7FD0785C9 +:0414E000A783C5D544 +:0414E1001151FFC5E1 +:0414E200C406C22258 +:0414E3008413C02688 +:0414E400D363FFC50A +:0414E500943E00072A +:0414E60000EF84AAE5 +:0414E700676115F034 +:0414E800C847278347 +:0414E900EF81863ACF +:0414EA0000042223B5 +:0414EB00C887222369 +:0414EC0040A24412C4 +:0414ED00448285268A +:0414EE00006F013159 +:0414EF007063141002 +:0414F000401402F4AE +:0414F10000D40733E9 +:0414F20000E7966316 +:0414F30043DC4398FB +:0414F400C01897364F +:0414F5002223C05C92 +:0414F600BFD9C8860C +:0414F70043D887BA95 +:0414F8007DE3C319B4 +:0414F9004394FEE436 +:0414FA0000D786335E +:0414FB0000861F63E5 +:0414FC0096B2401054 +:0414FD008633C394DB +:0414FE001BE300D715 +:0414FF004310FAC7D5 +:0415000096B2435804 +:04150100C3D8C394F4 +:041502007563B765F1 +:0415030047B100C428 +:04150400BF79C09C4F +:0415050006B34010D9 +:04150600166300C4A4 +:04150700431400D7B2 +:0415080096B24358FC +:04150900C058C014F2 +:04150A00B759C3C04A +:04150B001141808288 +:04150C008493C226DC +:04150D0098F100351C +:04150E00C422C60627 +:04150F0047B104A13B +:0415100004F4FB6381 +:04151100EA6344B194 +:04151200C02A04B433 +:041513000AD000EF0B +:041514002683676162 +:041515004782C847FA +:04151600C8470613A9 +:04151700E431843601 +:0415180004136461F3 +:041519004018C8842A +:04151A00853EEB011E +:04151B00C03E458108 +:04151C007F8000EFDD +:04151D00C008478239 +:04151E0085A6853EDB +:04151F0000EFC03EDB +:04152000577D7EA0D5 +:041521001563478285 +:04152200473106E562 +:04152300853EC398A6 +:0415240006B000EF1E +:04152500D8E3A0293E +:0415260047B1FA04CB +:041527004501C11C9D +:04152800442240B267 +:0415290001414492A6 +:04152A004018808263 +:04152B004E638F0577 +:04152C0045AD0207C0 +:04152D0000E5F6637C +:04152E00943AC01813 +:04152F00A029C0042B +:04153000926340582A +:04153100C218028654 +:0415320000EF853E03 +:041533000513031089 +:04153400071300B4E5 +:041535009961004474 +:0415360040E507B3D2 +:04153700943ED3F11A +:04153800C0188F093F +:04153900C2D8BF75E0 +:04153A0086A2B7C509 +:04153B00BF854040E8 +:04153C00003504135F +:04153D0003E39871BB +:04153E0005B3FC8570 +:04153F00853E40A401 +:04154000279DC03EE5 +:041541004782577D09 +:04154200FAE51AE3C9 +:04154300A303BFBD82 +:0415440011110085FC +:04154500CC06C826E2 +:04154600C232CA22C1 +:04154700E36384AE28 +:04154800D603086658 +:04154900771300C54F +:04154A00CB3D480647 +:04154B0048C882AA60 +:04154C004080470D87 +:04154D0002A70733B7 +:04154E004509498C76 +:04154F0040B407B3EA +:041550004433C03E22 +:04155100851302A755 +:04155200953E0016AC +:0415530000A473631A +:041554007613842A5C +:04155500C636400650 +:041556008516C63DF3 +:04155700C41685A28F +:04155800ECFFF0EFC5 +:0415590046B242A2B2 +:04155A00CD2D47311B +:04155B00488C460270 +:04155C00C42AC636A1 +:04155D00C5FFF0EFE7 +:04155E0000C4D603EC +:04155F0046B243222B +:04156000B7F6761351 +:0415610008066613FF +:0415620000C4962308 +:04156300A8234782F0 +:04156400C8C0006497 +:041565008C1D933E08 +:041566000064A0235A +:041567008336C48083 +:041568000066F363C3 +:0415690045928336EE +:04156A00861A408815 +:04156B002701C01A7A +:04156C00430244985A +:04156D0007334501FA +:04156E00C498406776 +:04156F00933A4098D3 +:041570000064A02350 +:041571008516A80D26 +:04157200C4168622F3 +:04157300832A2F0593 +:0415740046B242A297 +:04157500488CFD455C +:04157600C016851600 +:04157700DA5FF0EF58 +:041578004731428233 +:0415790000E2A023C9 +:04157A0000C4D703CF +:04157B006713557D20 +:04157C0096230407A7 +:04157D0040E200E464 +:04157E0044C24452CD +:04157F0080820171F4 +:0415800000C5D703C8 +:04158100F7810113DA +:04158200DEA6C122FE +:0415830001F10793D8 +:04158400C22AC306AE +:041585007713C02EEA +:0415860084B208071C +:04158700FF87F413D3 +:041588004998CB1D96 +:041589000593EB0DCE +:04158A00C63604005D +:04158B00E03FF0EF5E +:04158C0046B247829A +:04158D00CB88C388BC +:04158E004712E919FE +:04158F00557D47B18E +:04159000409AC31C9E +:0415910054F6440ABE +:0415920008810113B8 +:041593004782808289 +:041594000400071335 +:041595000713CBD895 +:041596000CA30200A0 +:04159700071300E452 +:041598002A230300FF +:041599000D2300041A +:04159A00CA3600E469 +:04159B000613872686 +:04159C004683025030 +:04159D00C2990007E8 +:04159E000AC698637E +:04159F00409703333B +:0415A00002030263DD +:0415A1004512458228 +:0415A2008626869A79 +:0415A300C61AC83A62 +:0415A400E7FFF0EF7E +:0415A500026356FD8A +:0415A60048541CD5B4 +:0415A7004742433242 +:0415A800C854969AF3 +:0415A900000746836E +:0415AA001A06896331 +:0415AB00001704938E +:0415AC002023577D24 +:0415AD0026230004ED +:0415AE00C05800041D +:0415AF0000042423ED +:0415B000040401A38B +:0415B10004042C23DF +:0415B2000004C583E9 +:0415B300000177B705 +:0415B4008513461540 +:0415B5002B7D18472B +:0415B6000014871383 +:0415B700E5394014BE +:0415B8000106F6131F +:0415B9000613C60946 +:0415BA0001A3020087 +:0415BB00F61304C45B +:0415BC00C6090086D6 +:0415BD0002B006135F +:0415BE0004C401A3BD +:0415BF000004C583DC +:0415C00002A006136C +:0415C10004C5816379 +:0415C20087264454E0 +:0415C30045254581F4 +:0415C400460342A9EF +:0415C5000313000705 +:0415C60006130017F1 +:0415C7007963FD0641 +:0415C800C99506C5F6 +:0415C900A805C45459 +:0415CA00B7A10705B9 +:0415CB00000177B7ED +:0415CC001847861323 +:0415CD0040C50633DC +:0415CE001533450587 +:0415CF008EC900C5FC +:0415D00084BAC01405 +:0415D1004652B75176 +:0415D2000046059337 +:0415D300CA2E4210CA +:0415D400020649635F +:0415D5004603C450B5 +:0415D6000693000771 +:0415D700116302E0BA +:0415D800460306D6EA +:0415D900069300175E +:0415DA001B6302A0ED +:0415DB0046D202D61C +:0415DC008613070962 +:0415DD0042940046EE +:0415DE00C163CA32E9 +:0415DF00C0540206EC +:0415E0000633A081AD +:0415E100E69340C08D +:0415E200C4500026CB +:0415E300B7E1C01498 +:0415E400025686B372 +:0415E500871A458597 +:0415E600BFA596B255 +:0415E700B7C556FD31 +:0415E80022230705AE +:0415E9004581000434 +:0415EA0045254681CC +:0415EB00460342A9C8 +:0415EC0003130007DE +:0415ED0006130017CA +:0415EE007763FD061C +:0415EF00F1E106C55B +:0415F0000007458328 +:0415F100000174B7CA +:0415F2008513460D0A +:0415F300C63A18C418 +:0415F400473221D188 +:0415F5008493CD010D +:0415F6008D0518C483 +:0415F7000400069353 +:0415F80000A696B300 +:0415F900070540089A +:0415FA00C0088D5543 +:0415FB00000745831D +:0415FC00000175373E +:0415FD000513461973 +:0415FE000493190534 +:0415FF000C230017A2 +:04160000294900B4C0 +:041601000737C52DB5 +:0416020007130000CA +:04160300E70D0007E8 +:0416040047524014F5 +:041605001006F69342 +:041606000711CE9169 +:041607004858CA3A3B +:04160800973E47A220 +:04160900B599C8586F +:04160A00025686B34B +:04160B00871A458570 +:04160C00BFB596B21E +:04160D00B7DD07211D +:04160E004512460239 +:04160F00000156B7C9 +:04161000869308585D +:0416110085A250E678 +:04161200000000973D +:04161300000000E7EC +:0416140047A2C42AFB +:0416150094E3577D86 +:041616004782FCE724 +:04161700D703557D23 +:04161800771300C77D +:041619001EE30407C1 +:04161A004848DC0759 +:04161B004602BBD9EF +:04161C0056B7451266 +:04161D000858000168 +:04161E0050E6869379 +:04161F002A0585A271 +:041620001101BFC134 +:041621008332CA2620 +:04162200459084B6B5 +:04162300CC224994F8 +:04162400842ACE0640 +:04162500D36387AE56 +:0416260086B200C6C2 +:0416270000D32023A9 +:041628000437C603BA +:041629000685C6016B +:04162A0000D32023A6 +:04162B00F69343945B +:04162C00C69102065B +:04162D00000326830D +:04162E0020230689E6 +:04162F00A28300D3BF +:041630008393000799 +:04163100F293019798 +:04163200836300626C +:0416330043900602D8 +:041634000437C6832E +:041635000206761320 +:0416360000D036B3F7 +:041637008613EA41EB +:0416380085A6043748 +:04163900C41A852228 +:04163A00C03AC23EB2 +:04163B0056FD9702BF +:04163C0006D5086364 +:04163D00432247926B +:04163E00439445117B +:04163F00000326037B +:041640008A9947CC70 +:041641004702430118 +:0416420000A6976304 +:0416430040C58333E8 +:0416440000035363E9 +:041645004794430182 +:0416460054634B900E +:041647008E9100D6AA +:041648004281933612 +:04164900126307E938 +:04164A0045010653FD +:04164B000285A81D4F +:04164C00260347D456 +:04164D008E91000377 +:04164E00F8D2DBE310 +:04164F00861E468528 +:04165000852285A6C4 +:04165100C63EC81AAF +:04165200C21EC416DA +:041653009702C03A00 +:04165400470256FDF6 +:0416550042A24392D8 +:04165600434247B212 +:04165700FCD519E3C2 +:0416580040F2557D8A +:0416590044D24462D1 +:04165A008082610524 +:04165B0000D785B37C +:04165C00030005136F +:04165D0004A581A3BC +:04165E000457C583E5 +:04165F0000168613D8 +:041660000689963E23 +:0416610004B601A327 +:041662004685BF9961 +:0416630085A6863E94 +:04166400C6168522FF +:04166500C23EC41AA3 +:041666009702C03AED +:0416670001E356FD48 +:0416680042B2FCD5B9 +:04166900479243223F +:04166A0047020285AC +:04166B001101BFADFD +:04166C00842ECC22DA +:04166D004603C2323C +:04166E00CA26018403 +:04166F0084B6CE0669 +:041670000693C02AF3 +:04167100859306E077 +:0416720008630435D0 +:04167300E4631ED638 +:04167400069306C60D +:0416750004630630D4 +:04167600ED630AD640 +:04167700046300C642 +:0416780006932006AF +:041679000C63058079 +:04167A00031316D66A +:04167B00012304241F +:04167C00A87904C481 +:04167D00064006938A +:04167E0000D6066329 +:04167F000690069338 +:04168000FED615E39A +:0416810023034014EB +:04168200F613000754 +:04168300051308063D +:04168400C249004314 +:0416850000032683B5 +:041686007637C308E8 +:04168700D863000123 +:04168800071300063E +:0416890006B302D0D2 +:04168A0001A340D0A8 +:04168B00061304E45A +:04168C00472919864B +:04168D000693A07DA3 +:04168E000A630730B4 +:04168F00EF631AD615 +:04169000069300C6F7 +:04169100006306F0FC +:04169200069306D6DF +:041693001EE307004B +:041694004014F8D630 +:041695000206E693D0 +:04169600A809C014CB +:04169700075006935F +:0416980004D603630E +:04169900078006932D +:04169A00F8D611E38A +:04169B00078006932B +:04169C00000176379C +:04169D0004D402A3CC +:04169E001AC606134F +:04169F004314A0FD53 +:0416A0000424031308 +:0416A1000046861366 +:0416A2004298C31097 +:0416A30004E4012337 +:0416A400AABD47058F +:0416A5000406F6132E +:0416A6000003268394 +:0416A700DE35C30861 +:0416A80086C106C22F +:0416A9004008BF9D99 +:0416AA0072934314E0 +:0416AB008313080598 +:0416AC00866300460B +:0416AD0020230002F4 +:0416AE0042940067FB +:0416AF007513A80106 +:0416B00020230405EA +:0416B100D975006780 +:0416B2000006D683D5 +:0416B30006F0051325 +:0416B4000001773783 +:0416B5000CA60D630F +:0416B6001987061377 +:0416B70001A347291B +:0416B800404804049E +:0416B9004863C408B6 +:0416BA002303000501 +:0416BB0073130004A1 +:0416BC002023FFB335 +:0416BD00E29900644A +:0416BE00CD11832E99 +:0416BF00F533832E4E +:0416C000137D02E6AE +:0416C1004503953216 +:0416C20000230005FC +:0416C300D53300A378 +:0416C400F26302E6E5 +:0416C50046A10AE64A +:0416C60000D71E63C8 +:0416C7008B05401837 +:0416C8004054CB11AE +:0416C9004763481813 +:0416CA00071300D72B +:0416CB000FA3030066 +:0416CC00137DFEE3A9 +:0416CD00406585B33C +:0416CE004692C80C6C +:0416CF008726450223 +:0416D00085A20810D7 +:0416D100F0EFC41A58 +:0416D200577DD3DF8E +:0416D3001563432236 +:0416D400557D0CE54F +:0416D500446240F239 +:0416D600610544D294 +:0416D70002A3808268 +:0416D800763704C499 +:0416D90006130001F3 +:0416DA004008198625 +:0416DB0000072303DE +:0416DC0008057293F8 +:0416DD00000326835D +:0416DE008163031110 +:0416DF0020230202C0 +:0416E0007713006715 +:0416E100C701001528 +:0416E2000205651385 +:0416E3004741C008B3 +:0416E4004008F6B90B +:0416E500FDF5751387 +:0416E600B791C008F0 +:0416E70004057293F1 +:0416E8000067202354 +:0416E900FC028FE38D +:0416EA0082C106C2F1 +:0416EB000613BFD94A +:0416EC0047211987F2 +:0416ED0086AAB72DE5 +:0416EE004010B79958 +:0416EF004848431410 +:0416F00008067293E3 +:0416F1000046831319 +:0416F2000002876308 +:0416F3000067202349 +:0416F400C30842984D +:0416F5002023A809FD +:0416F6007613006700 +:0416F700429804060B +:0416F8001023DA6D74 +:0416F900282300A7FB +:0416FA00832E000437 +:0416FB004314B7B924 +:0416FC00861345818B +:0416FD00C3100046D0 +:0416FE000006A3033C +:0416FF00851A4050B8 +:041700002849C41A96 +:04170100C5014322B9 +:041702004065053306 +:041703004058C04842 +:0417040001A3C8185D +:04170500B71504040C +:0417060045924814AC +:04170700861A4502F7 +:04170800577D9482F3 +:04170900F2E507E31B +:04170A008B094018EF +:04170B004742EB1551 +:04170C0051E3444819 +:04170D00853AF2E542 +:04170E004592BF3110 +:04170F0046854502C4 +:04171000C63A861A35 +:041711009482C41AE0 +:0417120004E356FD99 +:041713004732F0D594 +:041714000705432260 +:0417150046424454B0 +:0417160040E38E918D +:04171700BFC1FED779 +:04171800031347016F +:04171900B7FD019483 +:04171A00C222115185 +:04171B006461C0261F +:04171C00852E84AAE8 +:04171D002623C406B5 +:04171E0020FD1C048A +:04171F00166357FDF9 +:04172000278300F526 +:04172100C3911CC490 +:0417220040A2C09C85 +:0417230044824412A6 +:04172400808201318D +:041725000FF5F59334 +:041726001463962A88 +:04172700450100C5B3 +:0417280047838082F1 +:041729008DE3000547 +:04172A000505FEB7FC +:04172B00F363B7FDB0 +:04172C0086B304A5D7 +:04172D007F6300C511 +:04172E00459302D508 +:04172F004781FFF6F9 +:04173000936317FDAB +:04173100808200F5BD +:0417320000F6873303 +:041733000007430365 +:0417340000C7873330 +:041735000023972ACC +:04173600B7DD0067B4 +:0417370000F58733FF +:0417380000074683DD +:0417390000F507337D +:04173A0000230785FC +:04173B0017E300D7D9 +:04173C008082FEF6B3 +:04173D00BFE547813C +:04173E0080828082A3 +:04173F0085B2E58109 +:04174000F2EFF06F65 +:04174100C806113194 +:04174200C426C622D1 +:04174300F0EFEA11C8 +:041744004781E72FC3 +:04174500443240C228 +:04174600853E44A2F6 +:04174700808201514A +:04174800C032842EF9 +:04174900203D84AA11 +:04174A0087A246022A +:04174B00FEC574E380 +:04174C00852685B2B7 +:04174D00F0EFC232C5 +:04174E0087AAEF8FE8 +:04174F004612DD6100 +:04175000C02A85A284 +:04175100C8EFF0EFFE +:04175200852685A2C1 +:04175300E34FF0EF81 +:04175400B7C9478248 +:04175500FFC5A783A2 +:04175600FFC7851331 +:041757000007D5634F +:04175800419C95AA71 +:041759008082953EB7 +:04175A00C422114153 +:04175B00278364611B +:04175C00C606C8C431 +:04175D00832AC226F3 +:04175E00C8C40413E4 +:04175F004501EB85D0 +:041760004601458178 +:041761004701468175 +:041762000D60029381 +:04176300000000730F +:041764005C6384AA94 +:0417650028810005D2 +:04176600409004B3F8 +:04176700557DC104E7 +:04176800442240B225 +:041769000141449264 +:04176A00C0088082B1 +:04176B00458140086C +:04176C00951A460183 +:04176D004701468169 +:04176E00029347811A +:04176F0000730D6096 +:0417700084AA000047 +:0417710000055963B3 +:041772002831C01A40 +:0417730004B3430276 +:04177400C1044090DC +:04177500401C54FDC3 +:04177600933E557DCC +:04177700FC6492E399 +:04177800853EC004E6 +:0417790067E1BF75F0 +:04177A00C047A503BC +:04177B000000808268 +:04177C000000000069 +:04177D000000000068 +:04177E000000000067 +:04177F000000000066 +:04178000FFFFFFFF69 +:04178100FFFFFFFF68 +:041782000000FFFF65 +:041783000001052C30 +:041784000001053229 +:041785000001053822 +:041786000001053E1B +:04178700000104E079 +:041788000001050057 +:041789000001050056 +:04178A000001050055 +:04178B000001050054 +:04178C000001050053 +:04178D000001050052 +:04178E00000105044D +:04178F000001050A46 +:041790000001261C12 +:04179100000126EE3F +:04179200000126EE3E +:04179300000127002A +:041794000001270029 +:041795000001270A1E +:041796000001270E19 +:041797000001271C0A +:041798000001271C09 +:0417990000012734F0 +:04179A000001287CA6 +:04179B00000128829F +:04179C00000128829E +:04179D000001287CA3 +:04179E000001288698 +:04179F0000012734EA +:0417A0000001287CA0 +:0417A1000001288299 +:0417A20000015F5C87 +:0417A30000015F6C76 +:0417A40000015F7869 +:0417A50000015F845C +:0417A60000015F904F +:0417A70000015F9C42 +:0417A80000015FA835 +:0417A90000015FB428 +:0417AA0000015FC01B +:0417AB0000015FCC0E +:0417AC0000015FD801 +:0417AD0074204B4F0A +:0417AE006E69206FD1 +:0417AF000000746959 +:0417B000736C6146AF +:0417B10072742065C9 +:0417B2006567676997 +:0417B30000000072C0 +:0417B400656D6954A2 +:0417B5000074756FD8 +:0417B600252E752542 +:0417B7006D75322EEC +:0417B80000000073BA +:0417B900252E75253F +:0417BA006D75322EE9 +:0417BB0075252F73EE +:0417BC00322E252E76 +:0417BD0000736D75D3 +:0417BE004353534FEF +:0417BF007766202009 +:0417C0007525202E3D +:0417C100322E252E71 +:0417C200000061754D +:0417C30074696E498E +:0417C40072726520B8 +:0417C5002020726FFF +:0417C6000000642596 +:0417C700202020209E +:0417C80053204F4E0D +:0417C90000434E5932 +:0417CA003431303254 +:0417CB003130322D5A +:0417CC006D20203834 +:0417CD007371726161 +:0417CE000000000017 +:0417CF002520732539 +:0417D0000063257518 +:0417D100252E752527 +:0417D2006B75322ED3 +:0417D30025207A480B +:0417D4002E252E751B +:0417D5007A487532A7 +:0417D600000000000F +:0417D700747365546E +:0417D80074617020A8 +:0417D9006E72657453 +:0417DA00000000000B +:0417DB003A31564108 +:0417DC00424752200E +:0417DD0000000053B5 +:0417DE003A31564105 +:0417DF0073475220DA +:0417E00000000042C3 +:0417E1003A31564102 +:0417E20062505920D8 +:0417E3000000725040 +:0417E4003A325641FE +:0417E50062505920D5 +:0417E600000072503D +:0417E7003A325641FB +:0417E80073475220D1 +:0417E90000000042BA +:0417EA003A335641F7 +:0417EB0042475220FF +:0417EC00000056485B +:0417ED003A335641F4 +:0417EE0042475220FC +:0417EF0000000053A3 +:0417F0003A335641F1 +:0417F10073475220C8 +:0417F20000000042B1 +:0417F3003A335641EE +:0417F40062505920C6 +:0417F500000072502E +:0417F6007473614C5B +:0417F7006573752081 +:0417F8000000006489 +:0417F90000000000EC +:0417FA0000000001EA +:0417FB0000000000EA +:0417FC0000000000E9 +:0417FD0000000000E8 +:0417FE0000000100E6 +:0417FF0001010100E3 +:0418000000000100E3 +:0418010000000000E3 +:0418020000000100E1 +:041803000044060B8C +:0418040000000100DF +:0418050000010000DE +:041806008080800C52 +:04180700081A1A1A87 +:041808000000000AD2 +:0418090000012B3E71 +:04180A0000012BD4DA +:04180B0000012BDCD1 +:04180C0000012BCCE0 +:04180D0000012BD8D3 +:04180E0000012BE0CA +:04180F0000012BD0D9 +:0418100000012C0E99 +:0418110000012BE4C3 +:0418120000012BE8BE +:0418130000012BECB9 +:0418140000012C0E95 +:0418150000012C0E94 +:0418160000012C0E93 +:0418170000012C0E92 +:0418180000012C0E91 +:0418190000012F3C5F +:04181A0000012C1885 +:04181B0000012CF2AA +:04181C0000012D0298 +:04181D0000012D1C7D +:04181E0000012D5A3E +:04181F0000012D3E59 +:0418200000012D7026 +:0418210000012E583C +:0418220000012E96FD +:0418230000012EB8DA +:04182400666F725029 +:041825002075252ED7 +:0418260000733925ED +:04182700756C342583 +:0418280063256325AC +:041829006C252020EA +:04182A000000007545 +:04182B00656E694C31 +:04182C00746C756DF6 +:04182D00646F6D2057 +:04182E0000003A6517 +:04182F0073657270FB +:041830002D312073C3 +:04183100000000357E +:041832002078752580 +:0418330075736E75E6 +:04183400726F7070EF +:041835000064657472 +:04183600666F725017 +:0418370020656C6953 +:0418380064616F6C0C +:041839000000003A71 +:04183A0073657270F0 +:04183B002D302073B9 +:04183C00000000396F +:04183D00000161B88D +:04183E00000161BC88 +:04183F00000161C083 +:04184000000161C47E +:04184100000160C47E +:04184200000161C878 +:04184300000161CC73 +:04184400000161D06E +:04184500000160F04E +:0418460000016C2011 +:04184700000161D467 +:04184800000161DC5E +:04184900000161E059 +:04184A00000161E850 +:04184B00000161EC4B +:04184C00000161F442 +:04184D00000161FC39 +:04184E00000162042F +:04184F000001620C26 +:041850000001621C15 +:041851000001622C04 +:041852000001623CF3 +:041853000001624CE2 +:041854000001625CD1 +:041855000001626CC0 +:0418560000016274B7 +:041857000001627CAE +:041858003EA93E293E +:041859003EE93E69BD +:04185A003E993E195C +:04185B003ED93E59DB +:04185C003EC93E390A +:04185D003E1D3E4DA1 +:04185E003E2D3EEDF0 +:04185F003EAD3ECD8F +:041860003E653E6D36 +:041861001C483E01E0 +:041862001C501C18E2 +:041863001CC81CD0B1 +:041864005ED85E5894 +:0418650000003EB988 +:0418660073657250E4 +:04186700000000730A +:04186800666E6F43F6 +:04186900006D726933 +:04186A006D73694DE4 +:04186B0068637461D9 +:04186C006572202C55 +:04186D000079727418 +:04186E000000003145 +:04186F000000003243 +:041870000000003341 +:04187100000000343F +:04187200000000363C +:04187300000000373A +:041874000000003838 +:04187500554E454D3A +:04187600000000006E +:0418770000004B4FD3 +:041878004B4341425B +:04187900000000006B +:04187A0000005055C5 +:04187B004E574F4431 +:04187C000000000068 +:04187D005446454C3C +:04187E000000000066 +:04187F00484749523B +:041880000000005410 +:041881004F464E4937 +:041882000000000062 +:041883005F44434C2F +:041884004B4341424F +:041885004847494C3B +:04188600000000540A +:041887004E41435338 +:04188800454E494C34 +:04188900444F4D5F1C +:04188A000000004515 +:04188B004E41435334 +:04188C00454E494C30 +:04188D005059545FFB +:04188E000000004511 +:04188F004E41435330 +:04189000454E494C2C +:04189100544E495F09 +:041892000000002B27 +:041893004E4143532C +:04189400454E494C28 +:04189500544E495F05 +:041896000000002D21 +:04189700454E494C25 +:04189800544C554D0A +:04189900444F4D5F0C +:04189A000000004505 +:04189B00534148501D +:04189C0000002B45D8 +:04189D00534148501B +:04189E0000002D45D4 +:04189F00464F52500E +:0418A0005F454C490B +:0418A1004B544F480D +:0418A20000005945A4 +:0418A3006F727245A9 +:0418A40000000072CE +:0418A50053206F4E0F +:0418A6006163204416 +:0418A70064206472E3 +:0418A800002E746535 +:0418A90061766E49AD +:0418AA002064696CE1 +:0418AB0064616568A7 +:0418AC000000726561 +:0418AD0061766E49A9 +:0418AE002064696CDD +:0418AF0020726468D7 +:0418B000004352435C +:0418B10061766E49A5 +:0418B2002064696CD9 +:0418B3006174616497 +:0418B4004352432038 +:0418B500000000002F +:0418B60061647055A4 +:0418B70063206574D1 +:0418B80065636E6195 +:0418B90064656C6C8A +:0418BA00000000002A +:0418BB0073616C46A3 +:0418BC0065722068C9 +:0418BD0065206461DD +:0418BE000000727242 +:0418BF0073616C469F +:0418C00072652068C5 +:0418C10020657361CA +:0418C20000727265D9 +:0418C30073616C469B +:0418C40072772068AF +:0418C50020657469BD +:0418C60000727265D5 +:0418C70073616C4697 +:0418C80065762068B9 +:0418C90020666972BA +:0418CA006C6961667E +:0418CB000000000019 +:0418CC0061766E498A +:0418CD002064696CBE +:0418CE0067616D6978 +:0418CF0000000065B0 +:0418D0004353534FDC +:0418D1000000000013 +:0418D200696C615686 +:0418D300697461646F +:0418D4006420676EB7 +:0418D50000617461D9 +:0418D60062207525F2 +:0418D7007365747948 +:0418D800000000000C +:0418D900252E75251E +:0418DA002575322E10 +:0418DB0000732573FE +:0418DC00616470557E +:0418DD00676E697455 +:0418DE000057462049 +:0418DF0061656C7063 +:0418E0007720657395 +:0418E1002E74696197 +:0418E20000002E2EA6 +:0418E300697265566B +:0418E4006E6979664A +:0418E5006C662067A6 +:0418E60000687361C2 +:0418E70061656C705B +:0418E8007220657392 +:0418E900617473654E +:0418EA000000747214 +:0418EB00727465525C +:0418EC00676E697941 +:0418ED00647075208E +:0418EE0000657461BC +:0418EF00616470556B +:0418F000203F6574BC +:0418F1002C593D3100 +:0418F2004E3D322015 +:0418F30000000000F1 +:0418F4006D72694662 +:0418F5006572617740 +:0418F6006470752085 +:0418F700646574614F +:0418F80000000000EC +:0418F9000001376251 +:0418FA000001374270 +:0418FB000001371C95 +:0418FC00000137347C +:0418FD00000138149A +:0418FE000001381499 +:0418FF00000138C8E4 +:04190000000138EEBC +:04190100000138FAAF +:041902000001393A6D +:041903000001390E98 +:04190400000138287E +:04190500000138287D +:041906000001386C38 +:04190700000138980B +:04190800000138980A +:0419090064206425CD +:04190A000000004297 +:04190B00656E6F4452 +:04190C0000000000D7 +:04190D006C6961465A +:04190E00000064650C +:04190F00252E7525E7 +:041910002075322EDE +:0419110000007375EA +:041912006C207525AB +:0419130073656E6921 :0419140000000000CF -:041915006E61635349 -:04191600656E696C25 -:0419170074706F2059 -:041918003E20202E1F -:0419190000000000CA -:04191A0074736F5023 -:04191B006F72702D4A -:04191C0020202E63F6 -:04191D003E20202028 -:04191E0000000000C5 -:04191F00706D6F4335 -:041920006269746123 -:0419210074696C6910 -:041922003E202079CA -:0419230000000000C0 -:04192400696475413C -:04192500706F206F50 -:041926006E6F697403 -:041927003E202073CB -:0419280000000000BB -:04192900747465531A -:04192A0073676E6908 -:04192B0074706F2045 -:04192C003E20202019 -:04192D0000000000B6 -:04192E00616F4C3C5D -:04192F00727020644E -:041930006C69666F09 -:04193100003E2065EF -:041932007661533C4B -:041933007270206549 -:041934006C69666F05 -:04193500003E2065EB -:041936007365523C47 -:041937007320746540 -:0419380069747465F5 -:041939003E73676E24 -:04193A0000000000A9 -:04193B006B6E694C1A -:04193C006F72702036 -:04193D00693E2D666C -:04193E007475706EDE -:04193F0000000000A4 -:041940006B6E694C15 -:04194100706E69203B -:041942003E2D74754D -:04194300666F7270E9 -:04194400000000009F -:0419450074696E490A -:04194600206C616947 -:0419470075706E69E0 -:041948000000007427 -:041949006F74754101 -:04194A0065746564F7 -:04194B006920746338 -:04194C007475706ED0 +:041915006C206F4E85 +:04191600006B6E698B +:0419170000017CB09F +:0419180000000002C9 +:041919000001361C77 +:04191A0000016ABCA2 +:04191B0000016AC895 +:04191C0000016AD08C +:04191D00702075259C +:04191E006C65786913 +:04191F000000007351 +:0419200000017CB294 +:041921000000000EB4 +:04192200000136602A +:04192300706D653C42 +:04192400003E797494 +:04192500203A7525CA +:041926000000732525 +:0419270064206425AF +:0419280000006765EF +:0419290025257525D6 +:04192A0000000000B9 +:04192B006564695630 +:04192C006E69206F51 +:04192D006F72702045 +:04192E003E202063D4 +:04192F0000000000B4 +:04193000706D615322 +:04193100676E696C08 +:0419320074706F203E +:041933003E20202E04 +:0419340000000000AF +:04193500636E795311 +:0419360074706F203A +:041937002020202E1E +:041938003E2020200D +:0419390000000000AA +:04193A007074754F01 +:04193B006F20747530 +:04193C00202E747075 +:04193D003E20202008 +:04193E0000000000A5 +:04193F006E6163531F +:04194000656E696CFB +:0419410074706F202F +:041942003E20202EF5 +:0419430000000000A0 +:0419440074736F50F9 +:041945006F72702D20 +:0419460020202E63CC +:041947003E202020FE +:04194800000000009B +:04194900706D6F430B +:04194A0062697461F9 +:04194B0074696C69E6 +:04194C003E202079A0 :04194D000000000096 -:04194E006F747541FC -:04194F0031564120AC -:04195000472F5920A4 -:04195100000000731F -:041952006F747541F8 -:0419530032564120A7 -:04195400472F5920A0 -:04195500000000731B -:041956006F747541F4 -:0419570033564120A2 -:04195800472F59209C -:041959000000007317 -:04195A002044434C96 -:04195B0074204C4266 -:04195C006F656D69DD -:04195D00000074759D -:04195E00706D493C23 -:04195F002074726F0F -:0419600074746573C3 -:041961003E20202ED6 -:041962000000000081 -:041963002E77463C59 -:041964006470752016 -:041965002065746124 -:041966003E202020DF -:04196700000000007C -:041968006E776F44E3 -:041969006D61732D0C -:04196A006E696C70C6 -:04196B000000006711 -:04196C0070617753DC -:04196D0066656C201F -:04196E0069722F74F7 -:04196F000074686731 -:041970002D6572501F -:04197100204344418A -:041972006E696167D2 -:041973000000000070 -:041974006C6C7546DC -:041975002058542082 -:0419760075746573AC -:0419770000000070FC -:041978002033564181 -:0419790065746E69BA -:04197A0063616C72C7 -:04197B0078696665BC -:04197C000000000067 -:04197D0069726F48D4 -:04197E00746E6F7A9A -:04197F006D206C610A -:04198000006B736124 -:0419810074726556C1 -:041982006C616369C8 -:0419830073616D20FF -:041984000000006BF4 -:041985006B73614DD2 -:041986006972622000 -:041987006E746867AB -:041988000073736510 -:0419890065766552C8 -:04198A0020657372EF -:04198B000046504C76 -:04198C005949443C35 -:04198D0074616C20F5 -:04198E006574202E2E -:04198F00003E74732F -:041990006E616353CE -:04199100656E696CAA -:0419920000000073DE -:04199300202E6C5343 -:041994006572747391 -:041995006874676E9D -:04199600000000004D -:04199700202E6C533F -:041998007262796896 -:0419990073206469EA -:04199A00002E727435 -:04199B00202E6C533B -:04199C006874656D99 -:04199D000000646F73 -:04199E00202E6C5338 -:04199F0065746C619E -:0419A00074616E728E -:0419A10000676E6904 -:0419A200202E6C5334 -:0419A30067696C61A3 -:0419A4006E656D6E91 -:0419A50000000074CA -:0419A600202E6C5330 -:0419A70020746C61DB -:0419A80065746E698B -:0419A9006C61767285 -:0419AA000000000039 -:0419AB00202E6C532B -:0419AC006570797475 -:0419AD000000000036 -:0419AE004320203C76 -:0419AF006F74737569 -:0419B0006C53206DE7 -:0419B1003E20202E86 -:0419B2000000000031 -:0419B300703034322A -:0419B4003838322F5E -:0419B50072702070BC -:0419B6000000636F5B -:0419B700703438331D -:0419B8006F727020BA -:0419B90000000063C7 -:0419BA006930383424 -:0419BB003637352F57 -:0419BC0072702069BC -:0419BD000000636F54 -:0419BE007030383419 -:0419BF003637352F53 -:0419C00072702070B1 -:0419C1000000636F50 -:0419C2006930363919 -:0419C3003830312F58 -:0419C40070206930F6 -:0419C50000636F72DA -:0419C600656E694C95 -:0419C7006D207832E5 -:0419C8000065646FE3 -:0419C900656E694C92 -:0419CA006D207833E1 -:0419CB000065646FE0 -:0419CC00656E694C8F -:0419CD006D207834DD -:0419CE000065646FDD -:0419CF00656E694C8C -:0419D0006D207835D9 -:0419D1000065646FDA -:0419D200656E694C89 -:0419D30066207835DD -:0419D400616D726F60 -:0419D500000000749A -:0419D60078363532F8 -:0419D7002030343256 -:0419D8006570736162 -:0419D9000000746333 -:0419DA006D205854D0 -:0419DB000065646FD0 -:0419DC00494D4448E5 -:0419DD004354492006 -:0419DE000000000005 -:0419DF006C616E4188 -:0419E0007320676F9A -:0419E10020636E7998 -:0419E2000046504C1F -:0419E3006C616E4184 -:0419E4007320676F96 -:0419E50020636E7994 -:0419E60000687456CB -:0419E7006E7973485A -:0419E8006F74206395 -:0419E9006172656C56 -:0419EA000065636EC3 -:0419EB006E79735648 -:0419EC006874206398 -:0419ED006873657244 -:0419EE0000646C6FB6 -:0419EF004C502D48E3 -:0419F0007250204CC5 -:0419F1006F432D65AE -:0419F20000747361A9 -:0419F3004C502D48DF -:0419F4006F50204CC4 -:0419F500432D747397 -:0419F6007473616F36 -:0419F70000000000EC -:0419F800706D61535A -:0419F900676E696C40 -:0419FA006168702090 -:0419FB000000657310 -:0419FC0070303834DB -:0419FD00206E6920CF -:0419FE00706D617334 -:0419FF000072656CA1 -:041A00006F6C6C415A -:041A010056542077A0 -:041A020050482050D8 -:041A030078324C4C9D -:041A040000000000DE -:041A05006F6C6C4155 -:041A06007075207760 -:041A0700706D61732A -:041A08007832656C5F -:041A090000000000D9 -:041A0A007664413C81 -:041A0B006974202EAC -:041A0C00676E696D2B -:041A0D003E20202037 -:041A0E0000000000D4 -:041A0F00656469564B -:041A1000504C206FA7 -:041A1100000000468B -:041A12005062505975 -:041A13006E69207266 -:041A14006C6F432090 -:041A150000617053A9 -:041A160072502F5289 -:041A170066666F2070 -:041A1800007465737E -:041A190020592F47DA -:041A1A007366666F1A -:041A1B0000007465EE -:041A1C0062502F42A3 -:041A1D0066666F206A -:041A1E000074657378 -:041A1F0072502F5280 -:041A20006961672071 -:041A21000000006E53 -:041A220020592F47D1 -:041A23006E69616720 -:041A240000000000BE -:041A250062502F429A -:041A2600696167206B -:041A27000000006E4D -:041A28002D65725066 -:041A290020434441D1 -:041A2A006E69614739 -:041A2B0000000000B7 -:041A2C002D6275535F -:041A2D00656E696C0D -:041A2E0073203020D1 -:041A2F0000007274CD -:041A30002D6275535B -:041A3100656E696C09 -:041A320073203120CC -:041A330000007274C9 -:041A34002D62755357 -:041A3500656E696C05 -:041A360073203220C7 -:041A370000007274C5 -:041A38002D62755353 -:041A3900656E696C01 -:041A3A0073203320C2 -:041A3B0000007274C1 -:041A3C002D6275534F -:041A3D00656E696CFD -:041A3E0073203420BD -:041A3F0000007274BD -:041A40002D6275534B -:041A4100756C6F63EE -:041A420030206E6D75 -:041A43007274732026 -:041A4400000000009E -:041A45002D62755346 -:041A4600756C6F63E9 -:041A470031206E6D6F -:041A48007274732021 -:041A49000000000099 -:041A4A002D62755341 -:041A4B00756C6F63E4 -:041A4C0032206E6D69 -:041A4D00727473201C -:041A4E000000000094 -:041A4F002D6275533C -:041A5000756C6F63DF -:041A510033206E6D63 -:041A52007274732017 -:041A5300000000008F -:041A54002D62755337 -:041A5500756C6F63DA -:041A560034206E6D5D -:041A57007274732012 -:041A5800000000008A -:041A59002D62755332 -:041A5A00756C6F63D5 -:041A5B0035206E6D57 -:041A5C00727473200D -:041A5D000000000085 -:041A5E0073202E487B -:041A5F006C706D61D9 -:041A600074617265D6 -:041A6100000000651C -:041A620073202E4877 -:041A63006C636E79C9 -:041A640000006E65AB -:041A650062202E4885 -:041A6600706B6361DD -:041A67006863726FCF -:041A6800000000007A -:041A690061202E4882 -:041A6A0076697463C2 -:041A6B000000006512 -:041A6C0073202E565F -:041A6D006C636E79BF -:041A6E0000006E65A1 -:041A6F0062202E566D -:041A7000706B6361D3 -:041A71006863726FC5 -:041A72000000000070 -:041A730061202E566A -:041A740076697463B8 -:041A75000000006508 -:041A76000066664F51 -:041A770072727543CF -:041A780020746E6503 -:041A790075706E69AD -:041A7A0000000074F4 -:041A7B00206C6C412E -:041A7C0075706E69AA -:041A7D00000073747E -:041A7E004273475216 -:041A7F000000000063 -:041A80005062505907 -:041A810000000072EF -:041A820000007333BA -:041A8300007330318B -:041A84000073303388 -:041A85002D706F54FD -:041A86007466656CB1 -:041A8700000000005B -:041A8800746E6543D0 -:041A89000000726582 -:041A8A0074746F42BF -:041A8B00722D6D6FDC -:041A8C0074686769AA -:041A8D000000000055 -:041A8E002066664F19 -:041A8F002073662832 -:041A90003639203D86 -:041A9100297A486BFB -:041A92000000000050 -:041A93002020783265 -:041A9400207366282D -:041A95003834203D84 -:041A9600297A486BF6 -:041A9700000000004B -:041A980000706F5417 -:041A990074746F42B0 -:041A9A0000006D6F6C -:041A9B0069726F48B5 -:041A9C00746E6F7A7B -:041A9D0000006C6178 -:041A9E0074726556A3 -:041A9F006C616369AA -:041AA0000000000042 -:041AA10069726F48AF -:041AA2002B202E7A4D -:041AA30072655620F2 -:041AA40000002E749C -:041AA500747375439E -:041AA60000006D6F60 -:041AA700746C754D99 -:041AA800696C70698C -:041AA9006974616398 -:041AAA0000006E6F5B -:041AAB007462755399 -:041AAC00746361728C -:041AAD00006E6F69EF -:041AAE006F7475419B -:041AAF000000000033 -:041AB00000006E4F75 -:041AB100494D44480F -:041AB200475228204F -:041AB30000002942C4 -:041AB400494D44480C -:041AB5004359282049 -:041AB60034724362E1 -:041AB700002934349A -:041AB8000049564447 -:041AB90000373A3880 -:041ABA007373615091 -:041ABB007572687464 +:04194E006964754112 +:04194F00706F206F26 +:041950006E6F6974D9 +:041951003E202073A1 +:041952000000000091 +:0419530074746553F0 +:0419540073676E69DE +:0419550074706F201B +:041956003E202020EF +:04195700000000008C +:04195800616F4C3C33 +:041959007270206424 +:04195A006C69666FDF +:04195B00003E2065C5 +:04195C007661533C21 +:04195D00727020651F +:04195E006C69666FDB +:04195F00003E2065C1 +:041960007365523C1D +:041961007320746516 +:0419620069747465CB +:041963003E73676EFA +:04196400000000007F +:041965006B6E694CF0 +:041966006F7270200C +:04196700693E2D6642 +:041968007475706EB4 +:04196900000000007A +:04196A006B6E694CEB +:04196B00706E692011 +:04196C003E2D747523 +:04196D00666F7270BF +:04196E000000000075 +:04196F0074696E49E0 +:04197000206C61691D +:0419710075706E69B6 +:0419720000000074FD +:041973006F747541D7 +:0419740065746564CD +:04197500692074630E +:041976007475706EA6 +:04197700000000006C +:041978006F747541D2 +:041979003156412082 +:04197A00472F59207A +:04197B0000000073F5 +:04197C006F747541CE +:04197D00325641207D +:04197E00472F592076 +:04197F0000000073F1 +:041980006F747541CA +:041981003356412078 +:04198200472F592072 +:0419830000000073ED +:041984002044434C6C +:0419850074204C423C +:041986006F656D69B3 +:041987000000747573 +:04198800706D493CF9 +:041989002074726FE5 +:04198A007474657399 +:04198B003E20202EAC +:04198C000000000057 +:04198D002E77463C2F +:04198E0064707520EC +:04198F0020657461FA +:041990003E202020B5 +:041991000000000052 +:041992006E776F44B9 +:041993006D61732DE2 +:041994006E696C709C +:0419950000000067E7 +:0419960070617753B2 +:0419970066656C20F5 +:0419980069722F74CD +:041999000074686707 +:04199A002D657250F5 +:04199B002043444160 +:04199C006E696167A8 +:04199D000000000046 +:04199E006C6C7546B2 +:04199F002058542058 +:0419A0007574657382 +:0419A10000000070D2 +:0419A2002033564157 +:0419A30065746E6990 +:0419A40063616C729D +:0419A5007869666592 +:0419A600000000003D +:0419A70069726F48AA +:0419A800746E6F7A70 +:0419A9006D206C61E0 +:0419AA00006B7361FA +:0419AB007472655697 +:0419AC006C6163699E +:0419AD0073616D20D5 +:0419AE000000006BCA +:0419AF006B73614DA8 +:0419B00069726220D6 +:0419B1006E74686781 +:0419B20000737365E6 +:0419B300657665529E +:0419B40020657372C5 +:0419B5000046504C4C +:0419B6005949443C0B +:0419B70074616C20CB +:0419B8006574202E04 +:0419B900003E747305 +:0419BA006E616353A4 +:0419BB00656E696C80 +:0419BC0000000073B4 +:0419BD00202E6C5319 +:0419BE006572747367 +:0419BF006874676E73 +:0419C0000000000023 +:0419C100202E6C5315 +:0419C200726279686C +:0419C30073206469C0 +:0419C400002E72740B +:0419C500202E6C5311 +:0419C6006874656D6F +:0419C7000000646F49 +:0419C800202E6C530E +:0419C90065746C6174 +:0419CA0074616E7264 +:0419CB0000676E69DA +:0419CC00202E6C530A +:0419CD0067696C6179 +:0419CE006E656D6E67 +:0419CF0000000074A0 +:0419D000202E6C5306 +:0419D10020746C61B1 +:0419D20065746E6961 +:0419D3006C6176725B +:0419D400000000000F +:0419D500202E6C5301 +:0419D600657079744B +:0419D700000000000C +:0419D8004320203C4C +:0419D9006F7473753F +:0419DA006C53206DBD +:0419DB003E20202E5C +:0419DC000000000007 +:0419DD007030343200 +:0419DE003838322F34 +:0419DF007270207092 +:0419E0000000636F31 +:0419E10070343833F3 +:0419E2006F72702090 +:0419E300000000639D +:0419E40069303834FA +:0419E5003637352F2D +:0419E6007270206992 +:0419E7000000636F2A +:0419E80070303834EF +:0419E9003637352F29 +:0419EA007270207087 +:0419EB000000636F26 +:0419EC0069303639EF +:0419ED003830312F2E +:0419EE0070206930CC +:0419EF0000636F72B0 +:0419F000656E694C6B +:0419F1006D207832BB +:0419F2000065646FB9 +:0419F300656E694C68 +:0419F4006D207833B7 +:0419F5000065646FB6 +:0419F600656E694C65 +:0419F7006D207834B3 +:0419F8000065646FB3 +:0419F900656E694C62 +:0419FA006D207835AF +:0419FB000065646FB0 +:0419FC00656E694C5F +:0419FD0066207835B3 +:0419FE00616D726F36 +:0419FF000000007470 +:041A000078363532CD +:041A0100203034322B +:041A02006570736137 +:041A03000000746308 +:041A04006D205854A5 +:041A05000065646FA5 +:041A0600494D4448BA +:041A070043544920DB +:041A080000000000DA +:041A09006C616E415D +:041A0A007320676F6F +:041A0B0020636E796D +:041A0C000046504CF4 +:041A0D006C616E4159 +:041A0E007320676F6B +:041A0F0020636E7969 +:041A100000687456A0 +:041A11006E7973482F +:041A12006F7420636A +:041A13006172656C2B +:041A14000065636E98 +:041A15006E7973561D +:041A1600687420636D +:041A17006873657219 +:041A180000646C6F8B +:041A19004C502D48B8 +:041A1A007250204C9A +:041A1B006F432D6583 +:041A1C00007473617E +:041A1D004C502D48B4 +:041A1E006F50204C99 +:041A1F00432D74736C +:041A20007473616F0B +:041A210000000000C1 +:041A220070303834B4 +:041A2300206E6920A8 +:041A2400706D61730D +:041A25000072656C7A +:041A26006F6C6C4134 +:041A2700565420777A +:041A280050482050B2 +:041A290078324C4C77 +:041A2A0000000000B8 +:041A2B006F6C6C412F +:041A2C00707520773A +:041A2D00706D617304 +:041A2E007832656C39 +:041A2F0000000000B3 +:041A30007664413C5B +:041A31006974202E86 +:041A3200676E696D05 +:041A33003E20202011 +:041A340000000000AE +:041A35006564695625 +:041A3600504C206F81 +:041A37000000004665 +:041A3800506250594F +:041A39006E69207240 +:041A3A006C6F43206A +:041A3B000061705383 +:041A3C0072502F5263 +:041A3D0066666F204A +:041A3E000074657358 +:041A3F0020592F47B4 +:041A40007366666FF4 +:041A410000007465C8 +:041A420062502F427D +:041A430066666F2044 +:041A44000074657352 +:041A450072502F525A +:041A4600696167204B +:041A47000000006E2D +:041A480020592F47AB +:041A49006E696167FA +:041A4A000000000098 +:041A4B0062502F4274 +:041A4C006961672045 +:041A4D000000006E27 +:041A4E002D65725040 +:041A4F0020434441AB +:041A50006E69614713 +:041A51000000000091 +:041A52002D62755339 +:041A5300656E696CE7 +:041A540073203120AA +:041A550000007274A7 +:041A56002D62755335 +:041A5700656E696CE3 +:041A580073203220A5 +:041A590000007274A3 +:041A5A002D62755331 +:041A5B00656E696CDF +:041A5C0073203320A0 +:041A5D00000072749F +:041A5E002D6275532D +:041A5F00656E696CDB +:041A6000732034209B +:041A6100000072749B +:041A62002D62755329 +:041A6300656E696CD7 +:041A64007320352096 +:041A65000000727497 +:041A66002D62755325 +:041A6700756C6F63C8 +:041A680031206E6D4E +:041A69007274732000 +:041A6A000000000078 +:041A6B002D62755320 +:041A6C00756C6F63C3 +:041A6D0032206E6D48 +:041A6E0072747320FB +:041A6F000000000073 +:041A70002D6275531B +:041A7100756C6F63BE +:041A720033206E6D42 +:041A730072747320F6 +:041A7400000000006E +:041A75002D62755316 +:041A7600756C6F63B9 +:041A770034206E6D3C +:041A780072747320F1 +:041A79000000000069 +:041A7A002D62755311 +:041A7B00756C6F63B4 +:041A7C0035206E6D36 +:041A7D0072747320EC +:041A7E000000000064 +:041A7F002D6275530C +:041A8000756C6F63AF +:041A810036206E6D30 +:041A820072747320E7 +:041A8300000000005F +:041A840073202E4855 +:041A85006C706D61B3 +:041A860074617265B0 +:041A870000000065F6 +:041A880073202E4851 +:041A89006C636E79A3 +:041A8A0000006E6585 +:041A8B0062202E485F +:041A8C00706B6361B7 +:041A8D006863726FA9 +:041A8E000000000054 +:041A8F0061202E485C +:041A9000766974639C +:041A910000000065EC +:041A920073202E5639 +:041A93006C636E7999 +:041A940000006E657B +:041A950062202E5647 +:041A9600706B6361AD +:041A97006863726F9F +:041A9800000000004A +:041A990061202E5644 +:041A9A007669746392 +:041A9B0000000065E2 +:041A9C00706D6153B5 +:041A9D00676E696C9B +:041A9E0061687020EB +:041A9F00000065736B +:041AA0000066664F27 +:041AA10072727543A5 +:041AA20020746E65D9 +:041AA30075706E6983 +:041AA40000000074CA +:041AA500206C6C4104 +:041AA60075706E6980 +:041AA7000000737454 +:041AA80042734752EC +:041AA9000000000039 +:041AAA0050625059DD +:041AAB0000000072C5 +:041AAC000000733390 +:041AAD000073303161 +:041AAE00007330335E +:041AAF002D706F54D3 +:041AB0007466656C87 +:041AB1000000000031 +:041AB200746E6543A6 +:041AB3000000726558 +:041AB40074746F4295 +:041AB500722D6D6FB2 +:041AB6007468676980 +:041AB700000000002B +:041AB8002066664FEF +:041AB9002073662808 +:041ABA003639203D5C +:041ABB00297A486BD1 :041ABC000000000026 -:041ABD00656E694C9D -:041ABE002820783232 -:041ABF0029626F62C7 -:041AC0000000000022 -:041AC100656E694C99 -:041AC2000000783276 -:041AC300656E694C97 -:041AC4003220783222 -:041AC500337830340E -:041AC60000003036B6 -:041AC700656E694C93 -:041AC800322078331D -:041AC900337830340A -:041ACA0000003036B2 -:041ACB00656E694C8F -:041ACC002820783323 -:041ACD006563616C80 -:041ACE000000296487 -:041ACF00656E694C8B -:041AD000282078341E -:041AD10029626F62B5 -:041AD2000000000010 -:041AD300656E694C87 -:041AD4000000783363 -:041AD500656E694C85 -:041AD6000000783460 -:041AD700656E694C83 -:041AD800000078355D -:041AD900303239313D -:041ADA0038303178F7 -:041ADB0000000030D7 -:041ADC00303036313F -:041ADD0030323178FA -:041ADE0000000030D4 -:041ADF003032393137 -:041AE00030323178F7 -:041AE10000000030D1 -:041AE200656E654781 -:041AE30020636972A1 -:041AE40000333A345D -:041AE50078323135ED -:041AE6002030343246 -:041AE7006974706F3F -:041AE80000002E6D5F -:041AE90078303233EC -:041AEA002030343242 -:041AEB006974706F3B -:041AEC0000002E6D5B -:041AED0078363532E0 -:041AEE00203034323E -:041AEF006974706F37 -:041AF00000002E6D57 -:041AF100656E654772 -:041AF2002063697292 -:041AF300393A363115 -:041AF40000000000EE -:041AF5004D352E320B -:041AF60028207A48E2 -:041AF7002978616D7C -:041AF80000000000EA -:041AF900484D3031F3 -:041AFA006D28207AB9 -:041AFB0000296465F5 -:041AFC00484D3333EB -:041AFD006D28207AB6 -:041AFE0000296E69E4 -:041AFF0020565444D5 -:041B000070303834D5 -:041B010000000000E0 -:041B020041534556B0 -:041B03003034362024 -:041B040030383478C9 -:041B05000030364036 -:041B06002E63655293 -:041B07003130362023 -:041B080000000000D9 -:041B09002E63655290 -:041B0A003930372017 -:041B0B0000000000D6 -:041B0C00484D3539D2 -:041B0D004828207ACA -:041B0E0020565444C5 -:041B0F000029494917 -:041B1000484D3533D4 -:041B11004828207AC6 -:041B120020565444C1 -:041B1300000029495C -:041B1400484D3631D1 -:041B15004528207AC5 -:041B160029565444B4 -:041B170000000000CA -:041B18007A484D3981 -:041B190044532820E9 -:041B1A0000295654F4 -:041B1B006D206425B0 -:041B1C00000000566F -:041B1D002020202044 -:041B1E000000752529 -:041B1F0000017C0A3B -:041B200000000020A1 -:041B21000001356624 -:041B220065206425B1 -:041B23006972746E01 -:041B240000007365E5 -:041B25006F706D6907 -:041B2600646574720C -:041B270000000000BA -:041B28006F706D4924 -:041B2900203F747273 -:041B2A002C593D31C4 -:041B2B004E3D3220D9 -:041B2C0000000000B5 -:041B2D0064616F4C34 -:041B2E0020676E6955 -:041B2F0074746573F2 -:041B300073676E6900 -:041B310000000000B0 -:041B32004452535571 -:041B330000415441D8 -:041B34006573753C24 -:041B350000003E640A -:041B360000016C1826 -:041B3700000020008A -:041B380020002CE578 -:041B3900E926F4FDA8 -:041B3A0038BC200093 -:041B3B0000000000A6 -:041B3C0000016C2414 -:041B3D000000200084 -:041B3E002000323E13 -:041B3F00F113FA04A0 -:041B40003B612000E5 +:041ABD00202078323B +:041ABE002073662803 +:041ABF003834203D5A +:041AC000297A486BCC +:041AC1000000000021 +:041AC20000706F54ED +:041AC30074746F4286 +:041AC40000006D6F42 +:041AC50069726F488B +:041AC600746E6F7A51 +:041AC70000006C614E +:041AC8007472655679 +:041AC9006C61636980 +:041ACA000000000018 +:041ACB0069726F4885 +:041ACC002B202E7A23 +:041ACD0072655620C8 +:041ACE0000002E7472 +:041ACF007473754374 +:041AD00000006D6F36 +:041AD100746C754D6F +:041AD200696C706962 +:041AD300697461636E +:041AD40000006E6F31 +:041AD500746275536F +:041AD6007463617262 +:041AD700006E6F69C5 +:041AD8006F74754171 +:041AD9000000000009 +:041ADA0000006E4F4B +:041ADB00494D4448E5 +:041ADC004752282025 +:041ADD00000029429A +:041ADE00494D4448E2 +:041ADF00435928201F +:041AE00034724362B7 +:041AE1000029343470 +:041AE200004956441D +:041AE30000373A3856 +:041AE4007373615067 +:041AE500757268743A +:041AE60000000000FC +:041AE700656E694C73 +:041AE8002820783208 +:041AE90029626F629D +:041AEA0000000000F8 +:041AEB00656E694C6F +:041AEC00000078324C +:041AED00656E694C6D +:041AEE0032207832F8 +:041AEF0033783034E4 +:041AF000000030368C +:041AF100656E694C69 +:041AF20032207833F3 +:041AF30033783034E0 +:041AF4000000303688 +:041AF500656E694C65 +:041AF60028207833F9 +:041AF7006563616C56 +:041AF800000029645D +:041AF900656E694C61 +:041AFA0028207834F4 +:041AFB0029626F628B +:041AFC0000000000E6 +:041AFD00656E694C5D +:041AFE000000783339 +:041AFF00656E694C5B +:041B00000000783435 +:041B0100656E694C58 +:041B02000000783532 +:041B03003032393112 +:041B040038303178CC +:041B050000000030AC +:041B06003030363114 +:041B070030323178CF +:041B080000000030A9 +:041B0900303239310C +:041B0A0030323178CC +:041B0B0000000030A6 +:041B0C00656E654756 +:041B0D002063697276 +:041B0E0000333A3432 +:041B0F0078323135C2 +:041B1000203034321B +:041B11006974706F14 +:041B120000002E6D34 +:041B130078303233C1 +:041B14002030343217 +:041B15006974706F10 +:041B160000002E6D30 +:041B170078363532B5 +:041B18002030343213 +:041B19006974706F0C +:041B1A0000002E6D2C +:041B1B00656E654747 +:041B1C002063697267 +:041B1D00393A3631EA +:041B1E0000000000C3 +:041B1F004D352E32E0 +:041B200028207A48B7 +:041B21002978616D51 +:041B220000000000BF +:041B2300484D3031C8 +:041B24006D28207A8E +:041B250000296465CA +:041B2600484D3333C0 +:041B27006D28207A8B +:041B280000296E69B9 +:041B290020565444AA +:041B2A0070303834AB +:041B2B0000000000B6 +:041B2C004153455686 +:041B2D0030343620FA +:041B2E00303834789F +:041B2F00003036400C +:041B30002E63655269 +:041B310031303620F9 +:041B320000000000AF +:041B33002E63655266 +:041B340039303720ED +:041B350000000000AC +:041B3600484D3539A8 +:041B37004828207AA0 +:041B3800205654449B +:041B390000294949ED +:041B3A00484D3533AA +:041B3B004828207A9C +:041B3C002056544497 +:041B3D000000294932 +:041B3E00484D3631A7 +:041B3F004528207A9B +:041B4000295654448A :041B410000000000A0 -:041B420000014A1E36 -:041B430000014A1E35 -:041B440000014A143E -:041B450000014AC48D -:041B460000014ACE82 -:041B470000014A1E31 -:041B480030303631D2 -:041B4900303432788A -:041B4A000640000051 -:041B4B0007FE00F0A1 -:041B4C000FCA0106B5 -:041B4D0001120396E8 -:041B4E00000400028D -:041B4F0030383231C7 -:041B50003034327883 -:041B5100050000008B -:041B5200061800F081 -:041B53000FAA0106CE -:041B5400011203482F -:041B55000000410249 -:041B56007830363974 -:041B570000303432F4 -:041B580003C00000C6 -:041B5900049200F002 -:041B5A000F800106F1 -:041B5B00011203363A -:041B5C000000020281 -:041B5D007832313574 -:041B5E0000303432ED -:041B5F000200000080 -:041B600002AA00F0E5 -:041B61000E4D01061E -:041B62000112033237 -:041B630000088410E2 -:041B64007830323370 -:041B650000303432E6 -:041B6600014000003A -:041B670001AA00F0DF -:041B68000E31010633 -:041B69000112031F43 -:041B6A00001108203E -:041B6B007836353261 -:041B6C0000303432DF -:041B6D000100000073 -:041B6E00015500F02D -:041B6F000E27010636 -:041B70000112031942 -:041B710000221040FE -:041B72007030343269 -:041B7300000000006E -:041B740002D000009B -:041B7500035A00F01F -:041B76000F3901061C -:041B77000112033E16 -:041B78000000000E5B -:041B790030303631A1 +:041B42007A484D3957 +:041B430044532820BF +:041B440000295654CA +:041B45006D20642586 +:041B46000000005645 +:041B4700202020201A +:041B480000007525FF +:041B490000017E0910 +:041B4A000000002077 +:041B4B00000136025D +:041B4C006520642587 +:041B4D006972746ED7 +:041B4E0000007365BB +:041B4F006F706D69DD +:041B500064657472E2 +:041B51000000000090 +:041B52006F706D49FA +:041B5300203F747249 +:041B54002C593D319A +:041B55004E3D3220AF +:041B5600000000008B +:041B570064616F4C0A +:041B580020676E692B +:041B590074746573C8 +:041B5A0073676E69D6 +:041B5B000000000086 +:041B5C004452535547 +:041B5D0000415441AE +:041B5E006573753CFA +:041B5F0000003E64E0 +:041B600000016CC054 +:041B61000000200060 +:041B620020002CE54E +:041B6300E926F4FD7E +:041B640038BC200069 +:041B6500000000007C +:041B660000016CCC42 +:041B6700000020005A +:041B68002000323EE9 +:041B6900F113FA0476 +:041B6A003B612000BB +:041B6B000000000076 +:041B6C0000014AC466 +:041B6D0000014AC465 +:041B6E0000014ABA6E +:041B6F0000014B68BE +:041B700000014B72B3 +:041B710000014AC461 +:041B720030303631A8 +:041B73003034327860 +:041B74000640000027 +:041B750007FE00F077 +:041B76000FCA01068B +:041B7700321003968F +:041B78000004000263 +:041B7900303832319D :041B7A003034327859 -:041B7B000640004CD4 -:041B7C0007FE00F070 -:041B7D0029CA013838 -:041B7E0001120396B7 -:041B7F00000400025C -:041B80003038323196 -:041B81003838327846 -:041B8200050000005A -:041B8300061801201F -:041B84000FAA01386B -:041B850001120348FE -:041B86000000410218 -:041B87007830363943 -:041B880000383832B7 -:041B890003C0000095 -:041B8A0004920120A0 -:041B8B000F8001388E -:041B8C000112033609 -:041B8D000000020250 -:041B8E007832313543 -:041B8F004C30343270 -:041B9000020000420D -:041B910002AA00F0B4 -:041B9200294D0138A0 -:041B93000112033206 -:041B940000088410B1 -:041B9500783032333F -:041B96004C30343269 -:041B970001400042C7 -:041B980001AA00F0AE -:041B990029310138B5 -:041B9A000112031F12 -:041B9B00001108200D -:041B9C007836353230 -:041B9D004C30343262 -:041B9E000100004200 -:041B9F00015500F0FC -:041BA00029270138B8 -:041BA1000112031911 -:041BA20000221040CD -:041BA300703838322C -:041BA400000000003D -:041BA50002D000006A -:041BA60003600120B7 -:041BA70013450138A9 -:041BA8000112033FE4 -:041BA9000000000E2A -:041BAA007830383423 -:041BAB00003036339D -:041BAC0001E0000054 -:041BAD000258016871 -:041BAE000A3F017772 -:041BAF000204032603 -:041BB0000000000E23 -:041BB1007830343222 -:041BB2000030363396 -:041BB300010000002D -:041BB400012C016897 -:041BB5000A18017792 -:041BB6000204031210 -:041BB700000020808A -:041BB800703438331A -:041BB9000000000028 -:041BBA0001F0000036 -:041BBB000280018023 -:041BBC001D3201A72E -:041BBD000204033EDD -:041BBE000000000E15 -:041BBF007830343610 -:041BC000003030348D -:041BC100028000009E -:041BC200032001906B -:041BC300243001C108 -:041BC40002100260A9 -:041BC5000000000C10 -:041BC6007830343609 -:041BC700003438337B -:041BC8000280000097 -:041BC9000320018074 -:041BCA003F3001ECBB -:041BCB0002100260A2 -:041BCC000000000E07 -:041BCD00693038340F +:041B7B000500000061 +:041B7C00061800F057 +:041B7D000FAA0106A4 +:041B7E0032100348D6 +:041B7F00000041021F +:041B8000783036394A +:041B810000303432CA +:041B820003C000009C +:041B8300049200F0D8 +:041B84000F800106C7 +:041B850032100336E1 +:041B86000000020257 +:041B8700783231354A +:041B880000303432C3 +:041B89000200000056 +:041B8A0002AA00F0BB +:041B8B000E4D0106F4 +:041B8C0032100332DE +:041B8D0000088410B8 +:041B8E007830323346 +:041B8F0000303432BC +:041B90000140000010 +:041B910001AA00F0B5 +:041B92000E31010609 +:041B93003210031FEA +:041B94000011082014 +:041B95007836353237 +:041B960000303432B5 +:041B97000100000049 +:041B9800015500F003 +:041B99000E2701060C +:041B9A0032100319E9 +:041B9B0000221040D4 +:041B9C00703034323F +:041B9D000000000044 +:041B9E0002D0000071 +:041B9F00035A00F0F5 +:041BA0000F390106F2 +:041BA1003210033EBD +:041BA2000000000E31 +:041BA3003030363177 +:041BA400303432782F +:041BA5000640004CAA +:041BA60007FE00F046 +:041BA70029CA01380E +:041BA800321003965E +:041BA9000004000232 +:041BAA00303832316C +:041BAB00383832781C +:041BAC000500000030 +:041BAD0006180120F5 +:041BAE000FAA013841 +:041BAF0032100348A5 +:041BB00000004102EE +:041BB1007830363919 +:041BB200003838328D +:041BB30003C000006B +:041BB4000492012076 +:041BB5000F80013864 +:041BB60032100336B0 +:041BB7000000020226 +:041BB8007832313519 +:041BB9004C30343246 +:041BBA0002000042E3 +:041BBB0002AA00F08A +:041BBC00294D013876 +:041BBD0032100332AD +:041BBE000008841087 +:041BBF007830323315 +:041BC0004C3034323F +:041BC100014000429D +:041BC20001AA00F084 +:041BC300293101388B +:041BC4003210031FB9 +:041BC50000110820E3 +:041BC6007836353206 +:041BC7004C30343238 +:041BC80001000042D6 +:041BC900015500F0D2 +:041BCA00292701388E +:041BCB0032100319B8 +:041BCC0000221040A3 +:041BCD007038383202 :041BCE000000000013 :041BCF0002D0000040 -:041BD000035A00F0C4 -:041BD1000F39020DB9 -:041BD2000312033EB9 -:041BD3000000410FBE -:041BD4007030383401 -:041BD500000000000C -:041BD60002D0000039 -:041BD700035A01E0CC -:041BD8001E3C020DA0 -:041BD9000414063EAC -:041BDA000000000CFB -:041BDB0078303436F4 -:041BDC000030383469 -:041BDD000280000082 -:041BDE00032001E0FF -:041BDF002130020DA2 -:041BE0000414026087 -:041BE1000000000CF4 -:041BE20078303436ED -:041BE3000032313566 -:041BE400028000007B -:041BE50003200200D7 -:041BE6001C30023875 -:041BE7000414026080 -:041BE8000000000CED -:041BE90069363735ED -:041BEA0000000000F7 -:041BEB0002D0000024 -:041BEC000360012071 -:041BED001345027129 -:041BEE000312033F9C -:041BEF000000410FA2 -:041BF00070363735DF -:041BF10000000000F0 -:041BF20002D000001D -:041BF3000360024049 -:041BF400274402710F -:041BF500040405409F -:041BF6000000000CDF -:041BF70078303038DA -:041BF8000030303653 -:041BF90003200000C5 -:041BFA000420025869 -:041BFB001758027401 -:041BFC000010048051 -:041BFD0000000004E0 -:041BFE0070303237DA +:041BD000036001208D +:041BD100134501387F +:041BD2003210033F8B +:041BD3000000000E00 +:041BD40078303834F9 +:041BD5000030363373 +:041BD60001E000002A +:041BD7000258016847 +:041BD8000A3F017748 +:041BD900441003268B +:041BDA000000000EF9 +:041BDB0078303432F8 +:041BDC00003036336C +:041BDD000100000003 +:041BDE00012C01686D +:041BDF000A18017768 +:041BE0004410031298 +:041BE1000000208060 +:041BE20070343833F0 +:041BE30000000000FE +:041BE40001F000000C +:041BE50002800180F9 +:041BE6001D3201A704 +:041BE7004410033E65 +:041BE8000000000EEB +:041BE90078303436E6 +:041BEA000030303463 +:041BEB000280000074 +:041BEC000320019041 +:041BED00243001C1DE +:041BEE005010026031 +:041BEF000000000CE6 +:041BF00078303436DF +:041BF1000034383351 +:041BF200028000006D +:041BF300032001804A +:041BF4003F3001EC91 +:041BF500501002602A +:041BF6000000000EDD +:041BF70069303834E5 +:041BF80000000000E9 +:041BF90002D0000016 +:041BFA00035A00F09A +:041BFB000F39020D8F +:041BFC007210033E22 +:041BFD000000410F94 +:041BFE0070303834D7 :041BFF0000000000E2 -:041C000005000000DB -:041C0100067202D095 -:041C020014DC02EEFE -:041C03000018052898 -:041C040000000004D8 -:041C05003432303114 -:041C060038363778BD -:041C070004000000D5 -:041C08000540030090 -:041C09001DA00326F1 -:041C0A000010068838 -:041C0B0000000004D1 -:041C0C003038323109 -:041C0D0032303178C8 -:041C0E000500003499 -:041C0F00069804002F -:041C100026F8042A84 -:041C1100001003704C -:041C120000000004CA -:041C130078303436BB -:041C140069303639C4 -:041C15000280000049 -:041C1600032001E0C6 -:041C17002130041A5A -:041C1800051402604D -:041C19000000000DBA -:041C1A0030383031FD -:041C1B00000000695C -:041C1C00078000003D -:041C1D000898021C05 -:041C1E0010940465B5 -:041C1F000518052C73 -:041C20000000000DB3 -:041C210030383031F6 -:041C2200000000704E -:041C23000780000036 -:041C240008980438E0 -:041C2500249404659A -:041C26000018052C71 +:041C000002D000000E +:041C0100035A01E0A1 +:041C02001E3C020D75 +:041C03009410063EF5 +:041C04000000000CD0 +:041C050078303436C9 +:041C0600003038343E +:041C07000280000057 +:041C0800032001E0D4 +:041C09002130020D77 +:041C0A0094100260D0 +:041C0B000000000CC9 +:041C0C0078303436C2 +:041C0D00003231353B +:041C0E000280000050 +:041C0F0003200200AC +:041C10001C3002384A +:041C110094100260C9 +:041C12000000000CC2 +:041C130069363735C2 +:041C140000000000CC +:041C150002D00000F9 +:041C16000360012046 +:041C170013450271FE +:041C18007210033F04 +:041C19000000410F77 +:041C1A0070363735B4 +:041C1B0000000000C5 +:041C1C0002D00000F2 +:041C1D00036002401E +:041C1E0027440271E4 +:041C1F0084100540E8 +:041C20000000000CB4 +:041C210078303038AF +:041C22000030303628 +:041C2300032000009A +:041C2400042002583E +:041C250017580274D6 +:041C26001010048016 :041C270000000004B5 -:041C280030303631F1 -:041C290030323178AC -:041C2A000640003040 -:041C2B00087004B089 -:041C2C002EFF04E2A1 -:041C2D00001003F1AF +:041C280070303237AF +:041C290000000000B7 +:041C2A0005000000B1 +:041C2B00067202D06B +:041C2C0014DC02EED4 +:041C2D00181005285E :041C2E0000000004AE -:041C2F007665642F43 -:041C30006370652F49 -:041C31006F635F710D -:041C32006F72746EEB -:041C330072656C6CFE -:041C3400615F305F5D -:041C35006D5F6C76FD -:041C360000006D65D8 -:041C37002B302D23FE -:041C38000000002088 -:041C3900004C6C6887 -:041C3A00456766652F -:041C3B000000474618 -:041C3C0033323130DE -:041C3D0037363534CD -:041C3E0042413938AE -:041C3F00464544438F -:041C400000000000A0 -:041C410033323130D9 -:041C420037363534C8 -:041C43006261393869 -:041C4400666564630A -:041C4500000000009B -:041C46001A80808000 -:041C470000081A1A5D -:041C48000000000098 -:041C49000000000097 -:041C4A00000170BC69 -:041C4B000000000095 -:041C4C000000000094 -:041C4D000001035C33 -:041C4E000001041C71 -:041C4F00000101CEC1 -:041C5000000101EAA4 -:041C51000001023656 -:041C5200008000000E -:041C5300008000000D -:041C5400000000008C -:041C5500000000008B -:041C5600000000008A -:041C57000000000089 -:041C58000000000088 -:041C59000000000087 -:041C5A000000000086 -:041C5B000000000085 -:041C5C000000000084 -:041C5D000000000083 -:041C5E000000000082 -:041C5F000000000081 -:041C60000000000080 -:041C6100000000007F -:041C6200000000007E -:041C6300000000007D -:041C6400000000007C -:041C6500000000007B -:041C6600000000007A -:041C67000000000079 -:041C68000000000078 -:041C69000000000077 +:041C2F0034323031EA +:041C30003836377893 +:041C310004000000AB +:041C32000540030066 +:041C33001DA00326C7 +:041C340010100688FE +:041C350000000004A7 +:041C360030383231DF +:041C3700323031789E +:041C3800050000346F +:041C39000698040005 +:041C3A0026F8042A5A +:041C3B001010037012 +:041C3C0000000004A0 +:041C3D007830343691 +:041C3E00693036399A +:041C3F00028000001F +:041C4000032001E09C +:041C41002130041A30 +:041C4200B410026078 +:041C43000000000D90 +:041C440030383031D3 +:041C45000000006932 +:041C46000780000013 +:041C47000898021CDB +:041C4800109404658B +:041C4900B810052C9E +:041C4A000000000D89 +:041C4B0030383031CC +:041C4C000000007024 +:041C4D00078000000C +:041C4E0008980438B6 +:041C4F002494046570 +:041C50001810052C37 +:041C5100000000048B +:041C520030303631C7 +:041C53003032317882 +:041C54000640003016 +:041C5500087004B05F +:041C56002EFF04E277 +:041C5700101003F175 +:041C58000000000484 +:041C59007665642F19 +:041C5A006370652F1F +:041C5B006F635F71E3 +:041C5C006F72746EC1 +:041C5D0072656C6CD4 +:041C5E00615F305F33 +:041C5F006D5F6C76D3 +:041C600000006D65AE +:041C61002B302D23D4 +:041C6200000000205E +:041C6300004C6C685D +:041C64004567666505 +:041C650000004746EE +:041C660033323130B4 +:041C670037363534A3 +:041C68004241393884 +:041C69004645444365 :041C6A000000000076 -:041C6B000000000075 -:041C6C000000000074 -:041C6D000000000073 -:041C6E000000000072 +:041C6B0033323130AF +:041C6C00373635349E +:041C6D00626139383F +:041C6E0066656463E0 :041C6F000000000071 -:041C70000000000070 -:041C7100000000006F +:041C70001A808080D6 +:041C710000081A1A33 :041C7200000000006E :041C7300000000006D -:041C7400000000006C -:041C750000010174F5 -:041C760000800000EA -:041C77000100000068 -:041C78000002010065 -:041C790000800000E7 -:041C7A000000000165 -:041C7B0000000080E5 -:041C7C000001000063 -:041C7D000000010062 +:041C74000001716496 +:041C7500000000006B +:041C7600000000006A +:041C77000001035C09 +:041C78000001041C47 +:041C7900000101CE97 +:041C7A00000101EA7A +:041C7B00000102362C +:041C7C0000800000E4 +:041C7D0000800000E3 :041C7E000000000062 -:041C7F00020E040944 -:041C80003D0E00C84D -:041C81003F6E03832C -:041C82003ED03DAC67 -:041C830000000383D7 -:041C8400026404B240 -:041C85003C9300E9A3 -:041C86003F560416AB -:041C87003E9F3D49F6 -:041C8800000004163E -:041C8900017804E5F5 -:041C8A003CCE0081CB -:041C8B003FAE0383E2 -:041C8C003F333D495C -:041C8D0000000383CD -:041C8E0001B405B8E0 -:041C8F003C49009339 -:041C90003F9F041658 -:041C91003F103CD9EB -:041C92000000041634 -:041C9300000169D80B -:041C9400000169DC06 -:041C9500000169ECF5 -:041C960000015EB437 -:041C970000015EC426 -:041C980000015ED019 -:041C990000015EDC0C -:041C9A0000015EE8FF -:041C9B0000015EF4F2 -:041C9C0000015F00E4 -:041C9D0000015F0CD7 -:041C9E0000015F18CA -:041C9F0000015F24BD -:041CA00000015F30B0 -:041CA10000016B884B -:041CA20000016B943E -:041CA30000016BA42D -:041CA40000016BB41C -:041CA50000016BC40B -:041CA60000016B8846 -:041CA70000016B9439 -:041CA80000016BA428 -:041CA90000016BB417 -:041CAA0000016B6466 -:041CAB0000016B7059 -:041CAC0000016B7C4C -:041CAD00000169D8F1 -:041CAE0000016A08BF -:041CAF0000016A0CBA -:041CB00000016A10B5 -:041CB100000169784D -:041CB200000000022C -:041CB30000017C545C -:041CB4000AF0012C05 -:041CB500000121CA3F -:041CB6000001698838 -:041CB7000000000227 -:041CB80000017CE0CB -:041CB90000FF000A1E -:041CBA00000121CA3A -:041CBB000001699427 -:041CBC000000000222 -:041CBD0000017C089E -:041CBE0000FF000122 -:041CBF00000121CA35 -:041CC000000169A412 -:041CC100000000021D -:041CC20000017C465B -:041CC300078000C8CE -:041CC400000121CA30 -:041CC500000169B001 -:041CC6000000000218 -:041CC70000017C3E5E -:041CC8000007000110 -:041CC900000121CA2B -:041CCA00000169BCF0 -:041CCB000000000213 -:041CCC0000017CDABD -:041CCD00003F0001D3 -:041CCE00000121CA26 -:041CCF00000169CCDB -:041CD000000000020E -:041CD10000017C4250 -:041CD20004B000A0BA -:041CD300000121CA21 -:041CD400000165A006 -:041CD500000000000B -:041CD60000017D1775 -:041CD7000001000107 -:041CD80000017B1C70 -:041CD900000165B0F1 -:041CDA000000000006 -:041CDB0000017D186F -:041CDC000001000102 -:041CDD0000017B2463 -:041CDE00000165C0DC -:041CDF000000000100 -:041CE00000017D1969 -:041CE10000180000E7 -:041CE2000001354A7E -:041CE300000165D0C7 -:041CE40000000000FC -:041CE50000017D1469 -:041CE60000010001F8 -:041CE70000017B2459 -:041CE800000165E0B2 -:041CE90000000000F7 -:041CEA0000017D1563 -:041CEB0000010001F3 -:041CEC0000017B2454 -:041CED00000168B0DA -:041CEE0000000001F1 -:041CEF0000017CEC88 -:041CF00000100000E0 -:041CF1000001342E8C -:041CF200000168C0C5 -:041CF30000000001EC -:041CF40000017CED82 -:041CF50000100000DB -:041CF6000001342E87 -:041CF700000168D0B0 -:041CF80000000001E7 -:041CF90000017CEE7C -:041CFA0000100000D6 -:041CFB000001342E82 -:041CFC00000168E09B -:041CFD0000000001E2 -:041CFE0000017CEF76 -:041CFF0000100000D1 -:041D00000001342E7C -:041D0100000168F085 -:041D020000000001DC -:041D030000017CF06F -:041D040000100000CB -:041D05000001342E77 -:041D0600000169006F -:041D070000000001D7 -:041D080000017CF169 -:041D090000100000C6 -:041D0A000001342E72 -:041D0B000001691456 -:041D0C0000000001D2 -:041D0D0000017CF263 -:041D0E0000100000C1 -:041D0F000001342E6D -:041D1000000169283D -:041D110000000001CD -:041D120000017CF35D -:041D130000100000BC -:041D14000001342E68 -:041D15000001693C24 -:041D160000000001C8 -:041D170000017CF457 -:041D180000100000B7 -:041D19000001342E63 -:041D1A00000169500B -:041D1B0000000001C3 -:041D1C0000017CF551 -:041D1D0000100000B2 -:041D1E000001342E5E -:041D1F0000016964F2 -:041D200000000001BE -:041D210000017CF64B -:041D220000100000AD -:041D23000001342E59 -:041D24000001640452 -:041D250000000003B7 -:041D260000017BB885 -:041D270000000000B8 -:041D280000000000B7 -:041D29000001641839 -:041D2A0000000003B2 -:041D2B0000017B98A0 -:041D2C0000000000B3 -:041D2D0000000000B2 -:041D2E000001642C20 -:041D2F0000000003AD -:041D300000017BB083 -:041D310000000000AE -:041D320000000000AD -:041D33000001644007 -:041D340000000003A8 -:041D350000017B88A6 -:041D360000000000A9 -:041D370000000000A8 -:041D380000016454EE -:041D390000000003A3 -:041D3A0000017BA089 -:041D3B0000000000A4 -:041D3C0000000000A3 -:041D3D0000016468D5 -:041D3E00000000039E -:041D3F0000017B9094 -:041D4000000000009F -:041D4100000000009E -:041D42000001647CBC -:041D43000000000399 -:041D440000017B70AF -:041D4500000000009A -:041D46000000000099 -:041D470000016490A3 -:041D48000000000394 -:041D490000017B68B2 -:041D4A000000000095 -:041D4B000000000094 -:041D4C00000164A48A -:041D4D00000000038F -:041D4E0000017BA86D -:041D4F000000000090 -:041D5000000000008F -:041D5100000166CC5B -:041D5200000000008D -:041D530000017CFD12 -:041D54000004000186 -:041D550000017A0C03 -:041D5600000166DC46 +:041C7F000000000061 +:041C80000000000060 +:041C8100000000005F +:041C8200000000005E +:041C8300000000005D +:041C8400000000005C +:041C8500000000005B +:041C8600000000005A +:041C87000000000059 +:041C88000000000058 +:041C89000000000057 +:041C8A000000000056 +:041C8B000000000055 +:041C8C000000000054 +:041C8D000000000053 +:041C8E000000000052 +:041C8F000000000051 +:041C90000000000050 +:041C9100000000004F +:041C9200000000004E +:041C9300000000004D +:041C9400000000004C +:041C9500000000004B +:041C9600000000004A +:041C97000000000049 +:041C98000000000048 +:041C99000000000047 +:041C9A000000000046 +:041C9B000000000045 +:041C9C000000000044 +:041C9D000000000043 +:041C9E000000000042 +:041C9F0000010174CB +:041CA00000800000C0 +:041CA100010000003E +:041CA200000201003B +:041CA30000800000BD +:041CA400000000013B +:041CA50000000080BB +:041CA6000001000039 +:041CA7000000010038 +:041CA8000000000038 +:041CA900020E04091A +:041CAA003D0E00C823 +:041CAB003F6E038302 +:041CAC003ED03DAC3D +:041CAD0000000383AD +:041CAE00026404B216 +:041CAF003C9300E979 +:041CB0003F56041681 +:041CB1003E9F3D49CC +:041CB2000000041614 +:041CB300017804E5CB +:041CB4003CCE0081A1 +:041CB5003FAE0383B8 +:041CB6003F333D4932 +:041CB70000000383A3 +:041CB80001B405B8B6 +:041CB9003C4900930F +:041CBA003F9F04162E +:041CBB003F103CD9C1 +:041CBC00000004160A +:041CBD0000016A8038 +:041CBE0000016A8433 +:041CBF0000016A9422 +:041CC00000015F5C64 +:041CC10000015F6C53 +:041CC20000015F7846 +:041CC30000015F8439 +:041CC40000015F902C +:041CC50000015F9C1F +:041CC60000015FA812 +:041CC70000015FB405 +:041CC80000015FC0F8 +:041CC90000015FCCEB +:041CCA0000015FD8DE +:041CCB0000016C3078 +:041CCC0000016C3C6B +:041CCD0000016C4C5A +:041CCE0000016C5C49 +:041CCF0000016C6C38 +:041CD00000016C3073 +:041CD10000016C3C66 +:041CD20000016C4C55 +:041CD30000016C5C44 +:041CD40000016C0C93 +:041CD50000016C1886 +:041CD60000016C2479 +:041CD70000016A801E +:041CD80000016AB0ED +:041CD90000016AB4E8 +:041CDA0000016AB8E3 +:041CDB0000016A108A +:041CDC000000000202 +:041CDD0000017E1272 +:041CDE000AF0012CDB +:041CDF0000013410BC +:041CE00000016A2075 +:041CE10000000002FD +:041CE20000017E1867 +:041CE30000FF000AF4 +:041CE40000013410B7 +:041CE50000016A2C64 +:041CE60000000002F8 +:041CE70000017E0674 +:041CE80000FF0001F8 +:041CE90000013410B2 +:041CEA0000016A3C4F +:041CEB0000000002F3 +:041CEC0000017E1065 +:041CED00078000C8A4 +:041CEE0000013410AD +:041CEF0000016A483E +:041CF00000000002EE +:041CF10000017E0C64 +:041CF20000070001E6 +:041CF30000013410A8 +:041CF40000016A542D +:041CF50000000002E9 +:041CF60000017E1655 +:041CF700003F0001A9 +:041CF80000013410A3 +:041CF90000016A6418 +:041CFA0000000002E4 +:041CFB0000017E0E58 +:041CFC0004B000A090 +:041CFD00000134109E +:041CFE0000016A7007 +:041CFF0000000001E0 +:041D000000017E0858 +:041D0100001F0001BE +:041D0200000133A207 +:041D0300000166482D +:041D040000000000DB +:041D050000017DAEAE +:041D060000010001D7 +:041D070000017BC498 +:041D08000001665818 +:041D090000000000D6 +:041D0A0000017DAFA8 +:041D0B0000010001D2 +:041D0C0000017BCC8B +:041D0D000001666803 +:041D0E0000000001D0 +:041D0F0000017DB0A2 +:041D100000180000B7 +:041D1100000135E6B2 +:041D120000016678EE +:041D130000000000CC +:041D140000017DABA2 +:041D150000010001C8 +:041D160000017BCC81 +:041D170000016688D9 +:041D180000000000C7 +:041D190000017DAC9C +:041D1A0000010001C3 +:041D1B0000017BCC7C +:041D1C000001694811 +:041D1D0000000001C1 +:041D1E0000017D84BF +:041D1F0000100000B0 +:041D2000000134EE9C +:041D210000016958FC +:041D220000000001BC +:041D230000017D85B9 +:041D240000100000AB +:041D2500000134EE97 +:041D260000016968E7 +:041D270000000001B7 +:041D280000017D86B3 +:041D290000100000A6 +:041D2A00000134EE92 +:041D2B0000016978D2 +:041D2C0000000001B2 +:041D2D0000017D87AD +:041D2E0000100000A1 +:041D2F00000134EE8D +:041D300000016988BD +:041D310000000001AD +:041D320000017D88A7 +:041D3300001000009C +:041D3400000134EE88 +:041D350000016998A8 +:041D360000000001A8 +:041D370000017D89A1 +:041D38000010000097 +:041D3900000134EE83 +:041D3A00000169AC8F +:041D3B0000000001A3 +:041D3C0000017D8A9B +:041D3D000010000092 +:041D3E00000134EE7E +:041D3F00000169C076 +:041D4000000000019E +:041D410000017D8B95 +:041D4200001000008D +:041D4300000134EE79 +:041D4400000169D45D +:041D45000000000199 +:041D460000017D8C8F +:041D47000010000088 +:041D4800000134EE74 +:041D4900000169E844 +:041D4A000000000194 +:041D4B0000017D8D89 +:041D4C000010000083 +:041D4D00000134EE6F +:041D4E00000169FC2B +:041D4F00000000018F +:041D500000017D8E83 +:041D5100001000007E +:041D5200000134EE6A +:041D5300000164AC7B +:041D54000000000388 +:041D550000017C60AD +:041D56000000000089 :041D57000000000088 -:041D580000017CFE0C -:041D59000003000182 -:041D5A0000017A20EA -:041D5B00000166E835 +:041D5800000164C062 +:041D59000000000383 +:041D5A0000017C40C8 +:041D5B000000000084 :041D5C000000000083 -:041D5D0000017CFF06 -:041D5E00000300017D -:041D5F0000017A30D5 -:041D6000000166F820 +:041D5D00000164D449 +:041D5E00000000037E +:041D5F0000017C58AB +:041D6000000000007F :041D6100000000007E -:041D620000017D00FF -:041D6300000100017A -:041D640000017B34CB -:041D6500000167080A +:041D6200000164E830 +:041D63000000000379 +:041D640000017C30CE +:041D6500000000007A :041D66000000000079 -:041D670000017D01F9 -:041D68000001000175 -:041D690000017B2CCE -:041D6A0000016718F5 +:041D6700000164FC17 +:041D68000000000374 +:041D690000017C48B1 +:041D6A000000000075 :041D6B000000000074 -:041D6C0000017CF8FE -:041D6D00000300016E -:041D6E00000172847A -:041D6F0000016724E4 +:041D6C0000016510FD +:041D6D00000000036F +:041D6E0000017C38BC +:041D6F000000000070 :041D7000000000006F -:041D710000017CF9F8 -:041D72000004000168 -:041D73000001729465 -:041D740000016730D3 +:041D710000016524E4 +:041D7200000000036A +:041D730000017C18D7 +:041D7400000000006B :041D7500000000006A -:041D760000017CFAF2 -:041D77000003000164 -:041D78000001728470 -:041D79000001673CC2 +:041D760000016538CB +:041D77000000000365 +:041D780000017C10DA +:041D79000000000066 :041D7A000000000065 -:041D7B0000017CFBEC -:041D7C00000300015F -:041D7D00000172846B -:041D7E0000016748B1 +:041D7B000001654CB2 +:041D7C000000000360 +:041D7D0000017C5095 +:041D7E000000000061 :041D7F000000000060 -:041D800000017CFCE6 -:041D8100000200015B -:041D8200000172A842 -:041D8300000167589C -:041D8400000000005B -:041D850000017D02DA -:041D86000001000157 -:041D870000017B14C8 -:041D88000001676887 -:041D89000000000056 -:041D8A0000017D06D1 -:041D8B000002000151 -:041D8C0000017A7860 -:041D8D00000167707A -:041D8E000000000051 -:041D8F0000017D07CB -:041D9000000100014D -:041D910000017B24AE -:041D9200000165F4F3 -:041D9300000000014B -:041D940000017D03CA -:041D950000FF00004B -:041D960000013534DF -:041D970000016604DD -:041D98000000000146 -:041D990000017D04C4 -:041D9A00003F000006 -:041D9B0000013534DA -:041D9C0000016614C8 -:041D9D000000000141 -:041D9E0000017D05BE -:041D9F00000F000031 -:041DA00000013456B4 -:041DA10000016624B3 -:041DA200000000013C -:041DA30000017D16A8 -:041DA400001F00001C -:041DA50000013456AF -:041DA60000016630A2 -:041DA7000000000434 -:041DA800000123F221 -:041DA900000163B41E -:041DAA000000000035 -:041DAB00000167E0EC -:041DAC000000000132 -:041DAD0000017D09AB -:041DAE00001F000111 -:041DAF000001346C8F -:041DB000000167F0D7 -:041DB100000000002E -:041DB20000017D08A7 -:041DB3000002000129 -:041DB40000017A4070 -:041DB50000016800C1 -:041DB6000000000029 -:041DB70000017D0AA0 -:041DB8000001000125 -:041DB90000017B2486 -:041DBA0000016814A8 -:041DBB000000000024 -:041DBC0000017D0B9A -:041DBD000001000120 -:041DBE0000017B2481 -:041DBF00000168288F -:041DC000000000031C -:041DC10000017B6042 -:041DC20000016C7C34 -:041DC3000001216892 -:041DC4000001664074 -:041DC500000000001A -:041DC60000017CE4B8 -:041DC7000002000115 -:041DC80000017A4C50 -:041DC9000001664C63 -:041DCA000000000114 -:041DCB0000017CEAAD -:041DCC00000F000004 -:041DCD000001350ECE -:041DCE000001665C4E -:041DCF00000000010F -:041DD00000017CE6AC -:041DD100001C0000F2 -:041DD2000001345286 -:041DD3000001666C39 -:041DD400000000000B -:041DD50000017CE7A6 -:041DD6000001000107 -:041DD70000017B4C40 -:041DD8000001667828 +:041D80000001677483 +:041D8100000000005E +:041D820000017D954A +:041D83000004000157 +:041D840000017AB42C +:041D8500000167846E +:041D86000000000059 +:041D870000017D9644 +:041D88000003000153 +:041D890000017AC813 +:041D8A00000167905D +:041D8B000000000054 +:041D8C0000017D973E +:041D8D00000300014E +:041D8E0000017AD8FE +:041D8F00000167A048 +:041D9000000000004F +:041D910000017D9838 +:041D9200000100014B +:041D930000017BDCF4 +:041D9400000167B033 +:041D9500000000004A +:041D960000017D9932 +:041D97000001000146 +:041D980000017BD4F7 +:041D9900000167C01E +:041D9A000000000045 +:041D9B0000017D9036 +:041D9C00000300013F +:041D9D000001732CA2 +:041D9E00000167CC0D +:041D9F000000000040 +:041DA00000017D9130 +:041DA1000004000139 +:041DA2000001733C8D +:041DA300000167D8FC +:041DA400000000003B +:041DA50000017D922A +:041DA6000003000135 +:041DA7000001732C98 +:041DA800000167E4EB +:041DA9000000000036 +:041DAA0000017D9324 +:041DAB000003000130 +:041DAC000001732C93 +:041DAD00000167F0DA +:041DAE000000000031 +:041DAF0000017D941E +:041DB000000200012C +:041DB100000173506A +:041DB20000016800C4 +:041DB300000000002C +:041DB40000017D9A13 +:041DB5000001000128 +:041DB60000017BBCF1 +:041DB70000016810AF +:041DB8000000000027 +:041DB90000017D9E0A +:041DBA000002000122 +:041DBB0000017B2088 +:041DBC0000016818A2 +:041DBD000000000022 +:041DBE0000017D9F04 +:041DBF00000100011E +:041DC00000017BCCD7 +:041DC1000001669C1B +:041DC200000000011C +:041DC30000017D9B03 +:041DC40000FF00001C +:041DC500000135D014 +:041DC600000166AC06 +:041DC7000000000117 +:041DC80000017D9CFD +:041DC900003F0000D7 +:041DCA00000135D00F +:041DCB00000166BCF1 +:041DCC000000000112 +:041DCD0000017D9DF7 +:041DCE00000F000002 +:041DCF0000013516C4 +:041DD000000166CCDC +:041DD100000000010D +:041DD20000017DADE2 +:041DD300001F0000ED +:041DD40000013516BF +:041DD500000166D8CB +:041DD6000000000405 +:041DD700000122C223 +:041DD8000001645C46 :041DD9000000000006 -:041DDA0000017CE8A0 -:041DDB000001000102 -:041DDC0000017B2463 -:041DDD000001668813 -:041DDE000000000001 -:041DDF0000017CEB98 -:041DE00000010001FD -:041DE10000017B443E -:041DE20000016698FE -:041DE30000000000FC -:041DE40000017CE995 -:041DE50000010001F8 -:041DE60000017B2459 -:041DE700000166ACE5 -:041DE80000000000F7 -:041DE90000017CE594 -:041DEA0000030001F1 -:041DEB0000017A5821 -:041DEC00000166B8D4 -:041DED0000000003EF -:041DEE0000017B78FD +:041DDA000001688814 +:041DDB000000000004 +:041DDC0000017DA0E5 +:041DDD0000020001FF +:041DDE0000017AE89E +:041DDF0000016898FF +:041DE00000000000FF +:041DE10000017DA1DF +:041DE20000010001FB +:041DE30000017BCCB4 +:041DE400000168ACE6 +:041DE50000000000FA +:041DE60000017DA2D9 +:041DE70000010001F6 +:041DE80000017BCCAF +:041DE900000168C0CD +:041DEA0000000003F2 +:041DEB0000017C086F +:041DEC0000016D2461 +:041DED000001333688 +:041DEE00000166E8A2 :041DEF0000000000F0 -:041DF00000000000EF -:041DF100000164B8D1 -:041DF20000000004E9 -:041DF300000120B813 -:041DF400000163D8AF -:041DF50000000000EA -:041DF600000164C8BC -:041DF70000000004E4 -:041DF8000001211AAB -:041DF900000163D8AA -:041DFA0000000000E5 -:041DFB00000164D8A7 -:041DFC0000000004DF -:041DFD0000012A4671 +:041DF00000017D7CF5 +:041DF10000020001EB +:041DF20000017AF47E +:041DF300000166F491 +:041DF40000000001EA +:041DF50000017D82EA +:041DF600000F0000DA +:041DF700000135AA08 +:041DF800000167047B +:041DF90000000001E5 +:041DFA0000017D7EE9 +:041DFB00001C0000C8 +:041DFC00000135129B +:041DFD000001671466 :041DFE0000000000E1 -:041DFF0000000000E0 -:041E0000000164EC8D -:041E010000000001DC -:041E020000017D213D -:041E0300000A0101CF -:041E04000001359A0A -:041E05000001650073 -:041E060000000000D8 -:041E070000017C6AF0 -:041E080000010001D4 -:041E090000017B2435 -:041E0A00000165145A -:041E0B0000000000D3 -:041E0C0000017C0C49 -:041E0D00000A0001C6 -:041E0E000001725805 -:041E0F000001652445 -:041E100000000000CE -:041E110000017CDF71 -:041E120000020001C9 -:041E13000001724C0C -:041E1400000165382C -:041E150000000000C9 -:041E160000017C4407 -:041E170000010001C5 -:041E180000017B3C0E -:041E19000001654817 +:041DFF0000017D7FE3 +:041E000000010001DC +:041E010000017BF46D +:041E02000001672054 +:041E030000000000DB +:041E040000017D80DC +:041E050000010001D7 +:041E060000017BCC90 +:041E0700000167303F +:041E080000000000D6 +:041E090000017D83D4 +:041E0A0000010001D2 +:041E0B0000017BEC6B +:041E0C00000167402A +:041E0D0000000000D1 +:041E0E0000017D81D1 +:041E0F0000010001CD +:041E100000017BCC86 +:041E11000001675411 +:041E120000000000CC +:041E130000017D7DD0 +:041E140000030001C6 +:041E150000017B004D +:041E16000001676000 +:041E170000000003C4 +:041E180000017C2029 +:041E190000000000C5 :041E1A0000000000C4 -:041E1B0000017B0F38 -:041E1C0000010001C0 -:041E1D0000017B3C09 -:041E1E000001655802 +:041E1B0000016560FD +:041E1C0000000004BE +:041E1D00000120C8D8 +:041E1E0000016480DB :041E1F0000000000BF -:041E200000017C142D -:041E210000010001BB -:041E220000017B3C04 -:041E230000016568ED +:041E200000016570E8 +:041E210000000004B9 +:041E22000001212A70 +:041E230000016480D6 :041E240000000000BA -:041E250000017C52EA -:041E260000030001B4 -:041E2700000172B490 -:041E280000016578D8 -:041E290000000004B1 -:041E2A0000013C185F -:041E2B0000000000B3 -:041E2C0000000000B2 -:041E2D000001658CBF -:041E2E0000000004AC -:041E2F000001304836 +:041E250000016580D3 +:041E260000000004B4 +:041E27000001291677 +:041E280000000000B6 +:041E290000000000B5 +:041E2A0000016594BA +:041E2B0000000001B2 +:041E2C0000017DB87C +:041E2D00000A0101A5 +:041E2E000001363643 +:041E2F00000165A8A1 :041E300000000000AE -:041E310000000000AD -:041E32000001677CC8 -:041E330000000000AB -:041E340000017D101C -:041E350000030001A5 -:041E360000017A68C5 -:041E37000001678CB3 -:041E380000000001A5 -:041E390000017D0D1A -:041E3A00001F000085 -:041E3B00000134EA84 -:041E3C000001679C9E -:041E3D0000000001A0 -:041E3E0000017D0E14 -:041E3F0000FF0000A0 -:041E4000000134A6C3 -:041E4100000167AC89 -:041E4200000000019B -:041E430000017D0F0E -:041E440000C80A00C8 -:041E4500000134A6BE -:041E4600000167BC74 -:041E47000000000196 -:041E480000017D1206 -:041E49000005000090 -:041E4A0000013490CF -:041E4B00000167CC5F -:041E4C000000000191 -:041E4D0000017D1300 -:041E4E00000500008B -:041E4F0000013490CA -:041E50000001683CE9 -:041E5100000000008D -:041E520000017D11FD -:041E53000005000185 -:041E540000017A848B -:041E550000016848D8 +:041E310000017D0629 +:041E320000010001AA +:041E330000017BCC63 +:041E3400000165BC88 +:041E350000000000A9 +:041E360000017CB17A +:041E3700000A00019C +:041E38000001730032 +:041E3900000165CC73 +:041E3A0000000000A4 +:041E3B0000017D78AD +:041E3C00000200019F +:041E3D00000172F43A +:041E3E00000165E05A +:041E3F00000000009F +:041E400000017CE43D +:041E4100000100019B +:041E420000017BE43C +:041E4300000165F045 +:041E4400000000009A +:041E450000017BB766 +:041E46000001000196 +:041E470000017BE437 +:041E4800000166002F +:041E49000000000095 +:041E4A0000017CB85F +:041E4B000001000191 +:041E4C0000017BE432 +:041E4D00000166101A +:041E4E000000000090 +:041E4F0000017CF220 +:041E5000000300018A +:041E51000001735CBD +:041E52000001662005 +:041E53000000000487 +:041E540000013CB499 +:041E55000000000089 :041E56000000000088 -:041E570000017D0CFD -:041E58000001000184 -:041E590000017B54B5 -:041E5A0000016858C3 -:041E5B000000000182 -:041E5C0000017D1AEA -:041E5D0000FF000082 -:041E5E0000013456F5 -:041E5F0000016864B2 -:041E6000000000017D -:041E610000017D1BE4 -:041E620000FF00007D -:041E630000013456F0 -:041E640000016870A1 -:041E65000000000178 -:041E660000017D1CDE -:041E670000FF000078 -:041E680000013456EB -:041E69000001687C90 -:041E6A000000000173 -:041E6B0000017D1DD8 -:041E6C0000FF000073 -:041E6D0000013456E6 -:041E6E00000168887F -:041E6F00000000016E -:041E700000017D1ED2 -:041E710000FF00006E -:041E720000013456E1 -:041E7300000168946E -:041E74000000000169 -:041E750000017D1FCC -:041E760000FF000069 -:041E770000013456DC -:041E7800000168A05D -:041E79000000000164 -:041E7A0000017D20C6 -:041E7B00000F000054 -:041E7C0000013456D7 -:041E7D0000017B8065 -:041E7E000000000060 -:041E7F00000000005F +:041E570000016634EC +:041E58000000000482 +:041E590000012F5005 +:041E5A000000000084 +:041E5B000000000083 +:041E5C0000016824F5 +:041E5D000000000081 +:041E5E0000017DA75B +:041E5F00000300017B +:041E600000017B10F2 +:041E610000016834E0 +:041E6200000000017B +:041E630000017DA459 +:041E6400001F00005B +:041E650000013586BD +:041E660000016844CB +:041E67000000000176 +:041E680000017DA553 +:041E690000FF000076 +:041E6A0000013542FC +:041E6B0000016854B6 +:041E6C000000000171 +:041E6D0000017DA64D +:041E6E0000C80A009E +:041E6F0000013542F7 +:041E700000016864A1 +:041E7100000000016C +:041E720000017DA945 +:041E73000005000066 +:041E74000001352C08 +:041E7500000168748C +:041E76000000000167 +:041E770000017DAA3F +:041E78000005000061 +:041E79000001352C03 +:041E7A00000168D427 +:041E7B000000000063 +:041E7C0000017DA83C +:041E7D00000500015B +:041E7E0000017B2CB8 +:041E7F00000168E016 :041E8000000000005E -:041E8100000000005D -:041E8200000000005C -:041E830000016AE808 -:041E840000016B04EA -:041E850000016B4CA1 -:041E860000016B5498 -:041E870000016B5C8F -:041E880000016AE803 -:041E890000016B04E5 -:041E8A0000016B0CDC -:041E8B0000016B1CCB -:041E8C0000016AE8FF -:041E8D0000016AF4F2 -:041E8E0000016B2CB8 -:041E8F0000016B3CA7 -:041E900000016AB82B -:041E910000016BFCE5 -:041E920000016C08D7 -:041E9300000169D809 -:041E940000016AB827 -:041E950000016AC01E -:041E960000016A6C71 -:041E970000016A7864 -:041E980000016A8457 -:041E990000016A9446 -:041E9A0000016BD404 -:041E9B0000016BE4F3 -:041E9C0000016BF0E6 -:041E9D00000169D8FF -:041E9E0000016AC411 -:041E9F0000016AD004 -:041EA00000016AE0F3 -:041EA10000016AB81A -:041EA200000169D8FA -:041EA30000016C309E -:041EA40000016C408D -:041EA50000016C507C -:041EA60000016C606B -:041EA7000000000037 +:041E810000017DA33C +:041E8200000100015A +:041E830000017BFCE3 +:041E8400000168F001 +:041E85000000000158 +:041E860000017DB129 +:041E870000FF000058 +:041E8800000135160A +:041E8900000168FCF0 +:041E8A000000000153 +:041E8B0000017DB223 +:041E8C0000FF000053 +:041E8D000001351605 +:041E8E0000016908DE +:041E8F00000000014E +:041E900000017DB31D +:041E910000FF00004E +:041E92000001351600 +:041E930000016914CD +:041E94000000000149 +:041E950000017DB417 +:041E960000FF000049 +:041E970000013516FB +:041E980000016920BC +:041E99000000000144 +:041E9A0000017DB511 +:041E9B0000FF000044 +:041E9C0000013516F6 +:041E9D000001692CAB +:041E9E00000000013F +:041E9F0000017DB60B +:041EA00000FF00003F +:041EA10000013516F1 +:041EA200000169389A +:041EA300000000013A +:041EA40000017DB705 +:041EA500000F00002A +:041EA60000013516EC +:041EA70000017C2892 :041EA8000000000036 :041EA9000000000035 :041EAA000000000034 :041EAB000000000033 :041EAC000000000032 -:041EAD000000000031 -:041EAE000000000030 -:041EAF00000000002F -:041EB000000000002E -:041EB100000000002D -:041EB200000000002C -:041EB300000000002B -:041EB400000000002A -:041EB5000000000029 -:041EB6000000000028 -:041EB7000000000027 -:041EB8000000000026 -:041EB9000000000025 -:041EBA000000000024 -:041EBB000000000023 -:041EBC000000000022 -:041EBD000000000021 -:041EBE000000000020 -:041EBF0000015D4081 -:041EC00000017B00A2 -:041EC10000017B00A1 -:041EC200001080107C -:041EC300010080009A -:041EC40000022000F8 -:041EC50000016B901D -:041EC60000016AE4C9 -:041EC70000016A3874 -:041EC80000016A4C5F -:041EC900000169D8D3 -:041ECA0000016AC0E9 -:041ECB0000016AE8C0 -:041ECC0000016AF4B3 -:041ECD0000016AE8BE -:041ECE0000016B04A0 -:041ECF00000169F8AD -:041ED00000016A00A3 -:041ED10000016A6042 -:041ED20000016A643D -:041ED30000016A9C04 -:041ED40000016AACF3 -:041ED50000016C1884 -:041ED60000016C2477 -:041ED70000017A9CF0 -:041ED80000000007FF -:041ED900000172C4CE -:041EDA000000000301 -:041EDB00000173503F -:041EDC000000000200 -:041EDD000001738C01 -:041EDE000000000BF5 -:041EDF00000173B4D7 -:041EE00000000009F5 -:041EE10000017490F8 -:041EE2000000000DEF -:041EE3000001754441 -:041EE40000000005F5 -:041EE500000176483A -:041EE60000000005F3 -:041EE700000176ACD4 -:041EE80000000009ED -:041EE900000177106D -:041EEA000000000DE7 -:041EEB00000177C4B7 -:041EEC0000000006EC -:041EED00000178C8B0 -:041EEE0000000009E7 -:041EEF000001794035 -:041EF000C896554BF0 +:041EAD0000016B9035 +:041EAE0000016BAC18 +:041EAF0000016BF4CF +:041EB00000016BFCC6 +:041EB10000016C04BC +:041EB20000016B9030 +:041EB30000016BAC13 +:041EB40000016BB40A +:041EB50000016BC4F9 +:041EB60000016B902C +:041EB70000016B9C1F +:041EB80000016BD4E6 +:041EB90000016BE4D5 +:041EBA0000016B6058 +:041EBB0000016CA412 +:041EBC0000016CB005 +:041EBD0000016A8036 +:041EBE0000016B6054 +:041EBF0000016B684B +:041EC00000016B149E +:041EC10000016B2091 +:041EC20000016B2C84 +:041EC30000016B3C73 +:041EC40000016C7C31 +:041EC50000016C8C20 +:041EC60000016C9813 +:041EC70000016A802C +:041EC80000016B6C3E +:041EC90000016B7831 +:041ECA0000016B8820 +:041ECB0000016B6047 +:041ECC0000016A8027 +:041ECD0000016CD8CC +:041ECE0000016CE8BB +:041ECF0000016CF8AA +:041ED00000016D0898 +:041ED100000000000D +:041ED200000000000C +:041ED300000000000B +:041ED400000000000A +:041ED5000000000009 +:041ED6000000000008 +:041ED7000000000007 +:041ED8000000000006 +:041ED9000000000005 +:041EDA000000000004 +:041EDB000000000003 +:041EDC000000000002 +:041EDD000000000001 +:041EDE000000000000 +:041EDF0000000000FF +:041EE00000000000FE +:041EE10000000000FD +:041EE20000000000FC +:041EE30000000000FB +:041EE40000000000FA +:041EE50000000000F9 +:041EE60000000000F8 +:041EE70000000000F7 +:041EE80000000000F6 +:041EE90000015DE6B1 +:041EEA0000017BA8D0 +:041EEB0000017BA8CF +:041EEC000010801052 +:041EED000100800070 +:041EEE0000022000CE +:041EEF0000016C384A +:041EF00000016B8CF6 +:041EF10000016AE0A2 +:041EF20000016AF48D +:041EF30000016A8000 +:041EF40000016B6816 +:041EF50000016B90ED +:041EF60000016B9CE0 +:041EF70000016B90EB +:041EF80000016BACCE +:041EF90000016AA0DA +:041EFA0000016AA8D1 +:041EFB0000016B086F +:041EFC0000016B0C6A +:041EFD0000016B4431 +:041EFE0000016B5420 +:041EFF0000016CC0B2 +:041F000000016CCCA4 +:041F010000017B441C +:041F020000000008D3 +:041F03000001736CFA +:041F040000000003D6 +:041F05000001740C57 +:041F060000000002D5 +:041F07000001744819 +:041F08000000000BCA +:041F090000017470EF +:041F0A0000000009CA +:041F0B000001754C10 +:041F0C000000000DC4 +:041F0D000001760059 +:041F0E0000000005CA +:041F0F000001770452 +:041F100000000004C9 +:041F110000017768EC +:041F120000000009C2 +:041F1300000177B89A +:041F14000000000DBC +:041F15000001786CE3 +:041F160000000006C1 +:041F170000017970DC +:041F180000000009BC +:041F1900000179E862 +:041F1A00C896554BC5 :00000001FF diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 07b2151..a8af32e 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2018 Markus Hiienkari +// Copyright (C) 2015-2019 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -63,15 +63,15 @@ extern alt_u32 remote_code; extern alt_u32 btn_code, btn_code_prev; extern alt_u8 remote_rpt, remote_rpt_prev; extern avconfig_t tc, tc_default; +extern alt_u8 vm_sel; alt_u8 target_typemask; alt_u8 target_type; alt_u8 stable_frames; alt_u8 update_cur_vm; -alt_u8 vm_sel, vm_edit, profile_sel, profile_sel_menu, input_profiles[AV_LAST], lt_sel, def_input, profile_link, lcd_bl_timeout; +alt_u8 profile_sel, profile_sel_menu, input_profiles[AV_LAST], lt_sel, def_input, profile_link, lcd_bl_timeout; alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr = 1, auto_av3_ypbpr; -alt_u16 tc_h_samplerate, tc_h_synclen, tc_h_bporch, tc_h_active, tc_v_synclen, tc_v_bporch, tc_v_active; char row1[LCD_ROW_LEN+1], row2[LCD_ROW_LEN+1], menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; @@ -292,6 +292,7 @@ status_t get_status(tvp_input_t input, video_format format) if (update_cur_vm) { tvp_setup_hpll(cm.sample_mult*video_modes[cm.id].h_total, clkcnt, cm.cc.tvp_hpll2x && (video_modes[cm.id].flags & MODE_PLLDIVBY2)); + cm.sample_sel = tvp_set_hpll_phase(video_modes[cm.id].sampler_phase, cm.sample_mult); status = (status < SC_CONFIG_CHANGE) ? SC_CONFIG_CHANGE : status; } @@ -317,11 +318,6 @@ status_t get_status(tvp_input_t input, video_format format) (tc.reverse_lpf != cm.cc.reverse_lpf)) status = (status < SC_CONFIG_CHANGE) ? SC_CONFIG_CHANGE : status; - if (tc.sampler_phase != cm.cc.sampler_phase) { - cm.sample_sel = tvp_set_hpll_phase(tc.sampler_phase, cm.sample_mult); - status = (status < SC_CONFIG_CHANGE) ? SC_CONFIG_CHANGE : status; - } - if (tc.sync_vth != cm.cc.sync_vth) tvp_set_sog_thold(tc.sync_vth); @@ -588,7 +584,7 @@ void program_mode() cm.cc.tvp_hpll2x && (video_modes[cm.id].flags & MODE_PLLDIVBY2), (alt_u8)h_synclen_px); set_lpf(cm.cc.video_lpf); - cm.sample_sel = tvp_set_hpll_phase(cm.cc.sampler_phase, cm.sample_mult); + cm.sample_sel = tvp_set_hpll_phase(video_modes[cm.id].sampler_phase, cm.sample_mult); update_sc_config(); @@ -656,39 +652,6 @@ int save_profile() { return retval; } -void vm_select() { - vm_edit = vm_sel; - tc_h_samplerate = video_modes[vm_edit].h_total; - tc_h_synclen = (alt_u16)video_modes[vm_edit].h_synclen; - tc_h_bporch = (alt_u16)video_modes[vm_edit].h_backporch; - tc_h_active = video_modes[vm_edit].h_active; - tc_v_synclen = (alt_u16)video_modes[vm_edit].v_synclen; - tc_v_bporch = (alt_u16)video_modes[vm_edit].v_backporch; - tc_v_active = video_modes[vm_edit].v_active; -} - -void vm_tweak(alt_u16 v) { - if (cm.sync_active && (cm.id == vm_edit)) { - if ((video_modes[cm.id].h_total != tc_h_samplerate) || - (video_modes[cm.id].h_synclen != tc_h_synclen) || - (video_modes[cm.id].h_backporch != (alt_u8)tc_h_bporch) || - (video_modes[cm.id].h_active != tc_h_active) || - (video_modes[cm.id].v_synclen != tc_v_synclen) || - (video_modes[cm.id].v_backporch != (alt_u8)tc_v_bporch) || - (video_modes[cm.id].v_active != tc_v_active)) - update_cur_vm = 1; - } - video_modes[vm_edit].h_total = tc_h_samplerate; - video_modes[vm_edit].h_synclen = (alt_u8)tc_h_synclen; - video_modes[vm_edit].h_backporch = (alt_u8)tc_h_bporch; - video_modes[vm_edit].h_active = tc_h_active; - video_modes[vm_edit].v_synclen = (alt_u8)tc_v_synclen; - video_modes[vm_edit].v_backporch = (alt_u8)tc_v_bporch; - video_modes[vm_edit].v_active = tc_v_active; - - sniprintf(menu_row2, LCD_ROW_LEN+1, "%u", v); -} - // Initialize hardware int init_hw() { diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index 85b65e9..226af4a 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2018 Markus Hiienkari +// Copyright (C) 2015-2019 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -103,8 +103,6 @@ typedef struct { inline void lcd_write_menu(); inline void lcd_write_status(); -void vm_select(); -void vm_tweak(alt_u16 v); int load_profile(); int save_profile(); diff --git a/software/sys_controller/ossc/avconfig.c b/software/sys_controller/ossc/avconfig.c index 8fb24da..ed35eec 100644 --- a/software/sys_controller/ossc/avconfig.c +++ b/software/sys_controller/ossc/avconfig.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2018 Markus Hiienkari +// Copyright (C) 2015-2019 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -40,7 +40,6 @@ const avconfig_t tc_default = { .pm_480i = 1, .pm_1080i = 1, .tvp_hpll2x = DEFAULT_ON, - .sampler_phase = DEFAULT_SAMPLER_PHASE, .sync_vth = DEFAULT_SYNC_VTH, .linelen_tol = DEFAULT_LINELEN_TOL, .vsync_thold = DEFAULT_VSYNC_THOLD, diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index e15571c..53e3c5b 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2018 Markus Hiienkari +// Copyright (C) 2015-2019 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -95,7 +95,6 @@ typedef struct { alt_u8 tx_mode; alt_u8 hdmi_itc; alt_u8 s480p_mode; - alt_u8 sampler_phase; alt_u8 tvp_hpll2x; alt_u8 upsample2x; alt_u8 ypbpr_cs; diff --git a/software/sys_controller/ossc/controls.c b/software/sys_controller/ossc/controls.c index bf5ffeb..fe20096 100644 --- a/software/sys_controller/ossc/controls.c +++ b/software/sys_controller/ossc/controls.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2018 Markus Hiienkari +// Copyright (C) 2015-2019 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -37,7 +37,7 @@ const alt_u16 rc_keymap_default[REMOTE_MAX_KEYS] = {0x3E29, 0x3EA9, 0x3E69, 0x3E alt_u16 rc_keymap[REMOTE_MAX_KEYS]; extern char menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; -extern const mode_data_t video_modes[]; +extern mode_data_t video_modes[]; extern avmode_t cm; extern avconfig_t tc; extern avinput_t target_input; @@ -45,6 +45,7 @@ extern alt_u8 menu_active; extern alt_u16 sys_ctrl; extern alt_u8 profile_sel, profile_sel_menu; extern alt_u8 lcd_bl_timeout; +extern alt_u8 update_cur_vm; extern volatile sc_regs *sc; alt_u32 remote_code; @@ -215,8 +216,8 @@ int parse_control() lcd_write_status(); menu_active = 0; break; - case RC_PHASE_PLUS: tc.sampler_phase = (tc.sampler_phase < SAMPLER_PHASE_MAX) ? (tc.sampler_phase + 1) : 0; break; - case RC_PHASE_MINUS: tc.sampler_phase = tc.sampler_phase ? (tc.sampler_phase - 1) : SAMPLER_PHASE_MAX; break; + case RC_PHASE_PLUS: video_modes[cm.id].sampler_phase = (video_modes[cm.id].sampler_phase < SAMPLER_PHASE_MAX) ? (video_modes[cm.id].sampler_phase + 1) : 0; update_cur_vm = 1; break; + case RC_PHASE_MINUS: video_modes[cm.id].sampler_phase = video_modes[cm.id].sampler_phase ? (video_modes[cm.id].sampler_phase - 1) : SAMPLER_PHASE_MAX; update_cur_vm = 1; break; case RC_PROF_HOTKEY: strncpy(menu_row1, "Profile load:", LCD_ROW_LEN+1); strncpy(menu_row2, "press 0-9", LCD_ROW_LEN+1); diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index 8f137b7..6d3060c 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2018 Markus Hiienkari +// Copyright (C) 2015-2019 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -36,16 +36,20 @@ #endif extern char row1[LCD_ROW_LEN+1], row2[LCD_ROW_LEN+1], menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; +extern avmode_t cm; extern avconfig_t tc; extern mode_data_t video_modes[]; -extern alt_u16 tc_h_samplerate, tc_h_synclen, tc_h_bporch, tc_h_active, tc_v_synclen, tc_v_bporch, tc_v_active; extern alt_u32 remote_code; extern alt_u16 rc_keymap[REMOTE_MAX_KEYS]; extern alt_u8 vm_sel, profile_sel_menu, lt_sel, def_input, profile_link, lcd_bl_timeout; extern alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr, auto_av3_ypbpr; +extern alt_u8 update_cur_vm; extern char target_profile_name[PROFILE_NAME_LEN+1]; +alt_u16 tc_h_samplerate, tc_h_synclen, tc_h_bporch, tc_h_active, tc_v_synclen, tc_v_bporch, tc_v_active; +alt_u8 tc_sampler_phase; alt_u8 menu_active; +alt_u8 vm_sel, vm_edit; static const char *off_on_desc[] = { LNG("Off","オフ"), LNG("On","オン") }; static const char *video_lpf_desc[] = { LNG("Auto","オート"), LNG("Off","オフ"), "95MHz (HDTV II)", "35MHz (HDTV I)", "16MHz (EDTV)", "9MHz (SDTV)" }; @@ -72,7 +76,6 @@ static const char *lcd_bl_timeout_desc[] = { "Off", "3s", "10s", "30s" }; static const char *rgsb_ypbpr_desc[] = { "RGsB", "YPbPr" }; static const char *auto_input_desc[] = { "Off", "Current input", "All inputs" }; -static void sampler_phase_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, LNG("%d deg","%d ド"), (v*1125)/100); } static void sync_vth_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%d mV", (v*1127)/100); } static void intclks_to_time_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u.%.2u us", (unsigned)(((1000000U*v)/(TVP_INTCLK_HZ/1000))/1000), (unsigned)((((1000000U*v)/(TVP_INTCLK_HZ/1000))%1000)/10)); } static void extclks_to_time_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u.%.2u us", (unsigned)(((1000000U*v)/(TVP_EXTCLK_HZ/1000))/1000), (unsigned)((((1000000U*v)/(TVP_EXTCLK_HZ/1000))%1000)/10)); } @@ -102,20 +105,21 @@ MENU(menu_advtiming, P99_PROTECT({ \ { LNG("V. synclen","V. ドウキナガサ"), OPT_AVCONFIG_NUMVAL_U16,{ .num_u16 = { &tc_v_synclen, V_SYNCLEN_MIN, V_SYNCLEN_MAX, vm_tweak } } }, { LNG("V. backporch","V. バックポーチ"), OPT_AVCONFIG_NUMVAL_U16,{ .num_u16 = { &tc_v_bporch, V_BPORCH_MIN, V_BPORCH_MAX, vm_tweak } } }, { LNG("V. active","V. アクティブ"), OPT_AVCONFIG_NUMVAL_U16,{ .num_u16 = { &tc_v_active, V_ACTIVE_MIN, V_ACTIVE_MAX, vm_tweak } } }, + { LNG("Sampling phase","サンプリングフェーズ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc_sampler_phase, OPT_WRAP, 0, SAMPLER_PHASE_MAX, sampler_phase_tweak } } }, })) MENU(menu_cust_sl, P99_PROTECT({ \ - { "Sub-line 0 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_l_str[0], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, - { "Sub-line 1 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_l_str[1], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, - { "Sub-line 2 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_l_str[2], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, - { "Sub-line 3 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_l_str[3], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, - { "Sub-line 4 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_l_str[4], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, - { "Sub-column 0 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_c_str[0], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, - { "Sub-column 1 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_c_str[1], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, - { "Sub-column 2 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_c_str[2], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, - { "Sub-column 3 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_c_str[3], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, - { "Sub-column 4 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_c_str[4], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, - { "Sub-column 5 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_c_str[5], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, + { "Sub-line 1 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_l_str[0], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, + { "Sub-line 2 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_l_str[1], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, + { "Sub-line 3 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_l_str[2], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, + { "Sub-line 4 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_l_str[3], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, + { "Sub-line 5 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_l_str[4], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, + { "Sub-column 1 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_c_str[0], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, + { "Sub-column 2 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_c_str[1], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, + { "Sub-column 3 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_c_str[2], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, + { "Sub-column 4 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_c_str[3], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, + { "Sub-column 5 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_c_str[4], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, + { "Sub-column 6 str", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_cust_c_str[5], OPT_NOWRAP, 0, SCANLINESTR_MAX+1, sl_cust_str_disp } } }, })) @@ -132,7 +136,6 @@ MENU(menu_vinputproc, P99_PROTECT({ \ })) MENU(menu_sampling, P99_PROTECT({ \ - { LNG("Sampling phase","サンプリングフェーズ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sampler_phase, OPT_WRAP, 0, SAMPLER_PHASE_MAX, sampler_phase_disp } } }, { LNG("480p in sampler","サンプラーデ480p"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.s480p_mode, OPT_WRAP, SETTING_ITEM(s480p_mode_desc) } } }, { LNG("Allow TVP HPLL2x","TVP HPLL2xキョヨウ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.tvp_hpll2x, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, { LNG("Allow upsample2x","アップサンプル2xキョヨウ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.upsample2x, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, @@ -378,3 +381,45 @@ void display_menu(alt_u8 forcedisp) lcd_write_menu(); } + +static void vm_select() { + vm_edit = vm_sel; + tc_h_samplerate = video_modes[vm_edit].h_total; + tc_h_synclen = (alt_u16)video_modes[vm_edit].h_synclen; + tc_h_bporch = (alt_u16)video_modes[vm_edit].h_backporch; + tc_h_active = video_modes[vm_edit].h_active; + tc_v_synclen = (alt_u16)video_modes[vm_edit].v_synclen; + tc_v_bporch = (alt_u16)video_modes[vm_edit].v_backporch; + tc_v_active = video_modes[vm_edit].v_active; + tc_sampler_phase = video_modes[vm_edit].sampler_phase; +} + +static void vm_tweak(alt_u16 v) { + if (cm.sync_active && (cm.id == vm_edit)) { + if ((video_modes[cm.id].h_total != tc_h_samplerate) || + (video_modes[cm.id].h_synclen != tc_h_synclen) || + (video_modes[cm.id].h_backporch != (alt_u8)tc_h_bporch) || + (video_modes[cm.id].h_active != tc_h_active) || + (video_modes[cm.id].v_synclen != tc_v_synclen) || + (video_modes[cm.id].v_backporch != (alt_u8)tc_v_bporch) || + (video_modes[cm.id].v_active != tc_v_active)) + update_cur_vm = 1; + } + video_modes[vm_edit].h_total = tc_h_samplerate; + video_modes[vm_edit].h_synclen = (alt_u8)tc_h_synclen; + video_modes[vm_edit].h_backporch = (alt_u8)tc_h_bporch; + video_modes[vm_edit].h_active = tc_h_active; + video_modes[vm_edit].v_synclen = (alt_u8)tc_v_synclen; + video_modes[vm_edit].v_backporch = (alt_u8)tc_v_bporch; + video_modes[vm_edit].v_active = tc_v_active; + + sniprintf(menu_row2, LCD_ROW_LEN+1, "%u", v); +} + +static void sampler_phase_tweak(alt_u8 v) { + if (cm.sync_active && (cm.id == vm_edit) && (video_modes[cm.id].sampler_phase != tc_sampler_phase)) + update_cur_vm = 1; + + video_modes[vm_edit].sampler_phase = tc_sampler_phase; + sniprintf(menu_row2, LCD_ROW_LEN+1, LNG("%d deg","%d ド"), (v*1125)/100); +} diff --git a/software/sys_controller/ossc/menu.h b/software/sys_controller/ossc/menu.h index 4ed81f8..4453faf 100644 --- a/software/sys_controller/ossc/menu.h +++ b/software/sys_controller/ossc/menu.h @@ -115,5 +115,8 @@ typedef struct { } menunavi; void display_menu(alt_u8 forcedisp); +static void vm_select(); +static void vm_tweak(alt_u16 v); +static void sampler_phase_tweak(alt_u8 v); #endif diff --git a/software/sys_controller/tvp7002/video_modes.h b/software/sys_controller/tvp7002/video_modes.h index 487bb11..6d7a9ce 100644 --- a/software/sys_controller/tvp7002/video_modes.h +++ b/software/sys_controller/tvp7002/video_modes.h @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2018 Markus Hiienkari +// Copyright (C) 2015-2019 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -98,60 +98,61 @@ typedef struct { alt_u8 v_backporch; alt_u8 h_synclen; alt_u8 v_synclen; - video_type type; - video_group group; + alt_u8 sampler_phase; + video_type type:5; + video_group group:3; mode_flags flags; } mode_data_t; #define VIDEO_MODES_DEF { \ /* 240p modes */ \ - { "1600x240", 1600, 240, 2046, 262, 202, 15, 150, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L5_GEN_4_3 | MODE_PLLDIVBY2) }, \ - { "1280x240", 1280, 240, 1560, 262, 170, 15, 72, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2) }, \ - { "960x240", 960, 240, 1170, 262, 128, 15, 54, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_4_3 | MODE_PLLDIVBY2) }, \ - { "512x240", 512, 240, 682, 262, 77, 14, 50, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_512_COL | MODE_L3_512_COL | MODE_L4_512_COL | MODE_L5_512_COL) }, \ - { "320x240", 320, 240, 426, 262, 49, 14, 31, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL) }, \ - { "256x240", 256, 240, 341, 262, 39, 14, 25, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL) }, \ - { "240p", 720, 240, 858, 262, 57, 15, 62, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ + { "1600x240", 1600, 240, 2046, 262, 202, 15, 150, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L5_GEN_4_3 | MODE_PLLDIVBY2) }, \ + { "1280x240", 1280, 240, 1560, 262, 170, 15, 72, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2) }, \ + { "960x240", 960, 240, 1170, 262, 128, 15, 54, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_4_3 | MODE_PLLDIVBY2) }, \ + { "512x240", 512, 240, 682, 262, 77, 14, 50, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_512_COL | MODE_L3_512_COL | MODE_L4_512_COL | MODE_L5_512_COL) }, \ + { "320x240", 320, 240, 426, 262, 49, 14, 31, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL) }, \ + { "256x240", 256, 240, 341, 262, 39, 14, 25, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL) }, \ + { "240p", 720, 240, 858, 262, 57, 15, 62, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ /* 288p modes */ \ - { "1600x240L", 1600, 240, 2046, 312, 202, 41, 150, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L5_GEN_4_3 | MODE_PLLDIVBY2) }, \ - { "1280x288", 1280, 288, 1560, 312, 170, 15, 72, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2) }, \ - { "960x288", 960, 288, 1170, 312, 128, 15, 54, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_4_3 | MODE_PLLDIVBY2) }, \ - { "512x240LB", 512, 240, 682, 312, 77, 41, 50, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_512_COL | MODE_L3_512_COL | MODE_L4_512_COL | MODE_L5_512_COL) }, \ - { "320x240LB", 320, 240, 426, 312, 49, 41, 31, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL) }, \ - { "256x240LB", 256, 240, 341, 312, 39, 41, 25, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL) }, \ - { "288p", 720, 288, 864, 312, 69, 19, 63, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ + { "1600x240L", 1600, 240, 2046, 312, 202, 41, 150, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L5_GEN_4_3 | MODE_PLLDIVBY2) }, \ + { "1280x288", 1280, 288, 1560, 312, 170, 15, 72, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2) }, \ + { "960x288", 960, 288, 1170, 312, 128, 15, 54, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_4_3 | MODE_PLLDIVBY2) }, \ + { "512x240LB", 512, 240, 682, 312, 77, 41, 50, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_512_COL | MODE_L3_512_COL | MODE_L4_512_COL | MODE_L5_512_COL) }, \ + { "320x240LB", 320, 240, 426, 312, 49, 41, 31, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL) }, \ + { "256x240LB", 256, 240, 341, 312, 39, 41, 25, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL) }, \ + { "288p", 720, 288, 864, 312, 69, 19, 63, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ /* 360p: GBI */ \ - { "480x360", 480, 360, 600, 375, 63, 10, 38, 3, (VIDEO_EDTV), GROUP_384P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ - { "240x360", 256, 360, 300, 375, 24, 10, 18, 3, (VIDEO_EDTV), GROUP_384P, (MODE_L2_240x360 | MODE_L3_240x360) }, \ + { "480x360", 480, 360, 600, 375, 63, 10, 38, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_EDTV), GROUP_384P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ + { "240x360", 256, 360, 300, 375, 24, 10, 18, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_EDTV), GROUP_384P, (MODE_L2_240x360 | MODE_L3_240x360) }, \ /* 384p: Sega Model 2 */ \ - { "384p", 496, 384, 640, 423, 50, 29, 62, 3, (VIDEO_EDTV), GROUP_384P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ + { "384p", 496, 384, 640, 423, 50, 29, 62, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_EDTV), GROUP_384P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ /* 640x400, VGA Mode 13h */ \ - { "640x400", 640, 400, 800, 449, 48, 36, 96, 2, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2) }, \ + { "640x400", 640, 400, 800, 449, 48, 36, 96, 2, DEFAULT_SAMPLER_PHASE, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2) }, \ /* 384p: X68k @ 24kHz */ \ - { "640x384", 640, 384, 800, 492, 48, 63, 96, 2, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ + { "640x384", 640, 384, 800, 492, 48, 63, 96, 2, DEFAULT_SAMPLER_PHASE, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ /* ~525-line modes */ \ - { "480i", 720, 240, 858, 525, 57, 15, 62, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_480I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2 | MODE_INTERLACED) }, \ - { "480p", 720, 480, 858, 525, 60, 30, 62, 6, (VIDEO_EDTV | VIDEO_PC), GROUP_480P, (MODE_PT | MODE_L2) }, \ - { "640x480", 640, 480, 800, 525, 48, 33, 96, 2, (VIDEO_PC | VIDEO_EDTV), GROUP_480P, (MODE_PT | MODE_L2) }, \ + { "480i", 720, 240, 858, 525, 57, 15, 62, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_480I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2 | MODE_INTERLACED) }, \ + { "480p", 720, 480, 858, 525, 60, 30, 62, 6, DEFAULT_SAMPLER_PHASE, (VIDEO_EDTV | VIDEO_PC), GROUP_480P, (MODE_PT | MODE_L2) }, \ + { "640x480", 640, 480, 800, 525, 48, 33, 96, 2, DEFAULT_SAMPLER_PHASE, (VIDEO_PC | VIDEO_EDTV), GROUP_480P, (MODE_PT | MODE_L2) }, \ /* X68k @ 31kHz */ \ - { "640x512", 640, 512, 800, 568, 48, 28, 96, 2, (VIDEO_PC | VIDEO_EDTV), GROUP_480P, (MODE_PT | MODE_L2) }, \ + { "640x512", 640, 512, 800, 568, 48, 28, 96, 2, DEFAULT_SAMPLER_PHASE, (VIDEO_PC | VIDEO_EDTV), GROUP_480P, (MODE_PT | MODE_L2) }, \ /* ~625-line modes */ \ - { "576i", 720, 288, 864, 625, 69, 19, 63, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_480I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2 | MODE_INTERLACED) }, \ - { "576p", 720, 576, 864, 625, 68, 39, 64, 5, VIDEO_EDTV, GROUP_480P, (MODE_PT | MODE_L2) }, \ - { "800x600", 800, 600, 1056, 628, 88, 23, 128, 4, VIDEO_PC, GROUP_NONE, MODE_PT }, \ + { "576i", 720, 288, 864, 625, 69, 19, 63, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_480I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2 | MODE_INTERLACED) }, \ + { "576p", 720, 576, 864, 625, 68, 39, 64, 5, DEFAULT_SAMPLER_PHASE, VIDEO_EDTV, GROUP_480P, (MODE_PT | MODE_L2) }, \ + { "800x600", 800, 600, 1056, 628, 88, 23, 128, 4, DEFAULT_SAMPLER_PHASE, VIDEO_PC, GROUP_NONE, MODE_PT }, \ /* 720p modes */ \ - { "720p", 1280, 720, 1650, 750, 220, 20, 40, 5, (VIDEO_HDTV | VIDEO_PC), GROUP_NONE, MODE_PT }, \ + { "720p", 1280, 720, 1650, 750, 220, 20, 40, 5, DEFAULT_SAMPLER_PHASE, (VIDEO_HDTV | VIDEO_PC), GROUP_NONE, MODE_PT }, \ /* VESA XGA and SXGA modes */ \ - { "1024x768", 1024, 768, 1344, 806, 160, 29, 136, 6, VIDEO_PC, GROUP_NONE, MODE_PT }, \ - { "1280x1024", 1280, 1024, 1688, 1066, 248, 38, 112, 3, VIDEO_PC, GROUP_NONE, MODE_PT }, \ + { "1024x768", 1024, 768, 1344, 806, 160, 29, 136, 6, DEFAULT_SAMPLER_PHASE, VIDEO_PC, GROUP_NONE, MODE_PT }, \ + { "1280x1024", 1280, 1024, 1688, 1066, 248, 38, 112, 3, DEFAULT_SAMPLER_PHASE, VIDEO_PC, GROUP_NONE, MODE_PT }, \ /* PS2 GSM 960i mode */ \ - { "640x960i", 640, 480, 800, 1050, 48, 33, 96, 2, (VIDEO_EDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2 | MODE_INTERLACED) }, \ + { "640x960i", 640, 480, 800, 1050, 48, 33, 96, 2, DEFAULT_SAMPLER_PHASE, (VIDEO_EDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2 | MODE_INTERLACED) }, \ /* 1080i/p modes */ \ - { "1080i", 1920, 540, 2200, 1125, 148, 16, 44, 5, (VIDEO_HDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2 | MODE_INTERLACED) }, \ - { "1080p", 1920, 1080, 2200, 1125, 148, 36, 44, 5, (VIDEO_HDTV | VIDEO_PC), GROUP_NONE, MODE_PT }, \ + { "1080i", 1920, 540, 2200, 1125, 148, 16, 44, 5, DEFAULT_SAMPLER_PHASE, (VIDEO_HDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2 | MODE_INTERLACED) }, \ + { "1080p", 1920, 1080, 2200, 1125, 148, 36, 44, 5, DEFAULT_SAMPLER_PHASE, (VIDEO_HDTV | VIDEO_PC), GROUP_NONE, MODE_PT }, \ /* VESA UXGA with 49 H.backporch cycles exchanged for H.synclen */ \ - { "1600x1200", 1600, 1200, 2160, 1250, 255, 46, 241, 3, VIDEO_PC, GROUP_NONE, MODE_PT }, \ + { "1600x1200", 1600, 1200, 2160, 1250, 255, 46, 241, 3, DEFAULT_SAMPLER_PHASE, VIDEO_PC, GROUP_NONE, MODE_PT }, \ } #define VIDEO_MODES_SIZE (sizeof((mode_data_t[])VIDEO_MODES_DEF))