diff --git a/ossc.qsf b/ossc.qsf index cf3e103..1244f14 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -229,98 +229,18 @@ set_global_assignment -name SDC_FILE ossc.sdc set_global_assignment -name QSYS_FILE sys.qsys set_global_assignment -name VERILOG_FILE rtl/ossc.v set_global_assignment -name VERILOG_FILE rtl/scanconverter.v +set_global_assignment -name VERILOG_FILE rtl/lat_tester.v set_global_assignment -name QIP_FILE rtl/linebuf.qip set_global_assignment -name QIP_FILE rtl/pll_2x.qip set_global_assignment -name QIP_FILE rtl/pll_3x.qip set_global_assignment -name CDF_FILE output_files/Chain1.cdf set_global_assignment -name SIGNALTAP_FILE output_files/ossc_la.stp -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to B_in -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to B_in[0] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to B_in[1] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to B_in[2] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to B_in[3] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to B_in[4] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to B_in[5] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to B_in[6] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to B_in[7] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to btn -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to btn[0] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to btn[1] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to clk27 -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to FID_in -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to G_in -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to G_in[0] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to G_in[1] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to G_in[2] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to G_in[3] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to G_in[4] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to G_in[5] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to G_in[6] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to G_in[7] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_BD -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to HDMI_TX_BD[0] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_BD[1] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_BD[2] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to HDMI_TX_BD[3] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_BD[4] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_BD[5] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_BD[6] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to HDMI_TX_BD[7] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_DE -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_GD -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_GD[0] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_GD[1] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_GD[2] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_GD[3] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_GD[4] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_GD[5] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_GD[6] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to HDMI_TX_GD[7] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_HS -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_INT_N -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_MODE -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to HDMI_TX_PCLK -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_RD -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_RD[0] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to HDMI_TX_RD[1] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_RD[2] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_RD[3] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_RD[4] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to HDMI_TX_RD[5] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_RD[6] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to HDMI_TX_RD[7] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HDMI_TX_VS -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to HSYNC_in -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to ir_rx -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to LCD_BL -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to LCD_CS_N -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to LCD_RS -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to PCLK_in -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to reset_n -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to R_in -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to R_in[0] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to R_in[1] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to R_in[2] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to R_in[3] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to R_in[4] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to R_in[5] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to R_in[6] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to R_in[7] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to scl -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to sda -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to SD_CLK -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to SD_CMD -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to SD_DAT -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to SD_DAT[0] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to SD_DAT[1] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to SD_DAT[2] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to SD_DAT[3] -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to VSYNC_in - set_global_assignment -name FITTER_EFFORT "AUTO FIT" set_global_assignment -name SEED 6 + + set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/rtl/lat_tester.v b/rtl/lat_tester.v new file mode 100644 index 0000000..49193cd --- /dev/null +++ b/rtl/lat_tester.v @@ -0,0 +1,73 @@ +// +// Copyright (C) 2017 Markus Hiienkari +// +// This file is part of Open Source Scan Converter project. +// +// This program is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . +// + +module lat_tester ( + input clk27, + input active, + input armed, + input sensor, + input trigger, + input VSYNC_in, + input [1:0] mode_in, + output reg [2:0] mode_synced, + output reg [15:0] result +); + +reg VSYNC_in_L, VSYNC_in_LL, VSYNC_in_LLL; +reg running; +reg [8:0] clk27_ctr; + +always @(posedge clk27) begin + VSYNC_in_L <= VSYNC_in; + VSYNC_in_LL <= VSYNC_in_L; + VSYNC_in_LLL <= VSYNC_in_LL; +end + +always @(posedge clk27) begin + if (VSYNC_in_LLL && !VSYNC_in_LL) + mode_synced <= mode_in; +end + +always @(posedge clk27) begin + if (!active) begin + running <= 0; + end else begin + if ((result==0) && (clk27_ctr==0) && armed && trigger) begin + running <= 1; + end else if (running && ((sensor==0) || (result==16'hffff))) begin + running <= 0; + end + end +end + +always @(posedge clk27) begin + if (!active || !armed) begin + result <= 0; + clk27_ctr <= 0; + end else if (running) begin + if (clk27_ctr == 270-1) begin + clk27_ctr <= 0; + result <= result + 1'b1; + end else begin + clk27_ctr <= clk27_ctr + 1'b1; + end + end +end + +endmodule diff --git a/rtl/ossc.v b/rtl/ossc.v index d5870cb..11866ed 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -87,6 +87,12 @@ reg HSYNC_in_L, VSYNC_in_L, FID_in_L; reg [1:0] btn_L, btn_LL; reg ir_rx_L, ir_rx_LL, HDMI_TX_INT_N_L, HDMI_TX_INT_N_LL, HDMI_TX_MODE_L, HDMI_TX_MODE_LL; +wire lt_active = extra_info[31]; +wire lt_armed = extra_info[30]; +wire [1:0] lt_mode = extra_info[29:28]; +wire [1:0] lt_mode_synced; +wire [15:0] lt_result; + // Latch inputs from TVP7002 (synchronized to PCLK_in) always @(posedge PCLK_in or negedge reset_n) begin @@ -193,7 +199,8 @@ sys sys_inst( .pio_3_h_info_out_export (h_info), .pio_4_h_info2_out_export (h_info2), .pio_5_v_info_out_export (v_info), - .pio_6_extra_info_out_export (extra_info) + .pio_6_extra_info_out_export (extra_info), + .pio_7_lt_results_in_export ({16'h0000, lt_result}) ); scanconverter scanconverter_inst ( @@ -234,10 +241,24 @@ ir_rcv ir0 ( .ir_code_cnt (ir_code_cnt) ); +lat_tester lt0 ( + .clk27 (clk27), + .active (lt_active), + .armed (lt_armed), + .sensor (btn_LL[1]), + .trigger (HDMI_TX_DE & HDMI_TX_RD[0]), + .VSYNC_in (HDMI_TX_VS), + .mode_in (extra_info[29:28]), + .mode_synced (lt_mode_synced), + .result (lt_result) +); + `ifdef VIDEOGEN videogen vg0 ( .clk27 (clk27), .reset_n (cpu_reset_n & videogen_sel), + .lt_active (lt_active), + .lt_mode (lt_mode_synced), .R_out (R_out_videogen), .G_out (G_out_videogen), .B_out (B_out_videogen), diff --git a/rtl/videogen.v b/rtl/videogen.v index 5b82bd8..5a17850 100644 --- a/rtl/videogen.v +++ b/rtl/videogen.v @@ -17,9 +17,18 @@ // along with this program. If not, see . // +`define LT_POS_NONE 2'b00 +`define LT_POS_TOPLEFT 2'b01 +`define LT_POS_CENTER 2'b10 +`define LT_POS_BOTTOMRIGHT 2'b11 +`define LT_WIDTH 100 +`define LT_HEIGHT 100 + module videogen ( input clk27, input reset_n, + input lt_active, + input [1:0] lt_mode, output [7:0] R_out, output [7:0] G_out, output [7:0] B_out, @@ -114,12 +123,29 @@ begin V_gen <= 8'h00; ENABLE_out <= 1'b0; end else begin - if ((h_cnt < X_START+H_OVERSCAN) || (h_cnt >= X_START+H_OVERSCAN+H_AREA) || (v_cnt < Y_START+V_OVERSCAN) || (v_cnt >= Y_START+V_OVERSCAN+V_AREA)) - V_gen <= (h_cnt[0] ^ v_cnt[0]) ? 8'hff : 8'h00; - else if ((h_cnt < X_START+H_OVERSCAN+H_BORDER) || (h_cnt >= X_START+H_OVERSCAN+H_AREA-H_BORDER) || (v_cnt < Y_START+V_OVERSCAN+V_BORDER) || (v_cnt >= Y_START+V_OVERSCAN+V_AREA-V_BORDER)) - V_gen <= 8'h50; - else - V_gen <= (h_cnt - (X_START+H_OVERSCAN+H_BORDER)) >> 1; + if (lt_active) begin + case (lt_mode) + default: begin + V_gen <= 8'h00; + end + `LT_POS_TOPLEFT: begin + V_gen <= ((h_cnt < (X_START+`LT_WIDTH)) && (v_cnt < (Y_START+`LT_HEIGHT))) ? 8'hff : 8'h00; + end + `LT_POS_CENTER: begin + V_gen <= ((h_cnt >= (X_START+(H_ACTIVE/2)-(`LT_WIDTH/2))) && (h_cnt < (X_START+(H_ACTIVE/2)+(`LT_WIDTH/2))) && (v_cnt >= (Y_START+(V_ACTIVE/2)-(`LT_HEIGHT/2))) && (v_cnt < (Y_START+(V_ACTIVE/2)+(`LT_HEIGHT/2)))) ? 8'hff : 8'h00; + end + `LT_POS_BOTTOMRIGHT: begin + V_gen <= ((h_cnt >= (X_START+H_ACTIVE-`LT_WIDTH)) && (v_cnt >= (Y_START+V_ACTIVE-`LT_HEIGHT))) ? 8'hff : 8'h00; + end + endcase + end else begin + if ((h_cnt < X_START+H_OVERSCAN) || (h_cnt >= X_START+H_OVERSCAN+H_AREA) || (v_cnt < Y_START+V_OVERSCAN) || (v_cnt >= Y_START+V_OVERSCAN+V_AREA)) + V_gen <= (h_cnt[0] ^ v_cnt[0]) ? 8'hff : 8'h00; + else if ((h_cnt < X_START+H_OVERSCAN+H_BORDER) || (h_cnt >= X_START+H_OVERSCAN+H_AREA-H_BORDER) || (v_cnt < Y_START+V_OVERSCAN+V_BORDER) || (v_cnt >= Y_START+V_OVERSCAN+V_AREA-V_BORDER)) + V_gen <= 8'h50; + else + V_gen <= (h_cnt - (X_START+H_OVERSCAN+H_BORDER)) >> 1; + end ENABLE_out <= (h_cnt >= X_START && h_cnt < X_START + H_ACTIVE && v_cnt >= Y_START && v_cnt < Y_START + V_ACTIVE); end diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 8beb4ed..a0e1c4f 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 2e923ef..56bf5e9 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -68,7 +68,7 @@ alt_u8 target_type; alt_u8 stable_frames; alt_u8 update_cur_vm; -alt_u8 vm_sel, vm_edit, profile_sel; +alt_u8 vm_sel, vm_edit, profile_sel, lt_sel; alt_u16 tc_h_samplerate, tc_h_synclen, tc_h_bporch, tc_h_active, tc_v_synclen, tc_v_bporch, tc_v_active; char row1[LCD_ROW_LEN+1], row2[LCD_ROW_LEN+1], menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; @@ -537,79 +537,33 @@ void program_mode() } } -void load_profile_disp(alt_u8 code) { +int load_profile() { int retval; - switch ((menucode_id)code) { - case VAL_MINUS: - profile_sel = (profile_sel > 0) ? profile_sel-1 : profile_sel; - break; - case VAL_PLUS: - profile_sel = (profile_sel < MAX_PROFILE) ? profile_sel+1 : profile_sel; - break; - case OPT_SELECT: - retval = read_userdata(profile_sel); - sniprintf(menu_row2, LCD_ROW_LEN+1, "%s", (retval==0) ? "Loaded" : "Load failed"); - lcd_write_menu(); - if (retval == 0) - write_userdata(INIT_CONFIG_SLOT); - usleep(500000); - break; - case NO_ACTION: - default: - sniprintf(menu_row2, LCD_ROW_LEN+1, "Slot %u", profile_sel); - break; - } + retval = read_userdata(profile_sel); + if (retval == 0) + write_userdata(INIT_CONFIG_SLOT); + return retval; } -void save_profile_disp(alt_u8 code) { +int save_profile() { int retval; - switch ((menucode_id)code) { - case VAL_MINUS: - profile_sel = (profile_sel > 0) ? profile_sel-1 : profile_sel; - break; - case VAL_PLUS: - profile_sel = (profile_sel < MAX_PROFILE) ? profile_sel+1 : profile_sel; - break; - case OPT_SELECT: - retval = write_userdata(profile_sel); - sniprintf(menu_row2, LCD_ROW_LEN+1, "%s", (retval==0) ? "Saved" : "Save failed"); - lcd_write_menu(); - if (retval == 0) - write_userdata(INIT_CONFIG_SLOT); - usleep(500000); - break; - case NO_ACTION: - default: - sniprintf(menu_row2, LCD_ROW_LEN+1, "Slot %u", profile_sel); - break; - } + retval = write_userdata(profile_sel); + if (retval == 0) + write_userdata(INIT_CONFIG_SLOT); + return retval; } -void vm_display(alt_u8 code) { - switch ((menucode_id)code) { - case VAL_MINUS: - vm_sel = (vm_sel > 0) ? vm_sel-1 : vm_sel; - break; - case VAL_PLUS: - vm_sel = (vm_sel < VIDEO_MODES_CNT-1) ? vm_sel+1 : vm_sel; - break; - case OPT_SELECT: - vm_edit = vm_sel; - tc_h_samplerate = video_modes[vm_edit].h_total; - tc_h_synclen = (alt_u16)video_modes[vm_edit].h_synclen; - tc_h_bporch = (alt_u16)video_modes[vm_edit].h_backporch; - tc_h_active = video_modes[vm_edit].h_active; - tc_v_synclen = (alt_u16)video_modes[vm_edit].v_synclen; - tc_v_bporch = (alt_u16)video_modes[vm_edit].v_backporch; - tc_v_active = video_modes[vm_edit].v_active; - break; - case NO_ACTION: - default: - strncpy(menu_row2, video_modes[vm_sel].name, LCD_ROW_LEN+1); - break; - } +void vm_select() { + vm_edit = vm_sel; + tc_h_samplerate = video_modes[vm_edit].h_total; + tc_h_synclen = (alt_u16)video_modes[vm_edit].h_synclen; + tc_h_bporch = (alt_u16)video_modes[vm_edit].h_backporch; + tc_h_active = video_modes[vm_edit].h_active; + tc_v_synclen = (alt_u16)video_modes[vm_edit].v_synclen; + tc_v_bporch = (alt_u16)video_modes[vm_edit].v_backporch; + tc_v_active = video_modes[vm_edit].v_active; } void vm_tweak(alt_u16 v) { @@ -716,6 +670,49 @@ int init_hw() return 0; } +int latency_test() { + alt_u32 base_val, btn_vec, btn_vec_prev=1; + alt_u8 position = lt_sel+1; + alt_u16 latency_x100ms; + + base_val = IORD_ALTERA_AVALON_PIO_DATA(PIO_6_BASE) & 0xff; + + base_val |= (1<<31); + IOWR_ALTERA_AVALON_PIO_DATA(PIO_6_BASE, base_val|(position<<28)); + sniprintf(menu_row2, LCD_ROW_LEN+1, "OK to init"); + lcd_write_menu(); + + while (1) { + btn_vec = IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & RC_MASK; + + if ((btn_vec_prev == 0) && (btn_vec != 0)) { + if (btn_vec == rc_keymap[RC_OK]) { + IOWR_ALTERA_AVALON_PIO_DATA(PIO_6_BASE, base_val); + menu_row2[0] = 0; + lcd_write_menu(); + usleep(200000); + IOWR_ALTERA_AVALON_PIO_DATA(PIO_6_BASE, base_val|(position<<28)|(1<<30)); + while (IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & PB1_BIT) {} + latency_x100ms = IORD_ALTERA_AVALON_PIO_DATA(PIO_7_BASE) & 0xffff; + sniprintf(menu_row2, LCD_ROW_LEN+1, "lat: %u.%.2ums", latency_x100ms/100, latency_x100ms%100); + lcd_write_menu(); + } else if (btn_vec == rc_keymap[RC_BACK]) { + break; + } + + IOWR_ALTERA_AVALON_PIO_DATA(PIO_6_BASE, base_val|(position<<28)); + } + + btn_vec_prev = btn_vec; + usleep(WAITLOOP_SLEEP_US); + } + + base_val &= 0xff; + IOWR_ALTERA_AVALON_PIO_DATA(PIO_6_BASE, base_val); + + return 0; +} + // Enable chip outputs void enable_outputs() { diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index 62f5141..ba8141c 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -108,9 +108,11 @@ typedef struct { inline void lcd_write_menu(); inline void lcd_write_status(); -void vm_display(alt_u8 code); +void vm_select(); void vm_tweak(alt_u16 v); -void load_profile_disp(alt_u8 code); -void save_profile_disp(alt_u8 code); +int load_profile(); +int save_profile(); + +int latency_test(); #endif diff --git a/software/sys_controller/ossc/controls.c b/software/sys_controller/ossc/controls.c index 3752774..1b1c490 100644 --- a/software/sys_controller/ossc/controls.c +++ b/software/sys_controller/ossc/controls.c @@ -211,7 +211,7 @@ void parse_control() if (i <= RC_BTN0) { profile_sel = (i+1)%10; - load_profile_disp(OPT_SELECT); + load_profile(); break; } else if (i == RC_BACK) { break; diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index f4b50ec..10ff32e 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -37,9 +37,11 @@ extern char row1[LCD_ROW_LEN+1], row2[LCD_ROW_LEN+1], menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; extern avconfig_t tc; +extern mode_data_t video_modes[]; extern alt_u16 tc_h_samplerate, tc_h_synclen, tc_h_bporch, tc_h_active, tc_v_synclen, tc_v_bporch, tc_v_active; extern alt_u32 remote_code; extern alt_u16 rc_keymap[REMOTE_MAX_KEYS]; +extern alt_u8 vm_sel, profile_sel, lt_sel; alt_u8 menu_active; @@ -61,6 +63,7 @@ static const char *sl_mode_desc[] = { LNG("Off","オフ"), LNG("Auto","オート static const char *sl_type_desc[] = { LNG("Horizontal","ヨコ"), LNG("Vertical","タテ"), LNG("Alternating","コウゴ") }; static const char *sl_id_desc[] = { LNG("Top","ウエ"), LNG("Bottom","シタ") }; static const char *audio_dw_sampl_desc[] = { LNG("Off (fs = 96kHz)","オフ (fs = 96kHz)"), "2x (fs = 48kHz)" }; +static const char *lt_desc[] = { "Top-left", "Center", "Bottom-right" }; static void sampler_phase_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, LNG("%d deg","%d ド"), (v*1125)/100); } static void sync_vth_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%d mV", (v*1127)/100); } @@ -70,6 +73,13 @@ static void sl_str_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u%%", static void lines_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, LNG("%u lines","%u ライン"), v); } static void pixels_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, LNG("%u pixels","%u ドット"), v); } static void value_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, " %u", v); } +static void lt_disp(alt_u8 v) { strncpy(menu_row2, lt_desc[v], LCD_ROW_LEN+1); } +static void vm_display_name (alt_u8 v) { strncpy(menu_row2, video_modes[v].name, LCD_ROW_LEN+1); } + +static const arg_info_t vm_arg_info = {&vm_sel, VIDEO_MODES_CNT-1, vm_display_name}; +static const arg_info_t profile_arg_info = {&profile_sel, MAX_PROFILE, value_disp}; +static const arg_info_t lt_arg_info = {<_sel, (sizeof(lt_desc)/sizeof(char*))-1, lt_disp}; + MENU(menu_advtiming, P99_PROTECT({ \ { LNG("H. samplerate","H. サンプルレート"), OPT_AVCONFIG_NUMVAL_U16,{ .num_u16 = { &tc_h_samplerate, H_TOTAL_MIN, H_TOTAL_MAX, vm_tweak } } }, @@ -98,7 +108,7 @@ MENU(menu_sampling, P99_PROTECT({ \ { LNG("480p in sampler","サンプラーデ480p"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.s480p_mode, OPT_WRAP, SETTING_ITEM(s480p_mode_desc) } } }, { LNG("Allow TVP HPLL2x","TVP HPLL2xキョヨウ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.tvp_hpll2x, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, { LNG("Allow upsample2x","アップサンプル2xキョヨウ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.upsample2x, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, - { LNG("","<カクシュタイミング>"), OPT_SUBMENU, { .sub = { &menu_advtiming, vm_display } } }, + { LNG("","<カクシュタイミング>"), OPT_SUBMENU, { .sub = { &menu_advtiming, &vm_arg_info, vm_select } } }, })) MENU(menu_sync, P99_PROTECT({ \ @@ -132,10 +142,11 @@ MENU(menu_postproc, P99_PROTECT({ \ { LNG("Scanline str.","スキャンラインツヨサ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_str, OPT_NOWRAP, 0, SCANLINESTR_MAX, sl_str_disp } } }, { LNG("Scanline type","スキャンラインルイ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_type, OPT_WRAP, SETTING_ITEM(sl_type_desc) } } }, { LNG("Scanline alignm.","スキャンラインポジション"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_id, OPT_WRAP, SETTING_ITEM(sl_id_desc) } } }, - { LNG("Horizontal mask","スイヘイマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.h_mask, OPT_NOWRAP, 0, HV_MASK_MAX, pixels_disp } } }, - { LNG("Vertical mask","スイチョクマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.v_mask, OPT_NOWRAP, 0, HV_MASK_MAX, pixels_disp } } }, - { LNG("Mask brightness","マスクアカルサ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.mask_br, OPT_NOWRAP, 0, HV_MASK_MAX_BR, value_disp } } }, - { "Reverse LPF", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.reverse_lpf, OPT_NOWRAP, 0, REVERSE_LPF_MAX, value_disp } } }, + { LNG("Horizontal mask","スイヘイマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.h_mask, OPT_NOWRAP, 0, HV_MASK_MAX, pixels_disp } } }, + { LNG("Vertical mask","スイチョクマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.v_mask, OPT_NOWRAP, 0, HV_MASK_MAX, pixels_disp } } }, + { LNG("Mask brightness","マスクアカルサ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.mask_br, OPT_NOWRAP, 0, HV_MASK_MAX_BR, value_disp } } }, + { "Reverse LPF", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.reverse_lpf, OPT_NOWRAP, 0, REVERSE_LPF_MAX, value_disp } } }, + { "", OPT_FUNC_CALL, { .fun = { latency_test, <_arg_info } } }, })) MENU(menu_compatibility, P99_PROTECT({ \ @@ -148,24 +159,24 @@ MENU(menu_audio, P99_PROTECT({ \ { LNG("Down-sampling","ダウンサンプリング"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.audio_dw_sampl, OPT_WRAP, SETTING_ITEM(audio_dw_sampl_desc) } } }, { LNG("Swap left/right","ヒダリ/ミギスワップ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.audio_swap_lr, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, })) -#define AUDIO_MENU { LNG("Audio options >","オーディオオプション >"), OPT_SUBMENU, { .sub = { &menu_audio, NULL } } }, +#define AUDIO_MENU { LNG("Audio options >","オーディオオプション >"), OPT_SUBMENU, { .sub = { &menu_audio, NULL, NULL } } }, #else #define AUDIO_MENU #endif MENU(menu_main, P99_PROTECT({ \ - { LNG("Video in proc >","タイオウエイゾウ >"), OPT_SUBMENU, { .sub = { &menu_vinputproc, NULL } } }, - { LNG("Sampling opt. >","サンプリングオプション>"), OPT_SUBMENU, { .sub = { &menu_sampling, NULL } } }, - { LNG("Sync opt. >","ドウキオプション >"), OPT_SUBMENU, { .sub = { &menu_sync, NULL } } }, - { LNG("Output opt. >","シュツリョクオプション >"), OPT_SUBMENU, { .sub = { &menu_output, NULL } } }, - { LNG("Post-proc. >","アトショリ >"), OPT_SUBMENU, { .sub = { &menu_postproc, NULL } } }, - { LNG("Compatibility >","ゴカンセイ >"), OPT_SUBMENU, { .sub = { &menu_compatibility, NULL } } }, + { LNG("Video in proc >","タイオウエイゾウ >"), OPT_SUBMENU, { .sub = { &menu_vinputproc, NULL, NULL } } }, + { LNG("Sampling opt. >","サンプリングオプション>"), OPT_SUBMENU, { .sub = { &menu_sampling, NULL, NULL } } }, + { LNG("Sync opt. >","ドウキオプション >"), OPT_SUBMENU, { .sub = { &menu_sync, NULL, NULL } } }, + { LNG("Output opt. >","シュツリョクオプション >"), OPT_SUBMENU, { .sub = { &menu_output, NULL, NULL } } }, + { LNG("Post-proc. >","アトショリ >"), OPT_SUBMENU, { .sub = { &menu_postproc, NULL, NULL } } }, + { LNG("Compatibility >","ゴカンセイ >"), OPT_SUBMENU, { .sub = { &menu_compatibility, NULL, NULL } } }, AUDIO_MENU - { LNG("","<プロファイルロード >"), OPT_SUBMENU, { .sub = { NULL, load_profile_disp } } }, - { LNG("","<プロファイルセーブ >"), OPT_SUBMENU, { .sub = { NULL, save_profile_disp } } }, - { LNG("","<セッテイオショキカ >"), OPT_FUNC_CALL, { .fun = { set_default_avconfig, LNG("Reset done","ショキカスミ"), "" } } }, - { LNG("","<ファームウェアアップデート>"), OPT_FUNC_CALL, { .fun = { fw_update, LNG("OK - pls restart","OK - サイキドウシテクダサイ"), LNG("failed","シッパイ") } } }, + { LNG("","<プロファイルロード >"), OPT_FUNC_CALL, { .fun = { load_profile, &profile_arg_info } } }, + { LNG("","<プロファイルセーブ >"), OPT_FUNC_CALL, { .fun = { save_profile, &profile_arg_info } } }, + { LNG("","<セッテイオショキカ >"), OPT_FUNC_CALL, { .fun = { set_default_avconfig, NULL } } }, + { LNG("","<ファームウェアアップデート>"), OPT_FUNC_CALL, { .fun = { fw_update, NULL } } }, })) // Max 3 levels currently @@ -214,13 +225,13 @@ void display_menu(alt_u8 forcedisp) switch (navi[navlvl].m->items[navi[navlvl].mp].type) { case OPT_SUBMENU: if (navi[navlvl].m->items[navi[navlvl].mp].sub.arg_f) - navi[navlvl].m->items[navi[navlvl].mp].sub.arg_f(code); - if (navi[navlvl].m->items[navi[navlvl].mp].sub.menu) { - if (navi[navlvl+1].m != navi[navlvl].m->items[navi[navlvl].mp].sub.menu) - navi[navlvl+1].mp = 0; - navi[navlvl+1].m = navi[navlvl].m->items[navi[navlvl].mp].sub.menu; - navlvl++; - } + navi[navlvl].m->items[navi[navlvl].mp].sub.arg_f(); + + if (navi[navlvl+1].m != navi[navlvl].m->items[navi[navlvl].mp].sub.menu) + navi[navlvl+1].mp = 0; + navi[navlvl+1].m = navi[navlvl].m->items[navi[navlvl].mp].sub.menu; + navlvl++; + break; case OPT_FUNC_CALL: retval = navi[navlvl].m->items[navi[navlvl].mp].fun.f(); @@ -252,8 +263,26 @@ void display_menu(alt_u8 forcedisp) *val_u16 = (*val_u16 < navi[navlvl].m->items[navi[navlvl].mp].num_u16.max) ? (*val_u16+1) : *val_u16; break; case OPT_SUBMENU: - if (navi[navlvl].m->items[navi[navlvl].mp].sub.arg_f) - navi[navlvl].m->items[navi[navlvl].mp].sub.arg_f(code); + val = navi[navlvl].m->items[navi[navlvl].mp].sub.arg_info->data; + val_max = navi[navlvl].m->items[navi[navlvl].mp].sub.arg_info->max; + + if (navi[navlvl].m->items[navi[navlvl].mp].sub.arg_info) { + if (code == VAL_MINUS) + *val = (*val > 0) ? (*val-1) : 0; + else + *val = (*val < val_max) ? (*val+1) : val_max; + } + break; + case OPT_FUNC_CALL: + val = navi[navlvl].m->items[navi[navlvl].mp].fun.arg_info->data; + val_max = navi[navlvl].m->items[navi[navlvl].mp].fun.arg_info->max; + + if (navi[navlvl].m->items[navi[navlvl].mp].fun.arg_info) { + if (code == VAL_MINUS) + *val = (*val > 0) ? (*val-1) : 0; + else + *val = (*val < val_max) ? (*val+1) : val_max; + } break; default: break; @@ -277,14 +306,16 @@ void display_menu(alt_u8 forcedisp) navi[navlvl].m->items[navi[navlvl].mp].num_u16.df(*(navi[navlvl].m->items[navi[navlvl].mp].num_u16.data)); break; case OPT_SUBMENU: - if (navi[navlvl].m->items[navi[navlvl].mp].sub.arg_f) - navi[navlvl].m->items[navi[navlvl].mp].sub.arg_f(NO_ACTION); + if (navi[navlvl].m->items[navi[navlvl].mp].sub.arg_info) + navi[navlvl].m->items[navi[navlvl].mp].sub.arg_info->df(*navi[navlvl].m->items[navi[navlvl].mp].sub.arg_info->data); else menu_row2[0] = 0; break; case OPT_FUNC_CALL: if (code == OPT_SELECT) - sniprintf(menu_row2, LCD_ROW_LEN+1, "%s", (retval==0) ? navi[navlvl].m->items[navi[navlvl].mp].fun.text_success : navi[navlvl].m->items[navi[navlvl].mp].fun.text_failure); + sniprintf(menu_row2, LCD_ROW_LEN+1, "%s", (retval==0) ? "Done" : "Failed"); + else if (navi[navlvl].m->items[navi[navlvl].mp].fun.arg_info) + navi[navlvl].m->items[navi[navlvl].mp].fun.arg_info->df(*navi[navlvl].m->items[navi[navlvl].mp].fun.arg_info->data); else menu_row2[0] = 0; break; diff --git a/software/sys_controller/ossc/menu.h b/software/sys_controller/ossc/menu.h index ca0efa3..4ed81f8 100644 --- a/software/sys_controller/ossc/menu.h +++ b/software/sys_controller/ossc/menu.h @@ -32,9 +32,15 @@ typedef enum { } menuitem_type; typedef int (*func_call)(void); +typedef void (*arg_func)(void); typedef void (*disp_func)(alt_u8); typedef void (*disp_func_u16)(alt_u16); +typedef struct { + alt_u8 *data; + alt_u8 max; + disp_func df; +} arg_info_t; typedef struct { alt_u8 *data; @@ -61,15 +67,15 @@ typedef struct { typedef struct { func_call f; - const char *text_success; - const char *text_failure; + const arg_info_t *arg_info; } opt_func_call; typedef struct menustruct menu_t; typedef struct { const menu_t *menu; - disp_func arg_f; + const arg_info_t *arg_info; + arg_func arg_f; } opt_submenu; typedef struct { diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index a69563f..65ca415 100644 Binary files a/software/sys_controller_bsp/libhal_bsp.a and b/software/sys_controller_bsp/libhal_bsp.a differ diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index 2b9ad8c..e82e10b 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - Oct 12, 2017 1:47:54 AM - 1507762074640 + Oct 22, 2017 8:54:19 PM + 1508694859052 ./ settings.bsp ../../sys.sopcinfo @@ -935,50 +935,56 @@ - pio_6 + pio_7 0x00821080 - 0x0082108F 16 - pio_5 + pio_6 0x00821090 - 0x0082109F 16 - pio_4 + pio_5 0x008210A0 - 0x008210AF 16 - pio_3 + pio_4 0x008210B0 - 0x008210BF 16 - pio_2 + pio_3 0x008210C0 - 0x008210CF 16 - pio_1 + pio_2 0x008210D0 - 0x008210DF 16 - pio_0 + pio_1 0x008210E0 - 0x008210EF 16 + + pio_0 + 0x008210F0 - 0x008210FF + 16 + + jtag_uart_0 - 0x008210F0 - 0x008210F7 + 0x00821100 - 0x00821107 8 printable diff --git a/software/sys_controller_bsp/system.h b/software/sys_controller_bsp/system.h index 8b5a1fd..b2c5d1a 100644 --- a/software/sys_controller_bsp/system.h +++ b/software/sys_controller_bsp/system.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_qsys_0' in SOPC Builder design 'sys' * SOPC Builder design path: ../../sys.sopcinfo * - * Generated: Tue May 16 19:45:17 EEST 2017 + * Generated: Sun Oct 22 20:42:22 EEST 2017 */ /* @@ -175,19 +175,19 @@ #define ALT_NUM_INTERNAL_INTERRUPT_CONTROLLERS 1 #define ALT_NUM_INTERRUPT_CONTROLLERS 1 #define ALT_STDERR "/dev/jtag_uart_0" -#define ALT_STDERR_BASE 0x8210f0 +#define ALT_STDERR_BASE 0x821100 #define ALT_STDERR_DEV jtag_uart_0 #define ALT_STDERR_IS_JTAG_UART #define ALT_STDERR_PRESENT #define ALT_STDERR_TYPE "altera_avalon_jtag_uart" #define ALT_STDIN "/dev/jtag_uart_0" -#define ALT_STDIN_BASE 0x8210f0 +#define ALT_STDIN_BASE 0x821100 #define ALT_STDIN_DEV jtag_uart_0 #define ALT_STDIN_IS_JTAG_UART #define ALT_STDIN_PRESENT #define ALT_STDIN_TYPE "altera_avalon_jtag_uart" #define ALT_STDOUT "/dev/jtag_uart_0" -#define ALT_STDOUT_BASE 0x8210f0 +#define ALT_STDOUT_BASE 0x821100 #define ALT_STDOUT_DEV jtag_uart_0 #define ALT_STDOUT_IS_JTAG_UART #define ALT_STDOUT_PRESENT @@ -279,7 +279,7 @@ */ #define ALT_MODULE_CLASS_jtag_uart_0 altera_avalon_jtag_uart -#define JTAG_UART_0_BASE 0x8210f0 +#define JTAG_UART_0_BASE 0x821100 #define JTAG_UART_0_IRQ 1 #define JTAG_UART_0_IRQ_INTERRUPT_CONTROLLER_ID 0 #define JTAG_UART_0_NAME "/dev/jtag_uart_0" @@ -326,7 +326,7 @@ */ #define ALT_MODULE_CLASS_pio_0 altera_avalon_pio -#define PIO_0_BASE 0x8210e0 +#define PIO_0_BASE 0x8210f0 #define PIO_0_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_0_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_0_CAPTURE 0 @@ -353,7 +353,7 @@ */ #define ALT_MODULE_CLASS_pio_1 altera_avalon_pio -#define PIO_1_BASE 0x8210d0 +#define PIO_1_BASE 0x8210e0 #define PIO_1_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_1_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_1_CAPTURE 0 @@ -380,7 +380,7 @@ */ #define ALT_MODULE_CLASS_pio_2 altera_avalon_pio -#define PIO_2_BASE 0x8210c0 +#define PIO_2_BASE 0x8210d0 #define PIO_2_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_2_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_2_CAPTURE 0 @@ -407,7 +407,7 @@ */ #define ALT_MODULE_CLASS_pio_3 altera_avalon_pio -#define PIO_3_BASE 0x8210b0 +#define PIO_3_BASE 0x8210c0 #define PIO_3_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_3_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_3_CAPTURE 0 @@ -434,7 +434,7 @@ */ #define ALT_MODULE_CLASS_pio_4 altera_avalon_pio -#define PIO_4_BASE 0x8210a0 +#define PIO_4_BASE 0x8210b0 #define PIO_4_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_4_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_4_CAPTURE 0 @@ -461,7 +461,7 @@ */ #define ALT_MODULE_CLASS_pio_5 altera_avalon_pio -#define PIO_5_BASE 0x821090 +#define PIO_5_BASE 0x8210a0 #define PIO_5_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_5_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_5_CAPTURE 0 @@ -488,7 +488,7 @@ */ #define ALT_MODULE_CLASS_pio_6 altera_avalon_pio -#define PIO_6_BASE 0x821080 +#define PIO_6_BASE 0x821090 #define PIO_6_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_6_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_6_CAPTURE 0 @@ -509,6 +509,33 @@ #define PIO_6_TYPE "altera_avalon_pio" +/* + * pio_7 configuration + * + */ + +#define ALT_MODULE_CLASS_pio_7 altera_avalon_pio +#define PIO_7_BASE 0x821080 +#define PIO_7_BIT_CLEARING_EDGE_REGISTER 0 +#define PIO_7_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define PIO_7_CAPTURE 0 +#define PIO_7_DATA_WIDTH 32 +#define PIO_7_DO_TEST_BENCH_WIRING 0 +#define PIO_7_DRIVEN_SIM_VALUE 0 +#define PIO_7_EDGE_TYPE "NONE" +#define PIO_7_FREQ 27000000 +#define PIO_7_HAS_IN 1 +#define PIO_7_HAS_OUT 0 +#define PIO_7_HAS_TRI 0 +#define PIO_7_IRQ -1 +#define PIO_7_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define PIO_7_IRQ_TYPE "NONE" +#define PIO_7_NAME "/dev/pio_7" +#define PIO_7_RESET_VALUE 0 +#define PIO_7_SPAN 16 +#define PIO_7_TYPE "altera_avalon_pio" + + /* * timer_0 configuration * diff --git a/sys.qsys b/sys.qsys index 655e7e9..320436d 100644 --- a/sys.qsys +++ b/sys.qsys @@ -85,7 +85,7 @@ { datum baseAddress { - value = "8524016"; + value = "8524032"; type = "String"; } } @@ -157,7 +157,7 @@ { datum baseAddress { - value = "8524000"; + value = "8524016"; type = "String"; } } @@ -173,7 +173,7 @@ { datum baseAddress { - value = "8523984"; + value = "8524000"; type = "String"; } } @@ -189,7 +189,7 @@ { datum baseAddress { - value = "8523968"; + value = "8523984"; type = "String"; } } @@ -205,7 +205,7 @@ { datum baseAddress { - value = "8523952"; + value = "8523968"; type = "String"; } } @@ -221,7 +221,7 @@ { datum baseAddress { - value = "8523936"; + value = "8523952"; type = "String"; } } @@ -237,7 +237,7 @@ { datum baseAddress { - value = "8523920"; + value = "8523936"; type = "String"; } } @@ -250,6 +250,22 @@ } } element pio_6.s1 + { + datum baseAddress + { + value = "8523920"; + type = "String"; + } + } + element pio_7 + { + datum _sortIndex + { + value = "18"; + type = "int"; + } + } + element pio_7.s1 { datum baseAddress { @@ -340,6 +356,11 @@ internal="pio_6.external_connection" type="conduit" dir="end" /> + @@ -429,7 +450,7 @@ - ]]> + ]]> @@ -729,6 +750,20 @@ + + + + + + + + + + + + + + @@ -747,7 +782,7 @@ start="nios2_qsys_0.data_master" end="jtag_uart_0.avalon_jtag_slave"> - + - + - + - + - + - + - + + + + + + @@ -904,6 +948,7 @@ + + - + java.lang.Integer - 1507917548 + 1508694647 false true false @@ -390,6 +390,12 @@ parameters are a RESULT of the module parameters. --> clk pio_6.clk + + false + pio_7 + clk + pio_7.clk + false onchip_memory2_0 @@ -5444,7 +5450,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -6115,7 +6121,7 @@ parameters are a RESULT of the module parameters. --> jtag_uart_0 avalon_jtag_slave jtag_uart_0.avalon_jtag_slave - 8524016 + 8524032 8 @@ -6171,7 +6177,7 @@ parameters are a RESULT of the module parameters. --> pio_0 s1 pio_0.s1 - 8524000 + 8524016 16 @@ -6179,7 +6185,7 @@ parameters are a RESULT of the module parameters. --> pio_1 s1 pio_1.s1 - 8523984 + 8524000 16 @@ -6187,7 +6193,7 @@ parameters are a RESULT of the module parameters. --> pio_2 s1 pio_2.s1 - 8523968 + 8523984 16 @@ -6195,7 +6201,7 @@ parameters are a RESULT of the module parameters. --> pio_3 s1 pio_3.s1 - 8523952 + 8523968 16 @@ -6203,7 +6209,7 @@ parameters are a RESULT of the module parameters. --> pio_4 s1 pio_4.s1 - 8523936 + 8523952 16 @@ -6219,7 +6225,7 @@ parameters are a RESULT of the module parameters. --> pio_5 s1 pio_5.s1 - 8523920 + 8523936 16 @@ -6227,6 +6233,14 @@ parameters are a RESULT of the module parameters. --> pio_6 s1 pio_6.s1 + 8523920 + 16 + + + false + pio_7 + s1 + pio_7.s1 8523904 16 @@ -13807,6 +13821,762 @@ parameters are a RESULT of the module parameters. --> + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 32 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 27000000 + + + embeddedsw.CMacro.HAS_IN + 1 + + + embeddedsw.CMacro.HAS_OUT + 0 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + embeddedsw.dts.group + gpio + + + embeddedsw.dts.name + pio + + + embeddedsw.dts.params.altr,gpio-bank-width + 32 + + + embeddedsw.dts.params.resetvalue + 0 + + + embeddedsw.dts.vendor + altr + + + boolean + false + false + false + true + true + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + Input + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + false + true + true + + + boolean + false + false + true + true + true + + + long + 0 + false + false + true + true + + + int + 32 + false + true + true + true + + + long + 27000000 + false + true + false + true + CLOCK_RATE + clk + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 27000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + in_port + Input + 32 + export + + + java.math.BigInteger - 0x008210f0 + 0x00821100 false true true @@ -14962,7 +15732,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x008210e0 + 0x008210f0 false true true @@ -15013,7 +15783,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x008210d0 + 0x008210e0 false true true @@ -15064,7 +15834,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x008210c0 + 0x008210d0 false true true @@ -15115,7 +15885,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x008210b0 + 0x008210c0 false true true @@ -15166,7 +15936,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x008210a0 + 0x008210b0 false true true @@ -15268,7 +16038,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821090 + 0x008210a0 false true true @@ -15319,7 +16089,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821080 + 0x00821090 false true true @@ -15354,6 +16124,57 @@ parameters are a RESULT of the module parameters. --> pio_6 s1 + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00821080 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_qsys_0 + data_master + pio_7 + s1 + pio_6 clk + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk + pio_7 + clk + pio_6 reset + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk_reset + pio_7 + reset + 17.0 - 14 + 15 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -16645,7 +17520,7 @@ parameters are a RESULT of the module parameters. --> 17.0 - 14 + 15 reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -16653,7 +17528,7 @@ parameters are a RESULT of the module parameters. --> 17.0 - 15 + 16 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -16677,7 +17552,7 @@ parameters are a RESULT of the module parameters. --> 17.0 - 9 + 10 conduit_end com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -16773,7 +17648,7 @@ parameters are a RESULT of the module parameters. --> 17.0 - 7 + 8 altera_avalon_pio com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule @@ -16789,7 +17664,7 @@ parameters are a RESULT of the module parameters. --> 17.0 - 17 + 18 avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -16797,7 +17672,7 @@ parameters are a RESULT of the module parameters. --> 17.0 - 14 + 15 clock com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -16821,7 +17696,7 @@ parameters are a RESULT of the module parameters. --> 17.0 - 14 + 15 reset com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection