From 238cf0b2855d2f15923df04cae4fc22e00028f7c Mon Sep 17 00:00:00 2001 From: marqs Date: Tue, 10 Nov 2020 19:45:52 +0200 Subject: [PATCH] update epcq_controller_mod to epcq_controller2 --- .../altera_epcq_controller.sv | 611 - .../altera_epcq_controller_arb.sv | 230 - .../altera_epcq_controller_fifo.v | 176 - .../altera_epcq_controller_hw.tcl | 453 - .../altera_epcq_controller_sw.tcl | 58 - .../altera_epcq_controller_wrapper.sv.terp | 248 - .../altera_epcq_controller_wrapper_hw.tcl | 648 - ossc.qsf | 2 +- ossc_sw_bsp.project | 6 +- software/ossc_sw.project | 6 +- .../mem_init/sys_onchip_memory2_0.hex | 17051 ++++++++-------- software/sys_controller/memory/flash.c | 8 +- software/sys_controller/memory/flash.h | 2 +- software/sys_controller/memory/sdcard.c | 16 +- software/sys_controller/ossc/firmware.h | 2 +- software/sys_controller/ossc/userdata.c | 33 +- software/sys_controller/ossc/utils.c | 6 + software/sys_controller/ossc/utils.h | 2 +- software/sys_controller_bsp/Makefile | 12 +- software/sys_controller_bsp/alt_sys_init.c | 6 +- .../drivers/inc/altera_epcq_controller2.h | 44 +- .../inc/altera_epcq_controller2_regs.h | 149 +- .../drivers/inc/altera_epcq_controller_mod.h | 1 - .../inc/altera_epcq_controller_mod_regs.h | 1 - .../drivers/src/altera_epcq_controller2.c | 596 +- .../drivers/src/altera_epcq_controller_mod.c | 1 - software/sys_controller_bsp/libhal_bsp.a | Bin 35076 -> 34716 bytes software/sys_controller_bsp/system.h | 58 +- sys.qsys | 42 +- sys.sopcinfo | 482 +- 30 files changed, 9313 insertions(+), 11637 deletions(-) delete mode 100644 ip/altera_epcq_controller_mod/altera_epcq_controller.sv delete mode 100644 ip/altera_epcq_controller_mod/altera_epcq_controller_arb.sv delete mode 100644 ip/altera_epcq_controller_mod/altera_epcq_controller_fifo.v delete mode 100644 ip/altera_epcq_controller_mod/altera_epcq_controller_hw.tcl delete mode 100644 ip/altera_epcq_controller_mod/altera_epcq_controller_sw.tcl delete mode 100644 ip/altera_epcq_controller_mod/altera_epcq_controller_wrapper.sv.terp delete mode 100644 ip/altera_epcq_controller_mod/altera_epcq_controller_wrapper_hw.tcl rename ip/altera_epcq_controller_mod/HAL/inc/altera_epcq_controller_mod.h => software/sys_controller_bsp/drivers/inc/altera_epcq_controller2.h (73%) rename ip/altera_epcq_controller_mod/inc/altera_epcq_controller_mod_regs.h => software/sys_controller_bsp/drivers/inc/altera_epcq_controller2_regs.h (51%) delete mode 120000 software/sys_controller_bsp/drivers/inc/altera_epcq_controller_mod.h delete mode 120000 software/sys_controller_bsp/drivers/inc/altera_epcq_controller_mod_regs.h rename ip/altera_epcq_controller_mod/HAL/src/altera_epcq_controller_mod.c => software/sys_controller_bsp/drivers/src/altera_epcq_controller2.c (57%) delete mode 120000 software/sys_controller_bsp/drivers/src/altera_epcq_controller_mod.c diff --git a/ip/altera_epcq_controller_mod/altera_epcq_controller.sv b/ip/altera_epcq_controller_mod/altera_epcq_controller.sv deleted file mode 100644 index 1263bdf..0000000 --- a/ip/altera_epcq_controller_mod/altera_epcq_controller.sv +++ /dev/null @@ -1,611 +0,0 @@ -// (C) 2001-2015 Altera Corporation. All rights reserved. -// Your use of Altera Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License Subscription -// Agreement, Altera MegaCore Function License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -// (C) 2001-2015 Altera Corporation. All rights reserved. -// Your use of Altera Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License Subscription -// Agreement, Altera MegaCore Function License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the applicable -// agreement for further details. - - - -`timescale 1ps / 1ps - -module altera_epcq_controller #( - parameter CS_WIDTH = 1, - parameter ENABLE_4BYTE_ADDR = 1, - parameter ADDR_WIDTH = 22, - parameter ASI_WIDTH = 1, - parameter DEVICE_FAMILY = "CYCLONE V", - parameter ASMI_ADDR_WIDTH = 22, - parameter CHIP_SELS = 1 -)( - input wire clk, - input wire reset_n, - - // ports to access csr - input wire avl_csr_write, - input wire avl_csr_read, - input wire [2:0] avl_csr_addr, - input wire [31:0] avl_csr_wrdata, - output reg [31:0] avl_csr_rddata, - output reg avl_csr_rddata_valid, - output reg avl_csr_waitrequest, - - // ports to access memory - input wire avl_mem_write, - input wire avl_mem_read, - input wire [ADDR_WIDTH-1:0] avl_mem_addr, - input wire [31:0] avl_mem_wrdata, - input wire [3:0] avl_mem_byteenable, - input wire [6:0] avl_mem_burstcount, - output wire [31:0] avl_mem_rddata, - output reg avl_mem_rddata_valid, - output reg avl_mem_waitrequest, - - // interrupt signal - output reg irq, - - // Disable dedicated active serial interface - input wire [ASI_WIDTH-1:0] epcq_dataout, - output reg epcq_dclk, - output reg [CS_WIDTH-1:0] epcq_scein, - output reg [ASI_WIDTH-1:0] epcq_sdoin, - output reg [ASI_WIDTH-1:0] epcq_dataoe, - - // ASMI PARALLEL interface - input wire [ASI_WIDTH-1:0] ddasi_dataoe, - output reg [ASI_WIDTH-1:0] ddasi_dataout, - input wire ddasi_dclk, - input wire [CS_WIDTH-1:0] ddasi_scein, - input reg [ASI_WIDTH-1:0] ddasi_sdoin, - - input wire asmi_busy, - input wire asmi_data_valid, - input wire [7:0] asmi_dataout, - output reg asmi_clkin, - output reg asmi_reset, - output reg [CS_WIDTH-1:0] asmi_sce, - output reg [ASMI_ADDR_WIDTH-1:0] asmi_addr, - output reg [7:0] asmi_datain, - output reg asmi_fast_read, - output wire asmi_rden, - output reg asmi_shift_bytes, - output reg asmi_en4b_addr, - output wire asmi_wren, - output reg asmi_write, - - input wire asmi_illegal_erase, - input wire asmi_illegal_write, - input wire [7:0] asmi_rdid_out, - input wire [7:0] asmi_status_out, - input wire [7:0] asmi_epcs_id, - output reg asmi_read_rdid, - output reg asmi_read_status, - output reg asmi_read_sid, - output reg asmi_bulk_erase, - output reg asmi_sector_erase, - output reg asmi_sector_protect -); - localparam LOCAL_ADDR_WIDTH = ADDR_WIDTH+2; - localparam CSR_DATA_WIDTH = 32; - localparam LAST_ADDR_BIT = (ASMI_ADDR_WIDTH == 24) ? 15 : - (ASMI_ADDR_WIDTH == 32) ? 23 : 15; - - reg [8:0] wr_burstcount_cnt, rd_burstcount_cnt; - reg [8:0] rd_mem_burstcount, wr_mem_burstcount; - - wire last_wr_byte; - wire access_csr_status, access_csr_sid, access_csr_rdid, access_csr_mem_op, access_isr, access_imr, access_sce; - wire read_status_combi, read_sid_combi, read_rdid_combi, read_isr_combi, read_imr_combi, write_isr_combi, write_imr_combi, write_sce_combi; - wire bulk_erase_combi, sector_erase_combi, sector_protect_combi; - wire wren_combi, illegal_write_combi, illegal_erase_combi; - wire m_illegal_write_combi, m_illegal_erase_combi; - wire read_mem_combi, write_mem_combi; - wire data_valid_combi, pending_wr_data; - wire detect_addroffset; - wire [8:0] wfifo_data_in_0, wfifo_data_in_1, wfifo_data_in_2, wfifo_data_in_3; - wire [ADDR_WIDTH-1:0] temp_mem_addr; - - reg reset_n_reg; - reg wr_mem_waitrequest, local_waitrequest; - reg illegal_write_reg, illegal_erase_reg, m_illegal_write_reg, m_illegal_erase_reg; - reg read_status_valid, read_sid_valid, read_rdid_valid, read_isr_valid, read_imr_valid; - reg read_status_en, read_sid_en, read_rdid_en; - reg wren_internal; - reg [LOCAL_ADDR_WIDTH-1:0] wr_mem_addr; - reg [7:0] rd_data_reg [4]; - reg [3:0][8:0] wr_data_reg; - reg [1:0] rd_cnt; - reg [1:0] wr_cnt; - reg [3:0] wr_data_reg_full; - reg detect_addroffset_reg, asmi_busy_reg; - reg [2:0] temp_sce; - - // Direct connection - assign asmi_clkin = clk; - assign asmi_reset = ~reset_n; - assign ddasi_dataout = epcq_dataout; - assign epcq_dclk = ddasi_dclk; - assign epcq_scein = ddasi_scein; - assign epcq_sdoin = ddasi_sdoin; - assign epcq_dataoe = ddasi_dataoe; - - // chip select - generate if (DEVICE_FAMILY == "Arria 10") begin - always @(posedge clk or negedge reset_n) begin - if (~reset_n) begin - asmi_sce <= {CS_WIDTH{1'b0}}; - end -// to pack the address space this is needed - else if (write_mem_combi || read_mem_combi) begin - if (CHIP_SELS == 1 ) - asmi_sce <= 3'b001; - else if (CHIP_SELS == 2 && avl_mem_addr[ADDR_WIDTH-1] == 0) - asmi_sce <= 3'b001; - else if (CHIP_SELS == 2 && avl_mem_addr[ADDR_WIDTH-1] == 1) - asmi_sce <= 3'b010; - else if (CHIP_SELS == 3 && avl_mem_addr[ADDR_WIDTH-1] == 1) - asmi_sce <= 3'b100; - else if (CHIP_SELS == 3 && avl_mem_addr[ADDR_WIDTH-1:ADDR_WIDTH-2] == 0) - asmi_sce <= 3'b001; - else if (CHIP_SELS == 3 && avl_mem_addr[ADDR_WIDTH-1:ADDR_WIDTH-2] == 1) - asmi_sce <= 3'b010; - else - asmi_sce <= {CS_WIDTH{1'b0}}; - end - else if (write_sce_combi) begin - asmi_sce <= avl_csr_wrdata[2:0]; - end - else if (asmi_en4b_addr) begin - asmi_sce <= temp_sce; - end - end -// decoder ring if the CHIP_SEL is only 1 then avalon address is the temp address -// if the chipsele is 2 then need to remove top address bit -// if the chipelect is 3 then remove the top 2 address bits. - assign temp_mem_addr = CHIP_SELS == 1 ? avl_mem_addr:( CHIP_SELS == 2 ? {1'b0,avl_mem_addr[ADDR_WIDTH-2:0]}:{2'b00,avl_mem_addr[ADDR_WIDTH-3:0]}); - end - else begin - always @(posedge clk) begin - asmi_sce <= {CS_WIDTH{1'b0}}; - end - assign temp_mem_addr = avl_mem_addr; - end - endgenerate - - // wait_request generation logic - assign avl_mem_waitrequest = (asmi_busy || asmi_busy_reg) ? 1'b1 : (local_waitrequest || wr_mem_waitrequest); - assign avl_csr_waitrequest = (asmi_busy || asmi_busy_reg) ? 1'b1 : (local_waitrequest || wr_mem_waitrequest); - - // access CSR decoding logic - assign access_csr_status = (avl_csr_addr == 3'b000); - assign access_csr_sid = (avl_csr_addr == 3'b001); - assign access_csr_rdid = (avl_csr_addr == 3'b010); - assign access_csr_mem_op = (avl_csr_addr == 3'b011); - assign access_isr = (avl_csr_addr == 3'b100); - assign access_imr = (avl_csr_addr == 3'b101); - assign access_sce = (avl_csr_addr == 3'b110); - - // read/write memory combi logic - assign read_mem_combi = (avl_mem_read && ~avl_mem_waitrequest); - assign write_mem_combi = (avl_mem_write && ~avl_mem_waitrequest); - - // read csr logic - assign read_status_combi = (avl_csr_read && access_csr_status && ~avl_csr_waitrequest); - assign read_sid_combi = (avl_csr_read && access_csr_sid && ~avl_csr_waitrequest); - assign read_rdid_combi = (avl_csr_read && access_csr_rdid && ~avl_csr_waitrequest); - assign read_isr_combi = (avl_csr_read && access_isr && ~avl_csr_waitrequest); - assign read_imr_combi = (avl_csr_read && access_imr && ~avl_csr_waitrequest); - assign write_isr_combi = (avl_csr_write && access_isr && ~avl_csr_waitrequest); - assign write_imr_combi = (avl_csr_write && access_imr && ~avl_csr_waitrequest); - assign write_sce_combi = (avl_csr_write && access_sce && ~avl_csr_waitrequest); - - // write csr logic - assign bulk_erase_combi = (avl_csr_write && access_csr_mem_op && ~avl_csr_waitrequest && avl_csr_wrdata[1:0] == 2'b01); - assign sector_erase_combi = (avl_csr_write && access_csr_mem_op && ~avl_csr_waitrequest && avl_csr_wrdata[1:0] == 2'b10); - assign sector_protect_combi = (avl_csr_write && access_csr_mem_op && ~avl_csr_waitrequest && avl_csr_wrdata[1:0] == 2'b11); - assign illegal_write_combi = (asmi_illegal_write) ? 1'b1 : - (write_isr_combi && avl_csr_wrdata[1]) ? 1'b0 : - illegal_write_reg; - assign illegal_erase_combi = (asmi_illegal_erase) ? 1'b1 : - (write_isr_combi && avl_csr_wrdata[0]) ? 1'b0 : - illegal_erase_reg; - assign m_illegal_write_combi= (write_imr_combi) ? avl_csr_wrdata[1] : m_illegal_write_reg; - assign m_illegal_erase_combi= (write_imr_combi) ? avl_csr_wrdata[0] : m_illegal_erase_reg; - assign wren_combi = (sector_protect_combi || sector_erase_combi || bulk_erase_combi); - - assign asmi_rden = (rd_burstcount_cnt > 9'd0); // deasserted at the last 2 byte - refer to ASMI_PARALLEL UG - - // interrupt signal - assign irq = (illegal_write_reg && m_illegal_write_reg) || (illegal_erase_reg && m_illegal_erase_reg); - - assign last_wr_byte = (wr_burstcount_cnt == wr_mem_burstcount - 9'd1) ? 1'b1 : 1'b0; - - assign asmi_wren = wren_internal || asmi_en4b_addr || asmi_shift_bytes || asmi_write; - - assign data_valid_combi = (rd_burstcount_cnt[1:0] == 2'b00) ? asmi_data_valid : 1'b0; - - assign wfifo_data_in_0 = {avl_mem_byteenable[0], avl_mem_wrdata[7:0] }; - assign wfifo_data_in_1 = {avl_mem_byteenable[1], avl_mem_wrdata[15:8] }; - assign wfifo_data_in_2 = {avl_mem_byteenable[2], avl_mem_wrdata[23:16] }; - assign wfifo_data_in_3 = {avl_mem_byteenable[3], avl_mem_wrdata[31:24] }; - - assign avl_mem_rddata = {rd_data_reg[3], rd_data_reg[2], rd_data_reg[1], rd_data_reg[0]}; - assign pending_wr_data = (|wr_data_reg_full) ? 1'b1 : 1'b0; - assign detect_addroffset = (pending_wr_data && wr_data_reg[wr_cnt][8]) ? 1'b1 : - (wr_burstcount_cnt == {9{1'b0}}) ? 1'b0 : detect_addroffset_reg; - - //-------------------------------- array to store write data ------------------------------------- - always @(posedge clk or negedge reset_n) begin - if (~reset_n) begin - wr_data_reg <= '{{9{1'b0}}, {9{1'b0}}, {9{1'b0}}, {9{1'b0}}}; - wr_data_reg_full <= {4{1'b0}}; - end - else if (write_mem_combi) begin - wr_data_reg <= {wfifo_data_in_3, wfifo_data_in_2, wfifo_data_in_1, wfifo_data_in_0}; - wr_data_reg_full <= {4{1'b1}}; - end - else if (wr_data_reg_full > 4'b0000) begin - wr_data_reg_full <= wr_data_reg_full << 1; - end - end - - //-------------------------------- array to store read data ------------------------------------- - always @(posedge clk or negedge reset_n) begin - if (~reset_n) begin - rd_data_reg <= '{{8{1'b0}}, {8{1'b0}}, {8{1'b0}}, {8{1'b0}}}; - rd_cnt <= {2{1'b0}}; - end - else if (asmi_data_valid) begin - rd_data_reg[rd_cnt] <= asmi_dataout; - rd_cnt <= rd_cnt + 2'b01; - end - end - - //------------------------------- Enable 4-byte addressing out of reset ---------------------- - generate - if (ENABLE_4BYTE_ADDR) begin - typedef enum logic[1:0] {EN4B_CHIP1, EN4B_CHIP2, EN4B_CHIP3, IDLE} state_t; - state_t state; - - always @(posedge clk or negedge reset_n_reg) begin // use reset_n_reg because user is allow to send cmd to ASMI_PARALLEL 2 clock cycles after reset - if (~reset_n_reg) begin - state <= EN4B_CHIP1; - asmi_en4b_addr <= 1'b1; - temp_sce <= 3'b001; - end - else begin - case (state) - EN4B_CHIP1 : begin - asmi_en4b_addr <= 1'b1; - if (~asmi_busy) begin - if (CHIP_SELS > 1) begin - state <= EN4B_CHIP2; - temp_sce <= 3'b010; - end - else begin - state <= IDLE; - temp_sce <= 3'b000; - end - end - end - EN4B_CHIP2 : begin - asmi_en4b_addr <= 1'b1; - if (~asmi_busy) begin - if (CHIP_SELS > 2) begin - state <= EN4B_CHIP3; - temp_sce <= 3'b100; - end - else begin - state <= IDLE; - temp_sce <= 3'b000; - end - end - end - EN4B_CHIP3 : begin - asmi_en4b_addr <= 1'b1; - if (~asmi_busy) begin - state <= IDLE; - temp_sce <= 3'b000; - end - end - IDLE : begin - asmi_en4b_addr <= 1'b0; - state <= IDLE; - temp_sce <= 3'b000; - end - default : begin - asmi_en4b_addr <= 1'b0; - state <= IDLE; - temp_sce <= 3'b000; - end - endcase - end - end - end - else begin - always @(posedge clk) begin - asmi_en4b_addr <= 1'b0; - temp_sce <= 3'b000; - end - end - endgenerate - - //--------------------------------------- Waitrequest logic ---------------------------------- - always @(posedge clk or negedge reset_n) begin - if (~reset_n) begin - wr_mem_waitrequest <= 1'b0; - local_waitrequest <= 1'b0; - end - else begin - if (read_mem_combi || read_status_combi || read_sid_combi || read_rdid_combi || bulk_erase_combi || sector_erase_combi || sector_protect_combi || asmi_en4b_addr) begin // no back pressure during imr & isr access - local_waitrequest <= 1'b1; - end - else if (asmi_busy_reg && ~asmi_busy) begin - local_waitrequest <= 1'b0; - end - - if (write_mem_combi) begin - wr_mem_waitrequest <= 1'b1; - end - else if ((~pending_wr_data && ~asmi_write) || asmi_busy_reg && ~asmi_busy) begin - wr_mem_waitrequest <= 1'b0; - end - end - end - - // -------------------------------------- MEM ACCESS ----------------------------------------- - always @(posedge clk or negedge reset_n) begin - if (~reset_n) begin - rd_mem_burstcount <= {9{1'b0}}; - wr_mem_burstcount <= {9{1'b0}}; - wr_mem_addr <= {LOCAL_ADDR_WIDTH{1'b0}}; - end - else begin - if (read_mem_combi) begin - rd_mem_burstcount <= {avl_mem_burstcount, 2'b00}; - end - if (write_mem_combi && (wr_burstcount_cnt == {9{1'b0}})) begin - wr_mem_addr <= {temp_mem_addr, 2'b00}; - wr_mem_burstcount <= {avl_mem_burstcount, 2'b00}; - end - end - end - - always @(posedge clk or negedge reset_n) begin - if (~reset_n) begin - wr_burstcount_cnt <= {9{1'b0}}; - end - else begin - if (pending_wr_data) begin - wr_burstcount_cnt <= wr_burstcount_cnt + 9'd1; - end - else if (wr_burstcount_cnt == wr_mem_burstcount) begin - wr_burstcount_cnt <= {9{1'b0}}; - end - end - end - - always @(posedge clk or negedge reset_n) begin - if (~reset_n) begin - rd_burstcount_cnt <= {9{1'b0}}; - end - else begin - if (read_mem_combi) begin - rd_burstcount_cnt <= 9'd1; - end - else if (rd_burstcount_cnt == rd_mem_burstcount) begin // each rd 4 burst - rd_burstcount_cnt <= {9{1'b0}}; - end - else if (asmi_data_valid && rd_burstcount_cnt > 0) begin - rd_burstcount_cnt <= rd_burstcount_cnt + 9'd1; - end - end - end - - always @(posedge clk or negedge reset_n) begin - if (~reset_n) begin - asmi_addr <= {ASMI_ADDR_WIDTH{1'b0}}; - end - else begin - if (sector_erase_combi) begin // set lower 16 bits to zero so that erase at starting address of each sector - asmi_addr <= {avl_csr_wrdata[LAST_ADDR_BIT : 8], {16{1'b0}}}; - end - if (read_mem_combi) begin - asmi_addr <= {temp_mem_addr, 2'b00}; - end - - if (detect_addroffset && ~detect_addroffset_reg) begin - asmi_addr <= wr_mem_addr + {{LOCAL_ADDR_WIDTH-9{1'b0}}, wr_burstcount_cnt}; - end - - end - end - - always @(posedge clk or negedge reset_n) begin - if (~reset_n) begin - asmi_datain <= {8{1'b0}}; - wr_cnt <= {2{1'b0}}; - asmi_shift_bytes <= 1'b0; - end - else begin - if (sector_protect_combi) begin - asmi_datain <= {{1{1'b0}}, avl_csr_wrdata[11], avl_csr_wrdata[12], avl_csr_wrdata[10:8], {2{1'b0}}}; // BP3, TB, BP2, BP1, BP0 - end - if (pending_wr_data) begin - asmi_datain <= wr_data_reg[wr_cnt][7:0]; - wr_cnt <= wr_cnt + 2'd1; - end - if (pending_wr_data && wr_data_reg[wr_cnt][8]) begin - asmi_shift_bytes <= 1'b1; - end - else begin - asmi_shift_bytes <= 1'b0; - end - end - end - - always @(posedge clk or negedge reset_n) begin - if (~reset_n) begin - asmi_read_status <= 1'b0; - asmi_read_sid <= 1'b0; - asmi_read_rdid <= 1'b0; - asmi_bulk_erase <= 1'b0; - asmi_sector_erase <= 1'b0; - asmi_sector_protect <= 1'b0; - wren_internal <= 1'b0; - asmi_write <= 1'b0; - asmi_fast_read <= 1'b0; - asmi_busy_reg <= 1'b0; - avl_mem_rddata_valid <= 1'b0; - detect_addroffset_reg <= 1'b0; - reset_n_reg <= 1'b0; - end - else begin - asmi_read_status <= read_status_combi; - asmi_read_sid <= read_sid_combi; - asmi_read_rdid <= read_rdid_combi; - asmi_bulk_erase <= bulk_erase_combi; - asmi_sector_erase <= sector_erase_combi; - asmi_sector_protect <= sector_protect_combi; - wren_internal <= wren_combi; - asmi_write <= last_wr_byte; - asmi_fast_read <= read_mem_combi; - asmi_busy_reg <= asmi_busy; - avl_mem_rddata_valid <= data_valid_combi; - detect_addroffset_reg <= detect_addroffset; - reset_n_reg <= 1'b1; - end - end - - // --------------------------------------------- CSR ACCESS ------------------------------------- - always @(posedge clk or negedge reset_n) begin - if (~reset_n) begin - illegal_write_reg <= 1'b0; - illegal_erase_reg <= 1'b0; - m_illegal_write_reg <= 1'b0; - m_illegal_erase_reg <= 1'b0; - end - else begin - illegal_write_reg <= illegal_write_combi; - illegal_erase_reg <= illegal_erase_combi; - m_illegal_write_reg <= m_illegal_write_combi; - m_illegal_erase_reg <= m_illegal_erase_combi; - end - end - - // csr read only registers enable logic - always @(posedge clk or negedge reset_n) begin - if (~reset_n) begin - read_status_en <= 1'b0; - read_sid_en <= 1'b0; - read_rdid_en <= 1'b0; - end - else if (asmi_read_status) begin - read_status_en <= 1'b1; - end - else if (asmi_read_sid) begin - read_sid_en <= 1'b1; - end - else if (asmi_read_rdid) begin - read_rdid_en <= 1'b1; - end - else if (asmi_busy == 0) begin - read_status_en <= 1'b0; - read_sid_en <= 1'b0; - read_rdid_en <= 1'b0; - end - end - - // generation logic for avl csr read data valid - assign avl_csr_rddata_valid = read_status_valid || read_sid_valid || read_rdid_valid || read_isr_valid || read_imr_valid; - - always @(posedge clk or negedge reset_n) begin - if (~reset_n) begin - read_status_valid <= 1'b0; - read_sid_valid <= 1'b0; - read_rdid_valid <= 1'b0; - read_isr_valid <= 1'b0; - read_imr_valid <= 1'b0; - end - else begin - if (read_status_en && asmi_busy == 0) begin - read_status_valid <= 1'b1; - end - else begin - read_status_valid <= 1'b0; - end - - if (read_sid_en && asmi_busy == 0) begin - read_sid_valid <= 1'b1; - end - else begin - read_sid_valid <= 1'b0; - end - - if (read_rdid_en && asmi_busy == 0) begin - read_rdid_valid <= 1'b1; - end - else begin - read_rdid_valid <= 1'b0; - end - - if (read_isr_combi) begin - read_isr_valid <= 1'b1; - end - else begin - read_isr_valid <= 1'b0; - end - - if (read_imr_combi) begin - read_imr_valid <= 1'b1; - end - else begin - read_imr_valid <= 1'b0; - end - end - end - - // generation logic for avl csr read data - always @(posedge clk or negedge reset_n) begin - if (~reset_n) begin - avl_csr_rddata <= {CSR_DATA_WIDTH{1'b0}}; - end - else begin - if (read_status_en && asmi_busy == 0) begin - avl_csr_rddata <= {{CSR_DATA_WIDTH-8{1'b0}}, asmi_status_out}; - end - if (read_sid_en && asmi_busy == 0) begin - avl_csr_rddata <= {{CSR_DATA_WIDTH-8{1'b0}}, asmi_epcs_id}; - end - if (read_rdid_en && asmi_busy == 0) begin - avl_csr_rddata <= {{CSR_DATA_WIDTH-8{1'b0}}, asmi_rdid_out}; - end - if (read_isr_combi) begin - avl_csr_rddata <= {{CSR_DATA_WIDTH-2{1'b0}}, illegal_write_reg, illegal_erase_reg}; - end - if (read_imr_combi) begin - avl_csr_rddata <= {{CSR_DATA_WIDTH-2{1'b0}}, m_illegal_write_reg, m_illegal_erase_reg}; - end - end - end - - -endmodule diff --git a/ip/altera_epcq_controller_mod/altera_epcq_controller_arb.sv b/ip/altera_epcq_controller_mod/altera_epcq_controller_arb.sv deleted file mode 100644 index 41d9481..0000000 --- a/ip/altera_epcq_controller_mod/altera_epcq_controller_arb.sv +++ /dev/null @@ -1,230 +0,0 @@ -// (C) 2001-2015 Altera Corporation. All rights reserved. -// Your use of Altera Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License Subscription -// Agreement, Altera MegaCore Function License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -// (C) 2001-2014 Altera Corporation. All rights reserved. -// Your use of Altera Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License Subscription -// Agreement, Altera MegaCore Function License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the applicable -// agreement for further details. - -`timescale 1ps / 1ps - -module altera_epcq_controller_arb #( - parameter CS_WIDTH = 1, - parameter ENABLE_4BYTE_ADDR = 1, - parameter ADDR_WIDTH = 22, - parameter ASI_WIDTH = 1, - parameter DEVICE_FAMILY = "CYCLONE V", - parameter ASMI_ADDR_WIDTH = 22, - parameter CHIP_SELS = 1 -)( - input wire clk, - input wire reset_n, - - // ports to access csr - input wire avl_csr_write, - input wire avl_csr_read, - input wire [2:0] avl_csr_addr, - input wire [31:0] avl_csr_wrdata, - output reg [31:0] avl_csr_rddata, - output reg avl_csr_rddata_valid, - output reg avl_csr_waitrequest, - - // ports to access memory - input wire avl_mem_write, - input wire avl_mem_read, - input wire [ADDR_WIDTH-1:0] avl_mem_addr, - input wire [31:0] avl_mem_wrdata, - input wire [3:0] avl_mem_byteenable, - input wire [6:0] avl_mem_burstcount, - output wire [31:0] avl_mem_rddata, - output reg avl_mem_rddata_valid, - output reg avl_mem_waitrequest, - - // interrupt signal - output reg irq, - - // Disable dedicated active serial interface - input wire [ASI_WIDTH-1:0] epcq_dataout, - output reg epcq_dclk, - output reg [CS_WIDTH-1:0] epcq_scein, - output reg [ASI_WIDTH-1:0] epcq_sdoin, - output reg [ASI_WIDTH-1:0] epcq_dataoe, - - // ASMI PARALLEL interface - input wire [ASI_WIDTH-1:0] ddasi_dataoe, - output reg [ASI_WIDTH-1:0] ddasi_dataout, - input wire ddasi_dclk, - input wire [CS_WIDTH-1:0] ddasi_scein, - input reg [ASI_WIDTH-1:0] ddasi_sdoin, - - input wire asmi_busy, - input wire asmi_data_valid, - input wire [7:0] asmi_dataout, - output reg asmi_clkin, - output reg asmi_reset, - output reg [CS_WIDTH-1:0] asmi_sce, - output reg [ASMI_ADDR_WIDTH-1:0] asmi_addr, - output reg [7:0] asmi_datain, - output reg asmi_fast_read, - output wire asmi_rden, - output reg asmi_shift_bytes, - output reg asmi_en4b_addr, - output wire asmi_wren, - output reg asmi_write, - - input wire asmi_illegal_erase, - input wire asmi_illegal_write, - input wire [7:0] asmi_rdid_out, - input wire [7:0] asmi_status_out, - input wire [7:0] asmi_epcs_id, - output reg asmi_read_rdid, - output reg asmi_read_status, - output reg asmi_read_sid, - output reg asmi_bulk_erase, - output reg asmi_sector_erase, - output reg asmi_sector_protect -); - - reg temp_mem_write, temp_mem_read, mem_write, mem_read, back_pressured_ctrl; - reg [ADDR_WIDTH-1:0] temp_mem_addr, mem_addr; - reg [31:0] temp_mem_wrdata, mem_wrdata; - reg [3:0] temp_mem_byteenable, mem_byteenable; - reg [6:0] temp_mem_burstcount, mem_burstcount; - - wire back_pressured, temp_csr_waitrequest, temp_mem_waitrequest; - - //-------------------- Arbitration logic between avalon csr and mem interface ----------- - always @(posedge clk or negedge reset_n) begin - if (~reset_n) begin - back_pressured_ctrl <= 1'b0; - end - else if (back_pressured) begin - back_pressured_ctrl <= 1'b1; - end - else if (~temp_csr_waitrequest) begin - back_pressured_ctrl <= 1'b0; - end - end - - always @(posedge clk or negedge reset_n) begin - if (~reset_n) begin - mem_write <= 1'b0; - mem_read <= 1'b0; - mem_addr <= {ADDR_WIDTH{1'b0}}; - mem_wrdata <= {32{1'b0}}; - mem_byteenable <= {4{1'b0}}; - mem_burstcount <= {7{1'b0}}; - end - else if ((avl_csr_write || avl_csr_read) && ~avl_csr_waitrequest && (avl_mem_write || avl_mem_read) && ~avl_mem_waitrequest) begin - // to back pressure master - mem_write <= avl_mem_write; - mem_read <= avl_mem_read; - mem_addr <= avl_mem_addr; - mem_wrdata <= avl_mem_wrdata; - mem_byteenable <= avl_mem_byteenable; - mem_burstcount <= avl_mem_burstcount; - end - end - - assign back_pressured = ((avl_csr_write || avl_csr_read) && ~temp_csr_waitrequest && (avl_mem_write || avl_mem_read)) ? 1'b1 : 1'b0; // to back pressure controller - assign avl_csr_waitrequest = (~avl_csr_write && ~avl_csr_read && back_pressured_ctrl) ? 1'b1 : temp_csr_waitrequest; - assign avl_mem_waitrequest = (back_pressured_ctrl) ? 1'b1 : temp_mem_waitrequest; - assign temp_mem_write = (back_pressured) ? 1'b0 : - (back_pressured_ctrl) ? mem_write : avl_mem_write; - assign temp_mem_read = (back_pressured) ? 1'b0 : - (back_pressured_ctrl) ? mem_read : avl_mem_read; - assign temp_mem_addr = (back_pressured) ? {ADDR_WIDTH{1'b0}} : - (back_pressured_ctrl) ? mem_addr : avl_mem_addr; - assign temp_mem_wrdata = (back_pressured) ? {32{1'b0}} : - (back_pressured_ctrl) ? mem_wrdata : avl_mem_wrdata; - assign temp_mem_byteenable = (back_pressured) ? {4{1'b0}} : - (back_pressured_ctrl) ? mem_byteenable : avl_mem_byteenable; - assign temp_mem_burstcount = (back_pressured) ? {7{1'b0}} : - (back_pressured_ctrl) ? mem_burstcount : avl_mem_burstcount; - - - //---------------------------------------------------------------------------------------// - - altera_epcq_controller #( - .CS_WIDTH (CS_WIDTH), - .DEVICE_FAMILY (DEVICE_FAMILY), - .ADDR_WIDTH (ADDR_WIDTH), - .ASMI_ADDR_WIDTH (ASMI_ADDR_WIDTH), - .ASI_WIDTH (ASI_WIDTH), - .CHIP_SELS (CHIP_SELS), - .ENABLE_4BYTE_ADDR (ENABLE_4BYTE_ADDR) - ) controller ( - .clk (clk), - .reset_n (reset_n), - .avl_csr_read (avl_csr_read), - .avl_csr_waitrequest (temp_csr_waitrequest), - .avl_csr_write (avl_csr_write), - .avl_csr_addr (avl_csr_addr), - .avl_csr_wrdata (avl_csr_wrdata), - .avl_csr_rddata (avl_csr_rddata), - .avl_csr_rddata_valid (avl_csr_rddata_valid), - .avl_mem_write (temp_mem_write), - .avl_mem_burstcount (temp_mem_burstcount), - .avl_mem_waitrequest (temp_mem_waitrequest), - .avl_mem_read (temp_mem_read), - .avl_mem_addr (temp_mem_addr), - .avl_mem_wrdata (temp_mem_wrdata), - .avl_mem_byteenable (temp_mem_byteenable), - .avl_mem_rddata (avl_mem_rddata), - .avl_mem_rddata_valid (avl_mem_rddata_valid), - .asmi_status_out (asmi_status_out), - .asmi_epcs_id (asmi_epcs_id), - .asmi_illegal_erase (asmi_illegal_erase), - .asmi_illegal_write (asmi_illegal_write), - .ddasi_dataoe (ddasi_dataoe), - .ddasi_dclk (ddasi_dclk), - .ddasi_scein (ddasi_scein), - .ddasi_sdoin (ddasi_sdoin), - .asmi_busy (asmi_busy), - .asmi_data_valid (asmi_data_valid), - .asmi_dataout (asmi_dataout), - .epcq_dataout (epcq_dataout), - .ddasi_dataout (ddasi_dataout), - .asmi_read_rdid (asmi_read_rdid), - .asmi_read_status (asmi_read_status), - .asmi_read_sid (asmi_read_sid), - .asmi_bulk_erase (asmi_bulk_erase), - .asmi_sector_erase (asmi_sector_erase), - .asmi_sector_protect (asmi_sector_protect), - .epcq_dclk (epcq_dclk), - .epcq_scein (epcq_scein), - .epcq_sdoin (epcq_sdoin), - .epcq_dataoe (epcq_dataoe), - .asmi_clkin (asmi_clkin), - .asmi_reset (asmi_reset), - .asmi_sce (asmi_sce), - .asmi_addr (asmi_addr), - .asmi_datain (asmi_datain), - .asmi_fast_read (asmi_fast_read), - .asmi_rden (asmi_rden), - .asmi_shift_bytes (asmi_shift_bytes), - .asmi_wren (asmi_wren), - .asmi_write (asmi_write), - .asmi_rdid_out (asmi_rdid_out), - .asmi_en4b_addr (asmi_en4b_addr), - .irq (irq) - ); - -endmodule diff --git a/ip/altera_epcq_controller_mod/altera_epcq_controller_fifo.v b/ip/altera_epcq_controller_mod/altera_epcq_controller_fifo.v deleted file mode 100644 index 6e4c212..0000000 --- a/ip/altera_epcq_controller_mod/altera_epcq_controller_fifo.v +++ /dev/null @@ -1,176 +0,0 @@ -// (C) 2001-2015 Altera Corporation. All rights reserved. -// Your use of Altera Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License Subscription -// Agreement, Altera MegaCore Function License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -// megafunction wizard: %FIFO% -// GENERATION: STANDARD -// VERSION: WM1.0 -// MODULE: scfifo - -// ============================================================ -// File Name: altera_epcq_controller_fifo.v -// Megafunction Name(s): -// scfifo -// -// Simulation Library Files(s): -// altera_mf -// ============================================================ -// ************************************************************ -// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -// -// 14.1.0 Internal Build 64 05/14/2014 PN Full Version -// ************************************************************ - - -//Copyright (C) 1991-2014 Altera Corporation. All rights reserved. -//Your use of Altera Corporation's design tools, logic functions -//and other software and tools, and its AMPP partner logic -//functions, and any output files from any of the foregoing -//(including device programming or simulation files), and any -//associated documentation or information are expressly subject -//to the terms and conditions of the Altera Program License -//Subscription Agreement, the Altera Quartus II License Agreement, -//the Altera MegaCore Function License Agreement, or other -//applicable license agreement, including, without limitation, -//that your use is for the sole purpose of programming logic -//devices manufactured by Altera and sold by Altera or its -//authorized distributors. Please refer to the applicable -//agreement for further details. - - -// synopsys translate_off -`timescale 1 ps / 1 ps -// synopsys translate_on -module altera_epcq_controller_fifo #( - parameter DEVICE_FAMILY = "CYCLONE V", - parameter MEMORY_TYPE = "RAM_BLOCK_TYPE=MLAB" -)( - clock, - data, - rdreq, - wrreq, - empty, - full, - q); - - input clock; - input [35:0] data; - input rdreq; - input wrreq; - output empty; - output full; - output [35:0] q; - - wire sub_wire0; - wire sub_wire1; - wire [35:0] sub_wire2; - wire empty = sub_wire0; - wire full = sub_wire1; - wire [35:0] q = sub_wire2[35:0]; - - scfifo scfifo_component ( - .clock (clock), - .data (data), - .rdreq (rdreq), - .wrreq (wrreq), - .empty (sub_wire0), - .full (sub_wire1), - .q (sub_wire2), - .aclr (), - .almost_empty (), - .almost_full (), - .sclr (), - .usedw ()); - defparam - scfifo_component.add_ram_output_register = "OFF", - scfifo_component.intended_device_family = DEVICE_FAMILY, - scfifo_component.lpm_hint = MEMORY_TYPE, - scfifo_component.lpm_numwords = 1024, - scfifo_component.lpm_showahead = "ON", - scfifo_component.lpm_type = "scfifo", - scfifo_component.lpm_width = 36, - scfifo_component.lpm_widthu = 10, - scfifo_component.overflow_checking = "ON", - scfifo_component.underflow_checking = "ON", - scfifo_component.use_eab = "ON"; - - -endmodule - -// ============================================================ -// CNX file retrieval info -// ============================================================ -// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0" -// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1" -// Retrieval info: PRIVATE: AlmostFull NUMERIC "0" -// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1" -// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0" -// Retrieval info: PRIVATE: Clock NUMERIC "0" -// Retrieval info: PRIVATE: Depth NUMERIC "1024" -// Retrieval info: PRIVATE: Empty NUMERIC "1" -// Retrieval info: PRIVATE: Full NUMERIC "1" -// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV GX" -// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0" -// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0" -// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0" -// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0" -// Retrieval info: PRIVATE: Optimize NUMERIC "0" -// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "2" -// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0" -// Retrieval info: PRIVATE: UsedW NUMERIC "0" -// Retrieval info: PRIVATE: Width NUMERIC "8" -// Retrieval info: PRIVATE: dc_aclr NUMERIC "0" -// Retrieval info: PRIVATE: diff_widths NUMERIC "0" -// Retrieval info: PRIVATE: msb_usedw NUMERIC "0" -// Retrieval info: PRIVATE: output_width NUMERIC "8" -// Retrieval info: PRIVATE: rsEmpty NUMERIC "1" -// Retrieval info: PRIVATE: rsFull NUMERIC "0" -// Retrieval info: PRIVATE: rsUsedW NUMERIC "0" -// Retrieval info: PRIVATE: sc_aclr NUMERIC "0" -// Retrieval info: PRIVATE: sc_sclr NUMERIC "0" -// Retrieval info: PRIVATE: wsEmpty NUMERIC "0" -// Retrieval info: PRIVATE: wsFull NUMERIC "1" -// Retrieval info: PRIVATE: wsUsedW NUMERIC "0" -// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF" -// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV GX" -// Retrieval info: CONSTANT: LPM_HINT STRING "RAM_BLOCK_TYPE=M9K" -// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "1024" -// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON" -// Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo" -// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8" -// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "10" -// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON" -// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON" -// Retrieval info: CONSTANT: USE_EAB STRING "ON" -// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock" -// Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL "data[7..0]" -// Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL "empty" -// Retrieval info: USED_PORT: full 0 0 0 0 OUTPUT NODEFVAL "full" -// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" -// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL "rdreq" -// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL "wrreq" -// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 -// Retrieval info: CONNECT: @data 0 0 8 0 data 0 0 8 0 -// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0 -// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0 -// Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0 -// Retrieval info: CONNECT: full 0 0 0 0 @full 0 0 0 0 -// Retrieval info: CONNECT: q 0 0 8 0 @q 0 0 8 0 -// Retrieval info: GEN_FILE: TYPE_NORMAL fifo.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL fifo.inc FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL fifo.cmp FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL fifo.bsf FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_inst.v FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_bb.v FALSE -// Retrieval info: LIB_FILE: altera_mf diff --git a/ip/altera_epcq_controller_mod/altera_epcq_controller_hw.tcl b/ip/altera_epcq_controller_mod/altera_epcq_controller_hw.tcl deleted file mode 100644 index 13a9cfe..0000000 --- a/ip/altera_epcq_controller_mod/altera_epcq_controller_hw.tcl +++ /dev/null @@ -1,453 +0,0 @@ -# (C) 2001-2015 Altera Corporation. All rights reserved. -# Your use of Altera Corporation's design tools, logic functions and other -# software and tools, and its AMPP partner logic functions, and any output -# files any of the foregoing (including device programming or simulation -# files), and any associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License Subscription -# Agreement, Altera MegaCore Function License Agreement, or other applicable -# license agreement, including, without limitation, that your use is for the -# sole purpose of programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the applicable -# agreement for further details. - - -# TCL File Generated by Component Editor 14.1 -# Fri May 09 18:08:10 MYT 2014 -# DO NOT MODIFY - - -# -# altera_epcq_controller_core "Altera EPCQ Serial Flash controller core" v14.1 -# Altera Coorperation 2014.05.23.15:01:29 -# This component is a serial flash controller which allows user to access Altera EPCQ devices -# - -# -# request TCL package from ACDS 14.1 -# -package require -exact qsys 14.1 - - - -# -# module altera_epcq_controller -# -set_module_property DESCRIPTION "This component is a serial flash controller which allows user to access Altera EPCQ devices" -set_module_property NAME altera_epcq_controller_core -set_module_property VERSION 19.1 -set_module_property INTERNAL true -set_module_property OPAQUE_ADDRESS_MAP true -set_module_property AUTHOR "Altera Corporation" -set_module_property DISPLAY_NAME "Altera EPCQ Serial Flash controller core" -set_module_property INSTANTIATE_IN_SYSTEM_MODULE true -set_module_property HIDE_FROM_QUARTUS true -set_module_property EDITABLE true -set_module_property REPORT_TO_TALKBACK false -set_module_property ALLOW_GREYBOX_GENERATION false -set_module_property REPORT_HIERARCHY false -set_module_property VALIDATION_CALLBACK "validate" -# -# file sets -# -add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" -set_fileset_property QUARTUS_SYNTH TOP_LEVEL altera_epcq_controller_arb -set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false -set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false -add_fileset_file altera_epcq_controller_arb.sv SYSTEM_VERILOG PATH altera_epcq_controller_arb.sv TOP_LEVEL_FILE -add_fileset_file altera_epcq_controller.sv SYSTEM_VERILOG PATH altera_epcq_controller.sv - -add_fileset SIM_VERILOG SIM_VERILOG "" "" -set_fileset_property SIM_VERILOG TOP_LEVEL altera_epcq_controller_arb -set_fileset_property SIM_VERILOG ENABLE_RELATIVE_INCLUDE_PATHS false -set_fileset_property SIM_VERILOG ENABLE_FILE_OVERWRITE_MODE true -add_fileset_file altera_epcq_controller_arb.sv SYSTEM_VERILOG PATH altera_epcq_controller_arb.sv TOP_LEVEL_FILE -add_fileset_file altera_epcq_controller.sv SYSTEM_VERILOG PATH altera_epcq_controller.sv - -# -# add system info parameter -add_parameter deviceFeaturesSystemInfo STRING "None" -set_parameter_property deviceFeaturesSystemInfo system_info "DEVICE_FEATURES" -set_parameter_property deviceFeaturesSystemInfo VISIBLE false - -# -# parameters -# -add_parameter DEVICE_FAMILY STRING "" -set_parameter_property DEVICE_FAMILY SYSTEM_INFO "DEVICE_FAMILY" -set_parameter_property DEVICE_FAMILY HDL_PARAMETER true -set_parameter_property DEVICE_FAMILY VISIBLE false - -add_parameter ADDR_WIDTH INTEGER 19 -set_parameter_property ADDR_WIDTH DEFAULT_VALUE 19 -set_parameter_property ADDR_WIDTH DISPLAY_NAME ADDR_WIDTH -set_parameter_property ADDR_WIDTH DERIVED true -set_parameter_property ADDR_WIDTH TYPE INTEGER -set_parameter_property ADDR_WIDTH VISIBLE false -set_parameter_property ADDR_WIDTH UNITS None -set_parameter_property ADDR_WIDTH ALLOWED_RANGES {19, 20, 21, 22, 23, 24, 25, 26, 27, 28} -set_parameter_property ADDR_WIDTH HDL_PARAMETER true - -add_parameter ASMI_ADDR_WIDTH INTEGER 24 -set_parameter_property ASMI_ADDR_WIDTH DEFAULT_VALUE 24 -set_parameter_property ASMI_ADDR_WIDTH DISPLAY_NAME ASMI_ADDR_WIDTH -set_parameter_property ASMI_ADDR_WIDTH DERIVED true -set_parameter_property ASMI_ADDR_WIDTH TYPE INTEGER -set_parameter_property ASMI_ADDR_WIDTH VISIBLE false -set_parameter_property ASMI_ADDR_WIDTH UNITS None -set_parameter_property ASMI_ADDR_WIDTH ALLOWED_RANGES {24, 32} -set_parameter_property ASMI_ADDR_WIDTH HDL_PARAMETER true - -add_parameter ASI_WIDTH INTEGER 1 -set_parameter_property ASI_WIDTH DEFAULT_VALUE 1 -set_parameter_property ASI_WIDTH DISPLAY_NAME ASI_WIDTH -set_parameter_property ASI_WIDTH DERIVED true -set_parameter_property ASI_WIDTH TYPE INTEGER -set_parameter_property ASI_WIDTH VISIBLE false -set_parameter_property ASI_WIDTH UNITS None -set_parameter_property ASI_WIDTH ALLOWED_RANGES {1, 4} -set_parameter_property ASI_WIDTH HDL_PARAMETER true - -add_parameter CS_WIDTH INTEGER 1 -set_parameter_property CS_WIDTH DEFAULT_VALUE 1 -set_parameter_property CS_WIDTH DISPLAY_NAME CS_WIDTH -set_parameter_property CS_WIDTH DERIVED true -set_parameter_property CS_WIDTH TYPE INTEGER -set_parameter_property CS_WIDTH VISIBLE false -set_parameter_property CS_WIDTH UNITS None -set_parameter_property CS_WIDTH ALLOWED_RANGES {1, 3} -set_parameter_property CS_WIDTH HDL_PARAMETER true - -add_parameter CHIP_SELS INTEGER "1" -set_parameter_property CHIP_SELS DISPLAY_NAME "Number of Chip Selects used" -set_parameter_property CHIP_SELS ALLOWED_RANGES {1 2 3} -set_parameter_property CHIP_SELS DESCRIPTION "Number of EPCQ(L) devices that are attached and need a CHIPSEL" -set_parameter_property CHIP_SELS HDL_PARAMETER true -set_parameter_property CHIP_SELS AFFECTS_GENERATION true - -add_parameter DDASI INTEGER "0" -set_parameter_property DDASI DISPLAY_NAME "Disable dedicated Active Serial interface" -set_parameter_property DDASI DESCRIPTION "Check to route ASMIBLOCK signals to top level of design" -set_parameter_property DDASI AFFECTS_GENERATION true -set_parameter_property DDASI VISIBLE false -set_parameter_property DDASI DERIVED false - -add_parameter ENABLE_4BYTE_ADDR INTEGER "0" -set_parameter_property ENABLE_4BYTE_ADDR DISPLAY_NAME "Enable 4-byte addressing mode" -set_parameter_property ENABLE_4BYTE_ADDR DESCRIPTION "Check to enable 4-byte addressing mode for device larger than 128Mbyte" -set_parameter_property ENABLE_4BYTE_ADDR AFFECTS_GENERATION true -set_parameter_property ENABLE_4BYTE_ADDR VISIBLE false -set_parameter_property ENABLE_4BYTE_ADDR HDL_PARAMETER true -set_parameter_property ENABLE_4BYTE_ADDR DERIVED true - -# SPI device selection -add_parameter FLASH_TYPE STRING "EPCQ16" -set_parameter_property FLASH_TYPE DISPLAY_NAME "Configuration device type" -set_parameter_property FLASH_TYPE DESCRIPTION "Select targeted EPCS/EPCQ devices" -set_parameter_property FLASH_TYPE AFFECTS_GENERATION true -set_parameter_property FLASH_TYPE VISIBLE true -set_parameter_property FLASH_TYPE DERIVED false - -add_parameter IO_MODE STRING "STANDARD" -set_parameter_property IO_MODE DISPLAY_NAME "Choose I/O mode" -set_parameter_property IO_MODE ALLOWED_RANGES {"STANDARD" "QUAD"} -set_parameter_property IO_MODE DESCRIPTION "Select extended data width when Fast Read operation is enabled" - -# -# display items -# - - -# -# connection point clock_sink -# -add_interface clock_sink clock end -set_interface_property clock_sink clockRate 0 -set_interface_property clock_sink ENABLED true -set_interface_property clock_sink EXPORT_OF "" -set_interface_property clock_sink PORT_NAME_MAP "" -set_interface_property clock_sink CMSIS_SVD_VARIABLES "" -set_interface_property clock_sink SVD_ADDRESS_GROUP "" - -add_interface_port clock_sink clk clk Input 1 - - -# -# connection point reset -# -add_interface reset reset end -set_interface_property reset associatedClock clock_sink -set_interface_property reset synchronousEdges DEASSERT -set_interface_property reset ENABLED true -set_interface_property reset EXPORT_OF "" -set_interface_property reset PORT_NAME_MAP "" -set_interface_property reset CMSIS_SVD_VARIABLES "" -set_interface_property reset SVD_ADDRESS_GROUP "" - -add_interface_port reset reset_n reset_n Input 1 - - -# -# connection point avl_csr -# -add_interface avl_csr avalon end -set_interface_property avl_csr addressUnits WORDS -set_interface_property avl_csr associatedClock clock_sink -set_interface_property avl_csr associatedReset reset -set_interface_property avl_csr bitsPerSymbol 8 -set_interface_property avl_csr burstOnBurstBoundariesOnly false -set_interface_property avl_csr burstcountUnits WORDS -set_interface_property avl_csr explicitAddressSpan 0 -set_interface_property avl_csr holdTime 0 -set_interface_property avl_csr linewrapBursts false -set_interface_property avl_csr maximumPendingReadTransactions 1 -set_interface_property avl_csr maximumPendingWriteTransactions 0 -set_interface_property avl_csr readLatency 0 -set_interface_property avl_csr readWaitTime 0 -set_interface_property avl_csr setupTime 0 -set_interface_property avl_csr timingUnits Cycles -set_interface_property avl_csr writeWaitTime 0 -set_interface_property avl_csr ENABLED true -set_interface_property avl_csr EXPORT_OF "" -set_interface_property avl_csr PORT_NAME_MAP "" -set_interface_property avl_csr CMSIS_SVD_VARIABLES "" -set_interface_property avl_csr SVD_ADDRESS_GROUP "" - -add_interface_port avl_csr avl_csr_read read Input 1 -add_interface_port avl_csr avl_csr_waitrequest waitrequest Output 1 -add_interface_port avl_csr avl_csr_write write Input 1 -add_interface_port avl_csr avl_csr_addr address Input 3 -add_interface_port avl_csr avl_csr_wrdata writedata Input 32 -add_interface_port avl_csr avl_csr_rddata readdata Output 32 -add_interface_port avl_csr avl_csr_rddata_valid readdatavalid Output 1 - - -# -# connection point avl_mem -# -add_interface avl_mem avalon end -set_interface_property avl_mem addressUnits WORDS -set_interface_property avl_mem associatedClock clock_sink -set_interface_property avl_mem associatedReset reset -set_interface_property avl_mem bitsPerSymbol 8 -set_interface_property avl_mem burstOnBurstBoundariesOnly false -set_interface_property avl_mem burstcountUnits WORDS -set_interface_property avl_mem explicitAddressSpan 0 -set_interface_property avl_mem holdTime 0 -set_interface_property avl_mem linewrapBursts true -set_interface_property avl_mem maximumPendingReadTransactions 1 -set_interface_property avl_mem maximumPendingWriteTransactions 0 -set_interface_property avl_mem constantBurstBehavior true -set_interface_property avl_mem readLatency 0 -set_interface_property avl_mem readWaitTime 0 -set_interface_property avl_mem setupTime 0 -set_interface_property avl_mem timingUnits Cycles -set_interface_property avl_mem writeWaitTime 0 -set_interface_property avl_mem ENABLED true -set_interface_property avl_mem EXPORT_OF "" -set_interface_property avl_mem PORT_NAME_MAP "" -set_interface_property avl_mem CMSIS_SVD_VARIABLES "" -set_interface_property avl_mem SVD_ADDRESS_GROUP "" - -add_interface_port avl_mem avl_mem_write write Input 1 -add_interface_port avl_mem avl_mem_burstcount burstcount Input 7 -add_interface_port avl_mem avl_mem_waitrequest waitrequest Output 1 -add_interface_port avl_mem avl_mem_read read Input 1 -add_interface_port avl_mem avl_mem_addr address Input ADDR_WIDTH -add_interface_port avl_mem avl_mem_wrdata writedata Input 32 -add_interface_port avl_mem avl_mem_rddata readdata Output 32 -add_interface_port avl_mem avl_mem_rddata_valid readdatavalid Output 1 -add_interface_port avl_mem avl_mem_byteenable byteenable Input 4 - - -# -# connection point conduit_out -# -add_interface asmi_status_out conduit end -add_interface_port asmi_status_out asmi_status_out conduit_status_out Input 8 - -add_interface asmi_epcs_id conduit end -add_interface_port asmi_epcs_id asmi_epcs_id conduit_epcs_id Input 8 - -add_interface asmi_illegal_erase conduit end -add_interface_port asmi_illegal_erase asmi_illegal_erase conduit_illegal_erase Input 1 - -add_interface asmi_illegal_write conduit end -add_interface_port asmi_illegal_write asmi_illegal_write conduit_illegal_write Input 1 - -add_interface ddasi_dataoe conduit end -add_interface_port ddasi_dataoe ddasi_dataoe conduit_ddasi_dataoe Input ASI_WIDTH - -add_interface ddasi_dclk conduit end -add_interface_port ddasi_dclk ddasi_dclk conduit_ddasi_dclk Input 1 - -add_interface ddasi_scein conduit end -add_interface_port ddasi_scein ddasi_scein conduit_ddasi_scein Input CS_WIDTH - -add_interface ddasi_sdoin conduit end -add_interface_port ddasi_sdoin ddasi_sdoin conduit_ddasi_sdoin Input ASI_WIDTH - -add_interface asmi_busy conduit end -add_interface_port asmi_busy asmi_busy conduit_busy Input 1 - -add_interface asmi_data_valid conduit end -add_interface_port asmi_data_valid asmi_data_valid conduit_data_valid Input 1 - -add_interface asmi_dataout conduit end -add_interface_port asmi_dataout asmi_dataout conduit_dataout Input 8 - -add_interface epcq_dataout conduit end -add_interface_port epcq_dataout epcq_dataout conduit_epcq_dataout Input ASI_WIDTH - -add_interface ddasi_dataout conduit end -add_interface_port ddasi_dataout ddasi_dataout conduit_ddasi_dataout Output ASI_WIDTH - -add_interface asmi_read_rdid conduit end -add_interface_port asmi_read_rdid asmi_read_rdid conduit_read_rdid Output 1 - -add_interface asmi_read_status conduit end -add_interface_port asmi_read_status asmi_read_status conduit_read_status Output 1 - -add_interface asmi_read_sid conduit end -add_interface_port asmi_read_sid asmi_read_sid conduit_read_sid Output 1 - -add_interface asmi_bulk_erase conduit end -add_interface_port asmi_bulk_erase asmi_bulk_erase conduit_bulk_erase Output 1 - -add_interface asmi_sector_erase conduit end -add_interface_port asmi_sector_erase asmi_sector_erase conduit_sector_erase Output 1 - -add_interface asmi_sector_protect conduit end -add_interface_port asmi_sector_protect asmi_sector_protect conduit_sector_protect Output 1 - -add_interface epcq_dclk conduit end -add_interface_port epcq_dclk epcq_dclk conduit_epcq_dclk Output 1 - -add_interface epcq_scein conduit end -add_interface_port epcq_scein epcq_scein conduit_epcq_scein Output CS_WIDTH - -add_interface epcq_sdoin conduit end -add_interface_port epcq_sdoin epcq_sdoin conduit_epcq_sdoin Output ASI_WIDTH - -add_interface epcq_dataoe conduit end -add_interface_port epcq_dataoe epcq_dataoe conduit_epcq_dataoe Output ASI_WIDTH - -add_interface asmi_clkin conduit end -add_interface_port asmi_clkin asmi_clkin conduit_clkin Output 1 - -add_interface asmi_reset conduit end -add_interface_port asmi_reset asmi_reset conduit_reset Output 1 - -add_interface asmi_sce conduit end -add_interface_port asmi_sce asmi_sce conduit_asmi_sce Output CS_WIDTH - -add_interface asmi_addr conduit end -add_interface_port asmi_addr asmi_addr conduit_addr Output ASMI_ADDR_WIDTH - -add_interface asmi_datain conduit end -add_interface_port asmi_datain asmi_datain conduit_datain Output 8 - -add_interface asmi_fast_read conduit end -add_interface_port asmi_fast_read asmi_fast_read conduit_fast_read Output 1 - -add_interface asmi_rden conduit end -add_interface_port asmi_rden asmi_rden conduit_rden Output 1 - -add_interface asmi_shift_bytes conduit end -add_interface_port asmi_shift_bytes asmi_shift_bytes conduit_shift_bytes Output 1 - -add_interface asmi_wren conduit end -add_interface_port asmi_wren asmi_wren conduit_wren Output 1 - -add_interface asmi_write conduit end -add_interface_port asmi_write asmi_write conduit_write Output 1 - -add_interface asmi_rdid_out conduit end -add_interface_port asmi_rdid_out asmi_rdid_out conduit_rdid_out Input 8 - -add_interface asmi_en4b_addr conduit end -add_interface_port asmi_en4b_addr asmi_en4b_addr conduit_en4b_addr Output 1 - -# -# connection point interrupt_sender -# -add_interface interrupt_sender interrupt end -set_interface_property interrupt_sender associatedAddressablePoint avl_csr -set_interface_property interrupt_sender associatedClock clock_sink -set_interface_property interrupt_sender associatedReset reset -set_interface_property interrupt_sender bridgedReceiverOffset "" -set_interface_property interrupt_sender bridgesToReceiver "" -set_interface_property interrupt_sender ENABLED true -set_interface_property interrupt_sender EXPORT_OF "" -set_interface_property interrupt_sender PORT_NAME_MAP "" -set_interface_property interrupt_sender CMSIS_SVD_VARIABLES "" -set_interface_property interrupt_sender SVD_ADDRESS_GROUP "" - -add_interface_port interrupt_sender irq irq Output 1 - -proc validate {} { - set all_supported_SPI_list {"EPCS16" "EPCS64" "EPCS128" "EPCQ16" "EPCQ32" "EPCQ64" "EPCQ128" "EPCQ256" \ - "EPCQ512" "EPCQL256" "EPCQL512" "EPCQL1024"} - - set_parameter_property FLASH_TYPE "ALLOWED_RANGES" $all_supported_SPI_list - set DEVICE_FAMILY [ get_parameter_value DEVICE_FAMILY ] - set CHIP_SELS [ get_parameter_value CHIP_SELS] - set temp_addr_width [ proc_get_derive_addr_width [ get_parameter_value FLASH_TYPE ] ] - set_parameter_value ENABLE_4BYTE_ADDR [ proc_get_derive_enable_2byte_addr [ get_parameter_value FLASH_TYPE ] ] - - if { [ get_parameter_value ENABLE_4BYTE_ADDR ] } { - set_parameter_value ASMI_ADDR_WIDTH 32 - } else { - set_parameter_value ASMI_ADDR_WIDTH 24 - } - - # check whether devices supporting multiple flash - only for Arria 10 - if {[check_device_family_equivalence $DEVICE_FAMILY "Arria 10"]} { - set is_multi_flash_support "true" - if {$CHIP_SELS eq 3 } {set_parameter_value ADDR_WIDTH [ expr $temp_addr_width + 2]} - if {$CHIP_SELS eq 2 } {set_parameter_value ADDR_WIDTH [ expr $temp_addr_width + 1]} - if {$CHIP_SELS eq 1 } {set_parameter_value ADDR_WIDTH $temp_addr_width } - } else { - set is_multi_flash_support "false" - set_parameter_value ADDR_WIDTH $temp_addr_width - } - -} - -proc proc_get_derive_enable_2byte_addr {flash_type} { - if { [ string match "*256*" "$flash_type" ] || [ string match "*512*" "$flash_type" ] || [ string match "*1024*" "$flash_type" ]} { - return true - } else { - return false - } -} - -proc proc_get_derive_addr_width {flash_type} { - switch $flash_type { - "EPCS16" - "EPCQ16" { - return 19 - } - "EPCS64" - "EPCQ64" { - return 21 - } - "EPCS128" - "EPCQ128" { - return 22 - } - "EPCQ32" { - return 20 - } - "EPCQ256" - "EPCQL256" { - return 23 - } - "EPCQ512" - "EPCQL512" { - return 24 - } - "EPCQL1024" { - return 25 - } - default { - # Should never enter this function - send_message error "$flash_type is not a valid flash type" - } - - } -} diff --git a/ip/altera_epcq_controller_mod/altera_epcq_controller_sw.tcl b/ip/altera_epcq_controller_mod/altera_epcq_controller_sw.tcl deleted file mode 100644 index fae7de5..0000000 --- a/ip/altera_epcq_controller_mod/altera_epcq_controller_sw.tcl +++ /dev/null @@ -1,58 +0,0 @@ -# (C) 2001-2015 Altera Corporation. All rights reserved. -# Your use of Altera Corporation's design tools, logic functions and other -# software and tools, and its AMPP partner logic functions, and any output -# files any of the foregoing (including device programming or simulation -# files), and any associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License Subscription -# Agreement, Altera MegaCore Function License Agreement, or other applicable -# license agreement, including, without limitation, that your use is for the -# sole purpose of programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the applicable -# agreement for further details. - - -# -# altera_epcq_controller_sw.tcl -# - -# Create a new driver -create_driver altera_epcq_controller_mod_driver - -# Associate it with some hardware known as "altera_epcq_controller" -set_sw_property hw_class_name altera_epcq_controller_mod - -# The version of this driver -set_sw_property version 14.1 - -# This driver may be incompatible with versions of hardware less -# than specified below. Updates to hardware and device drivers -# rendering the driver incompatible with older versions of -# hardware are noted with this property assignment. -set_sw_property min_compatible_hw_version 14.1 - -# Initialize the driver in alt_sys_init() -set_sw_property auto_initialize true - -# This driver only works when the following combinations of interfaces -# are enabled and connected as a group of CSR interfaces. -set_sw_property csr_interfaces "avl_mem,avl_csr" - -# The EPCQ interrupt has an interrupt but it is not used in the driver. -# These assignments are still required by the Nios II SBT -set_sw_property isr_preemption_supported true -set_sw_property supported_interrupt_apis "legacy_interrupt_api enhanced_interrupt_api" - -# Location in generated BSP that above sources will be copied into -set_sw_property bsp_subdirectory drivers - -# Header files -add_sw_property include_source HAL/inc/altera_epcq_controller_mod.h -add_sw_property include_source inc/altera_epcq_controller_mod_regs.h - -# C/C++ source files -add_sw_property c_source HAL/src/altera_epcq_controller_mod.c - - -# This driver supports HAL & UCOSII BSP (OS) types -add_sw_property supported_bsp_type HAL -add_sw_property supported_bsp_type UCOSII diff --git a/ip/altera_epcq_controller_mod/altera_epcq_controller_wrapper.sv.terp b/ip/altera_epcq_controller_mod/altera_epcq_controller_wrapper.sv.terp deleted file mode 100644 index 3af20fc..0000000 --- a/ip/altera_epcq_controller_mod/altera_epcq_controller_wrapper.sv.terp +++ /dev/null @@ -1,248 +0,0 @@ -// (C) 2001-2015 Altera Corporation. All rights reserved. -// Your use of Altera Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License Subscription -// Agreement, Altera MegaCore Function License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the applicable -// agreement for further details. - - - -`timescale 1ps / 1ps - -${MULTICHIP} -${DDASI_ON} -${SID_EN} -${BULK_ERASE_EN} -${4BYTE_ADDR_EN} - -module altera_epcq_controller_wrapper #( - parameter CS_WIDTH = 1, - parameter DEVICE_FAMILY = "Arria V", - parameter ADDR_WIDTH = 24, - parameter ASI_WIDTH = 1, - parameter ENABLE_4BYTE_ADDR = 1, - parameter ASMI_ADDR_WIDTH = 22, - parameter CHIP_SELS = 1 -)( - input wire clk, - input wire reset_n, - - // ports to access csr - input wire avl_csr_write, - input wire avl_csr_read, - input wire [2:0] avl_csr_addr, - input wire [31:0] avl_csr_wrdata, - output wire [31:0] avl_csr_rddata, - output wire avl_csr_rddata_valid, - output wire avl_csr_waitrequest, - - // ports to access memory - input wire avl_mem_write, - input wire avl_mem_read, - input wire [ADDR_WIDTH-1:0] avl_mem_addr, - input wire [31:0] avl_mem_wrdata, - input wire [6:0] avl_mem_burstcount, - input wire [3:0] avl_mem_byteenable, - output wire [31:0] avl_mem_rddata, - output wire avl_mem_rddata_valid, - output wire avl_mem_waitrequest, - -`ifdef DDASI_ON - output wire [ASI_WIDTH-1:0] epcq_dataout, - output wire epcq_dclk, - output wire [CS_WIDTH-1:0] epcq_scein, - output wire [ASI_WIDTH-1:0] epcq_sdoin, - output wire [ASI_WIDTH-1:0] epcq_dataoe, -`endif - - // interrupt signal - output reg irq -); - -`ifdef DDASI_ON - wire [ASI_WIDTH-1:0] ddasi_dataoe; - wire [ASI_WIDTH-1:0] ddasi_dataout; - wire ddasi_dclk; - wire [CS_WIDTH-1:0] ddasi_scein; - wire [ASI_WIDTH-1:0] ddasi_sdoin; -`endif - wire asmi_busy; - wire asmi_data_valid; - wire [7:0] asmi_dataout; - wire asmi_clkin; - wire asmi_reset; -`ifdef MULTICHIP - wire [CS_WIDTH-1:0] asmi_sce; -`endif - wire [ASMI_ADDR_WIDTH-1:0] asmi_addr; - wire [7:0] asmi_datain; - wire asmi_fast_read; - wire asmi_rden; - wire asmi_shift_bytes; - wire asmi_wren; - wire asmi_write; - - wire asmi_illegal_erase; - wire asmi_illegal_write; - wire [7:0] asmi_rdid_out; - wire [7:0] asmi_status_out; -`ifdef ENABLE_SID - wire [7:0] asmi_epcs_id; -`endif - wire asmi_read_rdid; - wire asmi_read_status; - wire asmi_read_sid; -`ifdef ENABLE_4BYTE_ADDR_CODE - wire asmi_en4b_addr; -`endif -`ifdef ENABLE_BULK_ERASE - wire asmi_bulk_erase; -`endif - wire asmi_sector_erase; - wire asmi_sector_protect; - - altera_epcq_controller_core #( - .DEVICE_FAMILY (DEVICE_FAMILY), - .ADDR_WIDTH (ADDR_WIDTH), - .ASI_WIDTH (ASI_WIDTH), - .ASMI_ADDR_WIDTH (ASMI_ADDR_WIDTH), - .CS_WIDTH (CS_WIDTH), - .ENABLE_4BYTE_ADDR (ENABLE_4BYTE_ADDR), - .CHIP_SELS (CHIP_SELS) - ) epcq_controller_inst ( - .clk (clk ), - .reset_n (reset_n ), - .avl_csr_write (avl_csr_write ), - .avl_csr_read (avl_csr_read ), - .avl_csr_addr (avl_csr_addr ), - .avl_csr_wrdata (avl_csr_wrdata ), - .avl_csr_rddata (avl_csr_rddata ), - .avl_csr_rddata_valid (avl_csr_rddata_valid ), - .avl_csr_waitrequest (avl_csr_waitrequest ), - .avl_mem_write (avl_mem_write ), - .avl_mem_read (avl_mem_read ), - .avl_mem_addr (avl_mem_addr ), - .avl_mem_wrdata (avl_mem_wrdata ), - .avl_mem_burstcount (avl_mem_burstcount ), - .avl_mem_byteenable (avl_mem_byteenable ), - .avl_mem_rddata (avl_mem_rddata ), - .avl_mem_rddata_valid (avl_mem_rddata_valid ), - .avl_mem_waitrequest (avl_mem_waitrequest ), - .irq (irq ), -`ifdef DDASI_ON - .epcq_dataout (epcq_dataout ), - .epcq_dclk (epcq_dclk ), - .epcq_scein (epcq_scein ), - .epcq_sdoin (epcq_sdoin ), - .epcq_dataoe (epcq_dataoe ), - .ddasi_dataoe (ddasi_dataoe ), - .ddasi_dataout (ddasi_dataout ), - .ddasi_dclk (ddasi_dclk ), - .ddasi_scein (ddasi_scein ), - .ddasi_sdoin (ddasi_sdoin ), -`else - .epcq_dataout ({ASI_WIDTH{1'b0}} ), - .epcq_dclk ( ), - .epcq_scein ( ), - .epcq_sdoin ( ), - .epcq_dataoe ( ), - .ddasi_dataoe ({ASI_WIDTH{1'b0}} ), - .ddasi_dataout ( ), - .ddasi_dclk (1'b0 ), - .ddasi_scein ({CS_WIDTH{1'b0}} ), - .ddasi_sdoin ({ASI_WIDTH{1'b0}} ), -`endif - .asmi_busy (asmi_busy ), - .asmi_data_valid (asmi_data_valid ), - .asmi_dataout (asmi_dataout ), - .asmi_clkin (asmi_clkin ), - .asmi_reset (asmi_reset ), -`ifdef MULTICHIP - .asmi_sce (asmi_sce ), -`else - .asmi_sce ( ), -`endif - .asmi_addr (asmi_addr ), - .asmi_datain (asmi_datain ), - .asmi_fast_read (asmi_fast_read ), - .asmi_rden (asmi_rden ), - .asmi_shift_bytes (asmi_shift_bytes ), - .asmi_wren (asmi_wren ), - .asmi_write (asmi_write ), - .asmi_illegal_erase (asmi_illegal_erase ), - .asmi_illegal_write (asmi_illegal_write ), - .asmi_rdid_out (asmi_rdid_out ), - .asmi_status_out (asmi_status_out ), -`ifdef ENABLE_SID - .asmi_epcs_id (asmi_epcs_id ), - .asmi_read_sid (asmi_read_sid ), -`else - .asmi_epcs_id ({8{1'b0}} ), - .asmi_read_sid ( ), -`endif - .asmi_read_rdid (asmi_read_rdid ), - .asmi_read_status (asmi_read_status ), -`ifdef ENABLE_4BYTE_ADDR_CODE - .asmi_en4b_addr (asmi_en4b_addr ), -`else - .asmi_en4b_addr ( ), -`endif -`ifdef ENABLE_BULK_ERASE - .asmi_bulk_erase (asmi_bulk_erase ), -`else - .asmi_bulk_erase ( ), -`endif - .asmi_sector_erase (asmi_sector_erase ), - .asmi_sector_protect (asmi_sector_protect ) - ); - - altera_asmi_parallel asmi_parallel_inst ( - .busy (asmi_busy ), - .data_valid (asmi_data_valid ), - .dataout (asmi_dataout ), - .clkin (asmi_clkin ), - .reset (asmi_reset ), -`ifdef MULTICHIP - .sce (asmi_sce ), -`endif - .addr (asmi_addr ), - .datain (asmi_datain ), - .fast_read (asmi_fast_read ), - .rden (asmi_rden ), - .shift_bytes (asmi_shift_bytes ), - .wren (asmi_wren ), - .write (asmi_write ), - .illegal_erase (asmi_illegal_erase ), - .illegal_write (asmi_illegal_write ), - .rdid_out (asmi_rdid_out ), - .status_out (asmi_status_out ), - .read_dummyclk (1'b0), -`ifdef ENABLE_SID - .epcs_id (asmi_epcs_id ), - .read_sid (asmi_read_sid ), -`endif - .read_rdid (asmi_read_rdid ), - .read_status (asmi_read_status ), -`ifdef ENABLE_4BYTE_ADDR_CODE - .en4b_addr (asmi_en4b_addr ), -`endif -`ifdef ENABLE_BULK_ERASE - .bulk_erase (asmi_bulk_erase ), -`endif -`ifdef DDASI_ON - .asmi_dataoe (ddasi_dataoe ), - .asmi_dataout (ddasi_dataout ), - .asmi_dclk (ddasi_dclk ), - .asmi_scein (ddasi_scein ), - .asmi_sdoin (ddasi_sdoin ) -`endif - .sector_erase (asmi_sector_erase ), - .sector_protect (asmi_sector_protect ) - ); - -endmodule diff --git a/ip/altera_epcq_controller_mod/altera_epcq_controller_wrapper_hw.tcl b/ip/altera_epcq_controller_mod/altera_epcq_controller_wrapper_hw.tcl deleted file mode 100644 index 380f695..0000000 --- a/ip/altera_epcq_controller_mod/altera_epcq_controller_wrapper_hw.tcl +++ /dev/null @@ -1,648 +0,0 @@ -# (C) 2001-2015 Altera Corporation. All rights reserved. -# Your use of Altera Corporation's design tools, logic functions and other -# software and tools, and its AMPP partner logic functions, and any output -# files any of the foregoing (including device programming or simulation -# files), and any associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License Subscription -# Agreement, Altera MegaCore Function License Agreement, or other applicable -# license agreement, including, without limitation, that your use is for the -# sole purpose of programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the applicable -# agreement for further details. - - -package require -exact qsys 14.1 -package require -exact altera_terp 1.0 - - -# -# module altera_trace_wrapper -# -set_module_property DESCRIPTION "This component is a serial flash controller which allows user to access Altera EPCQ devices" -set_module_property NAME altera_epcq_controller_mod -set_module_property VERSION 19.1 -set_module_property INTERNAL false -set_module_property OPAQUE_ADDRESS_MAP true -set_module_property GROUP "Basic Functions/Configuration and Programming" -set_module_property AUTHOR "Altera Corporation" -set_module_property DISPLAY_NAME "Altera Serial Flash Controller" -set_module_property INSTANTIATE_IN_SYSTEM_MODULE true -set_module_property HIDE_FROM_QUARTUS true -set_module_property EDITABLE true -set_module_property ALLOW_GREYBOX_GENERATION false -set_module_property REPORT_HIERARCHY false -set_module_property ELABORATION_CALLBACK elaboration - -add_fileset QUARTUS_SYNTH QUARTUS_SYNTH add_topwrapper_fileset_proc -set_fileset_property QUARTUS_SYNTH TOP_LEVEL altera_epcq_controller_wrapper -set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false -set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false - -add_fileset SIM_VERILOG SIM_VERILOG add_topwrapper_fileset_proc -set_fileset_property SIM_VERILOG TOP_LEVEL altera_epcq_controller_wrapper -set_fileset_property SIM_VERILOG ENABLE_RELATIVE_INCLUDE_PATHS false -set_fileset_property SIM_VERILOG ENABLE_FILE_OVERWRITE_MODE true -# -# parameters -# -# +----------------------------------- -# | device family info -# +----------------------------------- -set all_supported_device_families_list {"Arria 10" "Cyclone V" "Arria V GZ" "Arria V" "Stratix V" "Stratix IV" \ - "Cyclone IV GX" "Cyclone IV E" "Cyclone III GL" "Arria II GZ" "Arria II GX"} - -proc check_device_ini {device_families_list} { - - set enable_max10 [get_quartus_ini enable_max10_active_serial ENABLED] - - if {$enable_max10 == 1} { - lappend device_families_list "MAX 10 FPGA" - } - return $device_families_list -} - -set device_list [check_device_ini $all_supported_device_families_list] -set_module_property SUPPORTED_DEVICE_FAMILIES $device_list - -add_parameter DEVICE_FAMILY STRING -set_parameter_property DEVICE_FAMILY SYSTEM_INFO {DEVICE_FAMILY} -set_parameter_property DEVICE_FAMILY VISIBLE false -set_parameter_property DEVICE_FAMILY HDL_PARAMETER true - -add_parameter ASI_WIDTH INTEGER 1 -set_parameter_property ASI_WIDTH DEFAULT_VALUE 1 -set_parameter_property ASI_WIDTH DISPLAY_NAME ASI_WIDTH -set_parameter_property ASI_WIDTH DERIVED true -set_parameter_property ASI_WIDTH TYPE INTEGER -set_parameter_property ASI_WIDTH VISIBLE false -set_parameter_property ASI_WIDTH UNITS None -set_parameter_property ASI_WIDTH ALLOWED_RANGES {1, 4} -set_parameter_property ASI_WIDTH HDL_PARAMETER true - -add_parameter CS_WIDTH INTEGER 1 -set_parameter_property CS_WIDTH DEFAULT_VALUE 1 -set_parameter_property CS_WIDTH DISPLAY_NAME CS_WIDTH -set_parameter_property CS_WIDTH DERIVED true -set_parameter_property CS_WIDTH TYPE INTEGER -set_parameter_property CS_WIDTH VISIBLE false -set_parameter_property CS_WIDTH UNITS None -set_parameter_property CS_WIDTH ALLOWED_RANGES {1, 3} -set_parameter_property CS_WIDTH HDL_PARAMETER true - -add_parameter ADDR_WIDTH INTEGER 19 -set_parameter_property ADDR_WIDTH DEFAULT_VALUE 19 -set_parameter_property ADDR_WIDTH DISPLAY_NAME ADDR_WIDTH -set_parameter_property ADDR_WIDTH DERIVED true -set_parameter_property ADDR_WIDTH TYPE INTEGER -set_parameter_property ADDR_WIDTH VISIBLE false -set_parameter_property ADDR_WIDTH UNITS None -# 16M-19bit, 32M-20bit, 64M-21bit, 128M-22bit, 256M-23bit, 512M-24bit, 1024M-25bit, 2048M-26bit... -set_parameter_property ADDR_WIDTH ALLOWED_RANGES {19, 20, 21, 22, 23, 24, 25, 26, 27, 28} -set_parameter_property ADDR_WIDTH HDL_PARAMETER true - -add_parameter ASMI_ADDR_WIDTH INTEGER 24 -set_parameter_property ASMI_ADDR_WIDTH DEFAULT_VALUE 24 -set_parameter_property ASMI_ADDR_WIDTH DISPLAY_NAME ASMI_ADDR_WIDTH -set_parameter_property ASMI_ADDR_WIDTH DERIVED true -set_parameter_property ASMI_ADDR_WIDTH TYPE INTEGER -set_parameter_property ASMI_ADDR_WIDTH VISIBLE false -set_parameter_property ASMI_ADDR_WIDTH UNITS None -set_parameter_property ASMI_ADDR_WIDTH ALLOWED_RANGES {24, 32} -set_parameter_property ASMI_ADDR_WIDTH HDL_PARAMETER true - -add_parameter ENABLE_4BYTE_ADDR INTEGER "0" -set_parameter_property ENABLE_4BYTE_ADDR DISPLAY_NAME "Enable 4-byte addressing mode" -set_parameter_property ENABLE_4BYTE_ADDR DESCRIPTION "Check to enable 4-byte addressing mode for device larger than 128Mbyte" -set_parameter_property ENABLE_4BYTE_ADDR AFFECTS_GENERATION true -set_parameter_property ENABLE_4BYTE_ADDR VISIBLE false -set_parameter_property ENABLE_4BYTE_ADDR HDL_PARAMETER true -set_parameter_property ENABLE_4BYTE_ADDR DERIVED true - -# +----------------------------------- - -# add system info parameter -add_parameter deviceFeaturesSystemInfo STRING "None" -set_parameter_property deviceFeaturesSystemInfo system_info "DEVICE_FEATURES" -set_parameter_property deviceFeaturesSystemInfo VISIBLE false - -add_parameter DDASI INTEGER "0" -set_parameter_property DDASI DISPLAY_NAME "Disable dedicated Active Serial interface" -set_parameter_property DDASI DESCRIPTION "Check to route ASMIBLOCK signals to top level of design" -set_parameter_property DDASI AFFECTS_GENERATION true -set_parameter_property DDASI VISIBLE false -set_parameter_property DDASI DERIVED false - -add_parameter clkFreq LONG -set_parameter_property clkFreq DEFAULT_VALUE {0} -set_parameter_property clkFreq DISPLAY_NAME {clkFreq} -set_parameter_property clkFreq VISIBLE {0} -set_parameter_property clkFreq AFFECTS_GENERATION {1} -set_parameter_property clkFreq HDL_PARAMETER {0} -set_parameter_property clkFreq SYSTEM_INFO {clock_rate clk} -set_parameter_property clkFreq SYSTEM_INFO_TYPE {CLOCK_RATE} -set_parameter_property clkFreq SYSTEM_INFO_ARG {clock_sink} - -# -# connection point clock_sink -# -add_interface clock_sink clock end -set_interface_property clock_sink clockRate 0 -set_interface_property clock_sink ENABLED true -set_interface_property clock_sink EXPORT_OF "" -set_interface_property clock_sink PORT_NAME_MAP "" -set_interface_property clock_sink CMSIS_SVD_VARIABLES "" -set_interface_property clock_sink SVD_ADDRESS_GROUP "" - -add_interface_port clock_sink clk clk Input 1 - - -# -# connection point reset -# -add_interface reset reset end -set_interface_property reset associatedClock clock_sink -set_interface_property reset synchronousEdges DEASSERT -set_interface_property reset ENABLED true -set_interface_property reset EXPORT_OF "" -set_interface_property reset PORT_NAME_MAP "" -set_interface_property reset CMSIS_SVD_VARIABLES "" -set_interface_property reset SVD_ADDRESS_GROUP "" - -add_interface_port reset reset_n reset_n Input 1 - - -# -# connection point avl_csr -# -add_interface avl_csr avalon end -set_interface_property avl_csr addressUnits WORDS -set_interface_property avl_csr associatedClock clock_sink -set_interface_property avl_csr associatedReset reset -set_interface_property avl_csr bitsPerSymbol 8 -set_interface_property avl_csr burstOnBurstBoundariesOnly false -set_interface_property avl_csr burstcountUnits WORDS -set_interface_property avl_csr explicitAddressSpan 0 -set_interface_property avl_csr holdTime 0 -set_interface_property avl_csr linewrapBursts false -set_interface_property avl_csr maximumPendingReadTransactions 1 -set_interface_property avl_csr maximumPendingWriteTransactions 0 -set_interface_property avl_csr readLatency 0 -set_interface_property avl_csr readWaitTime 0 -set_interface_property avl_csr setupTime 0 -set_interface_property avl_csr timingUnits Cycles -set_interface_property avl_csr writeWaitTime 0 -set_interface_property avl_csr ENABLED true -set_interface_property avl_csr EXPORT_OF "" -set_interface_property avl_csr PORT_NAME_MAP "" -set_interface_property avl_csr CMSIS_SVD_VARIABLES "" -set_interface_property avl_csr SVD_ADDRESS_GROUP "" - -add_interface_port avl_csr avl_csr_read read Input 1 -add_interface_port avl_csr avl_csr_waitrequest waitrequest Output 1 -add_interface_port avl_csr avl_csr_write write Input 1 -add_interface_port avl_csr avl_csr_addr address Input 3 -add_interface_port avl_csr avl_csr_wrdata writedata Input 32 -add_interface_port avl_csr avl_csr_rddata readdata Output 32 -add_interface_port avl_csr avl_csr_rddata_valid readdatavalid Output 1 - -# -# connection point avl_mem -# -add_interface avl_mem avalon end -set_interface_property avl_mem addressUnits WORDS -set_interface_property avl_mem associatedClock clock_sink -set_interface_property avl_mem associatedReset reset -set_interface_property avl_mem bitsPerSymbol 8 -set_interface_property avl_mem burstOnBurstBoundariesOnly false -set_interface_property avl_mem burstcountUnits WORDS -set_interface_property avl_mem explicitAddressSpan 0 -set_interface_property avl_mem holdTime 0 -set_interface_property avl_mem linewrapBursts true -set_interface_property avl_mem maximumPendingReadTransactions 1 -set_interface_property avl_mem maximumPendingWriteTransactions 0 -set_interface_property avl_mem constantBurstBehavior true -set_interface_property avl_mem readLatency 0 -set_interface_property avl_mem readWaitTime 0 -set_interface_property avl_mem setupTime 0 -set_interface_property avl_mem timingUnits Cycles -set_interface_property avl_mem writeWaitTime 0 -set_interface_property avl_mem ENABLED true -set_interface_property avl_mem EXPORT_OF "" -set_interface_property avl_mem PORT_NAME_MAP "" -set_interface_property avl_mem CMSIS_SVD_VARIABLES "" -set_interface_property avl_mem SVD_ADDRESS_GROUP "" - -add_interface_port avl_mem avl_mem_write write Input 1 -add_interface_port avl_mem avl_mem_burstcount burstcount Input 7 -add_interface_port avl_mem avl_mem_waitrequest waitrequest Output 1 -add_interface_port avl_mem avl_mem_read read Input 1 -add_interface_port avl_mem avl_mem_addr address Input ADDR_WIDTH -add_interface_port avl_mem avl_mem_wrdata writedata Input 32 -add_interface_port avl_mem avl_mem_rddata readdata Output 32 -add_interface_port avl_mem avl_mem_rddata_valid readdatavalid Output 1 -add_interface_port avl_mem avl_mem_byteenable byteenable Input 4 - -# -# connection point interrupt_sender -# -add_interface interrupt_sender interrupt end -set_interface_property interrupt_sender associatedAddressablePoint avl_csr -set_interface_property interrupt_sender associatedClock clock_sink -set_interface_property interrupt_sender associatedReset reset -set_interface_property interrupt_sender bridgedReceiverOffset "" -set_interface_property interrupt_sender bridgesToReceiver "" -set_interface_property interrupt_sender ENABLED true -set_interface_property interrupt_sender EXPORT_OF "" -set_interface_property interrupt_sender PORT_NAME_MAP "" -set_interface_property interrupt_sender CMSIS_SVD_VARIABLES "" -set_interface_property interrupt_sender SVD_ADDRESS_GROUP "" - -add_interface_port interrupt_sender irq irq Output 1 - -proc proc_get_derive_addr_width {flash_type} { - switch $flash_type { - "EPCS16" - "EPCQ16" { - return 19 - } - "EPCS64" - "EPCQ64" { - return 21 - } - "EPCS128" - "EPCQ128" { - return 22 - } - "EPCQ32" { - return 20 - } - "EPCQ256" - "EPCQL256" { - return 23 - } - "EPCQ512" - "EPCQL512" { - return 24 - } - "EPCQL1024" { - return 25 - } - default { - # Should never enter this function - send_message error "$flash_type is not a valid flash type" - } - - } -} - -set all_supported_SPI_list {"EPCS16" "EPCS64" "EPCS128" "EPCQ16" "EPCQ32" "EPCQ64" "EPCQ128" "EPCQ256" \ - "EPCQ512" "EPCQL256" "EPCQL512" "EPCQL1024"} - -# SPI device selection -add_parameter FLASH_TYPE STRING "EPCQ16" -set_parameter_property FLASH_TYPE DISPLAY_NAME "Configuration device type" -set_parameter_property FLASH_TYPE ALLOWED_RANGES $all_supported_SPI_list -set_parameter_property FLASH_TYPE DESCRIPTION "Select targeted EPCS/EPCQ devices" -set_parameter_property FLASH_TYPE AFFECTS_GENERATION true -set_parameter_property FLASH_TYPE VISIBLE true -set_parameter_property FLASH_TYPE DERIVED false - -add_parameter IO_MODE STRING "STANDARD" -set_parameter_property IO_MODE DISPLAY_NAME "Choose I/O mode" -set_parameter_property IO_MODE ALLOWED_RANGES {"STANDARD" "QUAD"} -set_parameter_property IO_MODE DESCRIPTION "Select extended data width when Fast Read operation is enabled" - -add_parameter CHIP_SELS INTEGER "1" -set_parameter_property CHIP_SELS DISPLAY_NAME "Number of Chip Selects used" -set_parameter_property CHIP_SELS ALLOWED_RANGES {1 2 3} -set_parameter_property CHIP_SELS DESCRIPTION "Number of EPCQ(L) devices that are attached and need a CHIPSEL" -set_parameter_property CHIP_SELS HDL_PARAMETER true -set_parameter_property CHIP_SELS AFFECTS_GENERATION true -# -# Add instance -# -proc add_topwrapper_fileset_proc {altera_epcq_controller} { - # QSPI that supported for 4-byte addressing - en4b_addr, ex4b_addr - set supported_4byte_addr {"EPCQ256" "EPCQ512" "EPCQL256" "EPCQL512" "EPCQL1024" "N25Q512"} - set DDASI [ get_parameter_value DDASI ] - set DEVICE_FAMILY [ get_parameter_value DEVICE_FAMILY ] - set FLASH_TYPE [ get_parameter_value FLASH_TYPE ] - set ADDR_WIDTH [ get_parameter_value ADDR_WIDTH ] - set is_4byte_addr_support "false" - - # check whether devices supporting multiple flash - only for Arria 10 - if {[check_device_family_equivalence $DEVICE_FAMILY "Arria 10"]} { - set MULTICHIP 1 - } else { - set MULTICHIP 0 - } - - if { $DDASI eq "1" } { - set DDASI_ON 1 - } else { - set DDASI_ON 0 - } - - if { $FLASH_TYPE eq "EPCS16" || $FLASH_TYPE eq "EPCS64" } { - set ENABLE_SID 1 - } else { - set ENABLE_SID 0 - } - - if { $FLASH_TYPE eq "EPCQL512" || $FLASH_TYPE eq "EPCQL1024" } { - set ENABLE_BULK_ERASE 0 - } else { - set ENABLE_BULK_ERASE 1 - } - - # check whether SPI device support 4-byte addressing - foreach re_spi_1 $supported_4byte_addr { - if {$re_spi_1 eq $FLASH_TYPE} { - set is_4byte_addr_support "true" - break; - } - } - - if {$is_4byte_addr_support eq "true"} { - set ENABLE_4BYTE_ADDR_CODE 1 - } else { - set ENABLE_4BYTE_ADDR_CODE 0 - } - - # --------------------------------- - # Terp for top level wrapper - # --------------------------------- - #Do Terp - set template_file [ file join "./" "altera_epcq_controller_wrapper.sv.terp" ] - set template [ read [ open $template_file r ] ] - - if {$DDASI_ON} { - set params(DDASI_ON) "`define DDASI_ON" - } else { - set params(DDASI_ON) "" - } - - if {$MULTICHIP} { - set params(MULTICHIP) "`define MULTICHIP" - } else { - set params(MULTICHIP) "" - } - - if {$ENABLE_SID} { - set params(SID_EN) "`define ENABLE_SID" - } else { - set params(SID_EN) "" - } - - if {$ENABLE_BULK_ERASE} { - set params(BULK_ERASE_EN) "`define ENABLE_BULK_ERASE" - } else { - set params(BULK_ERASE_EN) "" - } - - if {$ENABLE_4BYTE_ADDR_CODE} { - set params(4BYTE_ADDR_EN) "`define ENABLE_4BYTE_ADDR_CODE" - } else { - set params(4BYTE_ADDR_EN) "" - } - - set result [ altera_terp $template params ] - - #Add top wrapper file - add_fileset_file ./altera_epcq_controller_wrapper.sv SYSTEM_VERILOG TEXT $result -} - -# This proc is called by elaboration proc to set embeddedsw C Macros assignments -# used by downstream tools -proc set_cmacros {is_qspi flash_type} { - if {$is_qspi eq "true"} { - set_module_assignment embeddedsw.CMacro.IS_EPCS 0 - } else { - set_module_assignment embeddedsw.CMacro.IS_EPCS 1 - } - - #string name of flash - set_module_assignment embeddedsw.CMacro.FLASH_TYPE $flash_type - - #page size in bytes - set_module_assignment embeddedsw.CMacro.PAGE_SIZE 256 - - #sector and subsector size in bytes - set_module_assignment embeddedsw.CMacro.SUBSECTOR_SIZE 4096 - set_module_assignment embeddedsw.CMacro.SECTOR_SIZE 65536 - - #set number of sectors - switch $flash_type { - "EPCS16" - "EPCQ16" { - set_module_assignment embeddedsw.CMacro.NUMBER_OF_SECTORS 32 - } - "EPCQ32" { - set_module_assignment embeddedsw.CMacro.NUMBER_OF_SECTORS 64 - } - "EPCS64" - "EPCQ64" { - set_module_assignment embeddedsw.CMacro.NUMBER_OF_SECTORS 128 - } - "EPCS128" - "EPCQ128" { - set_module_assignment embeddedsw.CMacro.NUMBER_OF_SECTORS 256 - } - "EPCQ256" - "EPCQL256" { - set_module_assignment embeddedsw.CMacro.NUMBER_OF_SECTORS 512 - } - "EPCQ512" - "EPCQL512" { - set_module_assignment embeddedsw.CMacro.NUMBER_OF_SECTORS 1024 - } - "EPCQL1024" { - set_module_assignment embeddedsw.CMacro.NUMBER_OF_SECTORS 2048 - } - default { - # Should never enter this function - send_message error "$flash_type is not a valid flash type" - } - } -} - -proc elaboration {} { - # QSPI that supported for 4-byte addressing - en4b_addr, ex4b_addr - set supported_4byte_addr {"EPCQ256" "EPCQ512" "EPCQL256" "EPCQL512" "EPCQL1024" "N25Q512"} - set DDASI_ON [ get_parameter_value DDASI ] - set FLASH_TYPE [ get_parameter_value FLASH_TYPE ] - set IO_MODE [ get_parameter_value IO_MODE ] - set DEVICE_FAMILY [ get_parameter_value DEVICE_FAMILY ] - set ASI_WIDTH [ get_parameter_value ASI_WIDTH ] - set CS_WIDTH [ get_parameter_value CS_WIDTH ] - set ASMI_ADDR_WIDTH [ get_parameter_value ASMI_ADDR_WIDTH ] - set CHIP_SELS [ get_parameter_value CHIP_SELS] - set temp_addr_width [ proc_get_derive_addr_width [ get_parameter_value FLASH_TYPE ] ] - set clkFreq [ get_parameter_value clkFreq ] - set is_4byte_addr_support "false" - set is_qspi "false" - - # we're not using slow and expensive EPCS flash, thus higher frequency allowed - if { $clkFreq > 50000000 } { - send_message error "The maximum input clock frequency for Altera Serial Flash controller is 25Mhz." - } - - # check whether SPI device support 4-byte addressing - foreach re_spi_1 $supported_4byte_addr { - if {$re_spi_1 eq $FLASH_TYPE} { - set is_4byte_addr_support "true" - break; - } - } - - if {$is_4byte_addr_support eq "true"} { - set_parameter_value ENABLE_4BYTE_ADDR "1" - set_parameter_value ASMI_ADDR_WIDTH 32 - } else { - set_parameter_value ENABLE_4BYTE_ADDR "0" - set_parameter_value ASMI_ADDR_WIDTH 24 - } - - # check whether devices supporting multiple flash - only for Arria 10 - if {[check_device_family_equivalence $DEVICE_FAMILY "Arria 10"]} { - set is_multi_flash_support "true" - if {$CHIP_SELS eq 3 } {set_parameter_value ADDR_WIDTH [ expr $temp_addr_width + 2]} - if {$CHIP_SELS eq 2 } {set_parameter_value ADDR_WIDTH [ expr $temp_addr_width + 1]} - if {$CHIP_SELS eq 1 } {set_parameter_value ADDR_WIDTH $temp_addr_width } - } else { - set is_multi_flash_support "false" - set_parameter_value ADDR_WIDTH $temp_addr_width - } - - - set_instance_parameter_value altera_epcq_controller_core DDASI $DDASI_ON - set_instance_parameter_value altera_epcq_controller_core FLASH_TYPE $FLASH_TYPE - set_instance_parameter_value altera_epcq_controller_core IO_MODE $IO_MODE - set_instance_parameter_value altera_epcq_controller_core ASI_WIDTH $ASI_WIDTH - set_instance_parameter_value altera_epcq_controller_core CS_WIDTH $CS_WIDTH - set_instance_parameter_value altera_epcq_controller_core CHIP_SELS $CHIP_SELS - set_instance_parameter_value altera_epcq_controller_core ASMI_ADDR_WIDTH [ get_parameter_value ASMI_ADDR_WIDTH ] - set_instance_parameter_value altera_epcq_controller_core ADDR_WIDTH [ get_parameter_value ADDR_WIDTH ] - set_instance_parameter_value altera_epcq_controller_core ENABLE_4BYTE_ADDR [ get_parameter_value ENABLE_4BYTE_ADDR ] - - set QSPI_list {"EPCQ16" "EPCQ32" "EPCQ64" "EPCQ128" "EPCQ256" "EPCQ512" "EPCQL256" "EPCQL512" "EPCQL1024" \ - "N25Q512" "S25FL127S"} - - # devices that supported QSPI - Quad/Dual data width, asmi_dataout, asmi_sdoin, asmi_dataoe - set supported_QSPI_devices_list {"Arria 10" "Cyclone V" "Arria V GZ" "Arria V" "Stratix V"} - - # devices that supported simulation - set supported_sim_devices_list {"Arria 10" "Cyclone V" "Arria V GZ" "Arria V" "Stratix V" "MAX 10 FPGA"} - - # check whether is QSPI devices - foreach re_spi_0 $QSPI_list { - if {$re_spi_0 eq $FLASH_TYPE} { - set is_qspi "true" - break; - } - } - - if {[check_device_family_equivalence $DEVICE_FAMILY $supported_QSPI_devices_list]} { - set is_qspi_devices_list "true" - } else { - set is_qspi_devices_list "false" - } - - if {[check_device_family_equivalence $DEVICE_FAMILY $supported_sim_devices_list]} { - set is_sim_devices_list "true" - } else { - set is_sim_devices_list "false" - } - - if {$is_qspi_devices_list eq "true" && $is_qspi eq "true"} { - set_parameter_property IO_MODE ENABLED true - set_instance_parameter_value altera_asmi_parallel DATA_WIDTH $IO_MODE - set_parameter_value ASI_WIDTH 4 - } else { - set_parameter_property IO_MODE ENABLED false - set_parameter_value ASI_WIDTH 1 - } - - if { $FLASH_TYPE eq "EPCQL512" || $FLASH_TYPE eq "EPCQL1024" } { - set_instance_parameter_value altera_asmi_parallel gui_bulk_erase false - set ENABLE_BULK_ERASE 0 - } else { - set_instance_parameter_value altera_asmi_parallel gui_bulk_erase true - set ENABLE_BULK_ERASE 1 - } - - if { $is_multi_flash_support eq "true"} { - set_parameter_value CS_WIDTH 3 - set_parameter_property CHIP_SELS ENABLED true - } else { - set_parameter_value CS_WIDTH 1 - set_parameter_property CHIP_SELS ENABLED false - } - - set_instance_parameter_value altera_asmi_parallel EPCS_TYPE $FLASH_TYPE - set_instance_parameter_value altera_asmi_parallel gui_fast_read true - set_instance_parameter_value altera_asmi_parallel gui_page_write true - - if { $FLASH_TYPE eq "EPCS16" || $FLASH_TYPE eq "EPCS64" } { - set_instance_parameter_value altera_asmi_parallel gui_read_sid true - } else { - set_instance_parameter_value altera_asmi_parallel gui_read_sid false - } - - set_instance_parameter_value altera_asmi_parallel gui_read_rdid true - set_instance_parameter_value altera_asmi_parallel gui_read_status true - set_instance_parameter_value altera_asmi_parallel gui_sector_erase true - set_instance_parameter_value altera_asmi_parallel gui_sector_protect true - set_instance_parameter_value altera_asmi_parallel gui_wren true - set_instance_parameter_value altera_asmi_parallel gui_write true - set_instance_parameter_value altera_asmi_parallel gui_read_dummyclk true - set_instance_parameter_value altera_asmi_parallel PAGE_SIZE 256 - set_instance_parameter_value altera_asmi_parallel gui_use_asmiblock $DDASI_ON - - if {$is_sim_devices_list eq "true"} { - set_instance_parameter_value altera_asmi_parallel ENABLE_SIM true - } else { - set_instance_parameter_value altera_asmi_parallel ENABLE_SIM false - } - - set_cmacros $is_qspi $FLASH_TYPE -} - -# add ASMI PARALLEL -add_hdl_instance altera_asmi_parallel altera_asmi_parallel - -# add EPCQ CONTROLLER -add_hdl_instance altera_epcq_controller_core altera_epcq_controller_core - -# +------------------------------------- -# | Add settings needed by Nios tools -# +------------------------------------- -# Tells us component is a flash -set_module_assignment embeddedsw.memoryInfo.IS_FLASH 1 - -# interface assignments for embedded software -set_interface_assignment avl_mem embeddedsw.configuration.isFlash 1 -set_interface_assignment avl_mem embeddedsw.configuration.isMemoryDevice 1 -set_interface_assignment avl_mem embeddedsw.configuration.isNonVolatileStorage 1 -set_interface_assignment avl_mem embeddedsw.configuration.isPrintableDevice 0 - -# These assignments tells tools to create byte-addressed .hex files only -set_module_assignment embeddedsw.memoryInfo.GENERATE_HEX 1 -set_module_assignment embeddedsw.memoryInfo.USE_BYTE_ADDRESSING_FOR_HEX 1 -set_module_assignment embeddedsw.memoryInfo.GENERATE_DAT_SYM 0 -set_module_assignment embeddedsw.memoryInfo.GENERATE_FLASH 0 - -# Width of memory -set_module_assignment embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH 32 - -# Output directories for programming files -#set_module_assignment embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR {SIM_DIR} -#set_module_assignment embeddedsw.memoryInfo.FLASH_INSTALL_DIR {APP_DIR} -set_module_assignment embeddedsw.memoryInfo.HEX_INSTALL_DIR {QPF_DIR} - -# Module assignments related to names of simulation files -#set_module_assignment postgeneration.simulation.init_file.param_name {INIT_FILENAME} -#set_module_assignment postgeneration.simulation.init_file.type {MEM_INIT} - -# +------------------------------------- -# | Add settings needed by DTG tools -# +------------------------------------- -# add device tree properties -set_module_assignment embeddedsw.dts.vendor "altr" -set_module_assignment embeddedsw.dts.name "epcq" -set_module_assignment embeddedsw.dts.group "epcq" -set_module_assignment embeddedsw.dts.compatible "altr,epcq-1.0" - -## Add documentation links for user guide and/or release notes -add_documentation_link "User Guide" https://documentation.altera.com/#/link/sfo1400787952932/iga1431459459085 -add_documentation_link "Release Notes" https://documentation.altera.com/#/link/hco1421698042087/hco1421697689300 diff --git a/ossc.qsf b/ossc.qsf index 4719f80..539082b 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -218,7 +218,7 @@ set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 6 +set_global_assignment -name SEED 2 diff --git a/ossc_sw_bsp.project b/ossc_sw_bsp.project index 77441f9..8a46f52 100644 --- a/ossc_sw_bsp.project +++ b/ossc_sw_bsp.project @@ -39,6 +39,8 @@ + + @@ -48,19 +50,17 @@ - - + - diff --git a/software/ossc_sw.project b/software/ossc_sw.project index bb97e38..64d3b4f 100644 --- a/software/ossc_sw.project +++ b/software/ossc_sw.project @@ -31,9 +31,9 @@ - + - ../software + /home/markus/Code/ossc/software @@ -74,6 +74,8 @@ + + diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 804a0bf..db0cbc1 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000A11718 :04002D00F5010113C5 :04002E000000871730 -:04002F005D4707130F +:04002F006A870713C2 :04003000000097979E -:04003100C287879368 +:04003100CFC787931B :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,8557 +56,8610 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367E5CE -:04003A0067E5C74768 -:04003B00C787A5834B +:04003A0067E5D4871B +:04003B00D4C7A583FE :04003C0040A267E592 -:04003D00C7C7A50389 +:04003D00D507A5033B :04003E00306F0131ED -:04003F00AB3558D0B5 +:04003F00A985661019 :0400400067E580826E :04004100000207377B -:04004200C8E7A22346 +:04004200D4E7AC2330 :0400430007B7656135 :040044006765019C4F :04004500CC078793CA -:04004600B1450513A8 -:04004700C8F72023B3 -:040048006765A659E9 -:04004900C8072703BA +:04004600BE8505135B +:04004700D4F72A239D +:040048006765AC6DCF +:04004900D54727036D :04004A00A78367E53C -:04004B00557DC847D0 +:04004B00557DD58783 :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067E580825D -:04005200C807A783B1 +:04005200D547A78364 :04005300CF91557D77 :04005400A78367E532 -:04005500A823C847CD +:04005500A823D58780 :040056004B880007CC :0400570005424BDC37 :0400580007C2814119 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367E5AD -:04005C008082C807CF -:04005D002703C93973 +:04005C008082D54782 +:04005D002783C90D1F :04005E0011510C052B -:04005F0000859793EE -:04006000C026C222D2 -:04006100E793C40657 -:04006200842A0037B5 -:04006300C75C84AE44 -:040064000C0427035E -:040065008B85431C28 -:04006600431CE795BB -:04006700D713450165 -:04006800D6934027C4 -:040069008B1D403774 -:04006A0087858AA15B -:04006B008BC18F5561 -:04006C0084638FD941 -:04006D00051300F483 -:04006E0040A2FD208F -:04006F004482441271 -:040070008082013158 -:040071002995450583 -:040072005529B7E174 -:0400730087AA808256 -:04007400CF815529BA -:04007500CA11C9994A -:04007600556D5B98D1 -:04007700C711C218D3 -:040078000347879320 -:040079004501C19CE0 -:04007A00808280827E -:04007B00552987AAD2 -:04007C00C363C7A1F2 -:04007D00A7030405CC -:04007E00FF630C47C9 -:04007F00A68302E56D -:0400800087130D07CE -:040081008F6DFFF68A -:04008200D5B3EB0502 -:04008300073702D564 -:04008400071301005D -:040085004501F0073A -:040086008DF905A249 -:040087000C07A703B8 -:040088000025E593D7 -:04008900A703C74CB6 -:04008A004B1C0C07F8 -:04008B00C7898B8511 -:04008C00CB1C4785BD -:04008D008082556DAB -:04008E00CE6380823B -:04008F000113100544 -:04009000D026FD4138 -:04009100D222D4069D -:0400920084B2832A87 -:040093004C6355293C -:040094000A630A06EB -:04009500CAC50A03CB -:040096000C4327836D -:040097000AF675638D -:040098000AF5F3630F -:040099002703843A7B -:04009A0007B30D0398 -:04009B0007B340B6B1 -:04009C00EA6340F7DC -:04009D004863088725 -:04009E00177D0804BE -:04009F00E7418F6D39 -:0400A0004501E0191D -:0400A10057FDA0491E -:0400A200F513CE3E46 -:0400A300C151003413 -:0400A4008F89479168 -:0400A500F363862259 -:0400A600863E00870B -:0400A700087C8C89BC -:0400A80000E685B336 -:0400A900CC1A953E9A -:0400AA00CA3AC0325C -:0400AB0026D5C83658 -:0400AC00086C460294 -:0400AD0087B346C20D -:0400AE00C03E00C58B -:0400AF008793678547 -:0400B000C23E8027A5 -:0400B100000227B76B -:0400B2001107879318 -:0400B3004752C43EAE -:0400B400436267A19B -:0400B5000207879324 -:0400B60082B7C63E09 -:0400B7004782000874 -:0400B80002F59F634B -:0400B9000B8327830B -:0400BA008C119732DC -:0400BB0097A646724C -:0400BC002783C39043 -:0400BD0086130C0397 -:0400BE004B9C01074F -:0400BF00CBB18B89AD -:0400C000C21C47898E -:0400C10050A2556D87 -:0400C20054825412FE -:0400C30002C1011362 -:0400C400478D808262 -:0400C500E4E3461119 -:0400C6008622F8870F -:0400C700C783B749EB -:0400C80045120005D8 -:0400C900058543A2C4 -:0400CA0002A78533D1 -:0400CB000075753314 -:0400CC0087B343B201 -:0400CD0083930277A0 -:0400CE00F7B344023E -:0400CF008D5D0077CC -:0400D000879367C1EA -:0400D10005331017CC -:0400D200814102F571 -:0400D300FEA58FA354 -:0400D4000491B77963 -:0400D5005529B735BD -:0400D6000113808210 -:0400D700D006FDC191 -:0400D800CC26CE2242 -:0400D900CD59C0320B -:0400DA005529842AF6 -:0400DB002703CE49E0 -:0400DC0087B60B8454 -:0400DD00972E84AE28 -:0400DE0000E686337F -:0400DF000BC42683A5 -:0400E00008D7736367 -:0400E10008C6E16309 -:0400E2000D042303E3 -:0400E300D333428150 -:0400E4002703026587 -:0400E50064630CC480 -:0400E600450100E3ED -:0400E700DFF5A0ADF4 -:0400E8000D0425835B -:0400E90005B3470113 -:0400EA008DE540B0B0 -:0400EB0000B4846376 -:0400EC0040B4873362 -:0400ED00CA3A852264 -:0400EE00C616C81A50 -:0400EF00C22EC43E1B -:0400F000E131353590 -:0400F1000D04268351 -:0400F20047A2475288 -:0400F3008733459278 -:0400F40042B240E6EE -:0400F500F36343422C -:0400F600873E00E75A -:0400F7004782C63E38 -:0400F80085228626B1 -:0400F900005786B373 -:0400FA00C43AC81A22 -:0400FB0035B1C21643 -:0400FC004722E91995 -:0400FD00429247B232 -:0400FE008F99434251 -:0400FF0094BA92BA63 -:04010000BF410305F3 -:0401010050825529AA -:0401020044E244721D -:0401030002410113A1 -:04010400C5598082D7 -:04010500873287AA0C -:04010600C649552968 -:04010700C2221151AE -:04010800A683843610 -:04010900A7830B8736 -:04010A00C4060BC755 -:04010B00C02695B6BF -:04010C0000B406B382 -:04010D0002F5F8639C -:04010E0002D7E663CB -:04010F00853A862285 -:04011000872A2C8985 -:0401110025B76605A3 -:040112006521000261 -:040113000613468108 -:040114008593802629 -:0401150005131105B8 -:0401160082B70205A5 -:04011700C8630008B1 -:040118004501008617 -:04011900441240A2AA -:04011A0001314482E9 -:04011B0003338082A8 -:04011C00438300D742 -:04011D0084930003C4 -:04011E00068544020C -:04011F0002C387B3DD -:0401200002A383B300 -:04012100F3B38FEDB8 -:04012200E7B30093AC -:0401230063C100773D -:04012400101383939E -:04012500027787B323 -:04012600002383C16E -:04012700B7C100F369 -:040128008082552953 -:04012900CD4D8082B6 -:04012A000C05270396 -:04012B00CB5557B5A4 -:04012C000C85278394 -:04012D004718EBA9DB -:04012E00771346B14C -:04012F0007930FF72C -:04013000E963FEB7CA -:0401310066D902F693 -:040132008693078A1F -:0401330097B63886BD -:040134008782439CDF -:04013500200007930C -:040136000D0526830A -:040137000C4526034A -:0401380002F685B393 -:0401390000B6166393 -:04013A000CC5258348 -:04013B0004F58663DE -:04013C00020528236D -:04013D00A0B557B55D -:04013E0040000793E3 -:04013F006785BFF120 -:04014000800787931A -:040141004358BFD18F -:04014200771347D90F -:040143000F630FF740 -:0401440047E100F798 -:0401450000F70F634D -:0401460057B546D192 -:0401470004D7136363 -:040148000200079317 -:040149000793BF5504 -:04014A00B77D040079 -:04014B00080007930E -:04014C000793B765F9 -:04014D00B74D10009A -:04014E00C406115181 -:04014F000CE52C236C -:04015000470565E119 -:04015100D918DD5C80 -:0401520002052A2355 -:04015300C134DD10C6 -:0401540062858593A8 -:0401550040A22201A1 -:04015600853E47811A -:040157008082013170 -:04015800853E57A9E0 -:0401590047958082C4 -:04015A0002F6063370 -:04015B000005242354 -:04015C00C91C4785EE -:04015D0002C5D5B34F -:04015E00F79315FD01 -:04015F0081A10FF576 -:04016000F593C11C36 -:04016100C14C0FF589 -:0401620008000793F7 -:040163008082C51CB5 -:040164008A0505867D -:04016500C54C95B23E -:0401660009000793F2 -:040167000105071374 -:04016800431CC91C4F -:04016900FFF58B898A -:04016A00811D4908A2 -:04016B008082890500 -:04016C00010507136F -:04016D00068007936E -:04016E000793E19979 -:04016F00C91C0200A5 -:040170008B89431C18 -:040171004548FFF509 -:04017200C54C808276 -:040173000105071368 -:0401740005000793E8 -:0401750047C1E2118B -:04017600431CC91C41 -:04017700FFF58B897C -:04017800811D490894 -:0401790080828905F2 -:04017A000693478120 -:04017B00C36302C098 -:04017C00808200C7B6 -:04017D000105031362 -:04017E002703C91476 -:04017F008B090003E5 -:04018000C599FF6DB1 -:0401810000C523038F -:0401820000F58733CA -:0401830000670023EE -:04018400BFF107853B -:0401850046F1478177 -:0401860000C7C36388 -:0401870087338082B8 -:04018800470300F534 -:040189000313000755 -:04018A00C55801054E -:04018B002703C91469 -:04018C008B090003D8 -:04018D000785FF6D76 -:04018E0047EDB7C5BD -:04018F0002F505333D -:040190005533479DFF -:04019100E46302F52C -:04019200450100A77C -:040193000001808265 -:04019400BFD5078547 -:04019500451CC1192B -:0401960067E1EF919D -:040197006307A783D0 -:040198001151C395A9 -:040199009782C4067F -:04019A0047D940A25F -:04019B005529C11C05 -:04019C00808201312B -:04019D00C14C419C74 -:04019E00419CC11CA3 -:04019F00C188C3C888 -:0401A0008082450113 -:0401A1000513656578 -:0401A20047D9CD85E7 -:0401A3005529C11CFD -:0401A400832A8082A8 -:0401A5008383CA097D -:0401A600002300052D -:0401A700167D00734E -:0401A80005850305C1 -:0401A9008082FA6DE9 -:0401AA00832AC215CD -:0401AB0067634685BB -:0401AC0056FD00B547 -:0401AD00FFF607133F -:0401AE0095BA933A31 -:0401AF000005838341 -:0401B00000730023B5 -:0401B1009336167DEE -:0401B200FA6D95B697 -:0401B300832A808299 -:0401B4000023C6114D -:0401B500167D00B300 -:0401B600FE650305DA -:0401B70046038082F9 -:0401B800C6830005F5 -:0401B9000505000533 -:0401BA001363058541 -:0401BB00FA6500D60B -:0401BC0040D60533F1 -:0401BD007179808252 -:0401BE00D62267E1FD -:0401BF008713D426A8 -:0401C000040369C704 -:0401C10045F100A75D -:0401C20005B366E13A -:0401C300861302B4E9 -:0401C40045037F86EA -:0401C500448301F777 -:0401C60086930127F4 -:0401C700CE367F862B -:0401C80069C78793E9 -:0401C900962E4281AB -:0401CA000146458322 -:0401CB005583C62E64 -:0401CC00460300C620 -:0401CD00C82E013601 -:0401CE004603CA32E8 -:0401CF0047030237A9 -:0401D000D23200C760 -:0401D1007713C03AA6 -:0401D200EB0D0FD54D -:0401D3000257C70305 -:0401D4004685C21981 -:0401D5004602A00539 -:0401D6000B63468DE4 -:0401D700458200D687 -:0401D8008F634611DA -:0401D900468910C57E -:0401DA00FED595E3D6 -:0401DB00B7D5070687 -:0401DC00070646824A -:0401DD0000E697336E -:0401DE000FF7729312 -:0401DF00FFF506938F -:0401E0000FF6F6938D -:0401E100438147050A -:0401E20000D76F6370 -:0401E300C603469178 -:0401E400EA63025771 -:0401E50086930E9659 -:0401E60086B3FFF4E9 -:0401E70016B302C683 -:0401E800F39300D7B6 -:0401E900C7030FF643 -:0401EA0066E1024781 -:0401EB00D03A4601BF -:0401EC0069C6871346 -:0401ED008693CC3AEF -:0401EE00C20269C61A -:0401EF001313470D92 -:0401F0001C63002666 -:0401F100C7030CE54F -:0401F2000593026609 -:0401F30089BDFFF7CC -:0401F400006595B35A -:0401F5003733431247 -:0401F600173300E0DB -:0401F70065B300C725 -:0401F800673300B3B6 -:0401F900C22E0057BB -:0401FA000FF77293F6 -:0401FB004715060599 -:0401FC0015E306857C -:0401FD004681FCE655 -:0401FE004319C402DB -:0401FF009593470D80 -:04020000146300265D -:0402010047620AE561 -:0402020002B74703F5 -:04020300FFF70613E8 -:0402040016338A3DE6 -:0402050045A200B658 -:0402060000E03733AA -:0402070000D71733D2 -:0402080067338E4D7D -:04020900C432007784 -:04020A000FF77393E4 -:04020B0006854762BB -:04020C00CC3A0705DC -:04020D00FC6694E314 -:04020E0007334771FA -:04020F0046F202E4CD -:040210009736CC024F -:040211008B054F18F2 -:040212004702CB01D3 -:04021300C703C71145 -:040214003713022773 -:04021500CC3A0017C8 -:0402160001E7C70332 -:040217001D63468598 -:04021800470204D7BE -:040219004771CB1945 -:04021A0002E40733C0 -:04021B00973646F2DA -:04021C0046914B5864 -:04021D001263837570 -:04021E00438104D73D -:04021F00A83542813B -:0402200002D70733C7 -:040221008713BDC5BD -:040222000633FFE4BC -:04022300470D02C7BA -:0402240000C71633C6 -:040225000FF67393CA -:040226005702B7398B -:040227000067133326 -:0402280067334712DF -:04022900C23A00676E -:04022A005702B7912F -:04022B0000B715B350 -:04022C008F4D472289 -:04022D00BF9DC43A73 -:04022E004F94D369AD -:04022F008963672157 -:040230006E6320E6F3 -:0402310006131CD7BD -:040232004711100060 -:0402330000C68B6313 -:04023400470D6611FB -:0402350000C6876315 -:0402360008000613A3 -:040237001EC68363F9 -:04023800666587264A -:04023900CBF6458338 -:04023A00976346215F -:04023B00463200C582 -:04023C00064206066A -:04023D00C632824102 -:04023E000147C603AB -:04023F00430545B27C -:0402400000B6786329 -:0402410040C58633FB -:04024200010613138B -:04024300010353134D -:0402440005334571C8 -:04024500467202A457 -:04024600F3934409E1 -:04024700953203F3F6 -:040248008633450CA8 -:0402490081D540E437 -:04024A0002C5863330 -:04024B0002864633AE -:04024C0003D7C4030D -:04024D0002870433ED -:04024E0082410642A1 -:04024F00CE229432F5 -:04025000012544033D -:04025100029585B3DA -:040252008433C62209 -:04025300F593028499 -:0402540074137FF5AB -:04025500042E1FF460 -:0402560085B38C4D93 -:04025700F5930264B5 -:0402580005D20FF5C7 -:0402590074418DC19E -:04025A00B69396A21F -:04025B0006F2001691 -:04025C00C6838DD5F3 -:04025D0044120367DD -:04025E0036B316FDA0 -:04025F0006F600D0CF -:04026000C6838DD5EF -:0402610006FA00D7C2 -:0402620046B28DD53E -:0402630086B3933695 -:04026400033340E43C -:04026500C68302D377 -:040266008A9D013735 -:04026700933206B612 -:040268000074F61315 -:040269007313062ADB -:04026A0063333FF3C8 -:04026B00633300C336 -:04026C00460300D372 -:04026D007693014142 -:04026E00477200775C -:04026F0066B306C2AA -:04027000749300D3B0 -:0402710017137FF7E9 -:04027200464200B64A -:0402730000C413139D -:0402740000C353135D -:040275007FF6761387 -:0402760047038E5953 -:04027700C503015565 -:0402780004CE03E7C6 -:04027900074E8B1D84 -:04027A0003F5751300 -:04027B00055A8E5939 -:04027C0000A667333E -:04027D00C50346026D -:04027E008EC504071E -:04027F008F5106761F -:0402800003F7C603B7 -:040281000512891DBC -:040282008D518A3DD3 -:040283000547C60362 -:04028400061E8A7D4B -:04028500C6038D51CE -:040286008A050537A9 -:040287008E49063264 -:0402880001F2F51377 -:040289006533055282 -:04028A00C303006545 -:04028B00C78302071C -:04028C00064E021701 -:04028D0001F37313F3 -:04028E008B850366F3 -:04028F00006565336E -:040290008FC907FA11 -:04029100824D4562F3 -:0402920001F513134C -:04029300E7B3452266 -:0402940003370067C5 -:04029500137D0100D4 -:04029600006572B3DA -:04029700F3335512D6 -:04029800051E0062DD -:040299000075653354 -:04029A000182D39377 -:04029B000403F393D2 -:04029C000075653351 -:04029D00000223B781 -:04029E0000B3A623E0 -:04029F0000D3A823BD -:0402A00000E3AA23AA -:0402A10000C3AC23C7 -:0402A200AE23056220 -:0402A300653300F3CC -:0402A400A02300A3F0 -:0402A500543202A32A -:0402A600614554A2B8 -:0402A7000737808213 -:0402A8008C63002043 -:0402A900073702E62B -:0402AA008C6304005D -:0402AB00664102E6C0 -:0402AC0088E3471983 -:0402AD000637E2C668 -:0402AE00872600405F -:0402AF0002C6F96327 -:0402B000C603B50DBF -:0402B1008F1103C7DF -:0402B20077130706B1 -:0402B300BD110FF773 -:0402B40003C7C603B3 -:0402B5008F1147114D -:0402B600C603BFCDEF -:0402B700471503C71D -:0402B800C603BFDDDD -:0402B900471903C717 -:0402BA0077138F1116 -:0402BB00C6030FF770 -:0402BC0018E30367D9 -:0402BD004642DE06D1 -:0402BE0006421621BD -:0402BF00C83282417E -:0402C000063146526B -:0402C100824106422E -:0402C200BBE1CA32A0 -:0402C300C78367E5A1 -:0402C4006765CD4756 -:0402C500CCF709A3C6 -:0402C60087B3477142 -:0402C700676102E782 -:0402C8007F87071312 -:0402C900D68397BA87 -:0402CA00676500E77D -:0402CB00CCD7122357 -:0402CC0066E54B9800 -:0402CD0093238B7D6F -:0402CE00C683CCE631 -:0402CF006765014717 -:0402D000CCD7142350 -:0402D1000127C683B8 -:0402D2001123676528 -:0402D3004798CCD7A5 -:0402D400835566E503 -:0402D500CCE69023C0 -:0402D6000157C68383 -:0402D700182367651C -:0402D800C683CCD736 -:0402D900676501371D -:0402DA00CCD7172343 -:0402DB0000C7D683FF -:0402DC001623676519 -:0402DD00C703CCD7B0 -:0402DE0067E5016768 -:0402DF00CCE79523B0 -:0402E00057138082AE -:0402E10017930185E9 -:0402E2008FD901852A -:0402E30000FF06B75B -:0402E4000085171367 -:0402E5008FD98F75A9 -:0402E6000713674152 -:0402E7008121F0077A -:0402E8008D5D8D7922 -:0402E900C609808240 -:0402EA00000217B740 -:0402EB000007A02345 -:0402EC00F69347013D -:0402ED001637FFC5FC -:0402EE0007B3000250 -:0402EF00646300E55F -:0402F000898D02D71B -:0402F1009463470DBE -:0402F200D68302E5C8 -:0402F30017370007B2 -:0402F40012230002CF -:0402F500C78300D7E4 -:0402F60002230027B8 -:0402F70017B700F73E -:0402F8004B8800022D -:0402F900439C808220 -:0402FA00C25C0711CA -:0402FB004709B7F9FF -:0402FC0000E599631D -:0402FD000007D7031C -:0402FE00000217B72C -:0402FF0000E792235F -:040300004705BFF9F5 -:04030100FCE59DE397 -:040302000007C70326 -:04030300000217B726 -:0403040000E7822369 -:040305006765B7E988 -:04030600CBA7071367 -:040307000007578311 -:040308000807E79368 -:0403090000F71023C6 -:04030A00000217379F -:04030B000EF72023A6 -:04030C001151808289 -:04030D001437C222BD -:04030E00C026000203 -:04030F0084AA460175 -:0403100004C005938D -:0403110004040513C8 -:040312003299C40652 -:040313000FF4F5935B -:040314000513460186 -:040315003A9504040D -:0403160004C0059387 -:0403170004040513C2 -:04031800323D460527 -:0403190004040513C0 -:04031A0040A24412A7 -:04031B00458544824E -:04031C00BA3D0131B4 -:04031D00C4221141A4 -:04031E00000214378E -:04031F00C02AC22608 -:04032000460184AE60 -:0403210004C005937C +:04005F00C22205A212 +:04006000E593C4065A +:04006100842A0035B8 +:040062002703C7CCDD +:04006300431C0C042A +:04006400E7998B8508 +:04006500441240A25F +:040066004501431CF1 +:040067008082013161 +:0400680026F1450533 +:040069005529B7DD81 +:04006A0087AA80825F +:04006B00CF815529C3 +:04006C00CA11C99953 +:04006D00556D5B98DA +:04006E00C711C218DC +:04006F000347879329 +:040070004501C19CE9 +:040071008082808287 +:04007200552987AADB +:04007300C863CBA9EA +:04007400A7030405D5 +:04007500F4630C47DD +:04007600A68304E574 +:0400770087130D07D7 +:040078008F6DFFF693 +:04007900D5B3EF0DFF +:04007A00073702D56D +:04007B000713010066 +:04007C004501F00743 +:04007D008DF905A252 +:04007E000C07A703C1 +:04007F000045E693BF +:040080000025E593DF +:04008100A703C754B6 +:04008200C74C0C0754 +:040083000C07A703BC +:040084008B854B1C01 +:040085004785C7895B +:04008600556DCB1CCD +:040087008082808271 +:040088000C05C3633D +:04008900CC22110173 +:04008A00CA26CE06AE +:04008B008432832A0E +:04008C004D63552942 +:04008D000B630806F3 +:04008E00CAC90803D0 +:04008F000C43278374 +:0400900008F6766395 +:0400910008F5F46317 +:040092000D032783B0 +:04009300073384BAF1 +:04009400873340B6B8 +:040095006B6340E772 +:04009600C96306979D +:0400970017FD060447 +:04009800E7AD8FED54 +:040099004501E099A4 +:04009A00577DA09559 +:04009B007513C83AD7 +:04009C00C135003436 +:04009D008F0947116F +:04009E0073638626DC +:04009F00863A009706 +:0400A00085B38C098F +:0400A100C23E00F665 +:0400A200953E081C63 +:0400A300C432C61A83 +:0400A4002E15C0361F +:0400A500462243327A +:0400A6002703479253 +:0400A7008C910B83AA +:0400A800464297B283 +:0400A90046829722D2 +:0400AA002703C31055 +:0400AB0097220B830A +:0400AC000363430C9B +:0400AD00C31000B6C6 +:0400AE000C03270315 +:0400AF00010706132C +:0400B0008B094B1855 +:0400B1004789CF1993 +:0400B200556DC21CAA +:0400B300446240F271 +:0400B400610544D2CC +:0400B500470D8082F1 +:0400B60064E34611A8 +:0400B7008626FA9708 +:0400B8000411B74D2B +:0400B9005529B741CD +:0400BA00011380822C +:0400BB00D006FDC1AD +:0400BC00CC26CE225E +:0400BD00CD59C03227 +:0400BE005529842A12 +:0400BF002703CE49FC +:0400C00087B60B8470 +:0400C100972E84AE44 +:0400C20000E686339B +:0400C3000BC42683C1 +:0400C40008D7736383 +:0400C50008C6E16325 +:0400C6000D042303FF +:0400C700D33342816C +:0400C80027030265A3 +:0400C90064630CC49C +:0400CA00450100E309 +:0400CB00DFF5A0AD10 +:0400CC000D04258377 +:0400CD0005B347012F +:0400CE008DE540B0CC +:0400CF0000B4846392 +:0400D00040B487337E +:0400D100CA3A852280 +:0400D200C616C81A6C +:0400D300C22EC43E37 +:0400D400E1313DA534 +:0400D5000D0426836D +:0400D60047A24752A4 +:0400D7008733459294 +:0400D80042B240E60A +:0400D900F363434248 +:0400DA00873E00E776 +:0400DB004782C63E54 +:0400DC0085228626CD +:0400DD00005786B38F +:0400DE00C43AC81A3E +:0400DF00354DC216C3 +:0400E0004722E919B1 +:0400E100429247B24E +:0400E2008F9943426D +:0400E30094BA92BA7F +:0400E400BF41030510 +:0400E50050825529C7 +:0400E60044E244723A +:0400E70002410113BE +:0400E800872A808261 +:0400E9008532C9058E +:0400EA00C61557A937 +:0400EB000B872783D5 +:0400EC000BC7270314 +:0400ED0095BE863600 +:0400EE0057A996AECA +:0400EF0000E5FD63C8 +:0400F00000D76B6367 +:0400F100C4061151DF +:0400F20040A22AFD01 +:0400F300853E47817E +:0400F40080820131D4 +:0400F500853E57A944 +:0400F600CD4D8082EA +:0400F7000C052703CA +:0400F800CB5557B5D8 +:0400F9000C852783C8 +:0400FA004718EBA90F +:0400FB00771346B180 +:0400FC0007930FF760 +:0400FD00E963FEB7FE +:0400FE0066D902F6C7 +:0400FF008693078A53 +:0401000097B645C6A3 +:040101008782439C12 +:04010200200007933F +:040103000D0526833D +:040104000C4526037D +:0401050002F685B3C6 +:0401060000B61663C6 +:040107000CC525837B +:0401080004F5866311 +:0401090002052823A0 +:04010A00A0B557B590 +:04010B004000079316 +:04010C006785BFF153 +:04010D00800787934D +:04010E004358BFD1C2 +:04010F00771347D942 +:040110000F630FF773 +:0401110047E100F7CB +:0401120000F70F6380 +:0401130057B546D1C5 +:0401140004D7136396 +:04011500020007934A +:040116000793BF5537 +:04011700B77D0400AC +:040118000800079341 +:040119000793B7652C +:04011A00B74D1000CD +:04011B00C4061151B4 +:04011C000CE52C239F +:04011D00470565E14C +:04011E00D918DD5CB3 +:04011F0002052A2388 +:04012000C134DD10F9 +:040121006FC585938E +:0401220040A22201D4 +:04012300853E47814D +:0401240080820131A3 +:04012500853E57A913 +:0401260047958082F7 +:0401270002F60633A3 +:040128000005242387 +:04012900C91C478521 +:04012A0002C5D5B382 +:04012B00F79315FD34 +:04012C0081A10FF5A9 +:04012D00F593C11C69 +:04012E00C14C0FF5BC +:04012F00080007932A +:040130008082C51CE8 +:040131008A050586B0 +:04013200C54C95B271 +:040133000900079325 +:0401340001050713A7 +:04013500431CC91C82 +:04013600FFF58B89BD +:04013700811D4908D5 +:040138008082890533 +:0401390001050713A2 +:04013A0006800793A1 +:04013B000793E199AC +:04013C00C91C0200D8 +:04013D008B89431C4B +:04013E004548FFF53C +:04013F00C54C8082A9 +:04014000010507139B +:04014100050007931B +:0401420047C1E211BE +:04014300431CC91C74 +:04014400FFF58B89AF +:04014500811D4908C7 +:040146008082890525 +:040147000693478153 +:04014800C36302C0CB +:04014900808200C7E9 +:04014A000105031395 +:04014B002703C914A9 +:04014C008B09000318 +:04014D00C599FF6DE4 +:04014E0000C52303C2 +:04014F0000F58733FD +:040150000067002321 +:04015100BFF107856E +:0401520046F14781AA +:0401530000C7C363BB +:0401540087338082EB +:04015500470300F567 +:040156000313000788 +:04015700C558010581 +:040158002703C9149C +:040159008B0900030B +:04015A000785FF6DA9 +:04015B0047EDB7C5F0 +:04015C0002F5053370 +:04015D005533479D32 +:04015E00E46302F55F +:04015F00450100A7AF +:040160000001808298 +:04016100BFD507857A +:04016200451CC1195E +:0401630067E1EF91D0 +:040164007047A783B6 +:040165001151C395DC +:040166009782C406B2 +:0401670047D940A292 +:040168005529C11C38 +:04016900808201315E +:04016A00C14C419CA7 +:04016B00419CC11CD6 +:04016C00C188C3C8BB +:04016D008082450146 +:04016E0005136565AB +:04016F0047D9DAC5CD +:040170005529C11C30 +:04017100832A8082DB +:040172008383CA09B0 +:040173000023000560 +:04017400167D007381 +:0401750005850305F4 +:040176008082FA6D1C +:04017700832AC21500 +:0401780067634685EE +:0401790056FD00B57A +:04017A00FFF6071372 +:04017B0095BA933A64 +:04017C000005838374 +:04017D0000730023E8 +:04017E009336167D21 +:04017F00FA6D95B6CA +:04018000832A8082CC +:040181000023C61180 +:04018200167D00B333 +:04018300FE6503050D +:04018400460380822C +:04018500C683000528 +:040186000505000566 +:040187001363058574 +:04018800FA6500D63E +:0401890040D6053324 +:04018A007179808285 +:04018B00D62267E130 +:04018C008713D426DB +:04018D0004037707E9 +:04018E0045F100A790 +:04018F0005B366E569 +:04019000861302B41C +:0401910045038CC6D0 +:04019200448301F7AA +:040193008693012727 +:04019400CE368CC611 +:0401950077078793CE +:04019600962E4281DE +:040197000146458355 +:040198005583C62E97 +:04019900460300C653 +:04019A00C82E013634 +:04019B004603CA321B +:04019C0047030237DC +:04019D00D23200C793 +:04019E007713C03AD9 +:04019F00EB0D0FD580 +:0401A0000257C70338 +:0401A1004685C219B4 +:0401A2004602A0056C +:0401A3000B63468D17 +:0401A400458200D6BA +:0401A5008F6346110D +:0401A600468910C5B1 +:0401A700FED595E309 +:0401A800B7D50706BA +:0401A900070646827D +:0401AA0000E69733A1 +:0401AB000FF7729345 +:0401AC00FFF50693C2 +:0401AD000FF6F693C0 +:0401AE00438147053D +:0401AF0000D76F63A3 +:0401B000C6034691AB +:0401B100EA630257A4 +:0401B20086930E968C +:0401B30086B3FFF41C +:0401B40016B302C6B6 +:0401B500F39300D7E9 +:0401B600C7030FF676 +:0401B70066E10247B4 +:0401B800D03A4601F2 +:0401B900770687132B +:0401BA008693CC3A22 +:0401BB00C2027706FF +:0401BC001313470DC5 +:0401BD001C63002699 +:0401BE00C7030CE582 +:0401BF00059302663C +:0401C00089BDFFF7FF +:0401C100006595B38D +:0401C200373343127A +:0401C300173300E00E +:0401C40065B300C758 +:0401C500673300B3E9 +:0401C600C22E0057EE +:0401C7000FF7729329 +:0401C80047150605CC +:0401C90015E30685AF +:0401CA004681FCE688 +:0401CB004319C4020E +:0401CC009593470DB3 +:0401CD001463002691 +:0401CE0047620AE595 +:0401CF0002B7470329 +:0401D000FFF706131C +:0401D10016338A3D1A +:0401D20045A200B68C +:0401D30000E03733DE +:0401D40000D7173306 +:0401D50067338E4DB1 +:0401D600C4320077B8 +:0401D7000FF7739318 +:0401D80006854762EF +:0401D900CC3A070510 +:0401DA00FC6694E348 +:0401DB00073347712E +:0401DC0046F202E401 +:0401DD009736CC0283 +:0401DE008B054F1826 +:0401DF004702CB0107 +:0401E000C703C71179 +:0401E10037130227A7 +:0401E200CC3A0017FC +:0401E30001E7C70366 +:0401E4001D634685CC +:0401E500470204D7F2 +:0401E6004771CB1979 +:0401E70002E40733F4 +:0401E800973646F20E +:0401E90046914B5898 +:0401EA0012638375A4 +:0401EB00438104D771 +:0401EC00A83542816F +:0401ED0002D70733FB +:0401EE008713BDC5F1 +:0401EF000633FFE4F0 +:0401F000470D02C7EE +:0401F10000C71633FA +:0401F2000FF67393FE +:0401F3005702B739BF +:0401F400006713335A +:0401F5006733471213 +:0401F600C23A0067A2 +:0401F7005702B79163 +:0401F80000B715B384 +:0401F9008F4D4722BD +:0401FA00BF9DC43AA7 +:0401FB004F94D369E1 +:0401FC00896367218B +:0401FD006E6320E627 +:0401FE0006131CD7F1 +:0401FF004711100094 +:0402000000C68B6346 +:04020100470D66112E +:0402020000C6876348 +:0402030008000613D6 +:040204001EC683632C +:04020500666587267D +:04020600D93645831D +:040207009763462192 +:04020800463200C5B5 +:04020900064206069D +:04020A00C632824135 +:04020B000147C603DE +:04020C00430545B2AF +:04020D0000B678635C +:04020E0040C586332E +:04020F0001061313BE +:040210000103531380 +:0402110005334571FB +:04021200467202A48A +:04021300F393440914 +:04021400953203F329 +:040215008633450CDB +:0402160081D540E46A +:0402170002C5863363 +:0402180002864633E1 +:0402190003D7C40340 +:04021A000287043320 +:04021B0082410642D4 +:04021C00CE22943228 +:04021D000125440370 +:04021E00029585B30D +:04021F008433C6223C +:04022000F5930284CC +:0402210074137FF5DE +:04022200042E1FF493 +:0402230085B38C4DC6 +:04022400F5930264E8 +:0402250005D20FF5FA +:0402260074418DC1D1 +:04022700B69396A252 +:0402280006F20016C4 +:04022900C6838DD526 +:04022A004412036710 +:04022B0036B316FDD3 +:04022C0006F600D002 +:04022D00C6838DD522 +:04022E0006FA00D7F5 +:04022F0046B28DD571 +:0402300086B39336C8 +:04023100033340E46F +:04023200C68302D3AA +:040233008A9D013768 +:04023400933206B645 +:040235000074F61348 +:040236007313062A0E +:0402370063333FF3FB +:04023800633300C369 +:04023900460300D3A5 +:04023A007693014175 +:04023B00477200778F +:04023C0066B306C2DD +:04023D00749300D3E3 +:04023E0017137FF71C +:04023F00464200B67D +:0402400000C41313D0 +:0402410000C3531390 +:040242007FF67613BA +:0402430047038E5986 +:04024400C503015598 +:0402450004CE03E7F9 +:04024600074E8B1DB7 +:0402470003F5751333 +:04024800055A8E596C +:0402490000A6673371 +:04024A00C5034602A0 +:04024B008EC5040751 +:04024C008F51067652 +:04024D0003F7C603EA +:04024E000512891DEF +:04024F008D518A3D06 +:040250000547C60395 +:04025100061E8A7D7E +:04025200C6038D5101 +:040253008A050537DC +:040254008E49063297 +:0402550001F2F513AA +:0402560065330552B5 +:04025700C303006578 +:04025800C78302074F +:04025900064E021734 +:04025A0001F3731326 +:04025B008B85036626 +:04025C0000656533A1 +:04025D008FC907FA44 +:04025E00824D456226 +:04025F0001F513137F +:04026000E7B3452299 +:0402610003370067F8 +:04026200137D010007 +:04026300006572B30D +:04026400F333551209 +:04026500051E006210 +:040266000075653387 +:040267000182D393AA +:040268000403F39305 +:040269000075653384 +:04026A00000223B7B4 +:04026B0000B3A62313 +:04026C0000D3A823F0 +:04026D0000E3AA23DD +:04026E0000C3AC23FA +:04026F00AE23056253 +:04027000653300F3FF +:04027100A02300A323 +:04027200543202A35D +:04027300614554A2EB +:040274000737808246 +:040275008C63002076 +:04027600073702E65E +:040277008C63040090 +:04027800664102E6F3 +:0402790088E34719B6 +:04027A000637E2C69B +:04027B008726004092 +:04027C0002C6F9635A +:04027D00C603B50DF2 +:04027E008F1103C712 +:04027F0077130706E4 +:04028000BD110FF7A6 +:0402810003C7C603E6 +:040282008F11471180 +:04028300C603BFCD22 +:04028400471503C750 +:04028500C603BFDD10 +:04028600471903C74A +:0402870077138F1149 +:04028800C6030FF7A3 +:0402890018E303670C +:04028A004642DE0604 +:04028B0006421621F0 +:04028C00C8328241B1 +:04028D00063146529E +:04028E008241064261 +:04028F00BBE1CA32D3 +:04029000C78367E5D4 +:040291006765DA873C +:04029200DAF703A3F1 +:0402930087B3477175 +:04029400676502E7B1 +:040295008CC70713F8 +:04029600D68397BABA +:04029700676500E7B0 +:04029800D8D71C2374 +:0402990066E54B9833 +:04029A009D238B7D98 +:04029B00C683D8E658 +:04029C00676501474A +:04029D00D8D71E236D +:04029E000127C683EB +:04029F001B23676551 +:0402A0004798D8D7CC +:0402A100835566E536 +:0402A200D8E69A23DD +:0402A3000157C683B6 +:0402A4001223676555 +:0402A500C683DAD75B +:0402A6006765013750 +:0402A700DAD711236E +:0402A80000C7D68332 +:0402A9001023676552 +:0402AA00C703DAD7D5 +:0402AB0067E501679B +:0402AC00D8E79F23CD +:0402AD00678580825F +:0402AE00802787938B +:0402AF0002F507B39A +:0402B00000022737EA +:0402B1001107071317 +:0402B20067218FF938 +:0402B3000207071324 +:0402B40002E5053327 +:0402B500000887377F +:0402B60044070713DF +:0402B7008D5D8D7953 +:0402B800879367C100 +:0402B90005331017E2 +:0402BA00814102F587 +:0402BB000FF57513B3 +:0402BC0057138082D2 +:0402BD00179301850D +:0402BE008FD901854E +:0402BF0000FF06B77F +:0402C000008517138B +:0402C1008FD98F75CD +:0402C2000713674176 +:0402C3008121F0079E +:0402C4008D5D8D7946 +:0402C500C609808264 +:0402C600000217B764 +:0402C7000007A02369 +:0402C800F693470161 +:0402C9001637FFC520 +:0402CA0007B3000274 +:0402CB00646300E583 +:0402CC00898D02D73F +:0402CD009463470DE2 +:0402CE00D68302E5EC +:0402CF0017370007D6 +:0402D00012230002F3 +:0402D100C78300D708 +:0402D20002230027DC +:0402D30017B700F762 +:0402D4004B88000251 +:0402D500439C808244 +:0402D600C25C0711EE +:0402D7004709B7F923 +:0402D80000E5996341 +:0402D9000007D70340 +:0402DA00000217B750 +:0402DB0000E7922383 +:0402DC004705BFF91A +:0402DD00FCE59DE3BC +:0402DE000007C7034B +:0402DF00000217B74B +:0402E00000E782238E +:0402E1006765B7E9AD +:0402E200D8E707133F +:0402E3000007578336 +:0402E4000807E7938D +:0402E50000F71023EB +:0402E60000021737C4 +:0402E7000EF72023CB +:0402E80011518082AE +:0402E9001437C222E2 +:0402EA00C026000228 +:0402EB0084AA46019A +:0402EC0004C00593B2 +:0402ED0004040513ED +:0402EE003229C406E7 +:0402EF000FF4F59380 +:0402F00005134601AB +:0402F1003A250404A2 +:0402F20004C00593AC +:0402F30004040513E7 +:0402F40038CD4605B6 +:0402F50004040513E5 +:0402F60040A24412CC +:0402F7004585448273 +:0402F800B209013115 +:0402F900C4221141C9 +:0402FA0000021437B3 +:0402FB00C02AC2262D +:0402FC00460184AE85 +:0402FD0004C00593A1 +:0402FE0004040513DC +:0402FF0030D9C60626 +:0403000046014782E9 +:0403010004040513D8 +:040302000FF7F59369 +:04030300051338CDD9 +:040304004422040487 +:0403050085A640B2D7 +:0403060046054492D2 +:04030700B0C501413B +:040308004511115139 +:040309003FB5C40632 +:04030A00659340A215 +:04030B00F593004521 +:04030C0045110FF593 +:04030D00B77D013186 +:04030E00C2221151A5 +:04030F00842A458176 +:04031000C406453D9D +:040311000513374554 +:040312003FA10C10EB +:040313000FE57593EA +:0403140005138DC17F +:0403150037790C1018 +:0403160040A24412AB +:040317000513458DF8 +:0403180001310C6043 +:040319001141B74196 +:04031A001437C422AE +:04031B00C2260002F4 +:04031C0084AEC02AC1 +:04031D0005934601FD +:04031E00051304A01F +:04031F00C606040406 +:04032000845FF0EF17 +:0403210046014782C8 :0403220004040513B7 -:040323003209C606CF -:0403240046014782C5 -:0403250004040513B4 -:040326000FF7F59345 -:040327000513323D4B -:040328004422040463 -:0403290085A640B2B3 -:04032A0046054492AE -:04032B00BA310141A1 -:04032C004511115115 -:04032D003FB5C4060E -:04032E00659340A2F1 -:04032F00F5930045FD -:0403300045110FF56F -:04033100B77D013162 -:04033200C222115181 -:04033300842A458152 -:04033400C406453D79 -:040335000513374530 -:040336003FA10C10C7 -:040337000FE57593C6 -:0403380005138DC15B -:0403390037790C10F4 -:04033A0040A2441287 -:04033B000513458DD4 -:04033C0001310C601F -:04033D001141B74172 -:04033E001437C4228A -:04033F00C2260002D0 -:0403400084AEC02A9D -:0403410005934601D9 -:04034200051304A0FB -:04034300C6060404E2 -:04034400881FF0EF2F -:0403450046014782A4 -:040346000404051393 -:04034700F0EF85BE90 -:0403480005138ADF30 -:040349004422040442 -:04034A0085A640B292 -:04034B00460544928D -:04034C00F06F01410C -:04034D001141899F32 -:04034E001437C4227A -:04034F00C2260002C0 -:0403500084AEC02A8D -:0403510005934601C9 -:04035200051302C0CD -:04035300C6060404D2 -:04035400841FF0EF23 -:040355004601478294 -:040356000404051383 -:04035700F0EF85BE80 -:04035800051386DF24 -:040359004422040432 -:04035A0085A640B282 -:04035B00460544927D -:04035C00F06F0141FC -:04035D001151859F16 -:04035E001437C2226C -:04035F00C0260002B2 -:0403600084AA460124 -:0403610005C005933B -:040362000404051377 -:04036300F0EFC406ED -:04036400F593803F4E -:0403650046050FF446 -:040366000404051373 -:0403670082FFF0EF32 -:0403680005C0059334 -:040369000404051370 -:04036A00F0EF460565 -:04036B000513FE6F09 -:04036C00441204042F -:04036D00448240A2E4 -:04036E00013145858F -:04036F00FF4FF06FDD -:04037000C422114151 -:04037100000214373B -:04037200C02AC226B5 -:04037300460184AE0D -:0403740005C0059328 -:040375000404051364 -:04037600F0EFC606D8 -:040377004782FB6F4F -:040378000513460122 -:04037900F5930404F0 -:04037A00F0EF0FF79A -:04037B000513FE0F59 -:04037C00442204040F -:04037D0085A640B25F -:04037E00460544925A -:04037F00F06F0141D9 -:040380001151FCCF4C -:04038100C222C406CA -:040382000065478348 -:04038300456D842A16 -:040384000047959306 -:04038500F5938DDD82 -:04038600375D0FF5DB -:040387000064458346 -:040388003F79457103 -:040389000034458374 -:04038A003F59452969 -:04038B000044458362 -:04038C003779452553 -:04038D000054458350 -:04038E003759452175 -:04038F00000445839E -:040390003FBD4535F3 -:04039100001445838C -:040392003F9D453115 -:04039300002445837A -:0403940040A244122D -:040395000131452DC0 -:040396001141B7A5B5 -:04039700842AC422CE -:04039800C226451123 -:0403990084AEC0323C -:04039A003731C6062B -:04039B00759346020E -:04039C00C65D0F85A6 -:04039D007FF0079353 -:04039E000A87E46383 -:04039F00E5930406D8 -:0403A00045110015EE -:0403A1003F2D0442A6 -:0403A20055938041AE -:0403A300F59300448A -:0403A40045050FF507 -:0403A5001593373540 -:0403A600F593004487 -:0403A70045090F05F0 -:0403A80007B7370557 -:0403A9008793019C99 -:0403AA00D7B3CC07F2 -:0403AB005737029727 -:0403AC00071302250C -:0403AD0045810FF780 -:0403AE00028787B388 -:0403AF0002F770637E -:0403B000042C2737BB -:0403B100D7F7071360 -:0403B20079634585A1 -:0403B300F5B700F7A3 -:0403B4008593080B1A -:0403B500B5B3FBF5EC -:0403B600058900F5C0 -:0403B700879367E1E0 -:0403B80097AEAB074A -:0403B9000007C50371 -:0403BA000280079323 -:0403BB000533471DA2 -:0403BC00579302F55C -:0403BD00953E001455 -:0403BE00028544333D -:0403BF000FF47413B0 -:0403C0000FF477932C -:0403C10000877363DB -:0403C2004422479DED -:0403C300449240B26E -:0403C400059A078E01 -:0403C500F5938DDD42 -:0403C600450D0F854D -:0403C700B54D0141EE -:0403C8003D79451125 -:0403C9005583B79D04 -:0403CA00115100A528 -:0403CB0081A1C22228 -:0403CC000513842A67 -:0403CD00C40604B0AE -:0403CE0045833561CD -:0403CF00051300A46E -:0403D0003DBD04A08B -:0403D10000C455838C -:0403D20004D005133B -:0403D3003D8D81A13A -:0403D40000C4458399 -:0403D50004C0051348 -:0403D600558335A571 -:0403D700051300E426 -:0403D80081A104F00B -:0403D90045833DB16A -:0403DA00051300E423 -:0403DB003D8904E074 -:0403DC000044558301 -:0403DD0005100513EF -:0403DE00359981A12B -:0403DF00004445830E -:0403E00005000513FC -:0403E10055833D35CE -:0403E200051300649B -:0403E30081A10530BF -:0403E40045833D050B -:0403E5000513006498 -:0403E600351D05209C -:0403E70000845583B6 -:0403E80005500513A4 -:0403E9003D2981A188 -:0403EA0000844583C3 -:0403EB0005400513B1 -:0403EC0055833D01F7 -:0403ED0005130104EF -:0403EE0081A1057074 -:0403EF0045833511FC -:0403F00005130104EC -:0403F1003BED05607B -:0403F200012455830A -:0403F3000590051359 -:0403F40033FD81A1B3 -:0403F5000124458317 -:0403F6000580051366 -:0403F700558333D522 -:0403F80005130144A4 -:0403F90081A105B029 -:0403FA0045833BE11B -:0403FB004412014463 -:0403FC00051340A203 -:0403FD00013105A025 -:0403FE001151B3E105 -:0403FF00842AC22268 -:0404000003F00513ED -:040401003B85C4066D -:040402000F057593DA -:0404030044128DC151 -:04040400051340A2FA -:04040500013103F0CE -:040406001151B36578 -:04040700842AC2225F -:04040800C406456978 -:0404090075133B89A3 -:04040A00041A03F5D8 -:04040B0075938C4910 -:04040C0044120FF493 -:04040D00456940A25B -:04040E00B3590131AC -:04040F00C2221151A3 -:04041000842EC02650 -:04041100843384AA02 -:04041200451102840A -:040413003325C406C3 -:040414007593891D36 -:04041500058E01F45B -:0404160045118DC936 -:0404170040A2339537 -:0404180040545513E4 -:0404190044824412C3 -:04041A000FF5751352 -:04041B0080820131A9 -:04041C00C222115196 -:04041D00000214378E -:04041E0005934601FB -:04041F00051302C0FF -:04042000C406040406 -:04042100D0CFF0EF59 -:0404220045854605C1 -:0404230004040513B5 -:04042400D3AFF0EF73 -:0404250002C0059379 -:0404260004040513B2 -:04042700F0EF4605A7 -:040428000513CF2FBA -:040429004412040471 -:04042A00458540A222 -:04042B00F06F01313C -:04042C001151D02F6B -:04042D00C222C4061D -:04042E003F5D842A80 -:04042F00FE7575934E -:040430008C4D040EDD -:040431000FF474133D -:04043200450585A255 -:0404330085A231ADC0 -:0404340031954509B0 -:04043500441285A246 -:04043600450D40A28E -:04043700B9A1013135 -:04043800C026115178 -:04043900C40667E5A9 -:04043A00C703C22210 -:04043B0084AACBD7ED -:04043C0067E1E1494A -:04043D0069C7879371 -:04043E00043743D468 -:04043F000413019C05 -:040440005433CC0461 -:04044100878302D4D7 -:0404420046F100A7D8 -:0404430002D786B3A3 -:04044400879367E152 -:0404450097B67F8760 -:0404460000E7D78371 -:0404470002F4043384 -:040448001D63478960 -:04044900C7B700F73A -:04044A00879301C9CA -:04044B00E36337F739 -:04044C0044BD008724 -:04044D0035D18526FA -:04044E00A03D450D7B -:04044F0067E53D7DA3 -:04045000CBF7C7031C -:04045100FF87079387 -:040452000F77F79396 -:040453004791C7996D -:040454001B634501E0 -:04045500450500F762 -:04045600B537A8010D -:04045700051304C4C1 -:0404580035333FF504 -:04045900050900850C -:04045A0040A2441266 -:04045B0001314482A5 -:04045C004409B7890F -:04045D00008717639A -:04045E008EE3453DA7 -:04045F004501FAE475 -:040460004501BF5D36 -:04046100450D3D9D6B -:04046200FE9470E3B1 -:040463008D054515A9 -:040464000FF5751308 -:040465001793BFD159 -:04046600655D0025AB -:0404670043450513F1 -:04046800410C953E70 -:04046900464565613E -:04046A0072050513FF -:04046B0011E0506FDD -:04046C00053345F11E -:04046D0065E102B58E -:04046E007F8585936E -:04046F0095AA4645BF -:0404700005136561AA -:04047100506F720551 -:0404720047A9104046 -:0404730000F50E631F -:04047400050A67E12D -:04047500C087879322 -:04047600410C953E62 -:040477004645656130 -:0404780072050513F1 -:040479000E60506F52 -:04047A00859365D928 -:04047B00B7FD3BC5C9 -:04047C00112167E5FE -:04047D00CA47A68341 -:04047E00C82267E148 -:04047F008793C62673 -:04048000CA067B0726 -:040481000377C70333 -:040482000387C60323 -:040483003E800413A0 -:04048400D4334785A1 -:04048500648D0286FA -:0404860000F7156303 -:04048700849364896D -:040488004585800422 -:04048900C432453DF7 -:04048A00C036C23A7C -:04048B00A49FF0EF4B -:04048C00051345818E -:04048D00F0EF033059 -:04048E00D593A3FF60 -:04048F0005130084CD -:04049000F0EF034046 -:040491004581A33FBF -:0404920003500513FB -:04049300A29FF0EF45 -:040494000FF4759359 -:040495000300051348 -:04049600A1DFF0EF03 -:0404970000845593F5 -:040498000FF5F593D4 -:040499000310051334 -:04049A00A0DFF0EF00 -:04049B000104559370 -:04049C000FF5F593D0 -:04049D000320051320 -:04049E009FDFF0EFFD -:04049F00453D458111 -:0404A0009F5FF0EF7B -:0404A1000513458179 -:0404A200F0EF0C501B -:0404A30046829EBF30 -:0404A400471264E1B6 -:0404A50068C487930D -:0404A6004785C7942B -:0404A70084934622D2 -:0404A800440968C4D7 -:0404A90000F70363F2 -:0404AA004685442916 -:0404AB00008483A3A3 -:0404AC0000D48223D3 -:0404AD00036347BDE1 -:0404AE00478100D6AC -:0404AF0000F482A330 -:0404B0000E00051322 -:0404B1000C000593A3 -:0404B200F0EFC03A6D -:0404B30047029ABFA3 -:0404B4000513478560 -:0404B5001D6305902E -:0404B600F0EF10F75C -:0404B7007593959F05 -:0404B800E5930FC5F4 -:0404B9000513001512 -:0404BA00F0EF0590CA -:0404BB00451198BF90 -:0404BC00943FF0EF8A -:0404BD000EB5759370 -:0404BE00F0EF451105 -:0404BF00C58397BF9B -:0404C00005130044DC -:0404C100F0EF0E103A -:0404C200059396FF09 -:0404C30005130E40CF -:0404C400F0EF0E2027 -:0404C500C583963F16 -:0404C60005130054C6 -:0404C70089BD0E30AD -:0404C800955FF0EF5D -:0404C900051345A131 -:0404CA00F0EF0E4001 -:0404CB00458594BF10 -:0404CC00F0EF453DCB -:0404CD0045A1943F72 -:0404CE0009100513F9 -:0404CF00939FF0EF18 -:0404D000051345814A -:0404D100F0EF09201F -:0404D200458592FFCB -:0404D30009300513D4 -:0404D400925FF0EF54 -:0404D5000513458145 -:0404D600F0EF0940FA -:0404D70085A291BFAA -:0404D800098005137F -:0404D900911FF0EF90 -:0404DA000FF4459343 -:0404DB00E59305920E -:0404DC00F59300B5DF -:0404DD0005130FB53F -:0404DE00F0EF0990A2 -:0404DF0045818FBF05 -:0404E000F0EF453DB7 -:0404E10005938F3FB1 -:0404E20005130C10E2 -:0404E300F0EF0E0028 -:0404E40007938E7F6D -:0404E5008323FC1061 -:0404E600458500F454 -:0404E700F0EF453DB0 -:0404E80045858D7F3A -:0404E9000680051371 -:0404EA008CDFF0EFC4 -:0404EB00051345812F -:0404EC00F0EF069097 -:0404ED0045818C3F7A -:0404EE0006B005133C -:0404EF008B9FF0EF00 -:0404F000051345812A -:0404F100F0EF06C062 -:0404F20005938AFFE5 -:0404F30005130700E6 -:0404F400F0EF06D04F -:0404F50045818A3F74 -:0404F600F0EF453DA1 -:0404F700444289BF33 -:0404F80044B240D2F8 -:0404F9000513458D15 -:0404FA0001610CE0B0 -:0404FB00889FF06F77 -:0404FC00843FF0EF5A -:0404FD000FC575931F -:0404FE000113B5FD34 -:0404FF00D006FDC165 -:04050000CC26CE2215 -:0405010087AA470975 -:0405020010E59C6301 -:0405030005000713D5 -:0405040000E105A36A -:0405050000B14703F7 -:040506000076141354 -:0405070004800593D4 -:0405080000846413F4 -:040509000036F49331 -:04050A00002767134C -:04050B0000B1062312 -:04050C000FF4741361 -:04050D00453D45859E -:04050E0000F10723CE -:04050F0005A3C03E42 -:04051000C23A00E10A -:04051100008106A3BC -:04051200009107A3AA -:04051300CA02C8024E -:04051400825FF0EF23 -:040515000513471271 -:0405160085BA05801D -:04051700819FF0EFE1 -:0405180004800593C3 -:040519000590051331 -:04051A0080DFF0EF9F -:04051B00051385A29D -:04051C00F0EF05A057 -:04051D004782803F52 -:04051E0005B005130C -:04051F00F0EF85BEB6 -:0405200085A6FF6F3E -:0405210005C00513F9 -:04052200FECFF0EF29 -:0405230005134581F6 -:04052400F0EF05E00F -:040525004581FE2FDF -:0405260005F00513C4 -:04052700FD8FF0EF65 -:0405280005134581F1 -:04052900F0EF0600E9 -:04052A004581FCEF1C -:04052B00061005139E -:04052C00FC4FF0EFA1 -:04052D0005134581EC -:04052E00F0EF0620C4 -:04052F004581FBAF58 -:040530000630051379 -:04053100FB0FF0EFDD -:0405320005134581E7 -:04053300F0EF06409F -:040534004581FA6F94 -:040535000650051354 -:04053600F9CFF0EF1A -:040537000713003C6A -:0405380045810151A7 -:040539000037C6833E -:04053A008D9507850F -:04053B000FF5F59330 -:04053C00FEF71AE3C9 -:04053D0006F58593A7 -:04053E000FF5F5932D -:04053F0005D00513CB -:04054000F74FF0EF92 -:04054100453D45816E -:04054200F6CFF0EF11 -:04054300508244722C -:04054400458D44E2BB -:040545000CD00513BE -:04054600024101135A -:04054700F58FF06FCD -:04054800B5FD474175 -:04054900CA221111A0 -:04054A004505842AB5 -:04054B00C826CC06EC -:04054C00F98FF0EF44 -:04054D00F0EF451175 -:04054E006593EFCFF3 -:04054F00F59300859B -:0405500045110FF54D -:04055100F30FF0EFC5 -:04055200030005930A -:040553000610051376 -:04055400F24FF0EF83 -:0405550005134581C4 -:04055600F0EF0CD0E6 -:0405570067E1F1AFB8 -:0405580069C78713D5 -:0405590001074483CF -:04055A0069C7879353 -:04055B00C03E47094E -:04055C00009034B324 -:04055D00C23A4785D2 -:04055E0003630485AA -:04055F00C20200F4E0 -:04056000451145F507 -:04056100EF0FF0EFB9 -:04056200079366E1B4 -:040563008613FFE418 -:0405640037B368C67B -:04056500971300F0F8 -:0405660047830017B0 -:040567009BF500C63A -:0405680087138FD98D -:04056900062368C637 -:04056A00C43A00F699 -:04056B00C7818B8930 -:04056C00F0EF450562 -:04056D0047A2F16F41 -:04056E00070005136A -:04056F000037C78307 -:04057000F0EFC63EA4 -:0405710047B2E70F97 -:040572000035759348 -:040573000087F713F3 -:04057400E593C3192F -:04057500F713020571 -:04057600C31900178E -:040577000105E59302 -:040578000027F7134E -:04057900E593C3192A -:04057A008B910085DC -:04057B00E593C399A8 -:04057C00051300451E -:04057D00F0EF070094 -:04057E004792E7EFCA -:04057F00C3B545813A -:04058000879367E115 -:04058100C583648743 -:040582000513000756 -:04058300F0EF07305E -:0405840067E1E66FD6 -:0405850064878713ED -:040586000017458392 -:040587000740051311 -:04058800E54FF0EF5C -:04058900879367E10C -:04058A00C58364873A -:04058B00051300272D -:04058C00F0EF075035 -:04058D006761E42F8F -:04058E000713478187 -:04058F0006B3C347A5 -:04059000C58300F728 -:0405910085130006C8 -:04059200C23E0767F7 -:04059300E28FF0EF14 -:0405940067614792C2 -:04059500078546C9C7 -:04059600C34707133D -:04059700FED791E317 -:040598000513458979 -:04059900C22E072047 -:04059A00DCAFF0EFF3 -:04059B00897145928B -:04059C0005138DC9ED -:04059D00F0EF072054 -:04059E0047A2DFEFA2 -:04059F00C783458544 -:0405A0008B8900C77C -:0405A1004581E3911C -:0405A2000C00051331 -:0405A300DE8FF0EF08 -:0405A400451145D5E3 -:0405A500DE0FF0EF86 -:0405A600051345C133 -:0405A700F0EF06105B -:0405A8004789DD6F33 -:0405A9000EF49E634B -:0405AA00088005932D -:0405AB00062005130E -:0405AC00DC4FF0EF41 -:0405AD00051345C12C -:0405AE00F0EF063034 -:0405AF000593DBAF26 -:0405B00005130840E7 -:0405B100F0EF064021 -:0405B2000513DAEF64 -:0405B300E0EF3E80B7 -:0405B4004511A31F2B -:0405B500D5EFF0EF9F -:0405B60001D5759363 -:0405B700F0EF45110B -:0405B8006561D96F31 -:0405B9006A050513B7 -:0405BA00A17FE0EF4E -:0405BB00F0EF451107 -:0405BC007593D44F10 -:0405BD00451101558E -:0405BE00D7CFF0EFB4 -:0405BF00064004935B -:0405C000F0EF4539DA -:0405C1008941D30F8A -:0405C20014FDE90932 -:0405C300051304C256 -:0405C40080C13E8034 -:0405C5009EBFE0EF06 -:0405C6004581F4E592 -:0405C700F0EF4531DB -:0405C8000593D56F53 -:0405C90045350400B0 -:0405CA00D4CFF0EFAB -:0405CB0045394585E4 -:0405CC00D44FF0EF29 -:0405CD0045394581E6 -:0405CE00D3CFF0EFA8 -:0405CF00453D4581E0 -:0405D000D34FF0EF26 -:0405D1000513458148 -:0405D200F0EF061030 -:0405D3004789D2AFD3 -:0405D40002F40563C5 -:0405D50064E1478214 -:0405D6007B0484938B -:0405D70000F7C683E0 -:0405D8000117C5033F -:0405D9000244C6030F -:0405DA00008035B3B5 -:0405DB0031710586EF -:0405DC000244C7838B -:0405DD0001234702AD -:0405DE00F0EF04F73F -:0405DF004581D36F10 -:0405E0000CE0051313 -:0405E100CF0FF0EF59 -:0405E20004634789DE -:0405E300F0EF00F441 -:0405E4004452A63F98 -:0405E50044C240E2EA -:0405E6000171450159 -:0405E700D2CFF06F10 -:0405E800051345E1D1 -:0405E900F0EF062009 -:0405EA0045C1CCEF4C -:0405EB0006300513BE -:0405EC00CC4FF0EF11 -:0405ED00B73145B12C -:0405EE0001A3114113 -:0405EF00153700A11B -:0405F000C42200021F -:0405F100842E460509 -:0405F20004050513E4 -:0405F300003105933B -:0405F400E0EFC60668 -:0405F5008522E43F38 -:0405F600927FE0EF21 -:0405F700442240B2A8 -:0405F80080820141BB -:0405F9000513115184 -:0405FA00C406022011 -:0405FB00C026C22232 -:0405FC00D86FF0EFD5 -:0405FD000FF574136F -:0405FE00455945CD49 -:0405FF00DC4FF0EFEE -:040600008513648971 -:04060100E0EF7104B1 -:04060200458D8F9FF4 -:04060300F0EF455D72 -:040604008513DB2F50 -:04060500E0EF7104AD -:0406060065938E9FCB -:0406070005130804CB -:04060800F0EF0220ED -:040609000513D9EF0D -:04060A00E0EF3E805F -:04060B0075938D5FF7 -:04060C00441207F499 -:04060D00448240A241 -:04060E0002200513AE -:04060F00F06F013156 -:040610004789D82F0F -:040611000CA7EF63E0 -:04061200C22211519E -:04061300C406C02633 -:04061400000284B7A5 -:04061500842A409C57 -:040616008BBD83ED28 -:040617000AA78E633D -:0406180007B347D10C -:0406190065DD02F5A4 -:04061A00440585937B -:04061B0085134651AC -:04061C00883D0044D1 -:04061D0095BE040A78 -:04061E00E1BFE0EF69 -:04061F00F793409C71 -:040620008C5DFC37BA -:040621006461C080D0 -:0406220069C407930D -:0406230001C7C783C1 -:0406240069C404138E -:040625006765C395AD -:04062600CBA7071344 -:0406270000075783EE -:040628009BF54529D0 -:0406290083C107C2C0 -:04062A0000F71023A2 -:04062B00000217377B -:04062C000EF7202382 -:04062D0084BFE0EFB7 -:04062E00000217B7F8 -:04062F000D07A78389 -:040630000008073780 -:04063100EB8D8FF9C5 -:04063200000287B784 -:04063300596343982C -:0406340043980007E0 -:0406350067134505FD -:04063600C39800174E -:04063700823FE0EF2F -:04063800000287B77E -:040639004529439874 -:04063A00C3989B794D -:04063B006713439866 -:04063C00C398002738 -:04063D0080BFE0EFAB -:04063E0001C4478329 -:04063F006765CF918B -:04064000CBA707132A -:0406410000075783D4 -:040642000027E79313 -:0406430000F7102389 -:040644000002173762 -:040645000EF7202369 -:04064600441240A278 -:0406470001314482B7 -:0406480080828082AA -:04064900656165DDA5 -:04064A000613115131 -:04064B00859304602F -:04064C00051352C57B -:04064D00C4067B055F -:04064E00D5BFE0EF45 -:04064F00656165DD9F -:0406500047C0061386 -:0406510057458593F1 -:040652007F85051388 -:04065300D47FE0EF81 -:0406540067E540A274 -:0406550089234705A9 -:040656004501CCE7A7 -:04065700808201316B -:04065800467006934F -:0406590002D505338E -:04065A0006400693BD -:04065B0006136659C3 -:04065C0045C53C460E -:04065D0002D546B3C9 -:04065E0005136561BA -:04065F00306F720581 -:0406600046B7749095 -:040661008693000F6D -:040662000533240632 -:04066300678902D5CC -:04066400964787939B -:040665003E800713B9 -:04066600006336B740 -:04066700EA06869386 -:0406680006136659B6 -:0406690045C53CC681 -:04066A0002F557B38B -:04066B0002E7F7B3F8 -:04066C0056B3472911 -:04066D00656102D5EC -:04066E0072050513F9 -:04066F0002E7D73394 -:040670007070306F07 -:0406710027100693B5 -:040672000533050542 -:04067300069302D513 -:04067400665906407D -:040675003D860613A5 -:0406760046B345C57D -:04067700656102D5E2 -:0406780072050513EF -:040679006E30306F40 -:04067A0027100693AC -:04067B0002D505336C -:04067C00064006939B -:04067D0006136659A1 -:04067E0045C53D86AB -:04067F0002D546B3A7 -:040680000513656198 -:04068100306F72055F -:04068200BFF96C1040 -:04068300665986AA84 -:040684000613656193 -:0406850045C53E0623 -:0406860072050513E1 -:040687006AB0306FB6 -:04068800665986AA7F -:04068900061365618E -:04068A0045C53EC65E -:04068B0072050513DC -:04068C006970306FF2 -:04068D00665986AA7A -:04068E000613656189 -:04068F0045C53F8698 -:0406900072050513D7 -:040691006830306F2E -:04069200F8050693CE -:04069300665906E2BC -:0406940086E1656135 -:040695006E060613D4 -:04069600051345C53E -:04069700306F720549 -:0406980016936690BF -:0406990086E1018570 -:04069A0065616659D7 -:04069B00061316D15B -:04069C0045C53FC64B -:04069D0072050513CA -:04069E0064F0306F65 -:04069F0096B3468543 -:0406A000665900A6F1 -:0406A1000613656176 -:0406A20045C53E0606 -:0406A30072050513C4 -:0406A4006370306FE0 -:0406A500468505057C -:0406A60000A696B361 -:0406A70065616659CA -:0406A8003EC6061331 -:0406A900051345C52B -:0406AA00306F720536 -:0406AB00478361D050 -:0406AC0047110045AD -:0406AD00696386B245 -:0406AE00675906F78B -:0406AF000713078A9C -:0406B00097BA41872D -:0406B1008782439C5D -:0406B2004645451C58 -:0406B3000007C70372 -:0406B400070A491CCC -:0406B500438C97BA21 -:0406B6000513656162 -:0406B700406F720519 -:0406B80045187EC0A3 -:0406B9004503491C90 -:0406BA00878200072C -:0406BB004508491C89 -:0406BC0067E18782E9 -:0406BD00720780231D -:0406BE00C1958082E0 -:0406BF0065D9E61102 -:0406C0008593464593 -:0406C100BFC9404528 -:0406C2000206506379 -:0406C30065616659AE -:0406C40040C6061313 -:0406C500051345C50F -:0406C600306F72051A -:0406C700455C5AD064 -:0406C8004398DBE98F -:0406C900B7C1479CD2 -:0406CA0067E58082DE -:0406CB00C9E7C78331 -:0406CC0067E5C3E13A -:0406CD00CA07C7038E -:0406CE001B634785DE -:0406CF0067610AF75E -:0406D00007131111EA -:0406D100CA224E47A4 -:0406D200CC06C82664 -:0406D3004481440119 -:0406D400C23A47815E -:0406D500470367650B -:0406D6004692C9F788 -:0406D7009736070E3D -:0406D8004703431081 -:0406D900CD630006E7 -:0406DA0047B700E737 -:0406DB00A223000254 -:0406DC00A4233C9780 -:0406DD0040E23C8734 -:0406DE0044C244527C -:0406DF0080820171A3 -:0406E00087334751C4 -:0406E100425002E79A -:0406E2000057969394 -:0406E30047B7C63E11 -:0406E4008533000258 -:0406E500C03600F625 -:0406E600430C9732F8 -:0406E700C43A46418A -:0406E80072A040EFCD -:0406E90047B24722AB -:0406EA0046034585F9 -:0406EB0092B300477F -:0406EC00C61600F539 -:0406ED0076131675F5 -:0406EE00E4B30FF66C -:0406EF00F763005459 -:0406F000853A02C580 -:0406F10045814601F8 -:0406F20035D5C43EF8 -:0406F300000247B703 -:0406F4000107851362 -:0406F50065E14782F2 -:0406F600953E4641A6 -:0406F7007205859370 -:0406F8006EA040EFC1 -:0406F90047A242B220 -:0406FA000054643311 -:0406FB00B79D07851B -:0406FC0001138082E4 -:0406FD006765FD81AF -:0406FE005403D222AD -:0406FF006765CC87D8 -:04070000CC274703B8 -:0407010062E5D026B7 -:040702006765C03A2D -:04070300CC075703C5 -:04070400666564E5DD -:040705006765C23A28 -:04070600CD075703C1 -:04070700CC44D68385 -:04070800CCA6530325 -:040709006765C43A22 -:04070A00CCE74703EE -:04070B00849385AAA4 -:04070C00C63ACC44D9 -:04070D0057036765C2 -:04070E00D503CCC77C -:04070F000613CC629F -:04071000C83ACCA671 -:040711000713676102 -:04071200438369C7ED -:04071300829300B716 -:0407140067E5CC6267 -:04071500CA26CC160E -:04071600CE3262E19C -:04071700CD37C78390 -:040718007F828293C7 -:0407190008038163ED -:04071A0000A706032B -:04071B0006F61D635E -:04071C0007334771E7 -:04071D00971602E643 -:04071E0000E753831A -:04071F0006D3916309 -:040720000107238327 -:040721000FF57493C9 -:0407220001F3F39359 -:04072300049399633F -:0407240001474383C3 -:040725000483956351 -:0407260001274383E1 -:040727009063448215 -:040728002383049390 -:04072900449200876F -:04072A000153D39311 -:04072B000293996339 -:04072C0001574383AB -:04072D00946344A2EB -:04072E00438302936C -:04072F0044B2013798 -:0407300000939F6330 -:0407310000C75703A3 -:040732001A6344C240 -:040733004771009773 -:0407340002E60633A0 -:0407350047039616CA -:0407360006630166EF -:04073700676500678B -:040738000923460546 -:040739004771CCC771 -:04073A0002E787B398 -:04073B0001F577133A -:04073C00D78392BE0F -:04073D0097230102FB -:04073E008A2300D238 -:04073F009B81008218 -:0407400000E7E533B6 -:0407410000A2982357 -:040742008B2347823C -:04074300656100628A -:0407440000F2892313 -:04074500961347922E -:04074600D7830057FE -:040747008BFD00A284 -:0407480095238FD195 -:0407490047A200F2D1 -:04074A0000F28AA38C -:04074B0089A347B285 -:04074C0047C200F2AE -:04074D0000F29623FD -:04074E00966347F275 -:04074F00069302F516 -:0407500003334650D9 -:04075100069302D336 -:04075200665906409E -:0407530042C6061381 -:0407540002D346B3D3 -:040755005482541264 -:04075600051345C57D -:040757000113720513 -:04075800306F02817B -:0407590047D23650FD -:04075A0000F59663AD -:04075B0006136659C2 -:04075C00B7CD3F8650 -:04075D00996347E273 -:04075E00469500F5C7 -:04075F0006B366591E -:04076000061302D7A3 -:04076100B7F943465B -:040762000005D68335 -:0407630067E5B7C5CA -:04076400CB47879365 -:04076500EF194398AD -:040766000713671DF1 -:040767000533978738 -:04076800115102E544 -:04076900C388C40677 -:04076A00B7AFE0EF56 -:04076B00450140A262 -:04076C008082013155 -:04076D00808245053C -:04076E00C40611515B -:04076F00B8AFE0EF50 -:04077000A78367E50F -:0407710040A2CB4790 -:0407720000F5353326 -:04077300808201314E -:040774006563473D35 -:0407750057B718A7B3 -:04077600716D44520B -:0407770035578793D8 -:0407780057B7C23E6F -:040779008793004121 -:04077A00C43E44171E -:04077B0000F53793BB -:04077C0010812423A1 -:04077D001091222392 -:04077E00101126230D -:04077F00072364E503 -:04078000842A00F1D6 -:04078100C984849310 -:040782000CE510630F -:04078300879367955C -:0407840065E15007D4 -:0407850000F1162346 -:040786000793462966 -:04078700859304A0B2 -:0407880005137005E0 -:0407890007A30111B0 -:04078A00082300F14F -:04078B00E0EF00019A -:04078C0067E5865F38 -:04078D00CBC7C7838C -:04078E00061365E108 -:04078F000E230360D2 -:0407900067E500F128 -:04079100C947C7830A -:040792007345859393 -:0407930002510513F7 -:0407940000F10EA3BF -:04079500C78367E5CA -:040796000DA3CA974E -:0407970067E500F121 -:04079800C9C7C78383 -:0407990000F10F2339 -:04079A00C78367E5C5 -:04079B000FA3C8B729 -:04079C0067E500F11C -:04079D00C897C783AF -:04079E0002F1002341 -:04079F00C78367E1C4 -:0407A00000A3644707 -:0407A10067E502F115 -:0407A200C8A7C7839A -:0407A30002F101233B -:0407A400C78367E1BF -:0407A50001A3654700 -:0407A60067E102F114 -:0407A7006557C78348 -:0407A80002F1022335 -:0407A900FEEFE0EF90 -:0407AA0006934088EA -:0407AB000050057085 -:0407AC00001F05B76E -:0407AD00CA6FE0EF40 -:0407AE0010C12083D3 -:0407AF00108124038E -:0407B000104124834D -:0407B1008082615190 -:0407B2008793679929 -:0407B3001623800782 -:0407B40007B700F192 -:0407B5008793047CA6 -:0407B600CE3E0467C8 -:0407B700C70367E12C -:0407B800EB117A07C0 -:0407B90085136659E5 -:0407BA0006137A07A1 -:0407BB0045B543C637 -:0407BC001D7030EF8D -:0407BD00859367E1D8 -:0407BE0046357A073B -:0407BF0000F105132D -:0407C0003CA040EF2A -:0407C100061365E1D5 -:0407C20085930460B7 -:0407C30010087B059A -:0407C400F82FE0EF3B -:0407C500061365E1D1 -:0407C600859309E02E -:0407C70005137F8512 -:0407C800E0EF0661F7 -:0407C9000593F70F8E -:0407CA00408801045E -:0407CB000FF5F5939E -:0407CC00069305C2C9 -:0407CD0000501000C8 -:0407CE00E0EFC02E6A -:0407CF00FD2DC20F2B -:0407D0004088458296 -:0407D100879367E1C2 -:0407D20007137F8703 -:0407D30086933DE0EC -:0407D400861309E798 -:0407D500E0EF10053C -:0407D600BFB9AE4FAA -:0407D7008082557D4A -:0407D800C0261151D5 -:0407D900849364E5BC -:0407DA00C503CAB4D5 -:0407DB00C222000432 -:0407DC003DB9C40659 -:0407DD00E515842A70 -:0407DE000004C70349 -:0407DF00468167E503 -:0407E000CAE78523BC -:0407E100C78367E57E -:0407E200C781CA976A -:0407E300C68367E181 -:0407E40067E16B87D7 -:0407E500700787937F -:0407E600453D97B640 -:0407E70000E7802384 -:0407E80040A23D05E9 -:0407E900441285220F -:0407EA000131448213 -:0407EB0001138082F4 -:0407EC0067E1EE4192 -:0407ED007A078023E4 -:0407EE0010112C2397 -:0407EF0010812A2328 -:0407F0001091282319 -:0407F10047BDC22E10 -:0407F20020A7EE63EB -:0407F30064650541F3 -:0407F4000FF57793F3 -:0407F500C98425038B -:0407F60001079593CF -:0407F7001000069355 -:0407F800C03E0810E7 -:0407F900C2EFE0EF7C -:0407FA00C984071394 -:0407FB0087AAC43ACB -:0407FC0065D9E105D5 -:0407FD004445859357 -:0407FE00E0EF080818 -:0407FF0087AAEE4F88 -:040800001E0514635A -:0408010001A1470307 -:040802004685CF1147 -:040803000ED70E639B -:0408040011812083BB -:040805001141240376 -:040806001101248335 -:040807000113853E16 -:04080800808211C118 -:04080900018147031F -:04080A001C07126352 -:04080B00019146838E -:04080C000550071379 -:04080D001AE69C63E8 -:04080E0001C14703DA -:04080F0001B146836A -:040810008F550722D7 -:0408110004A00693A6 -:04081200FCD714E318 -:04081300F36947122C -:04081400081866E179 -:040815004539478199 -:04081600700686934F -:04081700460345A9A6 -:04081800666300D73C -:04081900833300C560 -:04081A00002300F6C1 -:04081B00078500C38A -:04081C0096E3070553 -:04081D004783FEB758 -:04081E006765029177 -:04081F00C8F70A23E9 -:04082000666347259F -:04082100676500F710 -:04082200CAF70E23E0 -:040823004783A0293E -:040824007AE30281F0 -:040825004703FEF790 -:0408260067E502B1CF -:0408270085A36561DF -:040828004703C8E7D3 -:0408290067E502C1BC -:04082A00036006134E -:04082B00C8E784A3F3 -:04082C0002D14703AB -:04082D00059367E1E7 -:04082E00822303110D -:04082F00470364E730 -:0408300067E502E195 -:0408310073450513F3 -:04083200C8E785236B -:0408330002F1470384 -:040834008A2367E1CB -:04083500470364E72A -:0408360067E1030172 -:0408370064E78AA345 -:0408380002714703FF -:0408390084A367E548 -:04083A0067E1CAE7C1 -:04083B007007C70378 -:04083C00852367E5C4 -:04083D004703CAE7BC -:04083E0067E502A1C7 -:04083F00C8E78E2355 -:04084000D92FE0EFDD -:04084100B72947810B -:040842000181448369 -:040843004683E0E523 -:040844000713019104 -:040845009B6305802C -:0408460056830CE6E3 -:040847000713028110 -:0408480097E30460CE -:040849005403EEE680 -:04084A00071302A1ED -:04084B0011E347C0AE -:04084C0067E1EEE48E -:04084D004635C62A3C -:04084E007A0785138D -:04084F0001B105935B -:0408500018A040EFBD -:0408510047B2471251 -:04085200EC0714E3B8 -:0408530006136561C2 -:04085400106C0460C0 -:040855007B05051307 -:04085600D3AFE0EF4D -:040857006361478210 -:0408580007A24701AB -:040859000313C03E87 -:04085A0007937F83FE -:04085B00061306205A -:04085C000533100050 -:04085D00C63A00E3B4 -:04085E0008188E1DCB -:04085F0005B3C23EDD -:04086000496300F7F1 -:04086100E0EF04C4FC -:040862004792D0CF1A -:04086300048547328F -:040864008F1D943E12 -:04086500F49347823F -:0408660007130FF471 -:0408670085B310073E -:0408680047A200F4AF -:04086900834107427E -:04086A000693438826 -:04086B000810100061 -:04086C00C23A05A2E5 -:04086D00F00404137C -:04086E00A5AFE0EF63 -:04086F0066E10442F8 -:04087000804147126A -:04087100831387AABC -:0408720013E37F8687 -:040873004781E405D0 -:04087400A021FC596A -:04087500E0EF862208 -:0408760067E5CBCF98 -:040877008923470585 -:04087800B70DCCE705 -:04087900B52D57FD45 -:04087A00B51D4785DC -:04087B00B50D4789E7 -:04087C00C026115130 -:04087D00849364E517 -:04087E00C503CAB430 -:04087F0045810004AB -:04088000C406C222C6 -:04088100842A336D25 -:04088200C703E121A6 -:0408830067E5000421 -:04088400852346A9D9 -:0408850067E1CAE776 -:040886007F57C7834E -:0408870000D78563AE -:040888008E2366E570 -:0408890067E5CAF65F -:04088A00CA97C783BF -:04088B00C78146815A -:04088C00C68367E5D3 -:04088D0067E1CBC78D -:04088E0070078793D5 -:04088F00453D97B696 -:0408900000E78023DA -:04089100B8DFF0EFED -:04089200852240A2D9 -:040893004482441245 -:04089400808201312C -:040895004585114143 -:04089600C02AC606A8 -:04089700D53FF0EF6A -:0408980047836761CA -:0408990046827A0712 -:04089A007A070713BF -:04089B006759E78131 -:04089C0044C7071333 -:04089D00665940B2A6 -:04089E000613656177 -:04089F0045C54546C0 -:0408A00072050513C5 -:0408A100306F014172 -:0408A20011216400BC -:0408A3006465C8229E -:0408A400CBA40713C7 -:0408A500000757836E -:0408A600C626CA0692 -:0408A700F9F7F793D3 -:0408A80083C107C23F -:0408A90000F7102321 -:0408AA00000216B77B -:0408AB00A023C02E98 -:0408AC0005930EF6AC -:0408AD0084AA3200E7 -:0408AE00F0EF45051D -:0408AF000513CFEF6F -:0408B000D0EF19006C -:0408B1000713E3DF67 -:0408B2005783CBA4F9 -:0408B30016B700076D -:0408B40045C1000238 -:0408B5000207E793BC -:0408B60000F7102314 -:0408B7000EF6A02376 -:0408B80040EF852662 -:0408B900779300C071 -:0408BA0004130FF51F -:0408BB00EB89CBA456 -:0408BC00464565E167 -:0408BD00A205859378 -:0408BE0030EF85266C -:0408BF0047857D10DC -:0408C00086B34701B3 -:0408C100C50300E487 -:0408C20045D1000616 -:0408C300C23AC43E33 -:0408C400CA8FF0EFF8 -:0408C50047A24712ED -:0408C6007693070519 -:0408C700E2E30FF762 -:0408C8005783FEF65E -:0408C90014B700045C -:0408CA0045D1000212 -:0408CB00FDF7F793AB -:0408CC0083C107C21B -:0408CD0000F4102300 -:0408CE000EF4A02361 -:0408CF000C00051301 -:0408D000C78FF0EFEF -:0408D1000004578345 -:0408D20045C14502D5 -:0408D3000207E7939E -:0408D40000F41023F9 -:0408D5000EF4A0235A -:0408D600797030EF16 -:0408D7000FF5749312 -:0408D8004502E88964 -:0408D900464565E14A -:0408DA00A20585935B -:0408DB0075F030EF95 -:0408DC004781448587 -:0408DD0045D14702B8 -:0408DE00973EC23E41 -:0408DF0000074503C6 -:0408E000C38FF0EFE3 -:0408E10007854792AE -:0408E2000FF7F71302 -:0408E300FE9764E335 -:0408E4000004578332 -:0408E500173740D2AF -:0408E600E793000292 -:0408E70010230407CF -:0408E800444200F492 -:0408E9000EF72023C3 -:0408EA00016144B2B2 -:0408EB0011418082B5 -:0408EC00C226C4223A -:0408ED004785C6066F -:0408EE00646164E1FC -:0408EF0000F50863A5 -:0408F000468367656F -:0408F1004709CA07E2 -:0408F20004E6946321 -:0408F3008593464162 -:0408F400453770C450 -:0408F50030EF0002DE -:0408F60047B76F5041 -:0408F7008513000263 -:0408F800464102076C -:0408F90072040593ED -:0408FA006E3030EF3D -:0408FB00000247B7F9 -:0408FC003C07A623EC -:0408FD00A223470DDE -:0408FE00A4233CE70C -:0408FF0005933C071A -:040900004422720417 -:04090100851340B268 -:04090200449270C4E7 -:04090300BDB501413C -:04090400FEE517E312 -:0409050047036765D8 -:040906004641C9F7A6 -:0409070072040593DE -:04090800003716930B -:040909000713676108 -:04090A0097364E4787 -:04090B0000474683D8 -:04090C000002473767 -:04090D0000569513E8 -:04090E00953A0541D0 -:04090F0030EFC036CF -:04091000468268D0E3 -:040911000002473762 -:040912003C872603F5 -:0409130097B34785CA -:040914008FD100D7A8 -:040915003CF7242364 -:0409160067E5B75D7D -:04091700C9D7C783F2 -:04091800FD81011349 -:040919000785D0225C -:04091A000FF7F79349 -:04091B0007C207B256 -:04091C00646583C1CA -:04091D000713C83EB6 -:04091E005783CBA48C -:04091F0046C20007C5 -:04092000CE26D20607 -:0409210076E18FD517 -:0409220007C28FD5A4 -:04092300102383C159 -:04092400C20200F714 -:04092500000217377E -:0409260064E1C402C2 -:0409270020236659CA -:0409280006130EF7AD -:0409290045C545C6B5 -:04092A0072048513BB -:04092B0041A030EFC8 -:04092C003DF545014F -:04092D00177D67418A -:04092E0004134785E2 -:04092F00CA3ACBA451 -:040930000002173773 -:040931000D07268305 -:040932008EF14652AA -:04093300EFC5C63610 -:0409340066E1CADDD1 -:0409350073468693EC -:040936000166D6037D -:04093700578345B2EB -:04093800166300043E -:0409390076F510B689 -:04093A008FF516FD22 -:04093B0000F4102391 -:04093C000EF720236F -:04093D0080234501CD -:04093E003D557204AD -:04093F000006253752 -:04094000A8050513EE -:04094100BFBFD0EF75 -:0409420000045783D3 -:040943006691474230 -:040944003E800513D9 -:040945008FD58FD9E2 -:0409460083C107C2A0 -:0409470000F4102385 -:04094800000217375B -:040949000EF7202362 -:04094A00867FF0EFC5 -:04094B0088DFF0EF62 -:04094C001063478568 -:04094D0027B702F5D1 -:04094E00479C0002C0 -:04094F0001079713F2 -:04095000C23A8341E3 -:0409510000479713B1 -:04095200C43A8351CF -:04095300DFE3CC3ED4 -:0409540067E5FC0750 -:04095500CA07AA2300 -:04095600EF8D479248 -:0409570006136659C4 -:0409580045C54686C5 -:04095900720485138C -:04095A0035E030EF65 -:04095B00358145019C -:04095C000004570339 -:04095D0017FD77F11A -:04095E0010238FF9DA -:04095F00173700F452 -:04096000202300024E -:0409610065090EF71F -:040962007105051303 -:04096300B73FD0EFDB -:04096400B73D47B2A2 -:04096500475247921C -:0409660000E79663AD -:0409670006136659B4 -:04096800B7C1478646 -:0409690045A247124A -:04096A000793660584 -:04096B00167D0640AF -:04096C0002F756B385 -:04096D0002F77733E3 -:04096E0000C59B63C2 -:04096F0006136659AC -:0409700045C548062B -:040971007204851374 -:040972002FE030EF53 -:040973004622B7451C -:04097400851345C5DD -:04097500763372045F -:04097600C03202F693 -:0409770057B346220A -:04097800665902F6C4 -:0409790048C6061353 -:04097A002DE030EF4D -:04097B00D683B74127 -:04097C004632018678 -:04097D00F6C69EE339 -:04097E0083D107D248 -:04097F0000F410234D -:04098000540250923B -:040981000EF720232A -:04098200450144F2F5 -:0409830002810113D9 -:0409840067E5808221 -:04098500C9E7C78374 -:040986001151E3B573 -:04098700C222C406BE -:04098800C909C026B3 -:0409890000024737EA -:04098A003C0727837C -:04098B000027E793C7 -:04098C003CF72023F1 -:04098D00859364E109 -:04098E00464176C4A4 -:04098F0000024537E6 -:0409900048B030EF4C -:0409910000024437E5 -:04099200859367E101 -:0409930005137807C9 -:0409940046410204D2 -:04099500477030EF88 -:040996002623470DC0 -:0409970022233C04D7 -:0409980024233CE4F4 -:0409990044123C04C4 -:04099A00851340A2DF -:04099B00448276C458 -:04099C00859367E1F7 -:04099D0001317807A5 -:04099E00C13FF06FF6 -:04099F0067E5808206 -:0409A0004705636143 -:0409A1008C237159D9 -:0409A2000793CAE706 -:0409A300D4A269C3AE -:0409A400D2A643C0D4 -:0409A5000493D6865B -:0409A600006369C3BE -:0409A700409816045A -:0409A80014070D63C0 -:0409A900019C07B7EF -:0409AA00CC0787935C -:0409AB000287D333B9 -:0409AC00A0EEC7B73B -:0409AD00B007879375 -:0409AE0002E7D7B3D2 -:0409AF000084C703F6 -:0409B00012070B63BC -:0409B1000287D433B2 -:0409B20003B0051376 -:0409B300E0EFC21A95 -:0409B400C62AEA8FD6 -:0409B50000C14783B3 -:0409B60003C0051362 -:0409B700E0EFCC3E63 -:0409B800C783E98F79 -:0409B900C60301C4AC -:0409BA004312008460 -:0409BB000027971367 -:0409BC00879367DDD9 -:0409BD0097BA3CC7E2 -:0409BE004098439486 -:0409BF000700079393 -:0409C0000793E2199E -:0409C10066590690DD -:0409C2000613656152 -:0409C30045C54A06D6 -:0409C40076C50513DC -:0409C50030EFC21A33 -:0409C60043121B00BD -:0409C700064007934C -:0409C8003E800693D4 -:0409C90045C565615A -:0409CA0002F4773389 -:0409CB007805051393 -:0409CC0002D37633A9 -:0409CD004729C03ABC -:0409CE0002E65733B3 -:0409CF00061366594C -:0409D00057B34AC609 -:0409D10056B302F423 -:0409D20030EF02D32D -:0409D300450517C0FF -:0409D400409C35C945 -:0409D5000324C7032D -:0409D6000434C283A0 -:0409D700C783C83ECC -:0409D8000BA30084E9 -:0409D900638502012F -:0409DA004791CA3E39 -:0409DB0047A1DC3E16 -:0409DC0000E797B3E6 -:0409DD000334C70315 -:0409DE000087E79314 -:0409DF000793DE3E5E -:0409E00097B3400089 -:0409E100C70300E761 -:0409E200C0BE03444C -:0409E300000207B750 -:0409E40000E797B3DE -:0409E5000354C703ED -:0409E60007B7C2BECF -:0409E70097B3004082 -:0409E800C4BE00E7A2 -:0409E90003710793FC -:0409EA008793C6BE6B -:0409EB00C8BE03740B -:0409EC000384879366 -:0409ED008793CABE64 -:0409EE00CCBE0394E4 -:0409EF0003A4879343 -:0409F0008793CEBE5D -:0409F100D0BE03B4BD -:0409F2000444C7836F -:0409F300C43E4701B6 -:0409F400869367E19E -:0409F50087937F87DE -:0409F600C23E7F87F7 -:0409F7000FD2F79391 -:0409F8001793D03E43 -:0409F90087E101870A -:0409FA000793CE3E53 -:0409FB001D630290E6 -:0409FC00479100F728 -:0409FD000786AEA912 -:0409FE006405B5F1E6 -:0409FF0004136791E5 -:040A000083137704E1 -:040A0100B5C9D54757 -:040A02000633467100 -:040A0300479202C74D -:040A0400963E458D48 -:040A050083F54A5CCF -:040A06001AB789632F -:040A07008D634511A5 -:040A080045891AA75B -:040A090006B79D632C -:040A0A001000059340 -:040A0B0065C1C0AE53 -:040A0C000593C2AEDE -:040A0D00C4AE400033 -:040A0E0005934A10F2 -:040A0F0082151C1020 -:040A10007FF67613E4 -:040A110004B61D63A7 -:040A120067D9D43E8E -:040A13004C47859334 -:040A1400461D8536C0 -:040A1500D616D83ADF -:040A160030EFD236B5 -:040A1700569224309F -:040A180052B257A2DD -:040A19006385574258 -:040A1A004622E5117A -:040A1B000705EA0DD4 -:040A1C00BF8506F19B -:040A1D0067D9D43E83 -:040A1E004CC78593A9 -:040A1F00461D8536B5 -:040A2000D616D83AD4 -:040A210030EFD236AA -:040A22005692217057 -:040A230052B257A2D2 -:040A2400638557424D -:040A250045A2E509F8 -:040A26008AE3460514 -:040A27004671FCC553 -:040A280002C70633C8 -:040A2900962E45922E -:040A2A0000A655834A -:040A2B0089FD4645B6 -:040A2C0000C58B6313 -:040A2D0000D5861357 -:040A2E0045058A7D73 -:040A2F0000C5756326 -:040A30009763467D05 -:040A3100660500C591 -:040A320057B606139A -:040A3300FA8661E3FB -:040A3400961310C83D -:040A3500962A0027D6 -:040A3600FE8626030F -:040A37000186A08311 -:040A3800000646036B -:040A3900962A060AE9 -:040A3A00FD4626034C -:040A3B0000C0F633CE -:040A3C004352DE3D06 -:040A3D00FFF0C513EE -:040A3E001AE3890529 -:040A3F004571F6A364 -:040A400002A70533D1 -:040A4100951A4312AD -:040A420043424908DA -:040A43007513811591 -:040A440005797FF5BC -:040A4500F4656DE304 -:040A460089234505B6 -:040A4700972300A44D -:040A480088A300047B -:040A49008A230004F8 -:040A4A00CC90000448 -:040A4B000E636521B0 -:040A4C00666336A601 -:040A4D00051314C5B4 -:040A4E00026310002F -:040A4F00626332A606 -:040A5000454110C547 -:040A510010A60F6379 -:040A52000CC56B6301 -:040A530003634511E3 -:040A540045A11CA6F6 -:040A5500F0B61DE3F7 -:040A56008623468528 -:040A5700C68300D47E -:040A58009563051489 -:040A590046F12E062E -:040A5A0002D706B306 -:040A5B0096B24612F7 -:040A5C0000E6D603D7 -:040A5D005770069335 -:040A5E002CC6EA6355 -:040A5F0001D7969392 -:040A60002CD05663DD -:040A610086A3468D95 -:040A6200468900D4ED -:040A630000D489230F -:040A6400FFE786938F -:040A65000FD6F6931F -:040A66004695CE994A -:040A67002ED794638F -:040A6800073347F118 -:040A6900479202F7B7 -:040A6A005703973E59 -:040A6B00079300E706 -:040A6C00E9634AF000 -:040A6D00C7832CE728 -:040A6E008263046437 -:040A6F0086A32C0727 -:040A700047890004AE -:040A710000F48923E1 -:040A72000613AC7546 -:040A7300C0B24000CD -:040A7400000206373F -:040A7500B5E1C2B273 -:040A760000A655037E -:040A7700897D460926 -:040A780000C51E6334 -:040A7900000286638E -:040A7A008AE34605C0 -:040A7B00B541EAC2D5 -:040A7C00061345E236 -:040A7D0074E30520F9 -:040A7E00BD95EAB682 -:040A7F0076134E900C -:040A8000C61920066D -:040A8100E6B295E361 -:040A82002000061337 -:040A8300BD41DE3261 -:040A840016E346052A -:040A85005602E8C568 -:040A8600E4061BE384 -:040A87000793B549D3 -:040A88000A630400F9 -:040A8900079300F6D9 -:040A8A0004630800F9 -:040A8B00079322F6B5 -:040A8C001EE3020063 -:040A8D000793E2F6F3 -:040A8E00962330106B -:040A8F00479100F497 -:040A90000B63B751EC -:040A9100E363247681 -:040A9200059302C303 -:040A93000E634000AE -:040A9400079320B6EE -:040A95008C638006E8 -:040A96000793220799 -:040A970018E3200040 -:040A98000793E0F6EA -:040A99009623201070 -:040A9A00BFA100F404 -:040A9B0008636789FC -:040A9C00679122F646 -:040A9D00DEF61DE381 -:040A9E00202007937A -:040A9F0005B7BF7D5B -:040AA00008630020C7 -:040AA100EE6324B626 -:040AA20005B702C5CD -:040AA3000C630004DC -:040AA400ED6322B626 -:040AA50065C100C562 -:040AA60020B60C6307 -:040AA700000205B78D -:040AA800DCB617E3BE -:040AA9009623470D3C -:040AAA00A2E100E4E1 -:040AAB00000807B781 -:040AAC0020F60E63BF -:040AAD00001007B777 -:040AAE00DAF61BE376 -:040AAF002030079359 -:040AB00007B7BFAD18 -:040AB1000F630100CE -:040AB200E46320F6E3 -:040AB30007B702C7B8 -:040AB4000363004098 -:040AB50007B720F669 -:040AB6001AE30080BF -:040AB7000793D8F6D3 -:040AB8009623204021 -:040AB900478D00F471 -:040ABA0000F4892398 -:040ABB00028007931B -:040ABC0007B7AAC10D -:040ABD0000630200D0 -:040ABE0007B720F660 -:040ABF0018E3040034 -:040AC0000793D6F6CC -:040AC1009623204018 -:040AC200479900F45C -:040AC30000F489238F -:040AC400A27D47D1F7 -:040AC5000004962370 -:040AC60000B488A34D -:040AC7000057F713CA -:040AC80009634685F3 -:040AC900470900D702 -:040ACA0002E790634C -:040ACB000020F09384 -:040ACC0000008C6337 -:040ACD000464C78373 -:040ACE001007866324 -:040ACF0089234789A7 -:040AD000478500F462 -:040AD10000F487A303 -:040AD20012058E6318 -:040AD300852347F23E -:040AD400878300F420 -:040AD500676500A4AD -:040AD6000A23461297 -:040AD7004771CCF7A0 -:040AD80002E7873377 -:040AD9000124C683AB -:040ADA004B00973204 -:040ADB00887D4615B7 -:040ADC0002D4043309 -:040ADD0002C4043318 -:040ADE0006400613B5 -:040ADF0003240413D5 -:040AE00002C44433D5 -:040AE10000E75603D1 -:040AE20002C686B30F -:040AE300061366652B -:040AE4009436CBF683 -:040AE5008041044206 -:040AE60000849B23CA -:040AE7000177470349 -:040AE8007693C4320B -:040AE9008B2101F765 -:040AEA001607006388 -:040AEB0046036765F2 -:040AEC004689CBE785 -:040AED00F363472147 -:040AEE00474100C6B6 -:040AEF00802346A278 -:040AF000473200E6A3 -:040AF100759340D0E9 -:040AF20085B30FF7C2 -:040AF300472202850F -:040AF400010616934E -:040AF500C63682C1BE -:040AF60000074703AB -:040AF700D53346812C -:040AF800C58302C5EB -:040AF900C98904544F -:040AFA0087B346F187 -:040AFB00469202D746 -:040AFC004F9497B6C6 -:040AFD008A858285DF -:040AFE0005B345F9FE -:040AFF00064202B4F5 -:040B0000F7938241A4 -:040B0100C83E0FF6E5 -:040B0200C5B357D947 -:040B0300059502C58D -:040B040002F5C5B37E -:040B05000594C78309 -:040B0600F8078793D2 -:040B070087E107E299 -:040B0800F59395AA22 -:040B090095BE0FF591 -:040B0A00478505C254 -:040B0B00016381C140 -:040B0C0047A10EF7F8 -:040B0D0030F7026358 -:040B0E0005C205997E -:040B0F00478985C1CC -:040B1000A8E146C151 -:040B1100872347856A -:040B1200BDE500F449 -:040B1300000486A3B1 -:040B14000793B3810F -:040B150096233010E3 -:040B1600479900F407 -:040B17000793B3A5E8 -:040B180096232010F0 -:040B1900479500F408 -:040B1A004709BBB11B -:040B1B0000E4962339 -:040B1C009963470D85 -:040B1D00C78300E7A3 -:040B1E0094E30464F4 -:040B1F004785D4072B -:040B200000F4872333 -:040B21000584C783FD -:040B220000F488A3B0 -:040B23000793B5C1BE -:040B240096231020E4 -:040B2500B7FD00F424 -:040B260020200793F1 -:040B27000793B3E994 -:040B280096232020D0 -:040B2900478D00F400 -:040B2A000793BB3141 -:040B2B00BF55202072 -:040B2C0020200793EB -:040B2D0000F4962317 -:040B2E008923479D33 -:040B2F0047B500F4D2 -:040B300000F48A2320 -:040B31000793B7C1AE -:040B3200BB71203043 -:040B330020300793D4 -:040B34000793BFC99B -:040B3500B771203044 -:040B3600962347912A -:040B3700079300F42C -:040B3800BFF907807A -:040B390020400793BE -:040B3A0000F496230A -:040B3B008923479132 -:040B3C0047F900F481 -:040B3D000793B7F172 -:040B3E00962320409A -:040B3F00479500F4E2 -:040B400000F4892311 -:040B4100BF6D47E15C -:040B42000023472223 -:040B4300BD5500D7C5 -:040B440005C2058958 -:040B4500478585C19A -:040B46008633469913 -:040B4700C56300D7AB -:040B480085332205CA -:040B490097AA00D592 -:040B4A000FF0051390 -:040B4B0000F55563F9 -:040B4C000FF005930E -:040B4D00F7938D91FC -:040B4E0085BE0FF55C -:040B4F00CC3A451542 -:040B5000CA3ED03297 -:040B5100E0EFCE36CD -:040B520046F287AF31 -:040B530085B6451905 -:040B5400870FE0EF38 -:040B550008000593FC -:040B56000260051321 -:040B5700864FE0EFF6 -:040B5800560247D228 -:040B5900031005136D -:040B5A0000C785B398 -:040B5B000FF5F5930A -:040B5C00850FE0EF32 -:040B5D0047C14762E3 -:040B5E00036345B137 -:040B5F0045A100F7B5 -:040B60000220051357 -:040B610083CFE0EF6F -:040B620045B2464210 -:040B6300E0EF852218 -:040B6400C5038CCF6A -:040B6500E0EF04D4E5 -:040B6600C503B4AF60 -:040B67004785047446 -:040B680000A7F86387 -:040B6900C50347A2D7 -:040B6A00156100070A -:040B6B000015351329 -:040B6C00053347E125 -:040B6D0067DD02F549 -:040B6E003F878793A3 -:040B6F00E0EF953EE0 -:040B70008783968F52 -:040B7100477100A424 -:040B72000124C58312 -:040B730002E787B35B -:040B740097BA4712D3 -:040B75000167C5034C -:040B7600A64FE0EFB7 -:040B770000C4C7836C -:040B780000A489A3A9 -:040B7900000286B739 -:040B7A000017B71396 -:040B7B009BF9429C04 -:040B7C00C29C8FD9AF -:040B7D0000C4C78366 -:040B7E006963470D53 -:040B7F00470514F71B -:040B800014F768639B -:040B810000E7956391 -:040B82008EA3478572 -:040B8300C50300F4B2 -:040B8400E0EF01D4C9 -:040B8500C703A31FE0 -:040B86001D6300C427 -:040B87008783120747 -:040B8800477100A40D -:040B890002E787B345 -:040B8A0097BA4712BD -:040B8B0000C7D78345 -:040B8C002BD7B79319 -:040B8D000017C793F3 -:040B8E004737863E21 -:040B8F000713000246 -:040B900043343807AB -:040B9100859375F9DA -:040B92008B8D7FF5D3 -:040B930007AE8EED2E -:040B9400C33C8FD5FA -:040B950076E9433C7E -:040B96008FF516FDC4 -:040B97008FD10636BE -:040B9800D0EFC33C9B -:040B9900C703895FA6 -:040B9A00478904146F -:040B9B0000E4C68329 -:040B9C0007634401A6 -:040B9D00C40300F796 -:040B9E008C1500F4BE -:040B9F0000143413F7 -:040BA000453D458109 -:040BA100D0EFC23699 -:040BA2000513DEFF5A -:040BA300D0EF0590FA -:040BA4007593DA5F0C -:040BA500E80902F564 -:040BA6009793469249 -:040BA7008DDD00667A -:040BA8000FF5F593BD -:040BA9000105E593CA -:040BAA00059005139A -:040BAB00DC9FD0EF0C -:040BAC0007B740D86F -:040BAD008793019C8D -:040BAE00D7B3CC07E6 -:040BAF00D70302E77F -:040BB000C603016413 -:040BB100468D00D499 -:040BB20002E787B31C -:040BB30000C4C703B0 -:040BB40087B30705F7 -:040BB500C70302E789 -:040BB600070500E44B -:040BB70002E787B317 -:040BB8002223676528 -:040BB9000713CAF75D -:040BBA001863CA47AB -:040BBB00838508D650 -:040BBC004314C31CFF -:040BBD0005110737E0 -:040BBE00F40707131E -:040BBF006B63478598 -:040BC000773700D7AC -:040BC100071304789A -:040BC20047818BF7E5 -:040BC30000D7746380 -:040BC4000104C783DE -:040BC5000504C70359 -:040BC6000414C583CB -:040BC700C703E70970 -:040BC80003630104BE -:040BC900542606F7B1 -:040BCA00882350B676 -:040BCB00549600F448 -:040BCC006165852EAC -:040BCD00DF0FE06FE7 -:040BCE0003258593E3 -:040BCF0085C105C215 -:040BD000069347A1A0 -:040BD100BBD1020092 -:040BD200B3F54581B1 -:040BD300BD5D4711AC -:040BD400BD6547892B -:040BD50000D4C783FE -:040BD60000E4C683EE -:040BD70017F54601C7 -:040BD8000017B793B8 -:040BD90086938F95DB -:040BDA003793001736 -:040BDB00C793003785 -:040BDC0097B60017B1 -:040BDD000FF7F79384 -:040BDE004585B5C9CB -:040BDF00F6B61BE368 -:040BE000D7B3078AF6 -:040BE100B7AD02D7D3 -:040BE200806347895C -:040BE300C50302F54F -:040BE400C1910114A6 -:040BE50054264589C4 -:040BE60000F4C683CE -:040BE7000424C60319 -:040BE800549650B619 -:040BE900E06F6165F3 -:040BEA0050B6C54FED -:040BEB0054965426A2 -:040BEC00808261653D -:040BED00A70367E50E -:040BEE0067E1CAC72A -:040BEF00734787932E -:040BF000464546AD83 -:040BF1000167D58340 -:040BF20004E59F6314 -:040BF3000FF6F69370 -:040BF4000A63E11996 -:040BF500112128079B -:040BF60064E5C626C6 -:040BF700C9F4C50375 -:040BF8006461C8224A -:040BF9004E440793CC -:040BFA000035161399 -:040BFB00CA0697B2DD -:040BFC00C783438CDC -:040BFD004751004715 -:040BFE000045A30308 -:040BFF0002E787334F -:040C0000C9F484931C -:040C01004E44041346 -:040C02004339971AC1 -:040C030002D3636352 -:040C040063634331B2 -:040C050047AD04D320 -:040C06000CF6806305 -:040C07000866866392 -:040C080047014781D8 -:040C09000685A0F1CB -:040C0A009DE30789D6 -:040C0B004681F8C660 -:040C0C004783B7451E -:040C0D00460D004749 -:040C0E0016C79B6307 -:040C0F0045BD475048 -:040C100047834218BC -:040C11009C630007D9 -:040C1200C7811EB6C2 -:040C1300F79317FD3F -:040C140000230FF7B3 -:040C1500B7E900F744 -:040C1600004745034B -:040C17001575470503 -:040C18000FF575134C -:040C190000A76E635F -:040C1A000002453758 -:040C1B003C852303EE -:040C1C0000F7173393 -:040C1D00FFF7471383 -:040C1E0000677733C1 -:040C1F003CE5242369 -:040C20009C63473555 -:040C2100E39900E66D -:040C22000005C7837F -:040C2300F79317FD2F -:040C240096220FF70E -:040C250000F60223B0 -:040C2600C703B761E8 -:040C27000785000538 -:040C2800E7B3962276 -:040C2900B7FD02E72A -:040C2A00157DC51956 -:040C2B0000A480237E -:040C2C00A7BFE0EF8F -:040C2D0067E5B7B50B -:040C2E00C8078F2341 -:040C2F000002473741 -:040C30003C072783D3 -:040C31009BED4501F1 -:040C32003CF7202348 -:040C330040D2444225 -:040C3400016144B264 -:040C3500D3EFF06F9A -:040C360000474783A9 -:040C37008163468D02 -:040C380046910AD700 -:040C3900F2D79EE36D -:040C3A009782471C3A -:040C3B00470587AA38 -:040C3C000004C68367 -:040C3D00656145D1D7 -:040C3E0096A2068EE6 -:040C3F00C683429096 -:040C40000513004652 -:040C4100425070C5E8 -:040C420002B686B3BD -:040C4300C23AC43EAF -:040C4400428C96B296 -:040C4500C03646452A -:040C46001B2030EF50 -:040C470047A2471267 -:040C480085BA4682A1 -:040C49008536863E28 -:040C4A00987FE0EFC0 -:040C4B000004C78357 -:040C4C0044B765E163 -:040C4D00078E00020C -:040C4E004503943E88 -:040C4F0046410044D6 -:040C50007205859311 -:040C5100054105163E -:040C520030EF9526C4 -:040C5300460318003C -:040C5400478500448C -:040C550000C797B38A -:040C56003CF4A623A1 -:040C5700EB0947124C -:040C58004611468279 -:040C59000046C70387 -:040C5A000EC7156349 -:040C5B00C76D46D843 -:040C5C000002473714 -:040C5D003C87268327 -:040C5E0024238FD5E7 -:040C5F00A8E93CF7CD -:040C6000C7814B1CE1 -:040C61009782C03A7C -:040C6200C7834702FB -:040C6300471400042E -:040C64009713078556 -:040C6500063300371B -:040C6600420C00E458 -:040C670000D58463CD -:040C6800000602235D -:040C6900C3149722F7 -:040C6A0000F48023EF -:040C6B006963B711F1 -:040C6C00460902F63D -:040C6D0004C78863CD -:040C6E00433D470CAF -:040C6F0000C7450372 -:040C700000D7460360 -:040C71000005C78330 -:040C720000E747034D -:040C73000266916321 -:040C740000F67C63A7 -:040C7500F71317FD5D -:040C760080230FF7D1 -:040C7700B58900E556 -:040C78008DE34611B1 -:040C7900BD2DE4C7E2 -:040C7A008732F96D57 -:040C7B00F863B7FD66 -:040C7C00078500E701 -:040C7D000FF7F61364 -:040C7E0000C580230A -:040C7F00FD6DB5153D -:040C8000BFDD863A14 -:040C8100453D470C9A -:040C820000C756034E -:040C83000005D7830E -:040C840000E757032B -:040C850000A69E63C4 -:040C860000F677639A -:040C8700971317FDAB -:040C8800834101079C -:040C8900C211A019DB -:040C8A0090238732FA -:040C8B00BBCD00E5F8 -:040C8C0000E7F46326 -:040C8D00B7E507853B -:040C8E004701FA6DB3 -:040C8F004683B7FDE4 -:040C9000F5630046C2 -:040C9100078500D7FC -:040C92000FF7F693CF -:040C930000D7002363 -:040C9400460DBBC18D -:040C9500F0C70CE3B5 -:040C960040D24442C2 -:040C9700450144B21D -:040C9800F06F016197 -:040C9900808294CFF2 -:040C9A0085AA862E73 -:040C9B000002153707 -:040C9C000205051335 -:040C9D00B74FD06F0E -:040C9E001793111186 -:040C9F00CA220185DF -:040CA000CC06C82690 -:040CA100842A87E139 -:040CA200DD6384AEDC -:040CA3004581000780 -:040CA40007700513BD -:040CA50001A337D59B -:040CA600478500A1DD -:040CA7000AA7E6634F -:040CA80007F47413C6 -:040CA900973FD0EFB2 -:040CAA00450145912A -:040CAB0067653F75C5 -:040CAC00CBA70713B8 -:040CAD000007578362 -:040CAE00F7F7F793CA -:040CAF0083C107C234 -:040CB00000F7102316 -:040CB10000021737EF -:040CB2000EF72023F6 -:040CB3000184D7934E -:040CB40000F102A3A6 -:040CB5000104D793CC -:040CB60000F1032323 -:040CB7000084D7934B -:040CB80000F103A3A1 -:040CB9000081022391 -:040CBA00009104237E -:040CBB000400071317 -:040CBC000950079341 -:040CBD0000E40963E3 -:040CBE000480071394 -:040CBF0014634785EE -:040CC000079300E4B2 -:040CC100153708706B -:040CC20046190002CD -:040CC3000513004CC9 -:040CC40004A302057E -:040CC500D0EF00F17B -:040CC6004515AFEF32 -:040CC700A73FE0EF74 -:040CC8004585842AB0 -:040CC90000310513DE -:040CCA0007833781E4 -:040CCB00D7630031BA -:040CCC00E0EF00074E -:040CCD004785A87F30 -:040CCE00FEF505E347 -:040CCF0067E5E401F0 -:040CD000CA07AA2382 -:040CD10000314503A6 -:040CD200445240E266 -:040CD300017144C2A5 -:040CD40067E18082D2 -:040CD5007947879341 -:040CD60011314798F9 -:040CD700C806C62263 -:040CD800C02AC42644 -:040CD9006F63440DF4 -:040CDA00C78300B715 -:040CDB0084B2004798 -:040CDC00E3918BA174 -:040CDD00051305A650 -:040CDE00F0EF05101E -:040CDF00842AEFFF75 -:040CE0004409C901F9 -:040CE100852240C266 -:040CE20044A24432B2 -:040CE30080820151B9 -:040CE40006400513AE -:040CE5009FBFE0EFDE -:040CE6000513458528 -:040CE700F0EF0071B9 -:040CE8004703ECBF13 -:040CE90007930071FC -:040CEA0017630FF08D -:040CEB00E0EF00F73F -:040CEC004785A0BFD9 -:040CED00FEF502E32B -:040CEE000071470347 -:040CEF00AA2367E5E8 -:040CF0000793CA0795 -:040CF1001EE30FE00F -:040CF2004502FAF7C6 -:040CF300F0EF85A6F3 -:040CF4000593E9BFBC -:040CF5008D852020A9 -:040CF60081C105C2F1 -:040CF700F0EF4501D4 -:040CF800B74DE8BF4D -:040CF90065D971390F -:040CFA004629C42A99 -:040CFB004D4585934B -:040CFC00DE060848C0 -:040CFD00DA26DC22F5 -:040CFE00A9AFD0EFDB -:040CFF00C03E478D1F -:040D0000000627B70B -:040D010000021437A1 -:040D0200019C04B795 -:040D0300A8078613A4 -:040D0400CC04859303 -:040D050002040513CC -:040D060094EFD0EFA7 -:040D0700FFAFD0EF7B -:040D0800000627B703 -:040D0900A80786139E -:040D0A00CC048593FD -:040D0B0002040513C6 -:040D0C00936FD0EF22 -:040D0D0002040513C4 -:040D0E00084C46291E -:040D0F009D8FD0EFF5 -:040D1000458164E1D4 -:040D110004000513C2 -:040D12007804AA2394 -:040D1300E2DFF0EF3C -:040D1400842A478561 -:040D15007944849306 -:040D16001CF517634E -:040D17001AA0059386 -:040D1800048005133B -:040D1900E15FF0EFB7 -:040D1A001D63C22A69 -:040D1B004591148565 -:040D1C00F0EF0808E4 -:040D1D004703DF7F2A -:040D1E0047920121D6 -:040D1F001AF7156347 -:040D20000131470353 -:040D21000AA007938A -:040D220018F71F633C -:040D23003E800513F6 -:040D24008FFFE0EF6E -:040D2500925FE0EF0A -:040D26001963478581 -:040D270005B700F517 -:040D2800051340006F -:040D2900F0EF0E9049 -:040D2A00F56DDD3F47 -:040D2B0090DFE0EF86 -:040D2C00056347858F -:040D2D0044010EF57A -:040D2E00AA2367E5A8 -:040D2F004782CA0726 -:040D3000F79317FD21 -:040D3100C03E0FF7BA -:040D320016078163BC -:040D33004785D81503 -:040D340005134581DD -:040D3500C09C0490CA -:040D36000084822390 -:040D3700D9DFF0EF21 -:040D3800E5514781B9 -:040D3900E0EF45158D -:040D3A00842A8A9FDE -:040D3B0005134585D2 -:040D3C00F0EF00F1E3 -:040D3D004703D77F12 -:040D3E00079300F126 -:040D3F0017630FF037 -:040D4000E0EF00F7E9 -:040D410047858B7FD8 -:040D4200FEF502E3D5 -:040D430067E5E4017B -:040D4400CA07AA230D -:040D450000F14683F0 -:040D46000FE00713A0 -:040D470098634781E5 -:040D480045C904E6AF -:040D4900F0EF1008AF -:040D4A00C703D43FC8 -:040D4B007693004457 -:040D4C008663002793 -:040D4D004703100642 -:040D4E004783026174 -:040D4F0046030271E4 -:040D50008B0D02A164 -:040D51008F5D072289 -:040D52000281478350 -:040D53004683070AC2 -:040D5400839902512C -:040D550047038FD9E8 -:040D5600821D029167 -:040D570007068ABD44 -:040D58008F518B1913 -:040D5900078596BABA -:040D5A0097B316E550 -:040D5B00363700D750 -:040D5C0005B70029AE -:040D5D001537019CA9 -:040D5E0017FD00027B -:040D5F002E06061343 -:040D6000CC058593A6 -:040D6100020505136F -:040D6200C0EFC49C7E -:040D63004522FDDF49 -:040D64002000061352 -:040D6500F0EF4581E5 -:040D6600A859DBDFCE -:040D670005134581AA -:040D6800F0EF07A001 -:040D690018E3CD7F3F -:040D6A004591F005BA -:040D6B00F0EF080895 -:040D6C004783CBBF2F -:040D6D00443101010B -:040D6E000407F793EC -:040D6F00EE079EE30A -:040D7000BDDD441190 -:040D710005134581A0 -:040D7200F0EF0E9000 -:040D73000793CAFF19 -:040D7400656304109F -:040D7500440900A489 -:040D76000E90079341 -:040D77000FA00513B1 -:040D7800E0EFC23EA8 -:040D7900E0EFFACFDE -:040D7A004785FD2F7D -:040D7B0000F5176305 -:040D7C004581451256 -:040D7D00C85FF0EF6C -:040D7E00E0EFF57D30 -:040D7F00E111FBEF94 -:040D800067E54401DE -:040D81000513458190 -:040D8200AA2307B0E9 -:040D8300F0EFCA07BC -:040D8400C111C6BF14 -:040D8500059344018D -:040D86000513200031 -:040D8700F0EF050084 -:040D88000EE3C5BFF2 -:040D89004401E80534 -:040D8A004505BD5905 -:040D8B00EA0411E382 -:040D8C00546250F26B -:040D8D00053354D204 -:040D8E00612140A0FF -:040D8F008B118082C2 -:040D900001E34781B3 -:040D91004783F2079B -:040D92004703028190 -:040D930007A2029120 -:040D940047458FD967 -:040D95000113BF0186 -:040D96000848DE012A -:040D970020112E23D6 -:040D980020812C2367 -:040D990020912A2358 -:040D9A00D7DFF0EFC0 -:040D9B00D0EF842AE7 -:040D9C000533DA8FB2 -:040D9D001763408018 -:040D9E0067E11C04E9 -:040D9F00464565D987 -:040DA000534585939F -:040DA100720785133D -:040DA20080AFD0EF5F -:040DA3006461450939 -:040DA400D1FFE0EFAC -:040DA500734404137C -:040DA600000217B779 -:040DA7000D07A7830A -:040DA80000045703E9 -:040DA90083C107C239 -:040DAA0002F70263E7 -:040DAB0000245703C6 -:040DAC0000F71863D1 -:040DAD00D62FD0EF7E -:040DAE00859365D9EB -:040DAF00A2654E05E6 -:040DB00005136509B9 -:040DB100C0EF710519 -:040DB200B7F9A39F4B -:040DB30065D967E1B6 -:040DB400720785132A -:040DB5008593464597 -:040DB60020EF51C514 -:040DB70045093F109B -:040DB800CCFFE0EF9D -:040DB900C03E47856C -:040DBA00C43E678547 -:040DBB0000100437E9 -:040DBC002000079379 -:040DBD00F46384A2B5 -:040DBE000493008713 -:040DBF0047A2200027 -:040DC000085086A6AB -:040DC100008795937F -:040DC200A50367E539 -:040DC300C0EFC9872D -:040DC4001963D05F80 -:040DC5000793120579 -:040DC600EB631FF0CC -:040DC7000613008788 -:040DC800085C2000A3 -:040DC90045818E05CD -:040DCA0000978533D6 -:040DCB00FA3FC0EF3C -:040DCC00879367E1C1 -:040DCD004798794783 -:040DCE006263468294 -:040DCF00C78310D7EF -:040DD00085B600479D -:040DD100E3998BA176 -:040DD200009695935F -:040DD300058005137F -:040DD400B29FF0EFEB -:040DD50012051B6385 -:040DD60000021537CB -:040DD700059357F930 -:040DD800460501319A -:040DD90002050513F7 -:040DDA0000F109A378 -:040DDB00EA9FC0EFDC -:040DDC000131059349 -:040DDD00C22E47815A -:040DDE00973E0858DC -:040DDF0000074703BF -:040DE00046054592ED -:040DE10000E109A381 -:040DE20000021737BD -:040DE30002070513EB -:040DE400C0EFC63E58 -:040DE50047B2E83FEA -:040DE6002000069350 -:040DE70000021737B8 -:040DE8009BE30785FD -:040DE9004592FCD75C -:040DEA00051357FD99 -:040DEB0046050207B0 -:040DEC0000F109A366 -:040DED00E61FC0EF4E -:040DEE0017374592DC -:040DEF0057FD0002AA -:040DF00002070513DE -:040DF10009A3460507 -:040DF200C0EF00F15D -:040DF3004512E4BF02 -:040DF400F0EF458552 -:040DF5004783A97F08 -:040DF600471501316B -:040DF70098638BFD75 -:040DF80005130AE7EE -:040DF900E0EF0FA078 -:040DFA004512DA8F35 -:040DFB00F0EF45854B -:040DFC004783A7BFC3 -:040DFD00EB81013154 -:040DFE00E0EFC63E1E -:040DFF004705DBEFDA -:040E000004E347B20E -:040E01006765FEE53E -:040E0200CA072A23CE -:040E03004782C3D986 -:040E04008C054722F0 -:040E0500C03E07855F -:040E06000084D793FA -:040E0700C43E97BA94 -:040E0800EC0418E3FB -:040E0900BF2FD0EF38 -:040E0A0065D967E15E -:040E0B008593464540 -:040E0C00851352C533 -:040E0D0020EF720759 -:040E0E00450529501D -:040E0F00450DA835B0 -:040E100040A00533C6 -:040E1100D0EFC02A34 -:040E12004502BD0FC9 -:040E130004634785A8 -:040E1400079304F547 -:040E150001E306905F -:040E160057A9E6F5FD -:040E170004F511636A -:040E1800859365D980 -:040E190067E150C578 -:040E1A0085134645B1 -:040E1B0020EF72074B -:040E1C00653925D03F -:040E1D00EAD50513FA -:040E1E0021C120834B -:040E1F002181240306 -:040E200021412483C5 -:040E21002201011396 -:040E2200450980827C -:040E23004515BF555D -:040E24004511BF4570 -:040E250065D9B7755F -:040E26004FC585939C -:040E270065D9B7E9E9 -:040E28004EC585939B -:040E29001111B7C923 -:040E2A00C826CA22EA -:040E2B00C02ACC0607 -:040E2C0084B2842EDA -:040E2D00E099C23650 -:040E2E00A0254501B5 -:040E2F002000069306 -:040E3000F4638726BA -:040E3100071300960D -:040E320045822000D5 -:040E3300161345123B -:040E340082410107EF -:040E3500F0EFC43ADC -:040E36004722A7DFC9 -:040E37000533C901B5 -:040E380040E240A0B4 -:040E390044C2445219 -:040E3A008082017140 -:040E3B00769367E55E -:040E3C0016130FF486 -:040E3D008293008418 -:040E3E00C685C98715 -:040E3F00A50346922F -:040E400055930002C4 -:040E410005C2008462 -:040E4200C0EFC43AFF -:040E43004722931F90 -:040E44004782F9697F -:040E45000087569339 -:040E46000785943652 -:040E47008C99C03E84 -:040E4800A503BF59E6 -:040E490085B200026C -:040E4A00C432C63AAE -:040E4B008C1FC0EF49 -:040E4C00462267E5EE -:040E4D008293473213 -:040E4E00D169C98716 -:040E4F000113B75D77 -:040E50001868DB81C2 -:040E51002411222323 -:040E520024812023B4 -:040E530022912E2397 -:040E5400A95FF0EFB3 -:040E5500D0EF842A2C -:040E560064E1AC0F98 -:040E5700408007B31D -:040E58001C041963FA -:040E5900186C4611BA -:040E5A0020EF086815 -:040E5B0065D916102F -:040E5C008593461123 -:040E5D0008685B4581 -:040E5E00125020EF1F -:040E5F00440DC91D58 -:040E600006400793AE -:040E6100D0EFC23ECE -:040E62004792A90FFB -:040E630006800713EB -:040E640000F74D63E3 -:040E65000630071339 -:040E66002EF74063C0 -:040E6700F34007133A -:040E680034E7866382 -:040E6900876347054F -:040E6A0065D934E72B -:040E6B004EC5859358 -:040E6C005783A4DD27 -:040E6D0005930401E4 -:040E6E00461D0421F8 -:040E6F000221051344 -:040E700002F1102358 -:040E7100107020EFEE -:040E720004A15783FD -:040E7300020104A3D1 -:040E740000F11C234A -:040E750004C15783DA -:040E760000F11D2347 -:040E7700D0EF456211 -:040E780057839A4FB3 -:040E7900D62A04E190 -:040E7A001C23C22A49 -:040E7B00578300F1A8 -:040E7C001D2305012C -:040E7D00456200F1D9 -:040E7E0098AFD0EF6A -:040E7F00052157836F -:040E80001C23D82A2D -:040E8100578300F1A2 -:040E82001D230541E6 -:040E8300456200F1D3 -:040E8400972FD0EFE5 -:040E85002503DA2A3D -:040E8600D0EF238105 -:040E87004592968F6B -:040E88000793DC2AC6 -:040E890087131E208D -:040E8A00E563FE65B9 -:040E8B0046050CE725 -:040E8C00D0EF186823 -:040E8D0057E2974F42 -:040E8E0000F5066302 -:040E8F000793440D74 -:040E9000B7890660B8 -:040E910066596461D9 -:040E92005BC6061322 -:040E9300051345C539 -:040E940010EF70C427 -:040E950056C267508A -:040E96000613665980 -:040E970045C55CC62B -:040E98007204851348 -:040E9900663010EFC0 -:040E9A00E0EF45053B -:040E9B0057C2945F47 -:040E9C0045014701C4 -:040E9D0057D2C23E28 -:040E9E004792C63E73 -:040E9F0008F760638D -:040EA000936347B25F -:040EA10047831EA7BE -:040EA2004683022160 -:040EA30047030201FE -:040EA400CBD5021197 -:040EA500879367DDEB -:040EA600061338C730 -:040EA700C032022132 -:040EA800061366596E -:040EA90045C55D8658 -:040EAA0070C40513F8 -:040EAB0061B010EF33 -:040EAC00464565D979 -:040EAD0063058593C1 -:040EAE007204851332 -:040EAF00BD7FC0EF54 -:040EB000E0EF450525 -:040EB10016B78EDF03 -:040EB200A703000290 -:040EB30067E10D06E0 -:040EB4007347879366 -:040EB5000007D60359 -:040EB600834107422B -:040EB70006E60963DF -:040EB8000027D683B6 -:040EB90018E686634E -:040EBA0005136509AE -:040EBB00C0EF71050E -:040EBC00BFD1E10FB2 -:040EBD000793440D46 -:040EBE00B5690650BC -:040EBF0086B347921D -:040EC000079340E76D -:040EC100F4632000B6 -:040EC200069300D7BC -:040EC3000793200071 -:040EC400961320075A -:040EC500824101065F -:040EC6000097D59329 -:040EC700CA3A1868A3 -:040EC800C43EC83626 -:040EC90082FFF0EFC5 -:040ECA00475246C283 -:040ECB0007B3C5099B -:040ECC00440D40A0F1 -:040ECD003613BD819A -:040ECE0085B60017CE -:040ECF00D0EF1868E0 -:040ED0004722868FA0 -:040ED10067D9BF1D01 -:040ED2003DC78793FE -:040ED300D0EFB7B9EC -:040ED4006765C97F06 -:040ED500CBA707138D -:040ED6000007578337 -:040ED700000216B748 -:040ED800E79365092E -:040ED90010230027BB -:040EDA00A02300F75A -:040EDB0005130EF6F7 -:040EDC00C0EF7105ED -:040EDD0065D9D8CF2C -:040EDE0070C40513C4 -:040EDF00859346456C -:040EE00020EF5E455C -:040EE100440D7480C8 -:040EE200859367D9B4 -:040EE30046455F071A -:040EE40072048513FC -:040EE500736020EF27 -:040EE600E0EF4505EF -:040EE7005642815F8F -:040EE80045811874B4 -:040EE900F0EF4505DC -:040EEA0087AAD01FE4 -:040EEB00DC051CE323 -:040EEC0065D967E17C -:040EED00859346455E -:040EEE008513600503 -:040EEF0020EF70C7B9 -:040EF00067D970C08E -:040EF1005F0785937F -:040EF20085134645D9 -:040EF30020EF720476 -:040EF40045056FC081 -:040EF500FDAFE0EF7E -:040EF600470157C297 -:040EF700C23E4501B1 -:040EF800C43E57D2CB -:040EF900C63E67E1A9 -:040EFA006E6347924A -:040EFB00472202F791 -:040EFC00F340079325 -:040EFD00D8A718E377 -:040EFE0081EFD0EFC1 -:040EFF0065D947B2B8 -:040F0000859346454A -:040F010085136445AB -:040F0200C0EF70C705 -:040F030065D9A89F65 -:040F04008593464546 -:040F050085136105EA -:040F060020EF720462 -:040F070045056B0031 -:040F0800F8EFE0EF2F -:040F09004792A0016A -:040F0A0040E786B383 -:040F0B001000079338 -:040F0C0000D7F463B3 -:040F0D001000069337 -:040F0E00A50367E5EB -:040F0F001870C98706 -:040F1000CA3685BA9E -:040F1100C0EFC83A2B -:040F120087AAFCCFDF -:040F1300D2051CE304 -:040F140046D2474238 -:040F1500361318680F -:040F160085B6001785 -:040F1700F4BFC0EF74 -:040F18000713474232 -:040F1900B7491007BD -:040F1A000793440DE8 -:040F1B00BB19067088 -:040F1C000793440DE6 -:040F1D00B33906805E -:040F1E00F9B7871385 -:040F1F00EF63468DA9 -:040F200066D906E6A2 -:040F21008693070AA2 -:040F22009736658613 -:040F230087024318E6 -:040F2400859365D973 -:040F250046455A459E -:040F260072048513B9 -:040F270020EFC23EB7 -:040F2800450562C059 -:040F2900F0AFE0EF56 -:040F2A00000F453738 -:040F2B002405051381 -:040F2C00C4EFC0EF5F -:040F2D00D7634792AD -:040F2E0055630407FC -:040F2F00665904807B -:040F300006136561DE -:040F310045C562064A -:040F320070C505136E -:040F33003FB010EFCC -:040F3400BD5D147D0E -:040F3500859365D962 -:040F3600BF7555C569 -:040F3700859365D960 -:040F3800BF5556C586 -:040F3900859365D95E -:040F3A00B77558052A -:040F3B00859365D95C -:040F3C00B7555485CC -:040F3D00859365D95A -:040F3E00BF714FC56B -:040F3F00859365D958 -:040F4000BF515945FF -:040F4100E27FD0EF8C -:040F420024412083A3 -:040F4300240124035E -:040F440023C124831E -:040F45000113557DC2 -:040F46008082248100 -:040F4700DDC10113F4 -:040F48002023082832 -:040F49002E23221120 -:040F4A002C232081B3 -:040F4B00F0EF209112 -:040F4C00C02AEB6F5D -:040F4D00EE3FC0EFC4 -:040F4E00E93145023E -:040F4F0065D964619B -:040F500085934645FA -:040F510005136845D7 -:040F5200C0EF720476 -:040F53004509949F19 -:040F5400E5EFE0EFF6 -:040F55006461C222EF -:040F560073440413C9 -:040F5700000217B7C6 -:040F58000D07A78357 -:040F59000004570336 -:040F5A0083C107C286 -:040F5B0004F7046330 -:040F5C000024570313 -:040F5D0002F71A631A -:040F5E0065D9479278 -:040F5F0085934645EB -:040F600085134E05A2 -:040F610020EF720704 -:040F620005135440DF -:040F6300C02A06801A -:040F6400E87FC0EF73 -:040F650022012083C2 -:040F660021C124037E -:040F67002483450298 -:040F680001132181CF -:040F6900808222411F -:040F6A0005136509FD -:040F6B00C0EF71055D -:040F6C00B76DB50F99 -:040F6D0065D9479269 -:040F6E00851346455C -:040F6F0085937207ED -:040F700020EF668583 -:040F7100450950805E -:040F7200DE6FE0EF5F -:040F7300FFF007374D -:040F740020070793B8 -:040F75006485C002CD -:040F760047A2C43E8C -:040F7700008494134B -:040F7800943E462D30 -:040F790085A28425A4 -:040F7A00F0EF00682C -:040F7B00C501D68F47 -:040F7C0040A0053359 -:040F7D0065D9BF690A -:040F7E0044458593CE -:040F7F00C0EF006857 -:040F8000ED158E1FBE -:040F810001414703E0 -:040F82004683EB1D9A -:040F830007130151FE -:040F840096630580EB -:040F8500468302E6B7 -:040F860047050161B9 -:040F870002D76163C9 -:040F88004DE006131F -:040F890000E6846397 -:040F8A0005700613D5 -:040F8B0085A60834FB -:040F8C00F0EF8522DB -:040F8D00FD21A75F3C -:040F8E00078547820A -:040F8F008493C03E49 -:040F900067091004D9 -:040F9100F8E49BE302 -:040F9200453D458113 -:040F9300962FE0EFC6 -:040F9400C70367E543 -:040F950067E1CBC77E -:040F960070078793C6 -:040F9700C50397BA3D -:040F98004581000788 -:040F9900852367E560 -:040F9A00E0EFCAA713 -:040F9B004792944F96 -:040F9C0066594682CA -:040F9D00674606138A -:040F9E00851345C5AD -:040F9F0010EF7207D6 -:040FA000450524904F -:040FA1000113B72958 -:040FA200CD06F64141 -:040FA300C926CB226E -:040FA40000021437FC -:040FA500AD8FC0EF5D -:040FA6000493C62AC0 -:040FA70047C508042E -:040FA8002023D0BC76 -:040FA90027B70E0454 -:040FAA00A623000278 -:040FAB00AA2300076E -:040FAC00678900074A -:040FAD007107851330 -:040FAE00A46FC0EF7D -:040FAF00871366E559 -:040FB0000793CBA632 -:040FB10010231D10DC -:040FB200D0BC00F7B8 -:040FB300D0EF450135 -:040FB4001537975FF7 -:040FB500051300031D -:040FB600C0EFD405AF -:040FB7002637A24FE8 -:040FB80005B7000673 -:040FB9000613019C7E -:040FBA008593A8066D -:040FBB000513CC0549 -:040FBC00C0EF04047A -:040FBD0067E5E74FAE -:040FBE00CBA7871323 -:040FBF00000757834D -:040FC000F79345510D -:040FC10007C2F9F773 -:040FC200102383C1B4 -:040FC300D0BC00F7A7 -:040FC4009EEFC0EFED -:040FC500051345D1FA -:040FC600D0EF0380E5 -:040FC70045D189FF88 -:040FC800039005137A -:040FC900895FD0EF7D -:040FCA00455145D177 -:040FCB0088DFD0EFFC -:040FCC00051345D1F3 -:040FCD00D0EF07104A -:040FCE0045D1883F42 -:040FCF0005E0051321 -:040FD000879FD0EF38 -:040FD100051345D1EE -:040FD200D0EF06D086 -:040FD30045D186FF7F -:040FD400D0EF4531E4 -:040FD5000593867F7B -:040FD600450532009B -:040FD70085DFD0EFF3 -:040FD800451945D1A1 -:040FD900855FD0EF71 -:040FDA003200059349 -:040FDB00D0EF450905 -:040FDC0067E584BF82 -:040FDD00CBA7871304 -:040FDE00000757832E -:040FDF004581460101 -:040FE0000407E79388 -:040FE10000F71023E2 -:040FE2000513D0BC67 -:040FE300C0EF040453 -:040FE4004529E3CFE9 -:040FE50096AFC0EF14 -:040FE600450545E197 -:040FE700D9BFC0EFBF -:040FE800450945E191 -:040FE900D93FC0EF3D -:040FEA00450D45E18B -:040FEB00D8BFC0EFBC -:040FEC008C0FD0EFA7 -:040FED00871366E51B -:040FEE0047E1CBA666 -:040FEF001A63C83A7F -:040FF000450158F56A -:040FF100DB3FC0EF33 -:040FF2000FF0079362 -:040FF3005AF50A633E -:040FF4001A8087B721 -:040FF50008078793CF -:040FF6006789DABE6F -:040FF700A1A7879394 -:040FF80006F11C23BF -:040FF9000D2347A1DC -:040FFA00D0EF06F13D -:040FFB004569FFAF96 -:040FFC00D87FC0EFEB -:040FFD000F55759384 -:040FFE0000A5E593D2 -:040FFF00C0EF456991 -:041000004585DC3F07 -:041001000350051380 -:04100200DB9FC0EFC1 -:041003000513655D0F -:04100400C0EF3F8575 -:041005004501F15F51 -:04100600802FD0EF78 -:0410070005134599EF -:04100800C0EF03D062 -:041009000593D9FF73 -:04100A004545044014 -:04100B00D95FC0EFFA -:04100C004549458588 -:04100D00D8DFC0EF79 -:04100E00454D458186 -:04100F00D85FC0EFF7 -:04101000C0EF18C84D -:041011004509DC1F92 -:04101200BEBFC0EFAE -:041013000FF575134D -:04101400196347CD48 -:0410150064E152F54B -:0410160068C4849393 -:041017000024C58369 -:04101800C0EF4515CB -:04101900C783C13F89 -:04101A000593002416 -:04101B00451103D0A8 -:04101C009713839D06 -:04101D00C78300275E -:04101E009BED00C482 -:04101F0086238FD9BC -:04102000C0EF00F429 -:041021000513BF3FB5 -:04102200C0EF3E805D -:0410230045F5874FB9 -:04102400C0EF4511C3 -:041025000593BE3F32 -:0410260005130300AB -:04102700C0EF061000 -:041028000593BD7FF0 -:0410290045250B202E -:04102A00BCDFC0EF78 -:04102B000F8005939A -:04102C00C0EF4529A3 -:04102D000593BC3F2C -:04102E00452D0370D9 -:04102F00BB9FC0EFB4 -:04103000453D458174 -:04103100BB1FC0EF32 -:0410320005134581DC -:04103300C0EF0C906E -:041034004581BA7FB9 -:041035000CA00513F3 -:04103600B9DFC0EF6F -:0410370005134581D7 -:04103800C0EF0CB049 -:041039004581B93FF5 -:04103A000CC00513CE -:04103B00B89FC0EFAB -:04103C0005134581D2 -:04103D00C0EF0CD024 -:04103E004581B7FF32 -:04103F000CE00513A9 -:04104000B75FC0EFE7 -:0410410005134581CD -:04104200C0EF0CF0FF -:041043004581B6BF6E -:041044000D00051383 -:04104500B61FC0EF23 -:041046000044C5831A -:041047000E1005136F -:04104800B55FC0EFE1 -:0410490005934601C4 -:04104A00051304A0E6 -:04104B00C0EF0404EA -:04104C004601C62F64 -:04104D0005134595AD -:04104E00C0EF0404E7 -:04104F004605C90F7A -:0410500004A0059360 -:04105100040405137B -:04105200C48FC0EF98 -:0410530005134585B7 -:04105400C0EF0404E1 -:041055000793C5EF49 -:0410560016630860B5 -:04105700059304F504 -:041058000513090073 -:04105900C0EF0200E2 -:04105A004581B91FF4 -:04105B000210051367 -:04105C00B87FC0EFAA -:04105D0005134581B1 -:04105E00C0EF0220BD -:04105F00458DB7DF25 -:041060000230051342 -:04106100B73FC0EFE6 -:0410620005134581AC -:04106300C0EF028058 -:041064000593B69F9B -:04106500051303006C -:04106600C0EF0710C0 -:0410670067E5B5DFA5 -:041068008423470591 -:0410690067E1CAE78A -:04106A006287A7836F -:04106B002C23676566 -:04106C008B63C8F7D3 -:04106D00D0EF3C077D -:04106E0062E1F6EF56 -:04106F0069C283933C -:04107000851365DDA2 -:04107100061301E37E -:0410720085930460FE -:04107300C0EF52C5B3 -:041074006361CC4F99 -:04107500051364DD1E -:0410760006137343A7 -:0410770085930360FA -:04107800C0EF4F4432 -:041079004581CB0FD3 -:04107A00D0EF453D31 -:04107B006665DC5F6B -:04107C00CAA64503B8 -:04107D0064654581E0 -:04107E00DB7FD0EF55 -:04107F0067E165E1DF -:041080006545C68379 -:041081006557C703E5 -:0410820047B76665A1 -:0410830000A30002C4 -:041084000023CAE695 -:041085008793CAD4AF -:0410860043A838073C -:04108700809370F9E9 -:0410880075337FF04D -:04108900C3A80015E3 -:04108A0070E943A81E -:04108B00753310FDAC -:04108C00C3A80015E0 -:04108D0036B343A88B -:04108E008B0D00D0F6 -:04108F00F1F57513EF -:0410900006056513D9 -:0410910043A8C3A805 -:041092007513070EBD -:0410930065138FF55D -:04109400C3A83005B8 -:04109500997943A85A -:04109600C3B48EC988 -:04109700450143B418 -:041098008F559A9D39 -:0410990043B4C3B8E1 -:04109A00177D772126 -:04109B0066A18F7546 -:04109C00C3B88F55F1 -:04109D0047896761B7 -:04109E0069C7039388 -:04109F0000F388A32F -:0410A000AA4FD0EF94 -:0410A100000217B77B -:0410A2000D07A7038C -:0410A30007B762E148 -:0410A400869300022D -:0410A500666569C251 -:0410A60067618FF9F6 -:0410A700655707136F -:0410A8000693C436B1 -:0410A9006665CAA608 -:0410AA000713CABAA4 -:0410AB00C2BACA16E5 -:0410AC00071367655A -:0410AD00CA3ACAC7AA -:0410AE000713676558 -:0410AF0065E1C8C768 -:0410B000D23AD63624 -:0410B1006545869378 -:0410B20063616765AA -:0410B3000713C8B6A1 -:0410B4000693C907CF -:0410B500DE1ACA0471 -:0410B600C0B6CE26CC -:0410B7009263D43A32 -:0410B80066DD1407D6 -:0410B90048868713CB -:0410BA00CC3A448167 -:0410BB0065D964612E -:0410BC00859346458D -:0410BD0005136A05A8 -:0410BE00D03E70C4EC -:0410BF007CF010EFC2 -:0410C000969347E2DA -:0410C1006561002441 -:0410C200428C96BE08 -:0410C3000513464586 -:0410C40010EF7205B2 -:0410C50046377B909F -:0410C600268300027B -:0410C70045053C0699 -:0410C8000046E69365 -:0410C9003CD62023CE -:0410CA00886FE0EF5C -:0410CB004681578281 -:0410CC0000021637D1 -:0410CD000D062503E4 -:0410CE00177D6741E2 -:0410CF0000E575B310 -:0410D0000337475249 -:0410D100C30C000349 -:0410D2000D062603DE -:0410D3004613571257 -:0410D4007633FFF67A -:0410D500C3100066DE -:0410D6008763C985DE -:0410D70067E102F5D6 -:0410D8007347879340 -:0410D9000014961356 -:0410DA00EAAD97B232 -:0410DB00902365D920 -:0410DC00464500A7DE -:0410DD006A85859308 -:0410DE0070C40513C2 -:0410DF0074F010EFAA -:0410E000E0EF4505F3 -:0410E100468582CFEF -:0410E200A30357927B -:0410E30057A2000709 -:0410E400E39D439CA9 -:0410E500116367C16B -:0410E600E0BD02F374 -:0410E700061347F2B3 -:0410E800D01A0360B7 -:0410E9004F47859355 -:0410EA0044ED57F288 -:0410EB0073478513AF -:0410EC00AE2FC0EF74 -:0410ED0046895302DB -:0410EE0047D257226C -:0410EF00202346096B -:0410F000D836006787 -:0410F1008063439C39 -:0410F200650904C6C2 -:0410F300710505136B -:0410F400B0EFD03E4B -:0410F5005782D2DF6D -:0410F600BF9956C286 -:0410F7000007D78394 -:0410F80083E34689BF -:0410F90065D9FAF5C6 -:0410FA00859346454F -:0410FB0005136B0569 -:0410FC0010EF70C4BD -:0410FD0045056D90A8 -:0410FE00FB7FD0EFB5 -:0410FF00B769468106 -:04110000468914F90F -:041101000485BF554D -:04110200D1E346E906 -:04110300453DEE96E2 -:041104009C1FD0EF6D -:041105000002473766 -:041106003C072783F8 -:0411070020239BED19 -:0411080047B23CF7B7 -:04110900665964E1DE -:04110A0000A7D51352 -:04110B0012C0079374 -:04110C0002F507B32E -:04110D00058007133F -:04110E0006134681FD -:04110F0045C56C0660 -:0411100076C4851309 -:04111100C6BE646191 -:0411120047E010EFB3 -:04111300464565D90F -:041114007A858593C0 -:041115007804051342 -:04111600A3AFC0EFD4 -:04111700E0EF4505BB -:04111800A5379B4F0D -:0411190005130007B3 -:04111A00B0EF12051B -:04111B00B0EFC95F09 -:04111C004799CB5FC5 -:04111D00CE02D03EF0 -:04111E00D802CC0225 -:04111F00CEA2CCA6EA -:04112000000217B7FB -:041121000D07A7838C -:04112200674146D209 -:041123008F7D177D28 -:04112400C693C29814 -:041125000737FFF792 -:041126008F750003BE -:04112700D6135692F3 -:0411280087B2018702 -:0411290066E5C2981D -:04112A00CB068693D7 -:04112B001679CA194E -:04112C000FF6761331 -:04112D00F663458D93 -:04112E00C60300C52F -:04112F00156300063E -:04113000465200F62D -:041131000006202371 -:0411320000F6802320 -:04113300439C57A2E0 -:0411340057A2EFD5FA -:041135006465C39892 -:04113600C8B4041322 -:0411370000044783E6 -:041138000E078663B5 -:04113900C78347A27F -:04113A00816301C705 -:04113B0047A20E07B2 -:04113C004703676599 -:04113D00C783C9E7B4 -:04113E008FD900B78E -:04113F000FF7F7931C -:04114000B0EFE7F134 -:0411410047B6C45F8A -:041142000CF56263E3 -:041143000793477255 -:04114400ED63063021 -:0411450046830AE7EC -:0411460047850004D5 -:04114700876347056E -:04114800578200F6D4 -:04114900FFA7871362 -:04114A0000E0373357 -:04114B0046A147A2D0 -:04114C0001C7C7838D -:04114D00F79317FD00 -:04114E00E7630FF74D -:04114F0066D906F661 -:041150007C468693C0 -:0411510097B6078ABC -:0411520067E5439476 -:04115300CBC78793EC -:0411540056F9868240 -:041155006561665911 -:041156006D460613C9 -:04115700051345C572 -:0411580010EF76C559 -:0411590065D93640DE -:04115A004645656140 -:04115B003DC5859376 -:04115C0078050513FA -:04115D00557010EFCA -:04115E00E0EF450574 -:04115F00A001898FD3 -:04116000BFC956F5B8 -:04116100B7F956F193 -:04116200B7E956FD96 -:04116300C39857A234 -:04116400A0235792DB -:04116500B781000747 -:04116600470367656F -:041167003733C897BB -:04116800070900E093 -:0411690000E78023F8 -:04116A00570247F2EF -:04116B00F79307856A -:04116C00CE3E0FF76D -:04116D000763479934 -:04116E00079300F7EC -:04116F00F7930017DB -:04117000D03E0FF767 -:04117100B5FFB0EF27 -:04117200CC3E4785A3 -:0411730006B1079327 -:04117400DABE64611A -:041175007B0407935D -:041176000197871343 -:0411770065D9DCBAA0 -:0411780001A7871331 -:04117900461DDEBA77 -:04117A0001B787131F -:04117B007BC5859318 -:04117C0010E807F17F -:04117D00C33EC13A72 -:04117E0005A3C53EC2 -:04117F00C0EF0601B6 -:0411800047A2894FAA -:041181007B04069352 -:04118200C783C63623 -:04118300468501C7D5 -:04118400DA364725EB -:0411850000E7866396 -:04118600F79307854F -:04118700DA3E0FF746 -:04118800468147D283 -:04118900439045E961 -:04118A00879357F2FE -:04118B00D7037347CC -:04118C001A630007DB -:04118D0047E904E644 -:04118E0054D7E263ED -:04118F009793675972 -:04119000071300261B -:0411910097BA7E8704 -:041192008782439C71 -:04119300E71946858D -:0411940046836761C6 -:04119500B693644762 -:0411960006910016A8 -:0411970000D78023DA -:041198004699B7A11C -:04119900C683DF65C5 -:04119A00BFCD0007BE -:04119B00BF1D471D10 -:04119C004703676539 -:04119D003733C8A775 -:04119E00072100E045 -:04119F004699B72591 -:0411A0004685FF7110 -:0411A1000789BFE11A -:0411A20026B687E303 -:0411A300B7450685C1 -:0411A40047424785F2 -:0411A500570346C2E4 -:0411A60047130007E4 -:0411A700902310077A -:0411A800571200E6F4 -:0411A900431866C1C0 -:0411AA00C2918EF967 -:0411AB0006B757D25A -:0411AC008F75000239 -:0411AD004732CF11E5 -:0411AE00468146052B -:0411AF0000074703EB -:0411B00000E665638D -:0411B1007693070525 -:0411B20047320FF7BA -:0411B30000D700233E -:0411B40047296465FE -:0411B5000413DA0243 -:0411B6008663CBC4BD -:0411B700002300E72A -:0411B800478500F473 -:0411B90047C2DA3E11 -:0411BA00D78347424E -:0411BB009BCD0007C1 -:0411BC0083C107C222 -:0411BD0000F7102304 -:0411BE004683676598 -:0411BF000713C9E762 -:0411C000DC3AC9E765 -:0411C1006765EA89EB -:0411C200C9C747034F -:0411C3008FD9070AAF -:0411C400102347426B -:0411C50047C200F726 -:0411C6000007D70344 -:0411C700000217B754 -:0411C8000EE7A0236B -:0411C900C78357E29F -:0411CA00C7810007D2 -:0411CB00E0EF45010B -:0411CC0047A2887F2F -:0411CD0000044703D0 -:0411CE0001C7C7830B -:0411CF0000E784634E -:0411D000E79957D272 -:0411D100CF8547E29D -:0411D200C78347A2E6 -:0411D300CB8500B711 -:0411D400C78367E581 -:0411D500CC3ECA97AB -:0411D60067E1C39D6D -:0411D7007007879383 -:0411D800C50397BAFA -:0411D90057B2000702 -:0411DA00C783CC02F9 -:0411DB00886300071E -:0411DC0057B200A75F -:0411DD0080234581A5 -:0411DE00D0EF00A7A7 -:0411DF004722835FC1 -:0411E000000447833D -:0411E10047036465F7 -:0411E200041301C72A -:0411E3001F63CBE4D7 -:0411E400468300F747 -:0411E50047090004B2 -:0411E6001CD772633D -:0411E70046834732C2 -:0411E8004722034750 -:0411E900052747038C -:0411EA001AE68A6314 -:0411EB00869364E59E -:0411EC008EA3CBD42F -:0411ED000023CA040D -:0411EE00470D0004A5 -:0411EF0073E3C4B62C -:0411F000473216F775 -:0411F10046834605E6 -:0411F20099E3034733 -:0411F300861312C687 -:0411F4007613FFA7C8 -:0411F500448D0FF620 -:0411F60000C6F463D8 -:0411F7000DC0106FA8 -:0411F800FFF7861364 -:0411F9000FF67593E5 -:0411FA0061634621C6 -:0411FB00665D02B675 -:0411FC003C06061394 -:0411FD004703962EE0 -:0411FE004619000688 -:0411FF0083E3D83A74 -:0412000065E314C7C7 -:04120100460512F696 -:0412020012C78AE3A2 -:04120300D0B64722F8 -:0412040000F70E23BE -:04120500000705A336 -:04120600859FC0EF11 -:041207004789568637 -:041208000D85759348 -:0412090012F694E362 -:04120A000015E59353 -:04120B00D0AE450517 -:04120C00D06FC0EFF0 -:04120D0045095586B4 -:04120E00CFEFC0EF6F -:04120F00450D5586AE -:04121000CF6FC0EFED -:04121100FA1FC0EF11 -:04121200C68FC0EFD4 -:04121300C78367E541 -:04121400CF91CA8725 -:0412150095B34585C3 -:04121600F5930095B7 -:0412170045190FF571 -:04121800C0EFD0AEA5 -:041219005586C94FDE -:04121A00C0EF451DBF -:04121B0047A6C8CF4B -:04121C000004448303 -:04121D00C70345813D -:04121E0047890007F5 -:04121F000097E663EB -:04122000006495933E -:0412210085E105E27C -:0412220000471793D7 -:0412230000271693F7 -:041224008FD98FD5FA -:04122500F5938DDDD3 -:0412260045650FF516 -:04122700D24FC0EFF3 -:04122800C0EF45418D -:041229005742CD4F0C -:04122A007593478DE4 -:04122B0014630F85B4 -:04122C00E59300F74F -:04122D0045410055E2 -:04122E00D08FC0EFAE -:04122F000593478953 -:04123000F96305B0A9 -:04123100479100974A -:0412320005200593FB -:041233000097F463C9 -:0412340005300593E9 -:04123500C0EF453988 -:041236000513CEAF1F -:04123700B0EF3E8056 -:041238004551821F7B -:04123900C92FC0EF0A -:04123A00478D574243 -:04123B00036345C143 -:04123C00458100F7F1 -:04123D00C0EF456158 -:04123E004581CCAF6B -:04123F000360051330 -:04124000CC0FC0EF20 -:04124100464547A235 -:041242000007A223DC -:0412430001C7C78395 -:0412440000279713D5 -:04124500879367DD47 -:0412460097BA3CC750 -:0412470047E6438CA7 -:0412480076C78513CD -:041249001A7010EF18 -:04124A0065D947F625 -:04124B00851346457C -:04124C008593780707 -:04124D0010EF79C560 -:04124E0045051950E9 -:04124F00CD7FD0EF90 -:04125000CF8957D219 -:04125100C70367E583 -:0412520047A9C94798 -:0412530000F7156328 -:04125400D0EF453D55 -:04125500B0EFC7EF40 -:04125600CE02FCCFF9 -:04125700C70347B2D0 -:0412580047A2023770 -:041259000417C7832C -:04125A0002F707632D -:04125B00468147A2DF -:04125C00C50346017F -:04125D0045810117AF -:04125E00A83FC0EFF6 -:04125F00C50347B2CA -:04126000C0EF0237A2 -:0412610047B2BA3F97 -:04126200C7834722D5 -:041263002223023709 -:0412640000A30007DC -:0412650047B204F791 -:041266000237C58303 -:041267008A634789C6 -:0412680047B202F592 -:041269000247C6036F -:04126A00C78347A24D -:04126B00826304276F -:04126C0047A202C7CC -:04126D000117C5039D -:04126E004589C1915C -:04126F00C68347A249 -:04127000C0EF00F7D4 -:0412710047B2A39F3E -:04127200C7834722C5 -:04127300012302470A -:0412740047B204F782 -:04127500C7834722C2 -:0412760047030347E0 -:0412770005630527DF -:04127800472200F712 -:0412790004F709234A -:04127A00470647C616 -:04127B000007C6831F -:04127C00000747031D -:04127D00C78347D606 -:04127E0017630007EB -:04127F00471600D737 -:041280000007470319 -:0412810004F7046307 -:0412820036334706B2 -:04128300002300D074 -:04128400471600D732 -:0412850000F700234B -:0412860000024737E4 -:04128700380707130A -:041288008B8D4334D3 -:041289009AF9078E39 -:04128A00C3348ED10A -:04128B009A9D4334B1 -:04128C00C33C8FD5FB -:04128D00C78357E2DA -:04128E00CB89000701 -:04128F00A02347D27F -:04129000D0EF000794 -:0412910045058E8FF2 -:04129200D6CFE0EFE4 -:04129300C78347A224 -:0412940083E301C728 -:0412950044036007A7 -:0412960067E1000408 -:041297006A078793C8 -:0412980000022737F2 -:04129900C4634314D3 -:04129A0017FD000636 -:04129B004551FFE5D5 -:04129C00B06FC0EF80 -:04129D00F36347911F -:04129E0075136E87CF -:04129F0005130885A6 -:0412A0003313F78588 -:0412A10047A200154B -:0412A20000B7C403CA -:0412A30027B7C41194 -:0412A4004380000281 -:0412A500880D8041EF -:0412A60003700513B9 -:0412A700C0EFC49A36 -:0412A800DA2AAD8F02 -:0412A90003800513A6 -:0412AA00ACEFC0EFF6 -:0412AB0084AA57D2E8 -:0412AC00008517138F -:0412AD0005136505BB -:0412AE008F69F0054F -:0412AF00DC3A8F5D39 -:0412B000D793472267 -:0412B1008B850064C5 -:0412B200039005138D -:0412B30000F704A399 -:0412B400AA6FC0EF6E -:0412B5000513DA2A19 -:0412B600C0EF03A0E2 -:0412B70027B7A9CFDD -:0412B800439C000251 -:0412B90052D246893E -:0412BA00576283C92B -:0412BB00F79343263C -:0412BC0008637FF74D -:0412BD0046A268D409 -:0412BE0000B6C6832D -:0412BF000693E68923 -:0412C000F06318F0CF -:0412C100468568E610 -:0412C20066D4196372 -:0412C3000017871376 -:0412C400190735933E -:0412C5000015C593B8 -:0412C600AD8D468123 -:0412C700BE95478900 -:0412C800BE85478D0B -:0412C900B6B54791DE -:0412CA00B6A54795E9 -:0412CB00B6954799F4 -:0412CC00B685479DFF -:0412CD00BEB147A1C6 -:0412CE00BEA147A5D1 -:0412CF000713676535 -:0412D0004683C9E7A1 -:0412D1004637000795 -:0412D200B7930002CC -:0412D30000230016DE -:0412D400971300F775 -:0412D5002783002744 -:0412D6009BED3C064A -:0412D70020238FD968 -:0412D80057B23CF6D7 -:0412D9000007C70340 -:0412DA0085A367E59C -:0412DB009C63CAE75F -:0412DC00C0EF360623 -:0412DD004505FB9F29 -:0412DE00C3CFE0EFAB -:0412DF00BE1147A94C -:0412E00000022737AA -:0412E100F693431C21 -:0412E200C4B67FF718 -:0412E30000B7D693E7 -:0412E400DC368A85E5 -:0412E50067654344B2 -:0412E600C9E747030A -:0412E7000613F36592 -:0412E80045813C0000 -:0412E9000002453783 -:0412EA00B0EFD0BED3 -:0412EB006659B25F2F -:0412EC006E46061331 -:0412ED00453745C17B -:0412EE0000EF00020B -:0412EF0057B250D0D2 -:0412F000000244377D -:0412F100C6836659F1 -:0412F20006130007D8 -:0412F30045C13F862C -:0412F40001040513D9 -:0412F5004F3000EF87 -:0412F60004B247A255 -:0412F700C70380B1F8 -:0412F800C76900B70B -:0412F9000613665919 -:0412FA0045C16F0675 -:0412FB0002040513D1 -:0412FC004D7000EF42 -:0412FD0046F147A2CD -:0412FE0087036659A3 -:0412FF00061300A72B -:0413000045C1458618 -:0413010002D70733D5 -:04130200869366E187 -:0413030005137F86C9 -:0413040096BA03048E -:041305004B3000EF7A -:04130600061366590B -:0413070045C1700666 -:0413080004040513C1 -:041309004A3000EF77 -:04130A00051347A6DA -:04130B0007130504BB -:04130C00869306902E -:04130D0057E200178C -:04130E0000F696B39C -:04130F00578696BEA9 -:0413100000B7D61339 -:041311008A05D2BEB9 -:041312000713E219C2 -:041313000637070092 -:041314008E7D0003C7 -:0413150002A0079398 -:041316000793E2193E -:04131700C6370200D3 -:041318000613A0EE2A -:041319005633B00691 -:04131A00059302969F -:04131B00443706400D -:04131C007333000225 -:04131D00563302B68B -:04131E00C21A02B637 -:04131F00C03245C1D2 -:0413200006136659F1 -:0413210000EF710662 -:0413220066594410B4 -:0413230072860613B5 -:04132400051345C1A7 -:0413250000EF0604CB -:0413260066594310B1 -:04132700061386A67D -:0413280045C17386C2 -:04132900070405139D -:04132A0041F000EF9F -:04132B000002443741 -:04132C0006136659E5 -:04132D0045C173C67D -:04132E000804051397 -:04132F0040B000EFDB -:0413300007136659E0 -:04133100468105806C -:0413320074860613A4 -:04133300051345C198 -:0413340000EF0904B9 -:0413350007933F508B -:0413360043B838047C -:041337000027671311 -:041338002623C3B8ED -:04133900477D3C04AC -:04133A00C7B8C3F875 -:04133B0047C2BD41A7 -:04133C00D7834742CA -:04133D00C79300074B -:04133E001023010770 -:04133F00BDBD00F739 -:04134000468547B2E5 -:04134100C783470116 -:04134200E563000758 -:04134300078500F624 -:041344000FF7F71395 -:04134500802347B208 -:0413460067E500E770 -:04134700C9E7C783A8 -:041348006461E7B144 -:04134900174404132E -:04134A004641400CCC -:04134B000002453720 -:04134C0059A010EFA5 -:04134D00C70347B2D9 -:04134E00481C000730 -:04134F0097BA070A38 -:041350004641438C43 -:04135100000244371B -:041352000204051379 -:0413530057E010EF60 -:041354003C042783AB -:041355000027E793F3 -:041356003CF4202320 -:041357003C04262309 -:041358002223478D78 -:0413590024233CF419 -:04135A00BD093C0489 -:04135B00C78367E5F8 -:04135C009713C9F723 -:04135D0067E100370D -:04135E004E478793DC -:04135F00676197BA71 -:04136000AF47071379 -:041361009BE3439C2B -:04136200C0EFDEE713 -:04136300B3FDDA1FDD -:04136400468547B2C1 -:04136500C7834701F2 -:04136600E563001724 -:04136700078500F600 -:041368000FF7F71371 -:0413690080A347B264 -:04136A0067E500E74C -:04136B00C9E7C78384 -:04136C006461FFD5E4 -:04136D00174404130A -:04136E0008C4258307 -:04136F004537464177 -:0413700010EF000278 -:0413710047B25080AF -:041372000017C70396 -:0413730009C42783FF -:0413740047B2B7B510 -:04137500C78347598A -:041376009063006719 -:04137700C78104E640 -:04137800F79317FDD3 -:0413790047320FF7F1 -:04137A0000F7032352 -:04137B00C78367E5D8 -:04137C00FFADC9E711 -:04137D000413646190 -:04137E00484C17447C -:04137F004537464167 -:0413800010EF000268 -:0413810047324C8023 -:041382004503505C73 -:0413830097820067E6 -:04138400464165E198 -:0413850072058593D5 -:0413860046B9B73578 -:04138700F363873E47 -:04138800473900F6EB -:04138900070547B25B -:04138A0000E78323D2 -:04138B0065D9B7C1A8 -:04138C00464565610C -:04138D00754585938A -:04138E0070C505130E -:04138F0048E010EF33 -:0413900065D9646156 -:0413910085934645B5 -:041392000513764584 -:0413930010EF7204E1 -:04139400473747C0D0 -:0413950027830002A8 -:0413960045053C07C6 -:04139700E79364E193 -:0413980020230047C7 -:04139900D0EF3CF75E -:04139A008493D48FD5 -:04139B0017B77F847D -:04139C00A7030002A1 -:04139D0067C10D0710 -:04139E008F7D17FD2B -:04139F00879367E1E8 -:0413A00046817347C8 -:0413A100D583466D3D -:0413A20006630007D7 -:0413A300068500B704 -:0413A4009AE3078938 -:0413A50047A2FEC697 -:0413A6008783477181 -:0413A70087B300A761 -:0413A800471502E7FC -:0413A9004BDC97A6DC -:0413AA00646383F500 -:0413AB00471102F7ED -:0413AC0006D74263BB -:0413AD00973E091846 -:0413AE00FDC747032D -:0413AF0040D7573399 -:0413B000C7158B05CD -:0413B1000918078A86 -:0413B200A78397BABC -:0413B3008023FE474E -:0413B40067E500D712 -:0413B500C8078F23B3 -:0413B60000024737B3 -:0413B7003C07278345 -:0413B80020239BED66 -:0413B90045013CF7B7 -:0413BA00F2AFD0EFCF -:0413BB006659B94175 -:0413BC000613068589 -:0413BD0045C57706A5 -:0413BE00720405139D -:0413BF001CB000EF6F -:0413C000D0EF450520 -:0413C100A537CACFB3 -:0413C2000513000708 -:0413C300B0EF120570 -:0413C400B7C19F0FFF -:0413C5008EE347B1BB -:0413C6006509FAF6C5 -:0413C7007105051394 -:0413C8009DEFB0EFF6 -:0413C90047A2B7A9D7 -:0413CA0064614671A3 -:0413CB0000A787836D -:0413CC007F84071300 -:0413CD007F84041302 -:0413CE0002C7863399 -:0413CF0046659732A6 -:0413D0000167470367 -:0413D10008C699634E -:0413D200C701467D8C -:0413D3007613177DF9 -:0413D40046F10FF7D8 -:0413D50002D786B302 -:0413D60096A267650F -:0413D70000C68B239E -:0413D800092346851A -:0413D9006765CCD7A1 -:0413DA00CD374703C1 -:0413DB0000E79B6329 -:0413DC0087B347711B -:0413DD0097A202E7EA -:0413DE000167C703D9 -:0413DF00952367E506 -:0413E00067E5CCE70A -:0413E100C9E7C7830E -:0413E20067E1EFA927 -:0413E300D507A58302 -:0413E4004537464102 -:0413E50010EF000203 -:0413E60047A23340A7 -:0413E70006934771B1 -:0413E8008783465061 -:0413E900665900A79A -:0413EA0042C60613DE -:0413EB0002E787B3DB -:0413EC00943E45C521 -:0413ED0001644783CD -:0413EE00051364611E -:0413EF0087B372044A -:0413F000069302D787 -:0413F100C6B3064039 -:0413F20000EF02D72F -:0413F30046410FD090 -:0413F40072040593E7 -:0413F50046F9BB8575 -:0413F600ECE34601DD -:0413F7000705F6E60A -:0413F80067E5B7BD31 -:0413F900C9F7C783E6 -:0413FA00003797130E -:0413FB00879367E18C -:0413FC0097BA4E4707 -:0413FD00071367610A -:0413FE00B369AB47DD -:0413FF0043014485DD -:0414000065D967E162 -:0414010070C7851318 -:041402008593464543 -:04140300DC1A780572 -:041404002BA010EF1A -:0414050046A953623F -:0414060006B347954D -:04140700873602D34F -:0414080000D7D363D3 -:0414090064614715BE -:04140A0007256659F3 -:04140B007906061345 -:04140C00051345C5BA -:04140D00DC1A72046F -:04140E0008F000EFF3 -:04140F000002473759 -:041410003C072783EB -:04141100E793450513 -:04141200202300474C -:04141300D0EF3CF7E3 -:041414001737B60FC1 -:04141500228300022C -:0414160067410D0716 -:04141700177D87A610 -:04141800F4B3536274 -:04141900EF9900E265 -:04141A0067E1CC9129 -:04141B007347871379 -:04141C00478146EDD1 -:04141D00000756036B -:04141E0000C48D6316 -:04141F00070907852D -:04142000FED79AE376 -:041421000513650941 -:04142200DC1A71055A -:04142300872FB0EF70 -:041424004725B7C9D8 -:0414250000E78863F1 -:0414260013634711F4 -:041427004725000352 -:0414280002E7DA639A -:0414290007854729C3 -:04142A0002E7E7B33B -:04142B0002E30333A2 -:04142C00979A6765BF -:04142D00CAF705A352 -:04142E00938FD0EFD9 -:04142F0066D9C901B0 -:0414300069868693B0 -:0414310006136659DF -:04143200B535458601 -:04143300869366D95D -:04143400BFCD4046A2 -:04143500956347690B -:04143600431300E775 -:04143700B70D0013DA -:0414380091E34731C4 -:04143900B3F5FAE726 -:04143A00C70367E598 -:04143B0057D2C9E7D4 -:04143C00DA07016367 -:04143D0047A9B461A6 -:04143E00DAAFF06FC2 -:04143F00706347157A -:0414400047097CF7E5 -:0414410000E69863C6 -:04144200FFA7871366 -:041443000FF7771315 -:041444007AE677636A -:04144500470946A667 -:041446008023448536 -:04144700468900E6EC -:04144800EC0FF06F46 -:041449004685448D03 -:04144A00EB8FF06FC5 -:04144B008D63461D4A -:04144C00460900C786 -:04144D0000C40023B4 -:04144E00ED4FF06FFF -:04144F0000F4002382 -:04145000ECCFF06F7E -:04145100B7FD460D90 -:04145200B7ED461597 -:041453008DD5069697 -:041454000FF5F59308 -:041455000045E593D6 -:04145600ED4FF06FF7 -:04145700731347893B -:04145800F7630FF532 -:041459007513008780 -:04145A000513090568 -:04145B00BA11F705C6 -:04145C000013551311 -:04145D0000157313F0 -:04145E00F493B23918 -:04145F0089E3020417 -:041460003593980424 -:04146100C5930C879C -:0414620046850015A6 -:04146300646147A2D7 -:04146400C483666572 -:0414650067E500B780 -:04146600CD27879374 -:041467000413DA3E52 -:04146800061369C43A -:041469009263C8863C -:04146A0002632C04E9 -:04146B008063620335 -:04146C00078362058B -:04146D0045890006A7 -:04146E002AF5D56323 -:04146F000006002350 -:041470004785462244 -:0414710005A34491FA -:04147200462200F618 -:041473000085179346 -:0414740042106505B8 -:04147500F005051366 -:0414760065E58FE9B0 -:041477000016051343 -:041478000057E7B37F -:04147900CB85859307 -:04147A0000E56F63B7 -:04147B00001705133E -:04147C0000C56B63D9 -:04147D004250462271 -:04147E0000C79763A9 -:04147F0046034622B8 -:041480000963008676 -:0414810080235CD692 -:0414820046320005E9 -:041483000196458306 -:0414840046034622B3 -:0414850097630376F0 -:0414860046320EC517 -:0414870001A64583F2 -:0414880046034622AF -:041489009F630386D4 -:04148A0046320CC515 -:04148B0001B64583DE -:04148C0046034622AB -:04148D0097630396C8 -:04148E0046320CC511 -:04148F0001C64583CA -:0414900046034622A7 -:041491009F6303A6AC -:0414920046320AC50F -:0414930001D64583B6 -:0414940046034622A3 -:04149500976303B6A0 -:0414960046320AC50B -:041497000146458342 -:04149800460346229F -:041499009F63032624 -:04149A00463208C509 -:04149B00015645832E -:04149C00460346229B -:04149D009763033618 -:04149E00463208C505 -:04149F00016645831A -:0414A0004603462297 -:0414A1009F630346FC -:0414A200463206C503 -:0414A3000176458306 -:0414A4004603462293 -:0414A50097630356F0 -:0414A600463206C5FF -:0414A70001864583F2 -:0414A800460346228F -:0414A9009F630366D4 -:0414AA00666104C5AE -:0414AB007B060613A3 -:0414AC0002764583FC -:0414AD00045446039A -:0414AE0004C5966378 -:0414AF000613666159 -:0414B00045837B06EF -:0414B1004603028666 -:0414B2009D630464CE -:0414B300666102C5A7 -:0414B4007B0606139A -:0414B5000336458332 -:0414B60005144603D0 -:0414B70002C5946373 -:0414B8000613666150 -:0414B90045837B06E6 -:0414BA00460303A63C -:0414BB009B630584A6 -:0414BC00666100C5A0 -:0414BD007B06061391 -:0414BE0003B64583A9 -:0414BF000594460347 -:0414C00000C5896377 -:0414C10086264589AD -:0414C20000B4F3631C -:0414C30074934609CF -:0414C40046320FF6A7 -:0414C5000256458303 -:0414C6004603462271 -:0414C7008B630436F9 -:0414C800462202C5F1 -:0414C900060345F1E0 -:0414CA0005B300A6C0 -:0414CB00666102B69E -:0414CC007F860613FE -:0414CD004A10962EFD -:0414CE0020D0059392 -:0414CF0076138215F9 -:0414D00019637FF627 -:0414D100458900B693 -:0414D200F363862614 -:0414D300460900B412 -:0414D4000FF6749308 -:0414D50045834632D3 -:0414D6004622026642 -:0414D700044646037E -:0414D80002C58B635B -:0414D90045F1462271 -:0414DA0000A606035F -:0414DB0002B605B39D -:0414DC00061366612C -:0414DD00962E7F8642 -:0414DE0005934A1018 -:0414DF0082151C1046 -:0414E0007FF676130A -:0414E10000B61963D5 -:0414E200862645898C -:0414E30000B4F363FB -:0414E40074934609AE -:0414E50045A20FF617 -:0414E6000002863743 -:0414E700C583421067 -:0414E800826D01D53B -:0414E90089638A3D4C -:0414EA00458900C56B -:0414EB00F3638626FB -:0414EC00460900B4F9 -:0414ED000FF67493EF -:0414EE004603565209 -:0414EF00CE490006DC -:0414F00000A405034C -:0414F100666142F1FD -:0414F2000255053367 -:0414F3007F860313DA -:0414F4000124458307 -:0414F5004383429556 -:0414F6004601045453 -:0414F7002503932A0C -:0414F800897D0103E6 -:0414F90002B5053300 -:0414FA00025505335F -:0414FB000640029312 -:0414FC0003250513AC -:0414FD00025545331C -:0414FE0000E3528332 -:0414FF00025585B35A -:041500000542952EDD -:0415010095938141FC -:041502001B2301079F -:0415030081C100A4FE -:0415040000038663F7 -:041505000183260335 -:041506008A058205CB -:04150700DC3AD0B644 -:04150800B0EFC4BEBE -:041509000603A39F93 -:04150A0045F100A403 -:04150B00063367E15B -:04150C00829302B60E -:04150D0045837F870C -:04150E009616012408 -:04150F000166450329 -:04151000BFDFB0EF9A -:0415110000A409A386 -:0415120047A657622F -:04151300862656864C -:041514004605E09117 -:041515000FF67493C6 -:04151600C05CC018DD -:0415170000D40423D5 -:041518000785A0356E -:0415190000F60023B5 -:04151A000463B38D26 -:04151B0090630003D6 -:04151C000783360506 -:04151D005371000600 -:04151E003467D663F5 -:04151F00002347A2BC -:0415200044910006EC -:04152100000785A397 -:04152200D40591E378 -:04152300C70347B201 -:0415240047A20007D3 -:0415250001E7C78390 -:0415260010F71D633A -:04152700C70347B2FD -:0415280047A20017BF -:0415290001F7C7837C -:04152A0010F715633E -:04152B00C70347B2F9 -:04152C0047A20027AB -:04152D000207C78367 -:04152E000EF71D6334 -:04152F00C70347B2F5 -:0415300047A2003797 -:041531000217C78353 -:041532000EF7156338 -:04153300C70347B2F1 -:0415340047A2006763 -:041535000247C7831F -:041536000CF71D632E -:04153700461547A26C -:04153800026785932E -:04153900851347B21D -:04153A0000EF008737 -:04153B00E17158D032 -:04153C00461947A263 -:04153D0002B78593D9 -:04153E00851347B218 -:04153F0000EF00D7E2 -:04154000E945579092 -:04154100C70347B2E3 -:0415420047A2004775 -:041543000227C78331 -:041544000AF711632E -:04154500C70347B2DF -:0415460047A2005761 -:041547000237C7831D -:0415480008F7196324 -:04154900C70347B2DB -:04154A0047A200773D -:04154B000257C783F9 -:04154C0008F7116328 -:04154D00879367E138 -:04154E00C7037B074D -:04154F00478301F7D6 -:04155000186303D445 -:0415510067E106F751 -:041552007B078793F9 -:041553000207C703C1 -:0415540003E44783E2 -:0415550004F71F6315 -:04155600879367E12F -:04155700C7037B0744 -:0415580047830217AC -:04155900166303F41E -:04155A0067E104F74A -:04155B007B078793F0 -:04155C000227C70398 -:04155D0004044783B8 -:04155E0002F71D6310 -:04155F00879367E126 -:04156000C7037B073B -:04156100478301E7D4 -:04156200146303C447 -:0415630067E102F743 -:041564007B078793E7 -:041565000367C7034E -:04156600054447836E -:0415670000F71B630B -:04156800879367E11D -:04156900C7037B0732 -:04156A004783035759 -:04156B0007630534D9 -:04156C0087A600F757 -:04156D004785E0913D -:04156E000FF7F493EC -:04156F00C58347B237 -:0415700047A202A7E5 -:04157100C783DC2E22 -:041572008E630487F9 -:04157300454100B737 -:04157400FA6FB0EF6B -:04157500891D55E295 -:041576008DC9058E88 -:041577000FF5F593E4 -:04157800B0EF45414A -:0415790047B2FDEF89 -:04157A0002B7C5836C -:04157B00C78347A239 -:04157C0086630497E7 -:04157D00051300B79B -:04157E00B0EF03D0F7 -:04157F0047B2FC6F04 -:0415800002C7C58356 -:04158100C78347A233 -:04158200856304A7D2 -:04158300454500B723 -:04158400FB0FB0EFBA -:04158500472247B200 -:041586000307C5830F -:0415870004E747032B -:041588000317C783FB -:0415890000B717632D -:04158A0047034722AA -:04158B000B6304F7F3 -:04158C00454900F7D6 -:04158D00B0EFDC3EA1 -:04158E0057E2F8AF79 -:04158F0085BE454D83 -:04159000F80FB0EFB1 -:04159100C50347B295 -:0415920047830297F2 -:0415930084630474F5 -:04159400478502A7DE -:0415950000A7F86350 -:04159600C50367E53D -:041597001561CBF718 -:0415980000153513F2 -:04159900053347E1EE -:04159A0067DD02F512 -:04159B003F8787936C -:04159C00B0EF953ED9 -:04159D0047B28B5F67 -:04159E0002F7C50388 -:04159F0004D44783A6 -:0415A00000A78463B9 -:0415A100A5DFB0EF23 -:0415A200C50347B284 -:0415A300478302D7A1 -:0415A400846304B4A4 -:0415A500B0EF00A7FC -:0415A60047B2985F51 -:0415A70002E7C5830F -:0415A80004C44783AD -:0415A9008F63DC2E42 -:0415AA00456900B7D8 -:0415AB00ECAFB0EF02 -:0415AC00751355E27C -:0415AD000592FCF5B2 -:0415AE00F5938DC95B -:0415AF0045690FF586 -:0415B000F00FB0EF99 -:0415B10047B24732C4 -:0415B20003D7458393 -:0415B30003C7C78320 -:0415B40005A4470340 -:0415B50000F71663C2 -:0415B60005B447032E -:0415B70000B70A630C -:0415B8008DDD058E32 -:0415B9000FF5F593A2 -:0415BA000280051393 -:0415BB00ED4FB0EF51 -:0415BC00879367E1C9 -:0415BD0087937B078E -:0415BE00853E03E77C -:0415BF000593461D2D -:0415C00000EF05C46F -:0415C10067E1375057 -:0415C2007EE78793A6 -:0415C300853EC5019B -:0415C400EF2FB0EF66 -:0415C500879366E1C1 -:0415C600C7037B06D6 -:0415C70047830377DC -:0415C8001A63055449 -:0415C900879300F70D -:0415CA00C7037B06D2 -:0415CB0047830387C8 -:0415CC00056305644A -:0415CD0067E102F7D9 -:0415CE007B0787937D -:0415CF000237C70315 -:0415D000B0EFC63A78 -:0415D1004581D6EF8B -:0415D2000CE0051311 -:0415D300D28FB0EF14 -:0415D40047894732CA -:0415D50000F70463B4 -:0415D600A99FB0EF2A -:0415D700C78367E57A -:0415D800C795CA8762 -:0415D900879367E1AC -:0415DA00C5837B0743 -:0415DB0047830397A8 -:0415DC008E630574A1 -:0415DD0015D100B76D -:0415DE00F5930586F6 -:0415DF0045050FF5BA -:0415E000B0EFC62E74 -:0415E10045B2D74FE9 -:0415E200B0EF450918 -:0415E30067E1D6CF17 -:0415E4007B07859369 -:0415E5000460061385 -:0415E60001E4051304 -:0415E700EF7FA0EF03 -:0415E800802357D233 -:0415E9004789000727 -:0415EA0010F4826314 -:0415EB00876347913A -:0415EC00478504F437 -:0415ED000AF4926307 -:0415EE0000B447837B -:0415EF00A0EFCFD1C9 -:0415F000A859F39F64 -:0415F100002317FDBF -:0415F200448100F63A -:0415F3000023B975A3 -:0415F400BFE5000649 -:0415F5000005C60324 -:0415F60008E34505BC -:0415F7000605A2A69D -:0415F8000FF6761361 -:0415F90000C5802386 -:0415FA00A2A611E3B1 -:0415FB008626458972 -:0415FC0000B4F363E1 -:0415FD007493460994 -:0415FE00BC010FF627 -:0415FF0000B447836A -:041600006765C3B5A2 -:04160100CBA7071359 -:041602000007578303 -:04160300051364095E -:04160400E7937104F3 -:041605001023002787 -:04160600173700F79B -:04160700202300029A -:04160800A0EF0EF74A -:0416090045C58DDF67 -:04160A00B0EF45599F -:04160B000513D96F7B -:04160C00A0EF7104D6 -:04160D0045898CDFA0 -:04160E00B0EF455D97 -:04160F000513D86F78 -:04161000A0EF7104D2 -:04161100C0EF8BDFBC -:0416120067E1E39F0A -:041613007B07879337 -:041614000237C503D1 -:04161500CD1FB0EF46 -:0416160012C00513E6 -:041617008A3FA0EF77 -:04161800C21FE06F9E -:041619000004222384 -:04161A00F7DFB0EF57 -:04161B0001C447833C -:04161C004645656179 -:04161D0000279713F8 -:04161E00879367DD6A -:04161F0097BA3CC773 -:041620000513438CDF -:0416210000EF76C59B -:0416220065D9245012 -:041623004645656172 -:0416240079C585936C -:04162500780505132C -:04162600233000EF7E -:04162700C0EF4505C6 -:04162800A0EFD75FF9 -:04162900D002881F44 -:04162A00B77DCE02B8 -:04162B0000B447833D -:04162C00C0EFD7C56F -:04162D00B74DDCDFFA -:04162E006DE347150C -:04162F00448984F76F -:04163000E06F4681A0 -:041631000113F1FFB1 -:04163200D6A6F7C180 -:04163300DA86C13E54 -:04163400D8A267E1F0 -:04163500DEBADCB687 -:041636006887A4839A -:041637000005DC636B -:0416380008B007935C -:04163900557DC09C7F -:04163A00544650D6EC -:04163B00011354B68D -:04163C00808208415F -:04163D00208007936F -:04163E0000F118237C -:04163F00CA2AC22AC7 -:041640004781842E2C -:041641008793C19931 -:04164200C63EFFF5AC -:0416430018B4CC3ECD -:04164400004C57FD02 -:0416450019238526BA -:04164600C03600F1B9 -:0416470057FD2C59C6 -:0416480000F55563F1 -:0416490008B007934B -:04164A00DC5DC09C07 -:04164B00802347921F -:04164C00BF5D000777 -:04164D00A783C5C5E5 -:04164E001141FFC582 -:04164F00C606C422E5 -:04165000FFC584133B -:041651000007D36358 -:04165200C02A943ED8 -:041653000BB000EFE9 -:04165400278367651C -:041655004502CDC7B6 -:04165600EB91863A54 -:041657000004222346 -:04165800CC872E23EA -:0416590040B2442235 -:04165A00006F0141DB -:04165B007F6309F0B0 -:04165C00401400F442 -:04165D0000D407337B -:04165E0000E79663A8 -:04165F0043DC43988D -:04166000C0189736E1 -:041661002E23C05C18 -:04166200BFE9CC868A -:0416630043DC873E9F -:041664007DE3C399C6 -:041665004314FEF438 -:0416660000D7063370 -:0416670000861F6377 -:0416680096B24010E6 -:041669000633C3146D -:04166A009DE300D725 -:04166B004390FAC7E7 -:04166C0096B243DC13 -:04166D00C35CC31483 -:04166E007563B77574 -:04166F0047B100C4BB -:04167000B74DC11C95 -:0416710006B340106C -:04167200966300C4B7 -:04167300439400D7C5 -:0416740096B243DC0B -:04167500C05CC01481 -:04167600B769C3404D -:04167700114180821B -:041678008493C2266F -:0416790098F10035AF -:04167A00C422C606BA -:04167B00473104A14E -:04167C00FC6387AADA -:04167D0044B104E48C -:04167E0004B4EB6362 -:04167F00C03E853EA6 -:04168000007000EF07 -:0416810026836765F0 -:041682004782CDC707 -:04168300CDC70613B6 -:04168400E431843693 -:041685000413646581 -:041686004018CE0436 -:04168700853EEB01B0 -:04168800C03E45819A -:0416890079C000EF35 -:04168A00C0084782CB -:04168B0085A6853E6D -:04168C0000EFC03E6D -:04168D00577D78E02D -:04168E001663478216 -:04168F00473106E5F4 -:04169000853EC39838 -:041691007C4000EFAA -:04169200D7E3A029D1 -:041693004731FA04DD -:041694004501C398B1 -:04169500442240B2F9 -:041696000141449238 -:0416970040188082F5 -:041698004F638F0508 -:0416990045AD020752 -:04169A0000E5F6630E -:04169B00943AC018A5 -:04169C00A029C004BD -:04169D0093634058BB -:04169E00C2180286E6 -:04169F0000EF853E95 -:0416A000051378A016 -:0416A100079300B4F7 -:0416A2009961004406 -:0416A30040F50733D4 -:0416A400FCF502E36C -:0416A5008F89943A5B -:0416A600BF6DC01C38 -:0416A700BFF9C2D8ED -:0416A800404086A296 -:0416A9000413B7BDB2 -:0416AA0098710035FE -:0416AB00FC8502E3D5 -:0416AC0040A405B39E -:0416AD00C03E853E78 -:0416AE00577D27211C -:0416AF0019E3478272 -:0416B000BFB5FAE5E3 -:0416B100C23211111F -:0416B200C826459071 -:0416B300CA22CC0675 -:0416B400E46384AEB9 -:0416B50082AA08C637 -:0416B60000C5D50393 -:0416B7004805771358 -:0416B80048D0CB3D0E -:0416B9004080470D19 -:0416BA0002C7073329 -:0416BB004609498C07 -:0416BC0040B407B37C -:0416BD004433C03EB4 -:0416BE00861302C7C6 -:0416BF00963E00163D -:0416C00000C473638C -:0416C10075138432E7 -:0416C200C6364005E3 -:0416C3008516C5358E -:0416C400C41685A221 -:0416C500ECBFF0EF97 -:0416C60046B242A244 -:0416C700CD254731B5 -:0416C800488C460202 -:0416C900C42AC63633 -:0416CA00B6BFA0EF18 -:0416CB0000C4D6037E -:0416CC0046B24322BD -:0416CD00B7F67613E3 -:0416CE000806661391 -:0416CF0000C496239A -:0416D000A823478282 -:0416D100C8C0006429 -:0416D2000733933E09 -:0416D300A02340F41C -:0416D40086360064F2 -:0416D500F363C4985F -:0416D600863600C68E -:0416D7004088459270 -:0416D800A0EFC0328D -:0416D9004498B47FFE -:0416DA00450146027E -:0416DB00C4988F110F -:0416DC00963A409862 -:0416DD00A80DC09004 -:0416DE0086228516C5 -:0416DF002571C41697 -:0416E00046B242A22A -:0416E100FD4D832A0E -:0416E2008516488C95 -:0416E300F0EFC0164E -:0416E4004282DA7FE5 -:0416E500A0234731C6 -:0416E600D70300E244 -:0416E700557D00C469 -:0416E8000407671379 -:0416E90000E4962360 -:0416EA00445240E244 -:0416EB00017144C283 -:0416EC00D70380821E -:0416ED00011300C520 -:0416EE00C122F7819D -:0416EF000793DEA6D9 -:0416F000C30601F13B -:0416F100C02EC22A1B -:0416F200080777135B -:0416F300F41384B2B6 -:0416F400CB1DFF8784 -:0416F500EB0D499818 -:0416F6000400059354 -:0416F700F0EFC63614 -:0416F8004782E01F26 -:0416F900C38846B2AA -:0416FA00E919CB8897 -:0416FB0047B147129A -:0416FC00C31C557D39 -:0416FD00440A409AC1 -:0416FE00011354F68A -:0416FF00808208815C -:041700000713478202 -:04170100CBD804003D -:0417020002000713C7 -:0417030000E40CA34F -:0417040003000713C4 -:0417050000042A238F -:0417060000E40D23CB -:041707008726CA3631 -:041708000250061372 -:04170900000746830C -:04170A009663C29987 -:04170B0006B30AC651 -:04170C00016340979E -:04170D004582029778 -:04170E0086264512D4 -:04170F00C636C83AD8 -:04171000E85FF0EFAF -:041711000A63567D94 -:0417120048501AC55C -:04171300474246B251 -:04171400C8509636ED -:041715000007468300 -:041716001A068163CB -:041717000017049320 -:041718002023577DB6 -:04171900262300047F -:04171A00C0580004AF -:04171B00000424237F -:04171C00040401A31D -:04171D0004042C2371 -:04171E000004C5837B -:04171F00461567E123 -:04172000A1C78513C5 -:04172100401423A5A8 -:041722000014871315 -:04172300F613E5399B -:04172400C6090106EB -:0417250002000613A5 -:0417260004C401A353 -:041727000086F6132F -:041728000613C609D5 -:0417290001A302B066 -:04172A00C58304C4AB -:04172B00061300049D -:04172C008F6302A025 -:04172D00445402C559 -:04172E004581872644 -:04172F0042A9452561 -:041730000007460365 -:041731000017031387 -:04173200FD06061397 -:0417330006C577630D -:04173400C454C9854B -:041735000705A035CF -:0417360067E1B7B1FF -:04173700A1C78613AD -:0417380046058D11C4 -:0417390000A61533BE -:04173A00C0148EC980 -:04173B00B76984BA4C -:04173C000593465279 -:04173D004210004610 -:04173E004963CA2E03 -:04173F00C45002068A -:041740000007460355 -:0417410002E0069329 -:0417420006D6116353 -:041743000017460342 -:0417440002A0069366 -:0417450002D61B634A -:04174600070946D277 -:0417470000468613BF -:04174800CA324294CB -:041749000206C16370 -:04174A00A081C05466 -:04174B0040C0063361 -:04174C000026E693FA -:04174D00C014C450B0 -:04174E0086B3B7E1C6 -:04174F00871A02569D -:0417500096B2458583 -:0417510056FDBFB5CD -:041752000705B7C50B -:041753000004222349 -:041754004681458104 -:0417550042A945253B -:04175600000746033F -:041757000017031361 -:04175800FD06061371 -:0417590006C57363EB -:04175A004583F1E1F1 -:04175B0064E100073E -:04175C008513460D9E -:04175D00C63AA244A2 -:04175E004732299550 -:04175F008493CD01A1 -:041760008D05A2440D -:0417610004000693E7 -:0417620000A696B394 -:04176300070540082E -:04176400C0088D55D7 -:0417650000074583B1 -:04176600461965615A -:04176700A28505133F -:0417680000170493CF -:0417690000B40C2399 -:04176A00C1352191D3 -:04176B000000071360 -:04176C004014E70D31 -:04176D00F693475256 -:04176E00CE91100602 -:04176F00CA3A07115A -:0417700047A24858EC -:04177100C858973E7F -:0417720086B3BD99E4 -:04177300871A025679 -:0417740096B245855F -:041775000721B75140 -:041776004602B7DD93 -:0417770066D94512D8 -:0417780086930858F4 -:0417790085A2AC4653 -:04177A0000000097D4 -:04177B00000000E783 -:04177C0047A2C42A92 -:04177D0095E3577D1C -:04177E004782FCE7BB -:04177F00D703557DBA -:04178000771300C714 -:0417810017E304075F -:041782004848DE07EE -:041783004602B3E582 -:0417840066D94512CB -:0417850086930858E7 -:0417860085A2AC4646 -:04178700BFC922159F -:0417880087AE110116 -:0417890085B6CA2631 -:04178A00479484BA42 -:04178B00CC224B9889 -:04178C00842ACE06D7 -:04178D0053638332ED -:04178E00873600D7C3 -:04178F0000E3202330 -:041790000437C683D1 -:041791000705C68101 -:0417920000E320232D -:0417930077134398ED -:04179400C711020770 -:041795000003270323 -:0417960020230709FC -:04179700439800E390 -:04179800019786131C -:04179900C3358B19B0 -:04179A00C683439827 -:04179B007713043785 -:04179C0036B3020757 -:04179D00E75100D040 -:04179E000437861373 -:04179F00C41A8522C1 -:0417A000C02EC23E57 -:0417A100577D94825A -:0417A20006E50763EE -:0417A3004322479204 -:0417A40043944582A3 -:0417A5000003260314 -:0417A6008A994311C8 -:0417A700470147C8E7 -:0417A80000669763DD -:0417A90040C50733FD -:0417AA00000753637E -:0417AB004794470117 -:0417AC0054634B90A7 -:0417AD008E9100D643 -:0417AE004301973626 -:0417AF0001A78613F5 -:0417B0000667106355 -:0417B100A80D450139 -:0417B20047D407050C -:0417B3000003250307 -:0417B4005BE38E89DC -:0417B5004685F8D796 -:0417B600C81A8522A6 -:0417B700C43AC63E2C -:0417B800C02EC2324B -:0417B90056FD9482C3 -:0417BA00461245820C -:0417BB0047B24722C8 -:0417BC001BE34342A6 -:0417BD00557DFCD585 -:0417BE00446240F24F -:0417BF00610544D2AA -:0417C000863380826A -:0417C100051300D735 -:0417C20001A303007C -:0417C300C60304A6AF -:0417C400871304572C -:0417C500973E001635 -:0417C60001A30689EC -:0417C700BFA904C7EB -:0417C80085224685AB -:0417C900C43AC61A3E -:0417CA00C02EC23239 -:0417CB0057FD9482B0 -:0417CC00FCF503E342 -:0417CD00472243323A -:0417CE0045824612F8 -:0417CF00B74903050E -:0417D000CC22110115 -:0417D100CE06CA2650 -:0417D200842EC02A77 -:0417D3000435851341 -:0417D4000185C58343 -:0417D500C23284B6E2 -:0417D60007800693EF -:0417D70000B6EC6309 -:0417D800062006934E -:0417D90000B6ED6306 -:0417DA001C058C63FB -:0417DB0005800693EC -:0417DC0012D58D6332 -:0417DD0004240313CA -:0417DE0004B401232B -:0417DF008693A80540 -:0417E000F693F9D5AE -:0417E10046550FF664 -:0417E200FED666E3E6 -:0417E300068A6661AB -:0417E400A5860613BD -:0417E500429496B2E2 -:0417E60043148682A0 -:0417E70004240313C0 -:0417E800004686131E -:0417E9004298C3104F -:0417EA0004E40123EF -:0417EB00AA7547058F -:0417EC00230340147F -:0417ED00F6130007E8 -:0417EE000593080651 -:0417EF00C20D0043E4 -:0417F0000003268349 -:0417F1006661C30C5E -:0417F2000006D863B2 -:0417F30002D0071306 -:0417F40040D006B328 -:0417F50004E401A364 -:0417F600A30606132D -:0417F700A0B9472925 -:0417F8000406F613DA -:0417F9000003268340 -:0417FA00DE71C30CCD -:0417FB0086C106C2DB -:0417FC004010BFD901 -:0417FD00729343148C -:0417FE008313080643 -:0417FF0086630046B7 -:04180000202300029F -:0418010042940067A6 -:041802007613A801B0 -:041803002023040694 -:04180400DA7500672A -:041805000006D68380 -:0418060007136661FD -:04180700061306F0CE -:041808008763A30649 -:0418090047290EE578 -:04180A00040401A32E -:04180B00C40C404C7D -:04180C000005C863A8 -:04180D0000042303AD -:04180E00FFB373139E -:04180F00006420232E -:04181000832AE299AC -:04181100832ACD99C0 -:0418120002E6F5B342 -:0418130095B2137DFA -:041814000005C58383 -:0418150000B30023F9 -:04181600D6B385B60A -:04181700F5E302E60D -:0418180046A1FEE502 -:0418190000D71E6373 -:04181A008B054018E2 -:04181B004054CB1159 -:04181C0047634818BE -:04181D00071300D7D6 -:04181E000FA3030011 -:04181F00137DFEE354 -:0418200040650533E7 -:041821004692C8081B -:0418220087264502CE -:0418230085A2081082 -:04182400F0EFC41A03 -:04182500577DD8FF14 -:041826001C634322DA -:04182700557D0CE5FA -:04182800446240F2E4 -:04182900610544D23F -:04182A0066618082F1 -:04182B0004B402A35C -:04182C00A3060613F6 -:04182D002303400C45 -:04182E00F29300072A -:04182F0026830805FF -:04183000031100039D -:0418310002028D63BF -:041832000067202308 -:041833000015F71392 -:04183400E593C70170 -:04183500C00C0205DC -:04183600F6B9474177 -:04183700F593400CD9 -:04183800C00CFDF5EE -:041839004014B7910F -:04183A000206E69329 -:04183B000693C0143C -:04183C00666107805A -:04183D0004D402A32A -:04183E00A4460613A3 -:04183F00F293BF65FC -:041840002023040558 -:0418410083E30067D6 -:0418420006C2FC02DC -:04184300BF7D82C122 -:04184400BF19472160 -:0418450043144010F8 -:041846007293484C05 -:0418470083130806F9 -:04184800876300466C -:041849002023000256 -:04184A004298006759 -:04184B00A809C30C19 -:04184C0000672023EE -:04184D000406761304 -:04184E00DA6D429875 -:04184F0000B71023AB -:041850000004282345 -:04185100B781832AAE -:041852004581431475 -:0418530000468613B2 -:04185400A303C31017 -:0418550040500006F9 -:04185600C41A851A11 -:0418570043222841BF -:041858000533C5018E -:04185900C0484065DE -:04185A00C818405812 -:04185B00040401A3DD -:04185C004814BF1954 -:04185D004502459269 -:04185E009482861AD0 -:04185F0000E3577DCE -:041860004018F2E555 -:04186100EB0D8B09F7 -:04186200444847426D -:04186300F0E55AE36F -:04186400B739853AD1 -:041865004502459261 -:04186600C63A4685B3 -:041867009482C43271 -:041868000EE356FD38 -:041869004732EED53F -:04186A000705462206 -:04186B0045C24454DA -:04186C0041E38E8D39 -:04186D00BFC9FED71A -:04186E000613470115 -:04186F00B7FD01942C -:04187000C22211512E -:04187100842AC026DF -:04187200852E64E576 -:04187300AC23C406D8 -:041874002A15CC0461 -:04187500166357FDA2 -:04187600A78300F54F -:04187700C391CD84C8 -:0418780040A2C01CAE -:04187900448244124F -:04187A008082013136 -:04187B000FF5F593DD -:04187C001463962A31 -:04187D00450100C55C -:04187E00478380829A -:04187F008DE30005F0 -:041880000505FEB7A5 -:041881008082B7FDAD -:04188200E5818082FA -:04188300F06F85B2CB -:041884001131FD0F12 -:04188500C622C806A9 -:04188600EA11C42679 -:04188700F18FF0EFFE -:0418880040C2478192 -:0418890044A24432FF -:04188A000151853E45 -:04188B00842E8082A5 -:04188C0084AAC03238 -:04188D004602203DB2 -:04188E0074E387A2D6 -:04188F0085B2FEC55B -:04189000C2328526B5 -:04189100F9AFF0EFCC -:04189200DD6187AAE3 -:0418930085A24612D2 -:04189400A0EFC02AD7 -:0418950085A2C40F55 -:04189600F0EF8526C4 -:041897004782EDAFE8 -:04189800A783B7C9A2 -:041899008513FFC5EF -:04189A00D563FFC74C -:04189B0095AA000703 -:04189C00953E419C98 -:04189D0047018082FD -:04189E0000E61463E9 -:04189F0080824501FD -:0418A00000E507B3A5 -:0418A10086B30705FE -:0418A200C78300E513 -:0418A300C6830007F1 -:0418A40083E3FFF6E5 -:0418A5008533FED7B2 -:0418A600808240D725 -:0418A700167DC60DD7 -:0418A80007B347013A -:0418A90086B300E51D -:0418AA00C78300E50B -:0418AB00C6830007E9 -:0418AC00946300063B -:0418AD00156300D7E8 -:0418AE00853300C7B7 -:0418AF00808240D71C -:0418B000F3E5070550 -:0418B1004501BFDD51 -:0418B20087AA8082FF -:0418B300C703CA0994 -:0418B40005850005A1 -:0418B5008FA3078571 -:0418B600167DFEE7B6 -:0418B700963EFB65F9 -:0418B80000C793636F -:0418B900078580829D -:0418BA00FE078FA3F3 -:0418BB0095AABFD556 -:0418BC00856387AA0F -:0418BD00C70300B7A6 -:0418BE00E701000737 -:0418BF0040A7853386 -:0418C0000785808296 -:0418C10063E5B7FD27 -:0418C200CE43A783E7 -:0418C300C2221151DB -:0418C400842AC406A8 -:0418C500CE438393F8 -:0418C6004501E79D54 -:0418C7004601458110 -:0418C800470146810D -:0418C9000D60029319 -:0418CA0000000073A7 -:0418CB001A6357FD48 -:0418CC00283500F5C6 -:0418CD00C11C47B142 -:0418CE0040A2557D62 -:0418CF00013144128D -:0418D000A02380824F -:0418D100A30300A3CA -:0418D2004581000349 -:0418D3000533460192 -:0418D40046810064E5 -:0418D50047814701FF -:0418D6000D6002930C -:0418D700000000739A -:0418D80018E3941A63 -:0418D900A023FC85C7 -:0418DA00851A00A3C8 -:0418DB0067E1B7F911 -:0418DC006887A50371 -:0418DD000000808205 -:0418DE000000000006 -:0418DF000000000005 -:0418E0000000000004 -:0418E1000000000003 -:0418E20000010520DC -:0418E30000010526D5 -:0418E4000001052CCE -:0418E50000010532C7 -:0418E600000104D425 -:0418E700000104F404 -:0418E800000104F403 -:0418E900000104F402 -:0418EA00000104F401 -:0418EB00000104F400 -:0418EC00000104F4FF -:0418ED00000104F8FA -:0418EE00000104FEF3 -:0418EF006C206F4EAC -:0418F000006B6E69B2 -:0418F1006D206425DD -:0418F200000000569C -:0418F300252E752504 -:0418F4002075322EFB -:0418F5000000737507 -:0418F600252575250A -:0418F70000000000ED -:0418F8006C207525C6 -:0418F90073656E693C -:0418FA0000000000EA -:0418FB0070207525BF -:0418FC006C65786936 -:0418FD000000007374 -:0418FE00000075254C -:0418FF0064206425D8 -:0419000000000042A1 -:04190100656E6F445C -:0419020000000000E1 -:041903006C69614664 -:0419040028206465CE -:04190500002964252C -:0419060000011AC8FA -:0419070000011AE2DF -:0419080000011AECD4 -:0419090000011B1EA0 -:04190A0000011AFAC4 -:04190B0064206425CB -:04190C00000067650B -:04190D00322E252E23 -:04190E000000007560 -:04190F006573753C4B -:0419100000003E6431 -:041911004452535594 -:0419120000415441FB -:04191300706D653C52 -:04191400003E7974A4 -:04191500203A7525DA -:041916000000732535 -:0419170074204B4F9E -:041918006E69206F65 -:0419190000007469ED -:04191A00736C614643 -:04191B00727420655D -:04191C00656767692B -:04191D000000007254 -:04191E00656D695436 -:04191F000074756F6C -:04192000252E7525D6 -:041921006D75322E80 -:04192200000000734E -:04192300252E7525D3 -:041924006D75322E7D -:0419250075252F7382 -:04192600322E252E0A -:0419270000736D7567 -:0419280025207325DE -:0419290063252D7590 -:04192A0000000000B9 -:04192B00252E7525CB -:04192C006B75322E77 -:04192D0025207A48AF -:04192E002E252E75BF -:04192F007A4875324B -:0419300000000000B3 -:0419310078303237A1 -:04193200003030341D -:04193300783034369E -:04193400003030341B -:04193500FFFFFFFFB2 -:04193600FFFFFFFFB1 -:041937000000FFFFAE -:04193800636E614336 -:04193900656C6C6508 -:04193A000000006445 -:04193B00462F44539C -:04193C006873616CFF -:04193D00727265203D -:04193E000000726FC4 -:04193F0053206F4E74 -:04194000616320447B -:041941006420647248 -:04194200002E74659A -:0419430061766E4912 -:041944002064696C46 -:0419450061726170FA -:04194600002E736D8F -:041947006F70784500 -:041948006E697472DE -:041949002E2E2E67A9 -:04194A000000000099 -:04194B00636375530A -:04194C00007373654C -:04194D006F707845FA -:04194E00203F747250 -:04194F002C593D31A1 -:041950004E3D3220B6 -:041951000000000092 -:0419520073616C460B -:04195300657620682D -:04195400206669722E -:041955006C696166F2 -:04195600000000008D -:0419570061766E49FE -:041958002064696C32 -:04195900207264682C -:04195A0000435243B1 -:04195B0061766E49FA -:04195C002064696C2E -:04195D0061746164EC -:04195E00435243208D +:04032300F0EF85BEB4 +:040324000513871F17 +:040325004422040466 +:0403260085A640B2B6 +:0403270046054492B1 +:04032800F06F014130 +:04032900114185DF1A +:04032A001437C4229E +:04032B00C2260002E4 +:04032C0084AEC02AB1 +:04032D0005934601ED +:04032E00051302C0F1 +:04032F00C6060404F6 +:04033000805FF0EF0B +:0403310046014782B8 +:0403320004040513A7 +:04033300F0EF85BEA4 +:040334000513831F0B +:040335004422040456 +:0403360085A640B2A6 +:0403370046054492A1 +:04033800F06F014120 +:04033900115181DFFE +:04033A001437C22290 +:04033B00C0260002D6 +:04033C0084AA460148 +:04033D0005C005935F +:04033E00040405139B +:04033F00F0EFC40611 +:04034000F593FC6FC6 +:0403410046050FF46A +:040342000404051397 +:04034300FF2FF0EFA9 +:0403440005C0059358 +:040345000404051394 +:04034600F0EF460589 +:040347000513FAAFF1 +:040348004412040453 +:04034900448240A208 +:04034A0001314585B3 +:04034B00FB8FF06FC5 +:04034C00C422114175 +:04034D00000214375F +:04034E00C02AC226D9 +:04034F00460184AE31 +:0403500005C005934C +:040351000404051388 +:04035200F0EFC606FC +:040353004782F7AF37 +:040354000513460146 +:04035500F593040414 +:04035600F0EF0FF7BE +:040357000513FA4F41 +:040358004422040433 +:0403590085A640B283 +:04035A00460544927E +:04035B00F06F0141FD +:04035C001151F90F33 +:04035D00C222C406EE +:04035E00006547836C +:04035F00456D842A3A +:04036000004795932A +:04036100F5938DDDA6 +:04036200375D0FF5FF +:04036300006445836A +:040364003F79457127 +:040365000034458398 +:040366003F5945298D +:040367000044458386 +:040368003779452577 +:040369000054458374 +:04036A003759452199 +:04036B0000044583C2 +:04036C003FBD453517 +:04036D0000144583B0 +:04036E003F9D453139 +:04036F00002445839E +:0403700040A2441251 +:040371000131452DE4 +:040372001141B7A5D9 +:04037300842AC422F2 +:04037400C226451147 +:0403750084AEC03260 +:040376003731C6064F +:040377007593460232 +:04037800C65D0F85CA +:040379007FF0079377 +:04037A000A87E463A7 +:04037B00E5930406FC +:04037C004511001512 +:04037D003F2D0442CA +:04037E0055938041D2 +:04037F00F5930044AE +:0403800045050FF52B +:040381001593373564 +:04038200F5930044AB +:0403830045090F0514 +:0403840007B737057B +:040385008793019CBD +:04038600D7B3CC0716 +:04038700573702974B +:040388000713022530 +:0403890045810FF7A4 +:04038A00028787B3AC +:04038B0002F77063A2 +:04038C00042C2737DF +:04038D00D7F7071384 +:04038E0079634585C5 +:04038F00F5B700F7C7 +:040390008593080B3E +:04039100B5B3FBF510 +:04039200058900F5E4 +:04039300879367E104 +:0403940097AEB84721 +:040395000007C50395 +:040396000280079347 +:040397000533471DC6 +:04039800579302F580 +:04039900953E001479 +:04039A000285443361 +:04039B000FF47413D4 +:04039C000FF4779350 +:04039D0000877363FF +:04039E004422479D11 +:04039F00449240B292 +:0403A000059A078E25 +:0403A100F5938DDD66 +:0403A200450D0F8571 +:0403A300B54D014112 +:0403A4003D79451149 +:0403A5005583B79D28 +:0403A600115100A54C +:0403A70081A1C2224C +:0403A8000513842A8B +:0403A900C40604B0D2 +:0403AA0045833561F1 +:0403AB00051300A492 +:0403AC003DBD04A0AF +:0403AD0000C45583B0 +:0403AE0004D005135F +:0403AF003D8D81A15E +:0403B00000C44583BD +:0403B10004C005136C +:0403B200558335A595 +:0403B300051300E44A +:0403B40081A104F02F +:0403B50045833DB18E +:0403B600051300E447 +:0403B7003D8904E098 +:0403B8000044558325 +:0403B9000510051313 +:0403BA00359981A14F +:0403BB000044458332 +:0403BC000500051320 +:0403BD0055833D35F2 +:0403BE0005130064BF +:0403BF0081A10530E3 +:0403C00045833D052F +:0403C10005130064BC +:0403C200351D0520C0 +:0403C30000845583DA +:0403C40005500513C8 +:0403C5003D2981A1AC +:0403C60000844583E7 +:0403C70005400513D5 +:0403C80055833D011B +:0403C9000513010413 +:0403CA0081A1057098 +:0403CB004583351120 +:0403CC000513010410 +:0403CD003BED05609F +:0403CE00012455832E +:0403CF00059005137D +:0403D00033FD81A1D7 +:0403D100012445833B +:0403D200058005138A +:0403D300558333D546 +:0403D40005130144C8 +:0403D50081A105B04D +:0403D60045833BE13F +:0403D7004412014487 +:0403D800051340A227 +:0403D900013105A049 +:0403DA001151B3E129 +:0403DB00842AC2228C +:0403DC0003F0051312 +:0403DD003B85C40692 +:0403DE000F057593FF +:0403DF0044128DC176 +:0403E000051340A21F +:0403E100013103F0F3 +:0403E2001151B3659D +:0403E300842AC22284 +:0403E400C40645699D +:0403E50075133B89C8 +:0403E600041A03F5FD +:0403E70075938C4935 +:0403E80044120FF4B8 +:0403E900456940A280 +:0403EA00B3590131D1 +:0403EB00C2221151C8 +:0403EC00842EC02675 +:0403ED00843384AA27 +:0403EE00451102842F +:0403EF003325C406E8 +:0403F0007593891D5B +:0403F100058E01F480 +:0403F20045118DC95B +:0403F30040A233955C +:0403F4004054551309 +:0403F50044824412E8 +:0403F6000FF5751377 +:0403F70080820131CE +:0403F800C2221151BB +:0403F90000021437B3 +:0403FA000593460120 +:0403FB00051302C024 +:0403FC00C40604042B +:0403FD00CD0FF0EF41 +:0403FE0045854605E6 +:0403FF0004040513DA +:04040000CFEFF0EF5B +:0404010002C005939D +:0404020004040513D6 +:04040300F0EF4605CB +:040404000513CB6FA2 +:040405004412040495 +:04040600458540A246 +:04040700F06F013160 +:040408001151CC6F53 +:04040900C222C40641 +:04040A003F5D842AA4 +:04040B00FE75759372 +:04040C008C4D040E01 +:04040D000FF4741361 +:04040E00450585A279 +:04040F0085A231ADE4 +:0404100031954509D4 +:04041100441285A26A +:04041200450D40A2B2 +:04041300B9A1013159 +:04041400C02611519C +:04041500C40667E5CD +:04041600C703C22234 +:0404170084AAD917C3 +:0404180067E1E1496E +:040419007707879347 +:04041A00043743D48C +:04041B000413019C29 +:04041C005433CC0485 +:04041D00878302D4FB +:04041E0046F100A7FC +:04041F0002D786B3C7 +:04042000879367E572 +:0404210097B68CC737 +:0404220000E7D78395 +:0404230002F40433A8 +:040424001D63478984 +:04042500C7B700F75E +:04042600879301C9EE +:04042700E36337F75D +:0404280044BD008748 +:0404290035D185261E +:04042A00A03D450D9F +:04042B0067E53D7DC7 +:04042C00D937C703F2 +:04042D00FF870793AB +:04042E000F77F793BA +:04042F004791C79991 +:040430001B63450104 +:04043100450500F786 +:04043200B537A80131 +:04043300051304C4E5 +:0404340035333FF528 +:040435000509008530 +:0404360040A244128A +:0404370001314482C9 +:040438004409B78933 +:0404390000871763BE +:04043A008EE3453DCB +:04043B004501FAE499 +:04043C004501BF5D5A +:04043D00450D3D9D8F +:04043E00FE9470E3D5 +:04043F008D054515CD +:040440000FF575132C +:040441001793BFD17D +:04044200655D0025CF +:0404430050850513C8 +:04044400410C953E94 +:040445004645656162 +:040446007F450513D6 +:040447002820506FAA +:04044800053345F142 +:0404490065E502B5AE +:04044A008CC5859345 +:04044B0095AA4645E3 +:04044C0005136561CE +:04044D00506F7F4528 +:04044E0047A9268014 +:04044F0000F50E6343 +:04045000050A67E151 +:04045100CDC78793F9 +:04045200410C953E86 +:040453004645656154 +:040454007F450513C8 +:0404550024A0506F20 +:04045600859365D94C +:04045700B7FD49059F +:04045800112167E522 +:04045900D787A68318 +:04045A00C82267E568 +:04045B008793C62697 +:04045C00CA068847FD +:04045D000377C70357 +:04045E000387C60347 +:04045F003E800413C4 +:04046000D4334785C5 +:04046100648D02861E +:0404620000F7156327 +:040463008493648991 +:040464004585800446 +:04046500C432453D1B +:04046600C036C23AA0 +:04046700A49FF0EF6F +:0404680005134581B2 +:04046900F0EF03307D +:04046A00D593A3FF84 +:04046B0005130084F1 +:04046C00F0EF03406A +:04046D004581A33FE3 +:04046E00035005131F +:04046F00A29FF0EF69 +:040470000FF475937D +:04047100030005136C +:04047200A1DFF0EF27 +:040473000084559319 +:040474000FF5F593F8 +:040475000310051358 +:04047600A0DFF0EF24 +:040477000104559394 +:040478000FF5F593F4 +:040479000320051344 +:04047A009FDFF0EF21 +:04047B00453D458135 +:04047C009F5FF0EF9F +:04047D00051345819D +:04047E00F0EF0C503F +:04047F0046829EBF54 +:04048000471264E1DA +:0404810076048793E3 +:040482004785C7944F +:0404830084934622F6 +:0404840044097604AD +:0404850000F7036316 +:04048600468544293A +:04048700008483A3C7 +:0404880000D48223F7 +:04048900036347BD05 +:04048A00478100D6D0 +:04048B0000F482A354 +:04048C000E00051346 +:04048D000C000593C7 +:04048E00F0EFC03A91 +:04048F0047029ABFC7 +:040490000513478584 +:040491001D63059052 +:04049200F0EF10F780 +:040493007593959F29 +:04049400E5930FC518 +:040495000513001536 +:04049600F0EF0590EE +:04049700451198BFB4 +:04049800943FF0EFAE +:040499000EB5759394 +:04049A00F0EF451129 +:04049B00C58397BFBF +:04049C000513004400 +:04049D00F0EF0E105E +:04049E00059396FF2D +:04049F0005130E40F3 +:0404A000F0EF0E204B +:0404A100C583963F3A +:0404A20005130054EA +:0404A30089BD0E30D1 +:0404A400955FF0EF81 +:0404A500051345A155 +:0404A600F0EF0E4025 +:0404A700458594BF34 +:0404A800F0EF453DEF +:0404A90045A1943F96 +:0404AA00091005131D +:0404AB00939FF0EF3C +:0404AC00051345816E +:0404AD00F0EF092043 +:0404AE00458592FFEF +:0404AF0009300513F8 +:0404B000925FF0EF78 +:0404B1000513458169 +:0404B200F0EF09401E +:0404B30085A291BFCE +:0404B40009800513A3 +:0404B500911FF0EFB4 +:0404B6000FF4459367 +:0404B700E593059232 +:0404B800F59300B503 +:0404B90005130FB563 +:0404BA00F0EF0990C6 +:0404BB0045818FBF29 +:0404BC00F0EF453DDB +:0404BD0005938F3FD5 +:0404BE0005130C1006 +:0404BF00F0EF0E004C +:0404C00007938E7F91 +:0404C1008323FC1085 +:0404C200458500F478 +:0404C300F0EF453DD4 +:0404C40045858D7F5E +:0404C5000680051395 +:0404C6008CDFF0EFE8 +:0404C7000513458153 +:0404C800F0EF0690BB +:0404C90045818C3F9E +:0404CA0006B0051360 +:0404CB008B9FF0EF24 +:0404CC00051345814E +:0404CD00F0EF06C086 +:0404CE0005938AFF09 +:0404CF00051307000A +:0404D000F0EF06D073 +:0404D10045818A3F98 +:0404D200F0EF453DC5 +:0404D300444289BF57 +:0404D40044B240D21C +:0404D5000513458D39 +:0404D60001610CE0D4 +:0404D700889FF06F9B +:0404D800843FF0EF7E +:0404D9000FC5759343 +:0404DA000113B5FD58 +:0404DB00D006FDC189 +:0404DC00CC26CE223A +:0404DD0087AA47099A +:0404DE0010E59C6326 +:0404DF0005000713FA +:0404E00000E105A38F +:0404E10000B147031C +:0404E2000076141379 +:0404E30004800593F9 +:0404E4000084641319 +:0404E5000036F49356 +:0404E6000027671371 +:0404E70000B1062337 +:0404E8000FF4741386 +:0404E900453D4585C3 +:0404EA0000F10723F3 +:0404EB0005A3C03E67 +:0404EC00C23A00E12F +:0404ED00008106A3E1 +:0404EE00009107A3CF +:0404EF00CA02C80273 +:0404F000825FF0EF48 +:0404F1000513471296 +:0404F20085BA058042 +:0404F300819FF0EF06 +:0404F40004800593E8 +:0404F5000590051356 +:0404F60080DFF0EFC4 +:0404F700051385A2C2 +:0404F800F0EF05A07C +:0404F9004782803F77 +:0404FA0005B0051331 +:0404FB00F0EF85BEDB +:0404FC0085A6FF6F63 +:0404FD0005C005131E +:0404FE00FECFF0EF4E +:0404FF00051345811B +:04050000F0EF05E033 +:040501004581FE2F03 +:0405020005F00513E8 +:04050300FD8FF0EF89 +:040504000513458115 +:04050500F0EF06000D +:040506004581FCEF40 +:0405070006100513C2 +:04050800FC4FF0EFC5 +:040509000513458110 +:04050A00F0EF0620E8 +:04050B004581FBAF7C +:04050C00063005139D +:04050D00FB0FF0EF01 +:04050E00051345810B +:04050F00F0EF0640C3 +:040510004581FA6FB8 +:040511000650051378 +:04051200F9CFF0EF3E +:040513000713003C8E +:0405140045810151CB +:040515000037C68362 +:040516008D95078533 +:040517000FF5F59354 +:04051800FEF71AE3ED +:0405190006F58593CB +:04051A000FF5F59351 +:04051B0005D00513EF +:04051C00F74FF0EFB6 +:04051D00453D458192 +:04051E00F6CFF0EF35 +:04051F005082447250 +:04052000458D44E2DF +:040521000CD00513E2 +:04052200024101137E +:04052300F58FF06FF1 +:04052400B5FD474199 +:04052500CA221111C4 +:040526004505842AD9 +:04052700C826CC0610 +:04052800F98FF0EF68 +:04052900F0EF451199 +:04052A006593EFCF17 +:04052B00F5930085BF +:04052C0045110FF571 +:04052D00F30FF0EFE9 +:04052E00030005932E +:04052F00061005139A +:04053000F24FF0EFA7 +:0405310005134581E8 +:04053200F0EF0CD00A +:0405330067E1F1AFDC +:0405340077078713AB +:0405350001074483F3 +:040536007707879329 +:04053700C03E470972 +:04053800009034B348 +:04053900C23A4785F6 +:04053A0003630485CE +:04053B00C20200F404 +:04053C00451145F52B +:04053D00EF0FF0EFDD +:04053E00079366E1D8 +:04053F008613FFE43C +:0405400037B3760651 +:04054100971300F01C +:0405420047830017D4 +:040543009BF500C65E +:0405440087138FD9B1 +:04054500062376060D +:04054600C43A00F6BD +:04054700C7818B8954 +:04054800F0EF450586 +:0405490047A2F16F65 +:04054A00070005138E +:04054B000037C7832B +:04054C00F0EFC63EC8 +:04054D0047B2E70FBB +:04054E00003575936C +:04054F000087F71317 +:04055000E593C31953 +:04055100F713020595 +:04055200C3190017B2 +:040553000105E59326 +:040554000027F71372 +:04055500E593C3194E +:040556008B91008500 +:04055700E593C399CC +:040558000513004542 +:04055900F0EF0700B8 +:04055A004792E7EFEE +:04055B00C3B545815E +:04055C00879367E139 +:04055D00C58371C71A +:04055E00051300077A +:04055F00F0EF073082 +:0405600067E1E66FFA +:0405610071C78713C4 +:0405620000174583B6 +:040563000740051335 +:04056400E54FF0EF80 +:04056500879367E130 +:04056600C58371C711 +:040567000513002751 +:04056800F0EF075059 +:040569006761E42FB3 +:04056A0007134781AB +:04056B0006B3D0877C +:04056C00C58300F74C +:04056D0085130006EC +:04056E00C23E07671B +:04056F00E28FF0EF38 +:0405700067614792E6 +:04057100078546C9EB +:04057200D087071314 +:04057300FED791E33B +:04057400051345899D +:04057500C22E07206B +:04057600DCAFF0EF17 +:0405770089714592AF +:0405780005138DC911 +:04057900F0EF072078 +:04057A0047A2DFEFC6 +:04057B00C783458568 +:04057C008B8900C7A0 +:04057D004581E39140 +:04057E000C00051355 +:04057F00DE8FF0EF2C +:04058000451145D507 +:04058100DE0FF0EFAA +:04058200051345C157 +:04058300F0EF06107F +:040584004789DD6F57 +:040585000EF49E636F +:040586000880059351 +:040587000620051332 +:04058800DC4FF0EF65 +:04058900051345C150 +:04058A00F0EF063058 +:04058B000593DBAF4A +:04058C00051308400B +:04058D00F0EF064045 +:04058E000513DAEF88 +:04058F00E0EF3E80DB +:040590004511AC1F46 +:04059100D5EFF0EFC3 +:0405920001D5759387 +:04059300F0EF45112F +:040594006561D96F55 +:040595006A050513DB +:04059600AA7FE0EF69 +:04059700F0EF45112B +:040598007593D44F34 +:0405990045110155B2 +:04059A00D7CFF0EFD8 +:04059B00064004937F +:04059C00F0EF4539FE +:04059D008941D30FAE +:04059E0014FDE90956 +:04059F00051304C27A +:0405A00080C13E8058 +:0405A100A7BFE0EF21 +:0405A2004581F4E5B6 +:0405A300F0EF4531FF +:0405A4000593D56F77 +:0405A50045350400D4 +:0405A600D4CFF0EFCF +:0405A7004539458508 +:0405A800D44FF0EF4D +:0405A900453945810A +:0405AA00D3CFF0EFCC +:0405AB00453D458104 +:0405AC00D34FF0EF4A +:0405AD00051345816C +:0405AE00F0EF061054 +:0405AF004789D2AFF7 +:0405B00002F40563E9 +:0405B10064E5478234 +:0405B2008844849362 +:0405B30000F7C68304 +:0405B4000117C50363 +:0405B5000244C60333 +:0405B600008035B3D9 +:0405B7003171058613 +:0405B8000244C783AF +:0405B90001234702D1 +:0405BA00F0EF04F763 +:0405BB004581D36F34 +:0405BC000CE0051337 +:0405BD00CF0FF0EF7D +:0405BE000463478902 +:0405BF00F0EF00F465 +:0405C0004452A63FBC +:0405C10044C240E20E +:0405C200017145017D +:0405C300D2CFF06F34 +:0405C400051345E1F5 +:0405C500F0EF06202D +:0405C60045C1CCEF70 +:0405C70006300513E2 +:0405C800CC4FF0EF35 +:0405C900B73145B150 +:0405CA0001A3114137 +:0405CB00153700A13F +:0405CC00C422000243 +:0405CD00842E46052D +:0405CE000405051308 +:0405CF00003105935F +:0405D000E0EFC6068C +:0405D1008522E07F20 +:0405D2009B7FE0EF3C +:0405D300442240B2CC +:0405D40080820141DF +:0405D50005131151A8 +:0405D600C406022035 +:0405D700C026C22256 +:0405D800D86FF0EFF9 +:0405D9000FF5741393 +:0405DA00455945CD6D +:0405DB00DC4FF0EF12 +:0405DC008513648996 +:0405DD00E0EF7104D6 +:0405DE00458D989F10 +:0405DF00F0EF455D97 +:0405E0008513DB2F75 +:0405E100E0EF7104D2 +:0405E2006593979FE7 +:0405E30005130804F0 +:0405E400F0EF022012 +:0405E5000513D9EF32 +:0405E600E0EF3E8084 +:0405E7007593965F13 +:0405E800441207F4BE +:0405E900448240A266 +:0405EA0002200513D3 +:0405EB00F06F01317B +:0405EC004789D82F34 +:0405ED000CA7EF6305 +:0405EE00C2221151C3 +:0405EF00C406C02658 +:0405F000000284B7CA +:0405F100842A409C7C +:0405F2008BBD83ED4D +:0405F3000AA78E6362 +:0405F40007B347D131 +:0405F50065DD02F5C9 +:0405F6005145859353 +:0405F70085134651D1 +:0405F800883D0044F6 +:0405F90095BE040A9D +:0405FA00DDFFE0EF52 +:0405FB00F793409C96 +:0405FC008C5DFC37DF +:0405FD006461C080F5 +:0405FE0077040793E4 +:0405FF0001C7C783E6 +:040600007704041364 +:040601006765C395D1 +:04060200D8E707131B +:040603000007578312 +:040604009BF54529F4 +:0406050083C107C2E4 +:0406060000F71023C6 +:04060700000217379F +:040608000EF72023A6 +:040609008DBFE0EFD2 +:04060A00000217B71C +:04060B000D07A783AD +:04060C0000080737A4 +:04060D00EB8D8FF9E9 +:04060E00000287B7A8 +:04060F005963439850 +:040610004398000704 +:040611006713450521 +:04061200C398001772 +:040613008B3FE0EF4A +:04061400000287B7A2 +:040615004529439898 +:04061600C3989B7971 +:04061700671343988A +:04061800C39800275C +:0406190089BFE0EFC6 +:04061A0001C447834D +:04061B006765CF91AF +:04061C00D8E7071301 +:04061D0000075783F8 +:04061E000027E79337 +:04061F0000F71023AD +:040620000002173786 +:040621000EF720238D +:04062200441240A29C +:0406230001314482DB +:0406240080828082CE +:04062500656565DDC5 +:040626000613115155 +:040627008593046053 +:040628000513600551 +:04062900C406884536 +:04062A00D1FFE0EF2D +:04062B00656565DDBF +:04062C0047C00613AA +:04062D0064858593C8 +:04062E008CC505135F +:04062F00D0BFE0EF69 +:0406300067E540A298 +:0406310083234705D3 +:040632004501DAE7BD +:04063300808201318F +:040634004670069373 +:0406350002D50533B2 +:0406360006400693E1 +:0406370006136659E7 +:0406380045C54986E5 +:0406390002D546B3ED +:04063A0005136561DE +:04063B00406F7F4548 +:04063C0046B70AC0F3 +:04063D008693000F91 +:04063E000533240656 +:04063F00678902D5F0 +:0406400096478793BF +:040641003E800713DD +:04064200006336B764 +:04064300EA068693AA +:0406440006136659DA +:0406450045C54A0657 +:0406460002F557B3AF +:0406470002E7F7B31C +:0406480056B3472935 +:04064900656102D510 +:04064A007F450513D0 +:04064B0002E7D733B8 +:04064C0006A0406F55 +:04064D0027100693D9 +:04064E000533050566 +:04064F00069302D537 +:0406500066590640A1 +:040651004AC606137C +:0406520046B345C5A1 +:04065300656102D506 +:040654007F450513C6 +:040655000460406F8E +:0406560027100693D0 +:0406570002D5053390 +:0406580006400693BF +:0406590006136659C5 +:04065A0045C54AC682 +:04065B0002D546B3CB +:04065C0005136561BC +:04065D00406F7F4526 +:04065E00BFF902409E +:04065F00665986AAA8 +:0406600006136561B7 +:0406610045C54B46FA +:040662007F450513B8 +:0406630000E0406F04 +:04066400665986AAA3 +:0406650006136561B2 +:0406660045C54C0634 +:040667007F450513B3 +:040668007FB0306FC0 +:04066900665986AA9E +:04066A0006136561AD +:04066B0045C54CC66F +:04066C007F450513AE +:04066D007E70306FFC +:04066E00F8050693F2 +:04066F00665906E2E0 +:0406700086E1656159 +:040671007B460613AB +:04067200051345C562 +:04067300306F7F4520 +:0406740016937CD08D +:0406750086E1018594 +:0406760065616659FB +:04067700061316D17F +:0406780045C54D0621 +:040679007F450513A1 +:04067A007B30306F32 +:04067B0096B3468567 +:04067C00665900A615 +:04067D00061365619A +:04067E0045C54B46DD +:04067F007F4505139B +:0406800079B0306FAE +:0406810046850505A0 +:0406820000A696B385 +:0406830065616659EE +:040684004C06061307 +:04068500051345C54F +:04068600306F7F450D +:04068700478378101D +:0406880047110045D1 +:04068900696386B269 +:04068A00675906F7AF +:04068B000713078AC0 +:04068C0097BA4EC704 +:04068D008782439C81 +:04068E004645451C7C +:04068F000007C70396 +:04069000070A491CF0 +:04069100438C97BA45 +:040692000513656186 +:04069300406F7F45F0 +:0406940045181510E0 +:040695004503491CB4 +:040696008782000750 +:040697004508491CAD +:0406980067E187820D +:040699007E078A232B +:04069A00C195808204 +:04069B0065D9E61126 +:04069C0085934645B7 +:04069D00BFC94D85FF +:04069E00020650639D +:04069F0065616659D2 +:0406A0004E060613E9 +:0406A100051345C533 +:0406A200306F7F45F1 +:0406A300455C711031 +:0406A4004398DBE9B3 +:0406A500B7C1479CF6 +:0406A60067E5808202 +:0406A700D727C78307 +:0406A80067E5C3E15E +:0406A900D747C70365 +:0406AA001B63478502 +:0406AB0067610AF782 +:0406AC00071311110E +:0406AD00CA225B877B +:0406AE00CC06C82688 +:0406AF00448144013D +:0406B000C23A478182 +:0406B100470367652F +:0406B2004692D7375E +:0406B3009736070E61 +:0406B40047034310A5 +:0406B500CD6300060B +:0406B60047B700E75B +:0406B700A223000278 +:0406B800A4233C97A4 +:0406B90040E23C8758 +:0406BA0044C24452A0 +:0406BB0080820171C7 +:0406BC0087334751E8 +:0406BD00425002E7BE +:0406BE0000579693B8 +:0406BF0047B7C63E35 +:0406C000853300027C +:0406C100C03600F649 +:0406C200430C97321C +:0406C300C43A4641AE +:0406C40008F040EF0B +:0406C50047B24722CF +:0406C600460345851D +:0406C70092B30047A3 +:0406C800C61600F55D +:0406C9007613167519 +:0406CA00E4B30FF690 +:0406CB00F76300547D +:0406CC00853A02C5A4 +:0406CD00458146011C +:0406CE0035D5C43E1C +:0406CF00000247B727 +:0406D0000107851386 +:0406D10065E1478216 +:0406D200953E4641CA +:0406D3007F45859347 +:0406D40004F040EFFF +:0406D50047A242B244 +:0406D6000054643335 +:0406D700B79D07853F +:0406D8000113808208 +:0406D9006765FD81D3 +:0406DA005403D222D1 +:0406DB006765D9C7AF +:0406DC00D967470390 +:0406DD0062E5D026DC +:0406DE006765C03A52 +:0406DF00D94757039D +:0406E000666564E502 +:0406E1006765C23A4D +:0406E200DA47570399 +:0406E300D984D6835D +:0406E400D9E65303FD +:0406E5006765C43A47 +:0406E600DA274703C5 +:0406E700849385AAC9 +:0406E800C63AD984B1 +:0406E90057036765E7 +:0406EA00D503DA0753 +:0406EB000613D9A277 +:0406EC00C83AD9E649 +:0406ED000713676127 +:0406EE0043837707C4 +:0406EF00829300B73B +:0406F00067E5D9A23F +:0406F100CA26CC1633 +:0406F200CE3262E5BD +:0406F300DA77C78368 +:0406F4008CC282939F +:0406F5000803816312 +:0406F60000A7060350 +:0406F70006F61D6383 +:0406F800073347710C +:0406F900971602E668 +:0406FA0000E753833F +:0406FB0006D391632E +:0406FC00010723834C +:0406FD000FF57493EE +:0406FE0001F3F3937E +:0406FF000493996364 +:0407000001474383E7 +:040701000483956375 +:040702000127438305 +:040703009063448239 +:0407040023830493B4 +:040705004492008793 +:040706000153D39335 +:04070700029399635D +:0407080001574383CF +:04070900946344A20F +:04070A004383029390 +:04070B0044B20137BC +:04070C0000939F6354 +:04070D0000C75703C7 +:04070E001A6344C264 +:04070F004771009797 +:0407100002E60633C4 +:0407110047039616EE +:040712000663016613 +:0407130067650067AF +:040714000323460570 +:040715004771DAC787 +:0407160002E787B3BC +:0407170001F577135E +:04071800D78392BE33 +:04071900972301021F +:04071A008A2300D25C +:04071B009B8100823C +:04071C0000E7E533DA +:04071D0000A298237B +:04071E008B23478260 +:04071F0065610062AE +:0407200000F2892337 +:040721009613479252 +:04072200D783005722 +:040723008BFD00A2A8 +:0407240095238FD1B9 +:0407250047A200F2F5 +:0407260000F28AA3B0 +:0407270089A347B2A9 +:0407280047C200F2D2 +:0407290000F2962321 +:04072A00966347F299 +:04072B00069302F53A +:04072C0003334650FD +:04072D00069302D35A +:04072E0066590640C2 +:04072F005006061357 +:0407300002D346B3F7 +:040731005482541288 +:04073200051345C5A1 +:0407330001137F45EA +:04073400306F02819F +:0407350047D24C90CB +:0407360000F59663D1 +:0407370006136659E6 +:04073800B7CD4CC627 +:04073900996347E297 +:04073A00469500F5EB +:04073B0006B3665942 +:04073C00061302D7C7 +:04073D00B7F9508632 +:04073E000005D68359 +:04073F0067E5B7C5EE +:04074000D88787933C +:04074100EF194398D1 +:040742000713671D15 +:04074300053397875C +:04074400115102E568 +:04074500C388C4069B +:04074600C0AFE0EF71 +:04074700450140A286 +:040748008082013179 +:040749008082450560 +:04074A00C40611517F +:04074B00C1AFE0EF6B +:04074C00A78367E533 +:04074D0040A2D88767 +:04074E0000F535334A +:04074F008082013172 +:040750006363473D5B +:0407510057B724A7CB +:0407520001134452F9 +:040753008793EDC1DA +:04075400CC3E35570B +:04075500004157B751 +:04075600441787932A +:040757003793CE3EC8 +:040758002E2300F557 +:0407590020231081C8 +:04075A002C23121129 +:04075B0001231091D5 +:04075C00842A02F1F8 +:04075D000CE51F6325 +:04075E008793679581 +:04075F0065E15007F9 +:0407600002F110236F +:04076100079346298B +:04076200859304A0D7 +:0407630005137D45B8 +:0407640001A302519A +:04076500022302F178 +:04076600E0EF0201BD +:0407670067E582DFE1 +:04076800D907C78363 +:04076900061365E529 +:04076A0008230360FD +:04076B0067E502F14B +:04076C00D687C783E2 +:04076D00808585936B +:04076E0003910513DB +:04076F0002F108A3E8 +:04077000C78367E5EF +:040771000820D7D7AE +:0407720006F10493F5 +:0407730002F107A3E5 +:04077400C78367E5EB +:040775000923D70776 +:0407760067E502F140 +:04077700D5F7C78368 +:0407780002F109A3DE +:04077900C78367E5E6 +:04077A000A23D5D7A2 +:04077B0067E102F13F +:04077C007187C78337 +:04077D0002F10AA3D8 +:04077E00C78367E5E1 +:04077F000B23D5E78C +:0407800067E102F13A +:040781007287C78331 +:0407820002F10BA3D2 +:04078300C78367E1E0 +:040784000C23729739 +:04078500E0EF02F1AE +:040786004503FB0F1D +:040787000405000461 +:04078800C97FE0EF56 +:04078900FEA40FA318 +:04078A00FE9419E3DD +:04078B00A50367E576 +:04078C000693D6C733 +:04078D0008300570BB +:04078E00001F05B78C +:04078F00CAEFE0EFDE +:0407900012012083AF +:0407910011C124036B +:04079200118124832A +:0407930012410113FB +:04079400679980825F +:0407950080078793BF +:0407960002F1102339 +:04079700047C07B720 +:0407980004678793D8 +:04079900D83E64E5FD +:04079A008744C78346 +:04079B006659EB8927 +:04079C0051060613E9 +:04079D00851345B5C6 +:04079E0030EF87446D +:04079F0085933210FC +:0407A000463587440F +:0407A1000231051309 +:0407A200516040EF73 +:0407A300061365E5EF +:0407A40085930460D5 +:0407A5001848884523 +:0407A600F2EFE0EF9F +:0407A700061364E5EC +:0407A800859309E04C +:0407A90005138CC4E4 +:0407AA00E0EF07A1D4 +:0407AB00083CF1CF46 +:0407AC000007C5037A +:0407AD00E0EFC03E7B +:0407AE004782C01F9F +:0407AF0080230A3861 +:0407B000078500A712 +:0407B100FEE796E3E6 +:0407B2007413044177 +:0407B30017930FF495 +:0407B400C23E01043C +:0407B500A50367E54C +:0407B6000693D6C709 +:0407B70008301000F6 +:0407B8000104159390 +:0407B900C06FE0EF3E +:0407BA00879367E5D5 +:0407BB00C63ED6C799 +:0407BC008793F92105 +:0407BD0004428CC4A2 +:0407BE001004071309 +:0407BF0009E784932F +:0407C00049E78793EB +:0407C100C43EC03A38 +:0407C2003DE00413FF +:0407C3001000069389 +:0407C400F463872231 +:0407C5000713008690 +:0407C60007421000D6 +:0407C700863A8341AA +:0407C800082885A6D2 +:0407C900E0EFC83A5B +:0407CA004742EA0FA9 +:0407CB00C503083426 +:0407CC00CA3A00061F +:0407CD00E0EFC8365B +:0407CE0046C2B81F48 +:0407CF0047520A3C47 +:0407D00000A68023DC +:0407D10094E3068522 +:0407D20047B2FEF636 +:0407D3004592460203 +:0407D400083443881A +:0407D500ACCFE0EFD6 +:0407D600EE0514E335 +:0407D7001000069375 +:0407D800746387229D +:0407D900071300D42E +:0407DA000793100071 +:0407DB009413F0077C +:0407DC004782010748 +:0407DD0010048493ED +:0407DE00879380413C +:0407DF00C03E100701 +:0407E00095E347A2B4 +:0407E100BD6DF8F4FE +:0407E2008082557D3F +:0407E300C0261151CA +:0407E400849364E5B1 +:0407E500C503D7F47D +:0407E600C222000427 +:0407E700334DC406C4 +:0407E800E515842A65 +:0407E9000004C7033E +:0407EA00468167E5F8 +:0407EB00D6E78F239B +:0407EC00C78367E573 +:0407ED00C781D7D712 +:0407EE00C68367E176 +:0407EF0067E178C77F +:0407F0007D47879327 +:0407F100802397B614 +:0407F200453D00E79A +:0407F30040A23B9550 +:0407F4004412852204 +:0407F5000131448208 +:0407F60001138082E9 +:0407F70067E5EDC104 +:0407F80086078A23C3 +:0407F9002023C43EB7 +:0407FA002E23121187 +:0407FB002C2310811A +:0407FC00C22E109168 +:0407FD0057FD473D20 +:0407FE0006A7636384 +:0407FF0005416765E4 +:040800000FF57793E6 +:04080100D6C725032E +:0408020001079593C2 +:040803001000069348 +:04080400C03E0830BA +:04080500B8EFE0EF79 +:0408060008246765F6 +:04080700D6C7071336 +:04080800842687AA11 +:040809004503C63AA3 +:04080A00C83E0004E0 +:04080B00E0EF040511 +:04080C000FA3A89FEF +:04080D000A38FEA403 +:04080E0016E347C2E4 +:04080F00E385FE87F8 +:04081000859365D98E +:0408110008285185DD +:04081200DCAFE0EF88 +:040813001F6387AA2E +:0408140047031E0573 +:04081500CF110221DC +:040816000D634685A3 +:0408170020830ED755 +:0408180024031201A2 +:04081900248311C162 +:04081A00853E118185 +:04081B001241011372 +:04081C00470380828C +:04081D001D63020154 +:04081E0046831C07EA +:04081F0007130211A8 +:040820009763055085 +:0408210047031CE687 +:0408220046830241C6 +:040823000722023175 +:0408240006938F5553 +:0408250014E304A034 +:040826004712FCD7A2 +:040827006761F369A9 +:0408280045B9478106 +:040829007D470713ED +:04082A00C683462912 +:04082B00E66300D4AC +:04082C00053300D5BB +:04082D00002300F7AD +:04082E00078500D565 +:04082F0096E30485C3 +:040830004783FEC735 +:0408310067650311E3 +:04083200D6F70423CE +:04083300666347258C +:04083400676500F7FD +:04083500D8F70823C5 +:040836004783A0292B +:040837007AE303015C +:040838004703FEF77D +:0408390067E503313B +:04083A008FA36565BE +:04083B004703D4E7B4 +:04083C0067E5034128 +:04083D00036006133B +:04083E00D4E78EA3CA +:04083F000351470317 +:04084000059367E1D4 +:040841008C23039170 +:04084200470370E711 +:0408430067E5036101 +:040844008085051393 +:04084500D4E78F2342 +:0408460003714703F0 +:04084700842367E1BE +:04084800470372E709 +:0408490067E10381DF +:04084A0072E784A32A +:04084B0002F147036C +:04084C008EA367E52B +:04084D0067E1D6E7A2 +:04084E007D47C70318 +:04084F008F2367E5A7 +:040850004703D6E79D +:0408510067E5032133 +:04085200D6E788233A +:04085300C7AFE0EF5C +:04085400B7314781F0 +:0408550002014483D5 +:040856000E049C638D +:0408570002114683C1 +:0408580005800713FD +:040859000EE69663AE +:04085A0003015683BD +:04085B00046007131B +:04085C00EEE697E34A +:04085D00032154031C +:04085E0047C0071375 +:04085F00EEE411E3CF +:04086000C82A47A2B9 +:040861008513463580 +:04086200059387472C +:0408630040EF02312F +:040864004712210016 +:0408650014E347C28F +:040866006565EC07D1 +:040867000460061310 +:040868000513184C10 +:04086900E0EF8845EF +:04086A004782C20FF0 +:04086B0007136765A3 +:04086C0007A28CC78C +:04086D00C002C23EC5 +:04086E0006200793C6 +:04086F004722C43A1E +:0408700006134682A3 +:040871008E1D1000C8 +:0408720000D7053373 +:0408730005B3083889 +:04087400456300F7E1 +:04087500C83E06C4AF +:04087600BEEFE0EF02 +:04087700470247C22B +:04087800943E048521 +:0408790047928F1DF6 +:04087A000FF4F493F0 +:04087B001007071348 +:04087C0000F485B34C +:04087D00074247B235 +:04087E0043888341E7 +:04087F00F00404136A +:0408800010000693CB +:0408810005A2083094 +:040882000442C03A32 +:04088300996FE0EF9A +:0408840087AA80417E +:0408850045030838E7 +:04088600CA3E00075F +:04088700E0EFC83A9C +:040888004742899FBB +:0408890047D20A3414 +:04088A0000A70023A0 +:04088B0094E30705E6 +:04088C0096E3FEE60B +:04088D004781E207B6 +:04088E00A021F0595C +:04088F00E0EF8622EE +:0408900067E5B88FD1 +:040891008323470571 +:04089200B719DAE7D1 +:04089300BD014785D7 +:04089400B5314789AA +:04089500C026115117 +:04089600849364E5FE +:04089700C503D7F4CA +:040898004581000492 +:04089900C406C222AD +:04089A00D73FF0EF65 +:04089B00E121842AA9 +:04089C000004C7038A +:04089D0046A967E51C +:04089E00D6E78F23E7 +:04089F00C78367E5BF +:0408A00085638C9749 +:0408A10066E500D731 +:0408A200D8F68823D9 +:0408A300C78367E5BB +:0408A4004681D7D7DB +:0408A50067E5C781BB +:0408A600D907C68325 +:0408A700879367E1EB +:0408A80097B67D473B +:0408A90000E78023C1 +:0408AA00F0EF453DE9 +:0408AB0040A2A97F3F +:0408AC00441285224B +:0408AD00013144824F +:0408AE0011418082F2 +:0408AF00C6064585AF +:0408B000F0EFC02A7B +:0408B1006765D19F07 +:0408B20087474783AA +:0408B300071346825F +:0408B400E78187470A +:0408B5000713675965 +:0408B60040B25207F3 +:0408B70065616659B8 +:0408B800528606134B +:0408B900051345C519 +:0408BA0001417F4534 +:0408BB006AE0306F50 +:0408BC00C82211211C +:0408BD000713646554 +:0408BE005783D8E4A0 +:0408BF00CA0600075E +:0408C000F793C626BE +:0408C10007C2F9F77A +:0408C200102383C1BB +:0408C30016B700F76D +:0408C400C02E000240 +:0408C5000EF6A02368 +:0408C6003200059364 +:0408C700450584AAB5 +:0408C800C08FF0EFFE +:0408C90019000513FA +:0408CA00DD7FD0EF0F +:0408CB00D8E4071353 +:0408CC000007578347 +:0408CD00000216B758 +:0408CE00E79345C1A6 +:0408CF0010230207E9 +:0408D000A02300F76A +:0408D10085260EF674 +:0408D20007A040EF4C +:0408D3000FF5779313 +:0408D400D8E404134D +:0408D50065E1EB8965 +:0408D600859346457B +:0408D7008526AF457E +:0408D80003E040EF0A +:0408D9004701478507 +:0408DA0000E486B3FD +:0408DB000006C5034B +:0408DC00C43E45D100 +:0408DD00F0EFC23A3C +:0408DE004712BB2FD3 +:0408DF00070547A220 +:0408E0000FF7769305 +:0408E100FEF6E2E35A +:0408E2000004578334 +:0408E300000214B744 +:0408E400F79345D170 +:0408E50007C2FDF752 +:0408E600102383C197 +:0408E700A02300F456 +:0408E80005130EF4F2 +:0408E900F0EF0C0020 +:0408EA005783B82F49 +:0408EB0045020004BE +:0408EC00E79345C188 +:0408ED0010230207CB +:0408EE00A02300F44F +:0408EF0040EF0EF4D4 +:0408F00074930040BD +:0408F100E8890FF58E +:0408F20065E1450275 +:0408F300859346455E +:0408F40030EFAF45ED +:0408F50044857CD0EA +:0408F60047024781ED +:0408F700C23E45D1E7 +:0408F8004503973EDF +:0408F900F0EF000715 +:0408FA004792B42F3E +:0408FB00F713078563 +:0408FC0064E30FF7AB +:0408FD005783FE9788 +:0408FE0040D20004E0 +:0408FF0000021737A5 +:040900000407E7936E +:0409010000F41023CB +:040902002023444228 +:0409030044B20EF7F5 +:04090400808201618B +:04090500C4221141B6 +:04090600C606C22639 +:0409070064E14785DB +:0409080008636461BB +:04090900676500F529 +:04090A00D747468302 +:04090B0094634709A1 +:04090C00464104E676 +:04090D007E0485934C +:04090E000002453767 +:04090F00763030EF1F +:04091000000247B7E3 +:040911000207851341 +:0409120005934641C2 +:0409130030EF7F44FE +:0409140047B775105C +:04091500A623000213 +:04091600470D3C0746 +:040917003CE7A223F4 +:040918003C07A423D1 +:040919007F4405937F +:04091A0040B2442281 +:04091B007E048513BE +:04091C0001414492BF +:04091D0017E3BDB56A +:04091E006765FEE526 +:04091F00D73747037C +:0409200005934641B4 +:0409210016937F4466 +:0409220067610037D2 +:040923005B870713D4 +:040924004683973639 +:040925004737004709 +:040926009513000223 +:040927000541005630 +:04092800C036953A06 +:040929006FB030EF8C +:04092A004737468283 +:04092B00260300029D +:04092C0047853C8738 +:04092D0000D797B3A5 +:04092E0024238FD11E +:04092F00B75D3CF77D +:04093000C78367E52D +:040931000113D717C0 +:04093200D022FD8151 +:04093300F7930785AA +:0409340007B20FF700 +:0409350083C107C2B1 +:04093600C83E6465EE +:04093700D8E40713E6 +:0409380000075783DA +:04093900D20646C2DA +:04093A008FD5CE2661 +:04093B008FD576E1FD +:04093C0083C107C2AA +:04093D0000F710238C +:04093E001737C202A3 +:04093F00C4020002EC +:04094000665964E1AF +:040941000EF720236A +:04094200530606133F +:04094300851345C50E +:0409440030EF7F44CD +:0409450045014880A0 +:0409460067413DF5D3 +:040947004785177D4C +:04094800D8E40413D8 +:040949001737CA3A58 +:04094A0026830002FE +:04094B0046520D07FC +:04094C00C6368EF12C +:04094D00CADDEFC54B +:04094E00869366E541 +:04094F00D6038086C5 +:0409500045B2016645 +:0409510000045783C4 +:0409520010B6166362 +:0409530016FD76F522 +:0409540010238FF5E8 +:04095500202300F467 +:0409560045010EF752 +:040957007E048A236D +:0409580025373D55AD +:04095900051300067C +:04095A00D0EFA8052D +:04095B005783B95FA6 +:04095C00474200040A +:04095D000513669187 +:04095E008FD93E806F +:04095F0007C28FD567 +:04096000102383C11C +:04096100173700F450 +:04096200202300024C +:04096300F0EF0EF7AC +:04096400F0EFF70FAA +:040965004785F96F5A +:0409660002F5106323 +:04096700000227B7AC +:040968009713479CFE +:0409690083410107BE +:04096A009713C23AE3 +:04096B00835100476D +:04096C00CC3EC43A7F +:04096D00FC07DFE3C1 +:04096E00A42367E572 +:04096F004792D807CC +:040970006659EF8D48 +:0409710053C6061350 +:04097200851345C5DF +:0409730030EF7F449E +:0409740045013CC03D +:04097500570335816E +:0409760077F1000411 +:040977008FF917FDE0 +:0409780000F4102354 +:04097900000217372A +:04097A000EF7202331 +:04097B0005136509F2 +:04097C00D0EF710542 +:04097D0047B2B0DFEE +:04097E004792B73DA8 +:04097F0096634752E2 +:04098000665900E7CD +:0409810054C606133F +:040982004712B7C1A0 +:04098300660545A21E +:04098400064007938F +:0409850056B3167DD2 +:04098600773302F7CA +:040987009B6302F775 +:04098800665900C5E7 +:0409890055460613B6 +:04098A00851345C5C7 +:04098B0030EF7F4486 +:04098C00B74536C075 +:04098D0045C54622F4 +:04098E007F4485130A +:04098F0002F67633C3 +:040990004622C03209 +:0409910002F657B360 +:040992000613665989 +:0409930030EF5606E5 +:04099400B74134C073 +:040995000186D6837E +:040996009EE3463264 +:0409970007D2F6C6C7 +:04099800102383D1D4 +:04099900509200F484 +:04099A0020235402C0 +:04099B0044F20EF71D +:04099C0001134501FD +:04099D0080820281D1 +:04099E00C78367E5BF +:04099F00E3B5D727BE +:0409A000C406115127 +:0409A100C026C22288 +:0409A2004737C90901 +:0409A30027830002A4 +:0409A400E7933C0792 +:0409A50020230027E4 +:0409A60064E53CF7D1 +:0409A70084048593AC +:0409A8004537464148 +:0409A90030EF000229 +:0409AA0044374F90EF +:0409AB0067E50002FA +:0409AC008547859363 +:0409AD000204051328 +:0409AE0030EF46419F +:0409AF00470D4E5052 +:0409B0003C042623BA +:0409B1003CE42223DD +:0409B2003C042423BA +:0409B30040A2441208 +:0409B400840485131F +:0409B50067E544822C +:0409B6008547859359 +:0409B700F06F0131AB +:0409B8008082C13F39 +:0409B900636167E52A +:0409BA007159470523 +:0409BB00D8E78623D0 +:0409BC007703079323 +:0409BD0043C0D4A2BD +:0409BE00D686D2A661 +:0409BF007703049323 +:0409C00016040063B6 +:0409C1000D634098EA +:0409C20007B7140758 +:0409C3008793019C79 +:0409C400D333CC0756 +:0409C500C7B7028727 +:0409C6008793A0EE85 +:0409C700D7B3B007EB +:0409C800C70302E778 +:0409C9000B63008438 +:0409CA00D433120709 +:0409CB000513028787 +:0409CC00C21A03B098 +:0409CD00DB2FE0EF4D +:0409CE004783C62A6B +:0409CF00051300C14B +:0409D000CC3E03C056 +:0409D100DA2FE0EF4A +:0409D20001C4C78312 +:0409D3000084C603D3 +:0409D4009713431220 +:0409D50067DD0027B3 +:0409D6004A078793B2 +:0409D700439497BAF4 +:0409D80007934098A9 +:0409D900E219070018 +:0409DA0006900793E9 +:0409DB00656566598F +:0409DC005746061361 +:0409DD00051345C5F4 +:0409DE00C21A8405B0 +:0409DF0021E030EFF4 +:0409E0000793431224 +:0409E1000693064033 +:0409E20065653E8089 +:0409E300773345C55C +:0409E400051302F401 +:0409E500763385459B +:0409E600C03A02D33E +:0409E7005733472912 +:0409E800665902E664 +:0409E9005806061393 +:0409EA0002F457B309 +:0409EB0002D356B32A +:0409EC001EA030EF2A +:0409ED0035C94505BE +:0409EE00C703409C5F +:0409EF00C283032498 +:0409F000C83E0434C5 +:0409F1000084C78334 +:0409F20002010BA350 +:0409F300CA3E638510 +:0409F400DC3E47910D +:0409F50097B347A1CC +:0409F600C70300E74C +:0409F700E79303344B +:0409F800DE3E008758 +:0409F9004000079320 +:0409FA0000E797B3C8 +:0409FB000344C703E7 +:0409FC0007B7C0BEBB +:0409FD0097B30002AA +:0409FE00C70300E744 +:0409FF00C2BE03541D +:040A0000004007B7F4 +:040A010000E797B3C0 +:040A02000793C4BED4 +:040A0300C6BE0371F7 +:040A0400037487935D +:040A05008793C8BE4D +:040A0600CABE0384DD +:040A0700039487933A +:040A08008793CCBE46 +:040A0900CEBE03A4B6 +:040A0A0003B4879317 +:040A0B00C783D0BE0F +:040A0C004701044456 +:040A0D0067E5C43E97 +:040A0E008CC7869378 +:040A0F008CC7879376 +:040A1000F793C23E58 +:040A1100D03E0FD2F2 +:040A120001871793AE +:040A1300CE3E87E16B +:040A140002900793B2 +:040A150000F71D6366 +:040A1600AEA94791AD +:040A1700B5F10786A8 +:040A18006791640579 +:040A19007704041347 +:040A1A00D547831326 +:040A1B004671B5C9A2 +:040A1C0002C70633D4 +:040A1D00458D47922A +:040A1E004A5C963E5A +:040A1F00896383F56F +:040A200045111AB7AB +:040A21001AA78D6320 +:040A22009D63458902 +:040A2300059306B77A +:040A2400C0AE100050 +:040A2500C2AE65C137 +:040A260040000593F4 +:040A27004A10C4AEFF +:040A28001C10059306 +:040A290076138215A9 +:040A2A001D637FF6D3 +:040A2B00D43E04B6FB +:040A2C00859367D96E +:040A2D00853659872A +:040A2E00D83A461D4F +:040A2F00D236D616CF +:040A30002B1030EF68 +:040A310057A25692E0 +:040A3200574252B223 +:040A3300E5116385E1 +:040A3400EA0D46225F +:040A350006F10705BA +:040A3600D43EBF8566 +:040A3700859367D963 +:040A380085365A079E +:040A3900D83A461D44 +:040A3A00D236D616C4 +:040A3B00285030EF20 +:040A3C0057A25692D5 +:040A3D00574252B218 +:040A3E00E5096385DE +:040A3F00460545A281 +:040A4000FCC58AE384 +:040A410006334671C1 +:040A4200459202C710 +:040A43005583962E13 +:040A4400464500A67D +:040A45008B6389FD39 +:040A4600861300C54E +:040A47008A7D00D5CF +:040A48007563450588 +:040A4900467D00C521 +:040A4A0000C59763E9 +:040A4B000613660523 +:040A4C0061E357B655 +:040A4D0010C8FA864D +:040A4E0000279613D4 +:040A4F002603962ABA +:040A5000A083FE86FB +:040A510046030186D1 +:040A5200060A00068A +:040A53002603962AB6 +:040A5400F633FD4632 +:040A5500DE3D00C0C2 +:040A5600C51343522F +:040A57008905FFF01E +:040A5800F6A31AE304 +:040A590005334571AB +:040A5A00431202A79A +:040A5B004908951A97 +:040A5C00811543427B +:040A5D007FF5751399 +:040A5E006DE30579C6 +:040A5F004505F465F0 +:040A600000A4892342 +:040A610000049723D3 +:040A6200000488A361 +:040A630000048A23DE +:040A64006521CC90AC +:040A650036A60E6340 +:040A660014C56663EA +:040A67001000051363 +:040A680032A602634D +:040A690010C56263EF +:040A6A000F63454190 +:040A6B006B6310A603 +:040A6C0045110CC55F +:040A6D001CA603635D +:040A6E001DE345A19E +:040A6F004685F0B612 +:040A700000D4862305 +:040A71000514C6831F +:040A72002E06956354 +:040A730006B346F18F +:040A7400461202D74D +:040A7500D60396B25C +:040A7600069300E6FD +:040A7700EA63577067 +:040A780096932CC65F +:040A7900566301D7E8 +:040A7A00468D2CD0A9 +:040A7B0000D486A37A +:040A7C0089234689FB +:040A7D00869300D488 +:040A7E00F693FFE705 +:040A7F00CE990FD627 +:040A800094634695A0 +:040A810047F12ED734 +:040A820002F707333D +:040A8300973E4792C1 +:040A840000E757032D +:040A85004AF0079399 +:040A86002CE7E9630D +:040A87000464C783B9 +:040A88002C07826352 +:040A8900000486A33C +:040A8A0089234789EC +:040A8B00AC7500F452 +:040A8C00400006130D +:040A8D000637C0B2B6 +:040A8E00C2B20002EE +:040A8F005503B5E175 +:040A9000460900A66D +:040A91001E63897DDA +:040A9200866300C5B2 +:040A93004605000212 +:040A9400EAC28AE345 +:040A950045E2B54140 +:040A9600052006131E +:040A9700EAB674E364 +:040A98004E90BD952A +:040A990020067613AA +:040A9A0095E3C61901 +:040A9B000613E6B2A6 +:040A9C00DE32200026 +:040A9D004605BD410C +:040A9E00E8C516E3AE +:040A9F001BE35602FD +:040AA000B549E4066A +:040AA10004000793B3 +:040AA20000F60A63ED +:040AA30008000793AD +:040AA40022F60463CF +:040AA50002000793B1 +:040AA600E2F61EE373 +:040AA7003010079371 +:040AA80000F496239D +:040AA900B751479169 +:040AAA0024760B6340 +:040AAB0002C3E3633C +:040AAC00400005936E +:040AAD0020B60E63FE +:040AAE008006079324 +:040AAF0022078C632B +:040AB0002000079388 +:040AB100E0F618E370 +:040AB2002010079376 +:040AB30000F4962392 +:040AB4006789BFA1EE +:040AB50022F60863BA +:040AB6001DE3679144 +:040AB7000793DEF6CD +:040AB800BF7D2020BE +:040AB900002005B75D +:040ABA0024B60863F3 +:040ABB0002C5EE631F +:040ABC00000405B776 +:040ABD0022B60C63EE +:040ABE0000C5ED631F +:040ABF000C6365C19E +:040AC00005B720B6A0 +:040AC10017E3000235 +:040AC200470DDCB64A +:040AC30000E4962392 +:040AC40007B7A2E1ED +:040AC5000E630008B4 +:040AC60007B720F658 +:040AC7001BE300101D +:040AC8000793DAF6C0 +:040AC900BFAD20306D +:040ACA00010007B769 +:040ACB0020F60F639F +:040ACC0002C7E46316 +:040ACD00004007B727 +:040ACE0020F60363A8 +:040ACF00008007B7E5 +:040AD000D8F61AE357 +:040AD1002040079327 +:040AD20000F4962373 +:040AD3008923478D9F +:040AD400079300F490 +:040AD500AAC1028030 +:040AD600020007B75C +:040AD70020F60063A2 +:040AD800040007B758 +:040AD900D6F618E352 +:040ADA00204007931E +:040ADB0000F496236A +:040ADC00892347998A +:040ADD0047D100F409 +:040ADE009623A27D3C +:040ADF0088A30004E4 +:040AE000F71300B454 +:040AE10046850057EF +:040AE20000D70963CD +:040AE30090634709CC +:040AE400F09302E7A2 +:040AE5008C630020FE +:040AE600C7830000C2 +:040AE70086630464BA +:040AE8004789100723 +:040AE90000F4892369 +:040AEA0087A3478512 +:040AEB008E6300F422 +:040AEC0047F21205B6 +:040AED0000F4852369 +:040AEE0000A4878356 +:040AEF0046126765DF +:040AF000DAF704230A +:040AF100873347718F +:040AF200C68302E7CE +:040AF3009732012411 +:040AF40046154B0058 +:040AF5000433887DC1 +:040AF600043302D4EF +:040AF700061302C41C +:040AF800041306409D +:040AF900443303245B +:040AFA00560302C4D9 +:040AFB0086B300E7D7 +:040AFC00666502C663 +:040AFD00D9360613CD +:040AFE0004429436E4 +:040AFF009B23804174 +:040B00004703008423 +:040B0100C432017782 +:040B020001F77693EE +:040B030000638B21DF +:040B04006765160704 +:040B0500D9274603A3 +:040B060047214689B4 +:040B070000C6F363CE +:040B080046A2474179 +:040B090000E680235F +:040B0A0040D047325E +:040B0B000FF77593D8 +:040B0C00028585B326 +:040B0D0016934722D2 +:040B0E0082C1010699 +:040B0F004703C6369C +:040B10004681000713 +:040B110002C5D53311 +:040B12000454C5833F +:040B130046F1C98955 +:040B140002D787B3CA +:040B150097B64692B7 +:040B160082854F94F1 +:040B170045F98A858D +:040B180002B405B36B +:040B190082410642CD +:040B1A000FF6F79348 +:040B1B0057D9C83EA0 +:040B1C0002C5C5B396 +:040B1D00C5B30595C2 +:040B1E00C78302F592 +:040B1F00879305941F +:040B200007E2F807E9 +:040B210095AA87E129 +:040B22000FF5F59343 +:040B230005C295BEB4 +:040B240081C14785BF +:040B25000EF7016363 +:040B2600026347A17E +:040B2700059930F705 +:040B280085C105C2BC +:040B290046C14789F1 +:040B2A004785A8E172 +:040B2B0000F4872328 +:040B2C0086A3BDE5FA +:040B2D00B38100048C +:040B2E0030100793E9 +:040B2F0000F4962315 +:040B3000B3A5479989 +:040B310020100793F6 +:040B320000F4962312 +:040B3300BBB1479576 +:040B340096234709B4 +:040B3500470D00E484 +:040B360000E79963D8 +:040B37000464C78308 +:040B3800D40794E367 +:040B39008723478542 +:040B3A00C78300F479 +:040B3B0088A3058402 +:040B3C00B5C100F44B +:040B3D0010200793EA +:040B3E0000F4962306 +:040B3F000793B7FD64 +:040B4000B3E92020D5 +:040B410020200793D6 +:040B420000F4962302 +:040B4300BB31478DEE +:040B440020200793D3 +:040B45000793BF55FE +:040B460096232020B2 +:040B4700479D00F4D2 +:040B480000F4892309 +:040B49008A2347B5FF +:040B4A00B7C100F43B +:040B4B0020300793BC +:040B4C000793BB71DF +:040B4D00BFC92030CC +:040B4E0020300793B9 +:040B4F004791B771A2 +:040B500000F49623F4 +:040B5100078007937F +:040B52000793BFF94D +:040B53009623204085 +:040B5400479100F4D1 +:040B550000F48923FC +:040B5600B7F147F9B3 +:040B570020400793A0 +:040B580000F49623EC +:040B59008923479510 +:040B5A0047E100F47B +:040B5B004722BF6D01 +:040B5C0000D700239B +:040B5D000589BD55F4 +:040B5E0085C105C286 +:040B5F0046994785E7 +:040B600000D7863301 +:040B61002205C56341 +:040B620000D5853302 +:040B6300051397AA35 +:040B640055630FF0D6 +:040B6500059300F5FF +:040B66008D910FF06E +:040B67000FF5F793FC +:040B6800451585BEEC +:040B6900D032CC3A80 +:040B6A00CE36CA3E7B +:040B6B00F85FD0EF70 +:040B6C00451946F2EF +:040B6D00D0EF85B68A +:040B6E000593F7BF35 +:040B6F000513080062 +:040B7000D0EF026060 +:040B710047D2F6FF72 +:040B7200051356020F +:040B730085B3031033 +:040B7400F59300C72E +:040B7500D0EF0FF5B9 +:040B76004762F5BF1E +:040B770045B147C17C +:040B780000F703631C +:040B7900051345A17A +:040B7A00D0EF022096 +:040B7B004642F47F7B +:040B7C00852245B2D7 +:040B7D00FD7FD0EF39 +:040B7E0004D4C503D3 +:040B7F00A54FE0EFAF +:040B80000474C50331 +:040B8100F863478549 +:040B820047A200A7DF +:040B83000007C5039F +:040B840035131561AF +:040B850047E100152F +:040B860002F505333C +:040B8700879367DD0C +:040B8800953E4CC783 +:040B8900872FE0EFE3 +:040B8A0000A48783B9 +:040B8B00C583477166 +:040B8C0087B3012406 +:040B8D00471202E722 +:040B8E00C50397BA4A +:040B8F00E0EF01672B +:040B9000C78396EF92 +:040B910089A300C470 +:040B920086B700A47E +:040B9300B713000292 +:040B9400429C001768 +:040B95008FD99BF960 +:040B9600C783C29CB3 +:040B9700470D00C442 +:040B980014F7696382 +:040B99006863470541 +:040B9A00956314F754 +:040B9B00478500E7A3 +:040B9C0000F48EA330 +:040B9D0001D4C503B7 +:040B9E0093BFE0EF32 +:040B9F0000C4C703C4 +:040BA00012071D63B8 +:040BA10000A48783A2 +:040BA20087B347715D +:040BA300471202E70C +:040BA400D78397BAA2 +:040BA500B79300C73B +:040BA600C7932BD7EF +:040BA700863E00176F +:040BA80000024737C9 +:040BA90038070713EF +:040BAA0075F9433462 +:040BAB007FF58593BA +:040BAC008EED8B8DB2 +:040BAD008FD507AE2B +:040BAE00433CC33CC5 +:040BAF0016FD76E9D0 +:040BB00006368FF581 +:040BB100C33C8FD1E1 +:040BB200F62FD0EF5B +:040BB3000414C7035C +:040BB400C683478924 +:040BB500440100E413 +:040BB60000F70763DA +:040BB70000F4C4037F +:040BB80034138C1551 +:040BB900458100145E +:040BBA00C236453DBD +:040BBB00CF9FD0EF09 +:040BBC000590051388 +:040BBD00CAFFD0EFAC +:040BBE0002F5759334 +:040BBF004692E80969 +:040BC00000669793A1 +:040BC100F5938DDD3E +:040BC200E5930FF5B3 +:040BC3000513010510 +:040BC400D0EF0590D9 +:040BC50040D8CD3F08 +:040BC600019C07B7D0 +:040BC700CC0787933D +:040BC80002E7D7B3B6 +:040BC9000164D703E9 +:040BCA0000D4C6038A +:040BCB0087B3468D19 +:040BCC00C70302E772 +:040BCD00070500C454 +:040BCE0002E787B300 +:040BCF0000E4C70374 +:040BD00087B30705DB +:040BD100676502E76B +:040BD200D6F72C2303 +:040BD300D7870713A6 +:040BD40008D61863C4 +:040BD500C31C838535 +:040BD6000737431486 +:040BD70007130511EA +:040BD8004785F40752 +:040BD90000D76B6373 +:040BDA0004787737ED +:040BDB008BF707137A +:040BDC007463478176 +:040BDD00C78300D7F3 +:040BDE00C703010444 +:040BDF00C5830504C1 +:040BE000E709041409 +:040BE1000104C70341 +:040BE20006F70363AC +:040BE30050B654268E +:040BE40000F488236E +:040BE500852E54966F +:040BE600E06F6165F6 +:040BE7008593CFAF74 +:040BE80005C203251A +:040BE90047A185C1DA +:040BEA00020006936C +:040BEB004581BBD1B4 +:040BEC004711B3F505 +:040BED004789BD5D1A +:040BEE00C783BD6597 +:040BEF00C68300D4E5 +:040BF000460100E4D6 +:040BF100B79317F5AA +:040BF2008F950017C4 +:040BF30000178693CE +:040BF40000373793FC +:040BF5000017C7938B +:040BF600F79397B624 +:040BF700B5C90FF776 +:040BF8001BE3458531 +:040BF900078AF6B6BB +:040BFA0002D7D7B394 +:040BFB004789B7ADC2 +:040BFC0002F580631B +:040BFD000114C50317 +:040BFE004589C191D3 +:040BFF00C68354262F +:040C0000C60300F433 +:040C010050B60424C1 +:040C0200616554963E +:040C0300B5EFE06FFA +:040C0400542650B66C +:040C0500616554963B +:040C060067E580829C +:040C0700D807A70360 +:040C0800879367E582 +:040C090046AD8087ED +:040C0A00D583464503 +:040C0B009F6301677B +:040C0C00F69304E572 +:040C0D00E1190FF6E4 +:040C0E0028070A6346 +:040C0F00C6261121C3 +:040C1000C50364E5CF +:040C1100C822D734EA +:040C1200079364617F +:040C130016135B84D5 +:040C140097B200355E +:040C1500438CCA063C +:040C16000047C78349 +:040C1700A30347519B +:040C180087330045D9 +:040C1900849302E7D7 +:040C1A000413D734B4 +:040C1B00971A5B8445 +:040C1C006363433992 +:040C1D00433102D38A +:040C1E0004D3636335 +:040C1F00806347ADFA +:040C200086630CF6E5 +:040C21004781086699 +:040C2200A0F14701F5 +:040C230007890685B2 +:040C2400F8C69DE38E +:040C2500B745468108 +:040C260000474783B9 +:040C27009B63460D78 +:040C2800475016C754 +:040C2900421845BD6B +:040C2A0000074783F5 +:040C2B001EB69C63F2 +:040C2C0017FDC78168 +:040C2D000FF7F79333 +:040C2E0000F70023A8 +:040C2F004503B7E9D9 +:040C3000470500472D +:040C310075131575AD +:040C32006E630FF5E9 +:040C3300453700A79A +:040C34002303000294 +:040C350017333C85B0 +:040C3600471300F769 +:040C37007733FFF719 +:040C3800242300670A +:040C390047353CE51A +:040C3A0000E69C63D1 +:040C3B00C783E399EF +:040C3C0017FD00059B +:040C3D000FF7F79323 +:040C3E0002239622D5 +:040C3F00B76100F6A3 +:040C40000005C703E1 +:040C4100962207856B +:040C420002E7E7B32B +:040C4300C519B7FD1B +:040C44008023157D77 +:040C4500E0EF00A438 +:040C4600B7B5985F47 +:040C4700892367E5B1 +:040C48004737D6074D +:040C490027830002FB +:040C4A0045013C071D +:040C4B0020239BEDDA +:040C4C0044423CF7EB +:040C4D0044B240D29B +:040C4E00F06F0161E1 +:040C4F004783D3EF15 +:040C5000468D004786 +:040C51000AD78163DA +:040C52009EE3469146 +:040C5300471CF2D771 +:040C540087AA978252 +:040C5500C683470506 +:040C560045D1000480 +:040C5700068E65613F +:040C5800429096A28E +:040C59000046C68308 +:040C5A007E050513FB +:040C5B0086B34250CA +:040C5C00C43E02B6DA +:040C5D0096B2C23A4F +:040C5E004645428C39 +:040C5F0030EFC0367C +:040C60004712220015 +:040C6100468247A2DE +:040C6200863E85BA8B +:040C6300E0EF853603 +:040C6400C783891F9A +:040C650065E1000441 +:040C6600000244B78D +:040C6700943E078E22 +:040C680000444503FC +:040C690085934641E8 +:040C6A0005167F45A7 +:040C6B009526054184 +:040C6C001EE030EF67 +:040C6D0000444603F6 +:040C6E0097B347856C +:040C6F00A62300C7F1 +:040C700047123CF4F7 +:040C71004682EB09C3 +:040C7200C70346115D +:040C730015630046BF +:040C740046D80EC789 +:040C75004737C76DC9 +:040C760026830002CF +:040C77008FD53C8752 +:040C78003CF72423FE +:040C79004B1CA8E97F +:040C7A00C03AC78134 +:040C7B004702978213 +:040C7C000004C78326 +:040C7D00078547148C +:040C7E000037971391 +:040C7F0000E4063354 +:040C80008463420C3B +:040C8100022300D575 +:040C820097220006AF +:040C83008023C314F3 +:040C8400B71100F4B0 +:040C850002F66963A7 +:040C86008863460930 +:040C8700470C04C74B +:040C88004503433DA0 +:040C8900460300C757 +:040C8A00C78300D745 +:040C8B004703000516 +:040C8C00916300E789 +:040C8D007C6302661C +:040C8E0017FD00F658 +:040C8F000FF7F71351 +:040C900000E58023D8 +:040C91004611B589CA +:040C9200E4C78DE343 +:040C9300F96DBD2D0D +:040C9400B7FD8732EF +:040C950000E7F86319 +:040C9600F6130785C5 +:040C970080230FF7B0 +:040C9800B51500C5C9 +:040C9900863AFD6D2D +:040C9A00470CBFDD67 +:040C9B005603453D7A +:040C9C00D78300C733 +:040C9D0057030005F4 +:040C9E009E6300E76A +:040C9F00776300A6D1 +:040CA00017FD00F646 +:040CA100010797139D +:040CA200A0198341D1 +:040CA3008732C211C1 +:040CA40000E59023B4 +:040CA500F463BBCD6C +:040CA600078500E7D7 +:040CA700FA6DB7E546 +:040CA800B7FD47014C +:040CA9000046468338 +:040CAA0000D7F56317 +:040CAB00F693078530 +:040CAC0000230FF71B +:040CAD00BBC100D7F0 +:040CAE000CE3460D00 +:040CAF004442F0C704 +:040CB00044B240D238 +:040CB1000161450197 +:040CB20094CFF06F7C +:040CB300862E808287 +:040CB400153785AAC1 +:040CB5000513000221 +:040CB600D06F0205F4 +:040CB7001111A42F44 +:040CB8000185179308 +:040CB900C826CA225D +:040CBA0087E1CC06FC +:040CBB0084AE842A55 +:040CBC000007DD63ED +:040CBD000513458155 +:040CBE0037D50770AF +:040CBF0000A101A3EC +:040CC000E66347851B +:040CC10074130AA7F7 +:040CC200D0EF07F474 +:040CC300459187DFF1 +:040CC4003F75450132 +:040CC5000713676545 +:040CC6005783D8E791 +:040CC700F793000798 +:040CC80007C2F7F771 +:040CC900102383C1B0 +:040CCA00173700F7E1 +:040CCB0020230002E0 +:040CCC00D7930EF7B5 +:040CCD0002A30184F9 +:040CCE00D79300F1C7 +:040CCF0003230104F6 +:040CD000D79300F1C5 +:040CD10003A30084F5 +:040CD200022300F108 +:040CD3000423008175 +:040CD4000713009171 +:040CD500079304007D +:040CD6000963095055 +:040CD700071300E41B +:040CD80047850480C8 +:040CD90000E41463BC +:040CDA000870079304 +:040CDB0000021537C7 +:040CDC00004C461969 +:040CDD0002050513F4 +:040CDE0000F104A37A +:040CDF009CCFD0EFE7 +:040CE000E0EF4515E7 +:040CE100842A97DFEB +:040CE200051345852C +:040CE3003781003124 +:040CE4000031078351 +:040CE5000007D763CA +:040CE600991FE0EF83 +:040CE70005E3478555 +:040CE800E401FEF530 +:040CE900A42367E5F4 +:040CEA004503D807DF +:040CEB0040E20031B2 +:040CEC0044C2445268 +:040CED00808201718F +:040CEE00879367E59C +:040CEF004798868715 +:040CF000C6221131D6 +:040CF100C426C80647 +:040CF200440DC02AC3 +:040CF30000B76F6374 +:040CF4000047C7836B +:040CF5008BA184B299 +:040CF60005A6E391DB +:040CF70005100513CC +:040CF800EFFFF0EF2B +:040CF900C901842A7F +:040CFA0040C24409A7 +:040CFB0044328522D8 +:040CFC00015144A2BC +:040CFD0005138082D9 +:040CFE00E0EF0640DD +:040CFF004585905F38 +:040D00000071051366 +:040D0100ECBFF0EF64 +:040D02000071470332 +:040D03000FF0079353 +:040D040000F717637A +:040D0500915FE0EF2B +:040D060002E3478538 +:040D07004703FEF5AB +:040D080067E500712A +:040D0900D807A42340 +:040D0A000FE007935C +:040D0B00FAF71EE3F2 +:040D0C0085A6450271 +:040D0D00E9BFF0EF5B +:040D0E002020059309 +:040D0F0005C28D8507 +:040D1000450181C157 +:040D1100E8BFF0EF58 +:040D12007139B74D2F +:040D1300C42A65D9B0 +:040D14008593462954 +:040D150008485A85AB +:040D1600DC22DE06F7 +:040D1700D0EFDA2619 +:040D1800478D968FDE +:040D190027B7C03EFA +:040D1A001437000684 +:040D1B0004B7000217 +:040D1C008613019C9D +:040D1D008593A8070B +:040D1E000513CC04E9 +:040D1F00D0EF02040B +:040D2000D0EF81CFC0 +:040D210027B7F04FB1 +:040D2200861300062E +:040D23008593A80705 +:040D24000513CC04E3 +:040D2500D0EF020405 +:040D26000513804FE2 +:040D27004629020453 +:040D2800D0EF084CB4 +:040D290064E58A6F84 +:040D2A0005134581E7 +:040D2B00A4230400F9 +:040D2C00F0EF86045A +:040D2D004785E2DF35 +:040D2E008493842AFC +:040D2F00176386843C +:040D300005931CF516 +:040D310005131AA0EC +:040D3200F0EF04805A +:040D3300C22AE15F90 +:040D340014851D63A2 +:040D350008084591D4 +:040D3600DF7FF0EF7C +:040D3700012147034C +:040D38001563479266 +:040D390047031AF75B +:040D3A0007930131E9 +:040D3B001F630AA088 +:040D3C00051318F78C +:040D3D00E0EF3E8025 +:040D3E00E0EF809FC3 +:040D3F00478582FF63 +:040D400000F519633E +:040D4100400005B7B2 +:040D42000E900513F7 +:040D4300DD3FF0EFB1 +:040D4400E0EFF56D7A +:040D45004785817FDE +:040D46000EF505633E +:040D470067E5440117 +:040D4800D807A42301 +:040D490017FD4782C9 +:040D4A000FF7F79315 +:040D4B008163C03EC2 +:040D4C00D815160799 +:040D4D004581478510 +:040D4E0004900513F5 +:040D4F008223C09C9F +:040D5000F0EF00843C +:040D51004781D9DF1E +:040D52004515E5510D +:040D5300FB2FE0EFA3 +:040D54004585842A23 +:040D550000F1051391 +:040D5600D77FF0EF64 +:040D570000F147035D +:040D58000FF00793FE +:040D590000F7176325 +:040D5A00FC0FE0EFBB +:040D5B0002E34785E3 +:040D5C00E401FEF5BB +:040D5D00A42367E57F +:040D5E004683D807E9 +:040D5F00071300F185 +:040D600047810FE0D8 +:040D610004E69863A9 +:040D6200100845C967 +:040D6300D43FF0EF9A +:040D64000044C7037D +:040D6500002776935A +:040D6600100686638A +:040D670002614703DB +:040D6800027147834A +:040D690002A146039A +:040D6A0007228B0DC4 +:040D6B0047838F5DCE +:040D6C00070A0281EF +:040D6D000251468366 +:040D6E008FD98399FD +:040D6F0002914703A3 +:040D70008ABD821D99 +:040D71008B190706CD +:040D720096BA8F514D +:040D730016E50785F5 +:040D740000D797B35A +:040D750000293637E4 +:040D7600019C05B720 +:040D7700000215372A +:040D7800061317FD4A +:040D790085932E062A +:040D7A000513CC058C +:040D7B00C49C02050D +:040D7C00EABFC0EF1B +:040D7D0006134522F2 +:040D7E00458120008B +:040D7F00DBDFF0EFD7 +:040D80004581A859A8 +:040D810007A00513AF +:040D8200CD7FF0EF42 +:040D8300F00518E37C +:040D84000808459185 +:040D8500CBBFF0EF01 +:040D8600010147839D +:040D8700F793443169 +:040D88009EE30407DB +:040D89004411EE071C +:040D8A004581BDDD05 +:040D8B000E900513AE +:040D8C00CAFFF0EFBB +:040D8D0004100793B4 +:040D8E0000A46563F5 +:040D8F000793440979 +:040D900005130E90A9 +:040D9100C23E0FA0AF +:040D9200EB6FE0EF34 +:040D9300EDCFE0EFD1 +:040D94001763478515 +:040D9500451200F50E +:040D9600F0EF4581B4 +:040D9700F57DC85FBF +:040D9800EC8FE0EF0D +:040D99004401E1111F +:040D9A00458167E543 +:040D9B0007B0051385 +:040D9C00D807A423AD +:040D9D00C6BFF0EFEE +:040D9E004401C1113A +:040D9F002000059398 +:040DA0000500051332 +:040DA100C5BFF0EFEB +:040DA200E8050EE36F +:040DA300BD594401F1 +:040DA40011E345050D +:040DA50050F2EA041A +:040DA60054D254626D +:040DA70040A0053330 +:040DA80080826121C3 +:040DA90047818B11E2 +:040DAA00F20701E368 +:040DAB0002814783F7 +:040DAC000291470366 +:040DAD008FD907A231 +:040DAE00BF014745F5 +:040DAF00DDC101138E +:040DB00020230828CC +:040DB1002E232211BA +:040DB2002C2320814D +:040DB300F0EF2091AC +:040DB400842AD7DFD7 +:040DB500CB2FD0EF81 +:040DB600408007B3BF +:040DB7001E041B6398 +:040DB80065D967E1B1 +:040DB9008593464593 +:040DBA0085136085B8 +:040DBB00C0EF7F47BF +:040DBC004509ED9F59 +:040DBD00E0EF64659A +:040DBE000413D1FF4A +:040DBF0017B780845E +:040DC000A783000203 +:040DC10057030D07C0 +:040DC20007C2000460 +:040DC300026383C183 +:040DC400570302F7D8 +:040DC500186300248B +:040DC600D0EF00F773 +:040DC70065D9C6CF55 +:040DC8005B4585936F +:040DC9006509AAC14D +:040DCA007105051397 +:040DCB009D3FC0EF99 +:040DCC0067E1B7F92B +:040DCD00851365D94C +:040DCE0046457F47D0 +:040DCF005F058593A4 +:040DD00045F020EFDB +:040DD100E0EF450901 +:040DD2004785CCFF86 +:040DD3006785C03E32 +:040DD40004B7C43E5E +:040DD5000793001070 +:040DD600842620004F +:040DD7000097F4632A +:040DD80020000413E0 +:040DD90086A247A205 +:040DDA0095930830B5 +:040DDB0067E5008741 +:040DDC00D6C7A503CE +:040DDD00C2FFC0EFA2 +:040DDE0087AA0838A0 +:040DDF00008706B3D0 +:040DE00012E698631C +:040DE10014079763F9 +:040DE2001FF0079364 +:040DE3000097EB6327 +:040DE40020000613D2 +:040DE5008E01083C37 +:040DE600853345818B +:040DE700C0EF0087D2 +:040DE80067E5E65F76 +:040DE90086878793DF +:040DEA00468247985E +:040DEB0012D7606358 +:040DEC000047C78372 +:040DED008BA185B69B +:040DEE009593E3995D +:040DEF000513009652 +:040DF000F0EF05809B +:040DF1001963B1DFF2 +:040DF2001537140598 +:040DF30057F90002AA +:040DF40001710593F1 +:040DF5000513460597 +:040DF6000BA3020544 +:040DF700C0EF00F158 +:040DF8000593D6BFCA +:040DF90047810171BC +:040DFA000838C22EC5 +:040DFB004703973ED5 +:040DFC004592000715 +:040DFD000BA34605F9 +:040DFE00173700E1C2 +:040DFF0005130002D6 +:040E0000C63E0207E1 +:040E0100D45FC0EF0B +:040E0200069347B25A +:040E0300173720007D +:040E0400078500025C +:040E0500FCD79BE398 +:040E060057FD4592BD +:040E070002070513C6 +:040E08000BA34605ED +:040E0900C0EF00F145 +:040E0A004592D23FFC +:040E0B000002173793 +:040E0C00051357FD76 +:040E0D00460502078D +:040E0E0000F10BA341 +:040E0F00D0DFC0EF81 +:040E100045854512BD +:040E1100A8BFF0EF97 +:040E120001714783A0 +:040E13008BFD4715F7 +:040E14000CE79663EE +:040E15000FA0051312 +:040E1600CA6FE0EFD0 +:040E170045854512B6 +:040E1800A6FFF0EF52 +:040E19000171478399 +:040E1A00C63EEB8164 +:040E1B00CBCFE0EF6A +:040E1C0047B247058D +:040E1D00FEE504E307 +:040E1E0024236765BD +:040E1F00C3CDD80760 +:040E2000472247829C +:040E210007858C8134 +:040E22005793C03EE4 +:040E230097BA0084F6 +:040E240092E3C43E53 +:040E2500D0EFEC041A +:040E260067E1AF0FC2 +:040E2700464565D9FE +:040E28006005859349 +:040E29007F47851367 +:040E2A002F7020EF16 +:040E2B00A8A1450530 +:040E2C000007450373 +:040E2D00C63EC836BF +:040E2E00D0EFC23A05 +:040E2F0047129FCFF8 +:040E300047B246C2BD +:040E310000A70023F3 +:040E3200BD5D070596 +:040E330007B3478D2D +:040E3400C03E40F08C +:040E3500AB2FD0EF20 +:040E360047054782A3 +:040E370004E78463E5 +:040E38000690071306 +:040E3900E2E78DE37C +:040E3A009163572940 +:040E3B0065D904E78A +:040E3C005E05859337 +:040E3D00464567E1DE +:040E3E007F47851352 +:040E3F002A3020EF46 +:040E400005136539F8 +:040E41002083EAD54B +:040E42002403220162 +:040E4300248321C122 +:040E440001132181F4 +:040E45008082224144 +:040E4600BF554789C4 +:040E4700BF454795C7 +:040E4800B7754791A2 +:040E4900859365D94F +:040E4A00B7E95D05A2 +:040E4B00859365D94D +:040E4C00B7C95C05C1 +:040E4D00FDC10113CF +:040E4E00D006CC26D8 +:040E4F00C42ACE22C1 +:040E5000C03284AE7A +:040E51004782C236DC +:040E52004501E399DA +:040E53004402A015A0 +:040E540020000793E0 +:040E55000087F463BB +:040E56002000041361 +:040E5700451245A259 +:040E58000104161368 +:040E5900F0EF8241F3 +:040E5A00C909A53FDE +:040E5B0040A005337B +:040E5C00447250820A +:040E5D00011344E257 +:040E5E00808202414B +:040E5F000FF4F79302 +:040E60000084961361 +:040E61004792CB9D4C +:040E6200008786B3CC +:040E630004D79163BC +:040E6400469267E566 +:040E6500D6C7A50344 +:040E66000084D5939C +:040E670005C2872217 +:040E6800881FC0EF30 +:040E690047A2F57136 +:040E6A00C43E0785F6 +:040E6B000084579315 +:040E6C00478294BE67 +:040E6D00C03E8F8173 +:040E6E0067E5B77904 +:040E6F00D6C7A5033A +:040E7000C63285B24F +:040E7100805FC0EFEF +:040E7200DD554632D2 +:040E7300C503B755A7 +:040E7400CA36000773 +:040E7500C63EC8327B +:040E76008DEFD0EF3D +:040E770046D247B266 +:040E7800802346424B +:040E7900078500A742 +:040E7A000113B75554 +:040E7B0000C8DB01CF +:040E7C0024112623F4 +:040E7D002481242385 +:040E7E002491222376 +:040E7F00A4FFF0EFED +:040E8000D0EF842A01 +:040E810007B3984FCC +:040E82001A6340802F +:040E830046111C04F4 +:040E8400104800CC46 +:040E850018B020EF92 +:040E8600461165D9D3 +:040E87006885859362 +:040E880020EF1048FF +:040E8900C91D14F07B +:040E8A000793440D79 +:040E8B00C23E06401D +:040E8C00956FD0EF9F +:040E8D00071347926E +:040E8E004D6306802A +:040E8F00071300F74E +:040E90004463063081 +:040E9100071330F71C +:040E92008B63F3403B +:040E9300470536E7F2 +:040E940036E78C634E +:040E9500859365D903 +:040E9600A6395C0518 +:040E970004815783F8 +:040E980004A1059319 +:040E99000513461DDA +:040E9A00142302A17A +:040E9B0020EF02F151 +:040E9C005783131055 +:040E9D0008A3052180 +:040E9E00102302011A +:040E9F00578302F182 +:040EA00011230541D4 +:040EA100550202F103 +:040EA20086AFD0EF58 +:040EA300056157830B +:040EA400C22ADA2A5A +:040EA50002F1102323 +:040EA60005815783E8 +:040EA70002F1112320 +:040EA800D0EF550230 +:040EA9005783850FD7 +:040EAA00DC2A05A198 +:040EAB0002F110231D +:040EAC0005C15783A2 +:040EAD0002F111231A +:040EAE00D0EF55022A +:040EAF00DE2A838F25 +:040EB00024012503F1 +:040EB10082EFD0EF0D +:040EB200C0AA4592FB +:040EB3001E20079363 +:040EB400FE6587133D +:040EB5000CE7E763FC +:040EB60000C8460525 +:040EB70083AFD0EF46 +:040EB8000663478600 +:040EB900440D00F5EF +:040EBA000660079334 +:040EBB006461B7892E +:040EBC00061366595A +:040EBD0045C56906B8 +:040EBE007E04051396 +:040EBF0069F010EFD7 +:040EC00067E156E2AE +:040EC10085136659D6 +:040EC20006137F474D +:040EC30045C56A06B1 +:040EC40068B010EF13 +:040EC500E0EF450510 +:040EC60057E28FFF61 +:040EC7004501470199 +:040EC80057F2C23EDD +:040EC9004792C43E4A +:040ECA0008F7616361 +:040ECB00966347A241 +:040ECC00478320A791 +:040ECD00468302A1B5 +:040ECE004703028153 +:040ECF00CBD50291EC +:040ED000879367DDC0 +:040ED10006134607B7 +:040ED200C03202A187 +:040ED3000613665943 +:040ED40045C56AC6E0 +:040ED5007E0405137F +:040ED600643010EF85 +:040ED70065D967E191 +:040ED8008593464573 +:040ED90085137045C8 +:040EDA00C0EF7F479F +:040EDB004505A5DF45 +:040EDC00E0EF64E5FA +:040EDD0084938A3F31 +:040EDE0016B780843F +:040EDF00A7830002E3 +:040EE000D7030D0621 +:040EE10007C2000440 +:040EE200086383C15D +:040EE300D70306F734 +:040EE400086300247B +:040EE50065091AF78A +:040EE600710505137A +:040EE700D62FC0EF53 +:040EE800440DBFE90D +:040EE9000650079315 +:040EEA004792B5591D +:040EEB0040E786B3A3 +:040EEC002000079348 +:040EED0000D7F463D3 +:040EEE002000069347 +:040EEF002007049341 +:040EF000010696134E +:040EF100D5938241D2 +:040EF20000C80094A0 +:040EF300C636C83AFD +:040EF400FE8FF0EF8E +:040EF500474246B278 +:040EF60007B3C50970 +:040EF700440D40A0C6 +:040EF8003613B5B93F +:040EF90085B60017A3 +:040EFA00C0EF00C87D +:040EFB008726F2DF75 +:040EFC0067D9BF1DD6 +:040EFD004B07879385 +:040EFE00D0EFB7B9C1 +:040EFF006765B5BFAF +:040F0000D8E7071314 +:040F0100000757830B +:040F0200000216B71C +:040F0300E793650902 +:040F0400102300278F +:040F0500A02300F72E +:040F060005130EF6CB +:040F0700C0EF7105C1 +:040F080065D9CE0FCA +:040F09007E0405134A +:040F0A008593464540 +:040F0B0020EF6B85E3 +:040F0C00440D770019 +:040F0D0064D967E15B +:040F0E007F47851381 +:040F0F00859346453B +:040F100020EF6C441E +:040F1100450575C05D +:040F1200FCCFE0EF41 +:040F130000D456624E +:040F140045054581C9 +:040F1500CE1FF0EF0C +:040F16001AE387AAA9 +:040F170067E1DC05AD +:040F1800464565D90C +:040F19006D4585930A +:040F1A007E078513B6 +:040F1B00732020EF30 +:040F1C00859367E171 +:040F1D0085136C4488 +:040F1E0046457F477E +:040F1F00722020EF2D +:040F2000E0EF4505B4 +:040F210057E2F92F6B +:040F220045014481C0 +:040F230057F2C23E81 +:040F240067E1C43E7F +:040F25004792C63EEB +:040F260002F4EF637F +:040F270007934722C3 +:040F280016E3F34099 +:040F2900C0EFD8A796 +:040F2A0047B2EE1FBD +:040F2B00464565D9F9 +:040F2C0071858593B3 +:040F2D007E078513A3 +:040F2E0090FFC0EF81 +:040F2F0065D967E138 +:040F3000859346451A +:040F310085136E4571 +:040F320020EF7F47E6 +:040F330045056D40C3 +:040F3400F44FE0EFA7 +:040F35004792A0013E +:040F3600409786B3A7 +:040F3700100007930C +:040F380000D7F46387 +:040F3900100006930B +:040F3A00A50367E5BF +:040F3B0000D0D6C745 +:040F3C00C83685A688 +:040F3D00EAEFC0EF28 +:040F3E0000D046C2D7 +:040F3F0005B387AAC5 +:040F4000450300D68F +:040F4100CE360006A2 +:040F4200CA3ECC2EA9 +:040F4300C0EFC83201 +:040F44004642DA9FA8 +:040F450047D245E268 +:040F460000A60023DE +:040F470046F2060563 +:040F4800FEC591E36E +:040F4900D00795E355 +:040F4A000014B613C6 +:040F4B0000C885B69F +:040F4C00DE7FC0EF95 +:040F4D001004849375 +:040F4E00440DBFB9D6 +:040F4F00067007938E +:040F5000440DB1FD9E +:040F5100068007937C +:040F52008713B1DD73 +:040F5300468DF9B717 +:040F540008E6E06368 +:040F5500070A66D948 +:040F560072C6869346 +:040F5700431897366E +:040F580065D98702CE +:040F59006785859390 +:040F5A0067E1C23E4B +:040F5B007F47851334 +:040F5C0020EF4645F7 +:040F5D00450562C024 +:040F5E00E9CFE0EF08 +:040F5F00000F453703 +:040F6000240505134C +:040F6100B7AFC0EF77 +:040F6200D763479278 +:040F630055630407C7 +:040F64006659048046 +:040F650006136561A9 +:040F660045C56F46C8 +:040F67007E050513EB +:040F68003FB010EF97 +:040F6900B579147DC5 +:040F6A00859365D92D +:040F6B00BF6D6305EE +:040F6C00859365D92B +:040F6D00BF4D64050B +:040F6E00859365D929 +:040F6F00B76D6545B0 +:040F7000859365D927 +:040F7100B74D61C552 +:040F7200859365D925 +:040F7300BF695D05F0 +:040F7400859365D923 +:040F7500BF49668585 +:040F7600CC3FD0EFAD +:040F770024C12083EE +:040F780024812403A9 +:040F79002441248368 +:040F7A000113557D8D +:040F7B00808225014A +:040F7C00DDC10113BF +:040F7D0020230828FD +:040F7E002E232211EB +:040F7F002C2320817E +:040F8000F0EF2091DD +:040F8100C02AE48F0F +:040F8200D7FFC0EFE6 +:040F8300E931450209 +:040F840065D9646166 +:040F850085934645C5 +:040F86000513758555 +:040F8700C0EF7F44F4 +:040F88004509FA8F8E +:040F8900DF0FE0EFA7 +:040F8A006465C222B6 +:040F8B008084041347 +:040F8C00000217B791 +:040F8D000D07A78322 +:040F8E000004570301 +:040F8F0083C107C251 +:040F900004F70463FB +:040F910000245703DE +:040F920002F71A63E5 +:040F930065D9479243 +:040F940085934645B6 +:040F950085135B4520 +:040F960020EF7F4782 +:040F970005135440AA +:040F9800C02A0680E5 +:040F9900D23FC0EF94 +:040F9A00220120838D +:040F9B0021C1240349 +:040F9C002483450263 +:040F9D00011321819A +:040F9E0080822241EA +:040F9F0005136509C8 +:040FA000C0EF710528 +:040FA100B76DA7CFB2 +:040FA20065D9479234 +:040FA3008513464527 +:040FA40085937F476B +:040FA50020EF73C501 +:040FA6004509508029 +:040FA700D78FE0EF11 +:040FA800FFF0073718 +:040FA9002007079383 +:040FAA006485C00298 +:040FAB0047A2C43E57 +:040FAC000084941316 +:040FAD00943E462DFB +:040FAE0085A284256F +:040FAF00F0EF0068F7 +:040FB000C501CFAFF9 +:040FB10040A0053324 +:040FB20065D9BF69D5 +:040FB300518585934C +:040FB400C0EF006822 +:040FB500ED15F40F33 +:040FB60001414703AB +:040FB7004683EB1D65 +:040FB80007130151C9 +:040FB90096630580B6 +:040FBA00468302E682 +:040FBB004705016184 +:040FBC0002D7616394 +:040FBD004DE00613EA +:040FBE0000E6846362 +:040FBF0005700613A0 +:040FC00085A60834C6 +:040FC100F0EF8522A6 +:040FC200FD21A2FF6C +:040FC30007854782D5 +:040FC4008493C03E14 +:040FC50067091004A4 +:040FC600F8E49BE3CD +:040FC700453D4581DE +:040FC8008BAFE0EF1C +:040FC900C70367E50E +:040FCA0067E1D907FB +:040FCB007D47879344 +:040FCC00C50397BA08 +:040FCD004581000753 +:040FCE008F2367E521 +:040FCF00E0EFD6A7D2 +:040FD000479289CFEC +:040FD1006659468295 +:040FD2007486061308 +:040FD300851345C578 +:040FD40010EF7F4754 +:040FD500450524901A +:040FD6000113B72923 +:040FD700CD06F6410C +:040FD800C926CB2239 +:040FD90000021437C7 +:040FDA00A04FC0EF75 +:040FDB000493C62A8B +:040FDC0047C50804F9 +:040FDD002023D0BC41 +:040FDE0027B70E041F +:040FDF00A623000243 +:040FE000AA23000739 +:040FE1006789000715 +:040FE20071078513FB +:040FE300972FC0EF95 +:040FE400871366E524 +:040FE5000793D8E6B0 +:040FE60010231D10A7 +:040FE700D0BC00F783 +:040FE800D0EF450100 +:040FE9001537811F18 +:040FEA0005130003E8 +:040FEB00C0EFD4057A +:040FEC002637950F00 +:040FED0005B700063E +:040FEE000613019C49 +:040FEF008593A80638 +:040FF0000513CC0514 +:040FF100C0EF040445 +:040FF20067E5CD4F93 +:040FF300D8E78713A1 +:040FF4000007578318 +:040FF500F7934551D8 +:040FF60007C2F9F73E +:040FF700102383C17F +:040FF800D0BC00F772 +:040FF90091AFC0EF05 +:040FFA00051345D1C5 +:040FFB00D0EF0380B0 +:040FFC0045D1F3AF39 +:040FFD000390051345 +:040FFE00F30FD0EF2E +:040FFF00455145D142 +:04100000F28FD0EFAC +:04100100051345D1BD +:04100200D0EF071014 +:0410030045D1F1EFF3 +:0410040005E00513EB +:04100500F14FD0EFE8 +:04100600051345D1B8 +:04100700D0EF06D050 +:0410080045D1F0AF2F +:04100900D0EF4531AE +:04100A000593F02F2B +:04100B004505320065 +:04100C00EF8FD0EFA3 +:04100D00451945D16B +:04100E00EF0FD0EF21 +:04100F003200059313 +:04101000D0EF4509CF +:0410110067E5EE6F32 +:04101200D8E7871381 +:0410130000075783F8 +:0410140045814601CB +:041015000407E79352 +:0410160000F71023AC +:041017000513D0BC31 +:04101800C0EF04041D +:041019004529C9CFCD +:04101A00896FC0EF2B +:04101B00450545E161 +:04101C00C37FC0EFDF +:04101D00450945E15B +:04101E00C2FFC0EF5E +:04101F00450D45E155 +:04102000C27FC0EFDC +:04102100F5DFC0EF48 +:04102200871366E5E5 +:0410230047E1D8E6E3 +:041024001A63C83A49 +:04102500450158F534 +:04102600C4FFC0EF54 +:041027000FF007932C +:041028005AF50A6308 +:041029001A8087B7EB +:04102A000807879399 +:04102B006789DABE39 +:04102C00A1A787935E +:04102D0006F11C2389 +:04102E000D2347A1A6 +:04102F00D0EF06F107 +:041030004569E96FB6 +:04103100C23FC0EF0B +:041032000F5575934E +:0410330000A5E5939C +:04103400C0EF45695B +:041035004585C5FF29 +:04103600035005134B +:04103700C55FC0EFE2 +:041038000513655DDA +:04103900C0EF4CC5F3 +:04103A004501DB1F72 +:04103B00E9FFC0EF1A +:04103C0005134599BA +:04103D00C0EF03D02D +:04103E000593C3BF94 +:04103F0045450440DF +:04104000C31FC0EF1B +:041041004549458553 +:04104200C29FC0EF9A +:04104300454D458151 +:04104400C21FC0EF18 +:04104500C0EF18C818 +:041046004509C5DFB4 +:04104700A87FC0EFCF +:041048000FF5751318 +:04104900196347CD13 +:04104A0064E152F516 +:04104B007604849310 +:04104C000024C58334 +:04104D00C0EF451596 +:04104E00C783AAFFAB +:04104F0005930024E1 +:04105000451103D073 +:041051009713839DD1 +:04105200C783002729 +:041053009BED00C44D +:0410540086238FD987 +:04105500C0EF00F4F4 +:041056000513A8FFD7 +:04105700B0EF3E8038 +:0410580045F5FA1F41 +:04105900C0EF45118E +:04105A000593A7FF54 +:04105B000513030076 +:04105C00C0EF0610CB +:04105D000593A73F11 +:04105E0045250B20F9 +:04105F00A69FC0EF99 +:041060000F80059365 +:04106100C0EF45296E +:041062000593A5FF4E +:04106300452D0370A4 +:04106400A55FC0EFD5 +:04106500453D45813F +:04106600A4DFC0EF54 +:0410670005134581A7 +:04106800C0EF0C9039 +:041069004581A43FDA +:04106A000CA00513BE +:04106B00A39FC0EF90 +:04106C0005134581A2 +:04106D00C0EF0CB014 +:04106E004581A2FF17 +:04106F000CC0051399 +:04107000A25FC0EFCC +:04107100051345819D +:04107200C0EF0CD0EF +:041073004581A1BF53 +:041074000CE0051374 +:04107500A11FC0EF08 +:041076000513458198 +:04107700C0EF0CF0CA +:041078004581A07F8F +:041079000D0005134E +:04107A009FDFC0EF45 +:04107B000044C583E5 +:04107C000E1005133A +:04107D009F1FC0EF02 +:04107E00059346018F +:04107F00051304A0B1 +:04108000C0EF0404B5 +:041081004601AC2F49 +:041082000513459578 +:04108300C0EF0404B2 +:041084004605AF0F5F +:0410850004A005932B +:041086000404051346 +:04108700AA8FC0EF7D +:041088000513458582 +:04108900C0EF0404AC +:04108A000793ABEF2E +:04108B001663086080 +:04108C00059304F5CF +:04108D00051309003E +:04108E00C0EF0200AD +:04108F004581A2DF16 +:041090000210051332 +:04109100A23FC0EFCB +:04109200051345817C +:04109300C0EF022088 +:04109400458DA19F46 +:04109500023005130D +:04109600A0FFC0EF08 +:041097000513458177 +:04109800C0EF028023 +:041099000593A05FBC +:04109A000513030037 +:04109B00C0EF07108B +:04109C0067E59F9FC6 +:04109D008E23470552 +:04109E0067E1D6E749 +:04109F006FC7A783ED +:0410A0002623676537 +:0410A1008B63D6F790 +:0410A200D0EF3C0748 +:0410A30062E1E0AF77 +:0410A40077028393B9 +:0410A500851365DD6D +:0410A600061301E349 +:0410A70085930460C9 +:0410A800C0EF600530 +:0410A9006365B24F7A +:0410AA00051364DDE9 +:0410AB000613808325 +:0410AC0085930360C5 +:0410AD00C0EF5C84B0 +:0410AE004581B10FB8 +:0410AF00D0EF453DFC +:0410B0006665D1DFC1 +:0410B100D7E6450336 +:0410B20064654581AB +:0410B300D0FFD0EFAB +:0410B40067E165E1AA +:0410B5007285C683F7 +:0410B6007297C70363 +:0410B70047B766656C +:0410B8000AA3000285 +:0410B9000A23D6E64A +:0410BA008793D6D46E +:0410BB0043A8380707 +:0410BC00809370F9B4 +:0410BD0075337FF018 +:0410BE00C3A80015AE +:0410BF0070E943A8E9 +:0410C000753310FD77 +:0410C100C3A80015AB +:0410C20036B343A856 +:0410C3008B0D00D0C1 +:0410C400F1F57513BA +:0410C50006056513A4 +:0410C60043A8C3A8D0 +:0410C7007513070E88 +:0410C80065138FF528 +:0410C900C3A8300583 +:0410CA00997943A825 +:0410CB00C3B48EC953 +:0410CC00450143B4E3 +:0410CD008F559A9D04 +:0410CE0043B4C3B8AC +:0410CF00177D7721F1 +:0410D00066A18F7511 +:0410D100C3B88F55BC +:0410D2004789676182 +:0410D3007707039305 +:0410D40000F388A3FA +:0410D500940FD0EFB5 +:0410D600000217B746 +:0410D7000D07A70357 +:0410D80007B762E113 +:0410D90086930002F8 +:0410DA0066657702CE +:0410DB0067618FF9C1 +:0410DC0072970713ED +:0410DD000693C4367C +:0410DE006665D7E686 +:0410DF000713CABA6F +:0410E000C2BAD75663 +:0410E1000713676525 +:0410E200CA3AD80727 +:0410E3000713676523 +:0410E40065E1D607E5 +:0410E500D23AD636EF +:0410E60072858693F6 +:0410E7006365676571 +:0410E8000713C8B66C +:0410E9000693D6474D +:0410EA00DE1AD744EF +:0410EB00C0B6CE2697 +:0410EC009263D43AFD +:0410ED0066DD1407A1 +:0410EE0055C6871349 +:0410EF00CC3A448132 +:0410F00065D96461F9 +:0410F1008593464558 +:0410F2000513774526 +:0410F300D03E7E0469 +:0410F4007CF010EF8D +:0410F500969347E2A5 +:0410F600656100240C +:0410F700428C96BED3 +:0410F8000513464551 +:0410F90010EF7F4530 +:0410FA0046377B906A +:0410FB002683000246 +:0410FC0045053C0664 +:0410FD000046E69330 +:0410FE003CD6202399 +:0410FF00818FE0EF0E +:04110000468157824B +:04110100000216379B +:041102000D062503AE +:04110300177D6741AC +:0411040000E575B3DA +:041105000337475213 +:04110600C30C000313 +:041107000D062603A8 +:041108004613571221 +:041109007633FFF644 +:04110A00C3100066A8 +:04110B008763C985A8 +:04110C0067E502F59C +:04110D0080878793BD +:04110E000014961320 +:04110F00EAAD97B2FC +:04111000902365D9EA +:04111100464500A7A8 +:0411120077C5859385 +:041113007E0405133E +:0411140074F010EF74 +:04111500D0EF4505CD +:041116004685FBFF10 +:04111700A303579245 +:0411180057A20007D3 +:04111900E39D439C73 +:04111A00116367C135 +:04111B00E0BD02F33E +:04111C00061347F27D +:04111D00D01A036081 +:04111E005C878593D2 +:04111F0044ED57F252 +:04112000808785132C +:04112100942FC0EF58 +:0411220046895302A5 +:0411230047D2572236 +:041124002023460935 +:04112500D836006751 +:041126008063439C03 +:04112700650904C68C +:041128007105051335 +:04112900B0EFD03E15 +:04112A005782C59F84 +:04112B00BF9956C250 +:04112C000007D7835E +:04112D0083E3468989 +:04112E0065D9FAF590 +:04112F008593464519 +:0411300005137845E6 +:0411310010EF7E0439 +:0411320045056D9072 +:04113300F49FD0EF66 +:04113400B7694681D0 +:04113500468914F9DA +:041136000485BF5518 +:04113700D1E346E9D1 +:04113800453DEE96AD +:0411390085DFD0EF8F +:04113A000002473731 +:04113B003C072783C3 +:04113C0020239BEDE4 +:04113D0047B23CF782 +:04113E00665964E5A5 +:04113F0000A7D5131D +:0411400012C007933F +:0411410002F507B3F9 +:0411420005900713FA +:0411430006134681C8 +:0411440045C57946DE +:041145008404851386 +:04114600C6BE646558 +:0411470047E010EF7E +:04114800464565DDD6 +:0411490087C585933E +:04114A0085440513C0 +:04114B0089AFC0EFB9 +:04114C00E0EF450586 +:04114D00A537946FBF +:04114E00051300077E +:04114F00B0EF1205E6 +:04115000B0EFBC1F21 +:041151004799BE1FDD +:04115200CE02D03EBB +:04115300D802CC02F0 +:04115400CEA2CCA6B5 +:04115500000217B7C6 +:041156000D07A78357 +:04115700674146D2D4 +:041158008F7D177DF3 +:04115900C693C298DF +:04115A000737FFF75D +:04115B008F75000389 +:04115C00D6135692BE +:04115D0087B20187CD +:04115E0066E5C298E8 +:04115F00D846869355 +:041160001679CA1919 +:041161000FF67613FC +:04116200F663458D5E +:04116300C60300C5FA +:041164001563000609 +:04116500465200F6F8 +:04116600000620233C +:0411670000F68023EB +:04116800439C57A2AB +:0411690057A2EFD5C5 +:04116A006465C3985D +:04116B00D5F40413A0 +:04116C0000044783B1 +:04116D000E07866380 +:04116E00C78347A24A +:04116F00816301C7D0 +:0411700047A20E077D +:041171004703676564 +:04117200C783D72731 +:041173008FD900B759 +:041174000FF7F793E7 +:04117500B0EFE7F1FF +:0411760047B6B71FA2 +:041177000CF56263AE +:041178000793477220 +:04117900ED630630EC +:04117A0046830AE7B7 +:04117B0047850004A0 +:04117C008763470539 +:04117D00578200F69F +:04117E00FFA787132D +:04117F0000E0373322 +:0411800046A147A29B +:0411810001C7C78358 +:04118200F79317FDCB +:04118300E7630FF718 +:0411840066DD06F628 +:04118500898686933E +:0411860097B6078A87 +:0411870067E5439441 +:04118800D907879369 +:0411890056F986820B +:04118A0065656659D8 +:04118B007A86061347 +:04118C00051345C53D +:04118D0010EF8405D6 +:04118E0065D93640A9 +:04118F004645656507 +:041190004B058593F3 +:041191008545051378 +:04119200557010EF95 +:04119300E0EF45053F +:04119400A00182AF85 +:04119500BFC956F583 +:04119600B7F956F15E +:04119700B7E956FD61 +:04119800C39857A2FF +:04119900A0235792A6 +:04119A00B781000712 +:04119B00470367653A +:04119C003733D5D739 +:04119D00070900E05E +:04119E0000E78023C3 +:04119F00570247F2BA +:0411A000F793078535 +:0411A100CE3E0FF738 +:0411A20007634799FF +:0411A300079300F7B7 +:0411A400F7930017A6 +:0411A500D03E0FF732 +:0411A600A8BFB0EF3F +:0411A700CC3E47856E +:0411A80006B10793F2 +:0411A900DABE6465E1 +:0411AA0088440793DB +:0411AB00019787130E +:0411AC0065DDDCBA67 +:0411AD0001A78713FC +:0411AE00461DDEBA42 +:0411AF0001B78713EA +:0411B0008905859395 +:0411B10010E807F14A +:0411B200C33EC13A3D +:0411B30005A3C53E8D +:0411B400B0EF060191 +:0411B50047A2EF5FFF +:0411B60088440693D0 +:0411B700C783C636EE +:0411B800468501C7A0 +:0411B900DA364725B6 +:0411BA0000E7866361 +:0411BB00F79307851A +:0411BC00DA3E0FF711 +:0411BD00468147D24E +:0411BE00439045E92C +:0411BF00879357F2C9 +:0411C000D70380874A +:0411C1001A630007A6 +:0411C20047E904E60F +:0411C30054D7E263B8 +:0411C4009793675D39 +:0411C50007130026E6 +:0411C60097BA8BC782 +:0411C7008782439C3C +:0411C800E719468558 +:0411C9004683676191 +:0411CA00B6937187E0 +:0411CB000691001673 +:0411CC0000D78023A5 +:0411CD004699B7A1E7 +:0411CE00C683DF6590 +:0411CF00BFCD000789 +:0411D000BF1D471DDB +:0411D1004703676504 +:0411D2003733D5E7F3 +:0411D300072100E010 +:0411D4004699B7255C +:0411D5004685FF71DB +:0411D6000789BFE1E5 +:0411D70026B687E3CE +:0411D800B74506858C +:0411D90047424785BD +:0411DA00570346C2AF +:0411DB0047130007AF +:0411DC009023100745 +:0411DD00571200E6BF +:0411DE00431866C18B +:0411DF00C2918EF932 +:0411E00006B757D225 +:0411E1008F75000204 +:0411E2004732CF11B0 +:0411E30046814605F6 +:0411E40000074703B6 +:0411E50000E6656358 +:0411E60076930705F0 +:0411E70047320FF785 +:0411E80000D7002309 +:0411E90047296465C9 +:0411EA000413DA020E +:0411EB008663D9043A +:0411EC00002300E7F5 +:0411ED00478500F43E +:0411EE0047C2DA3EDC +:0411EF00D783474219 +:0411F0009BCD00078C +:0411F10083C107C2ED +:0411F20000F71023CF +:0411F3004683676563 +:0411F4000713D727DF +:0411F500DC3AD727E2 +:0411F6006765EA89B6 +:0411F700D7074703CC +:0411F8008FD9070A7A +:0411F9001023474236 +:0411FA0047C200F7F1 +:0411FB000007D7030F +:0411FC00000217B71F +:0411FD000EE7A02336 +:0411FE00C78357E26A +:0411FF00C78100079D +:04120000E0EF4501D5 +:0412010047A2819FE0 +:04120200000447039A +:0412030001C7C783D5 +:0412040000E7846318 +:04120500E79957D23C +:04120600CF8547E267 +:04120700C78347A2B0 +:04120800CB8500B7DB +:04120900C78367E54B +:04120A00CC3ED7D728 +:04120B0067E1C39D37 +:04120C007D47879300 +:04120D00C50397BAC4 +:04120E0057B20007CC +:04120F00C783CC02C3 +:0412100088630007E8 +:0412110057B200A729 +:04121200802345816F +:04121300D0EF00A771 +:041214004722F8CFA6 +:041215000004478307 +:0412160047036465C1 +:04121700041301C7F4 +:041218001F63D92453 +:04121900468300F711 +:04121A00470900047C +:04121B001CD7726307 +:04121C00468347328C +:04121D00472203471A +:04121E000527470356 +:04121F001AE68A63DE +:04122000869364E568 +:0412210088A3D914B1 +:041222000023D804C9 +:04122300470D00046F +:0412240073E3C4B6F6 +:04122500473216F73F +:0412260046834605B0 +:0412270099E30347FD +:04122800861312C651 +:041229007613FFA792 +:04122A00448D0FF6EA +:04122B0000C6F463A2 +:04122C000DC0106F72 +:04122D00FFF786132E +:04122E000FF67593AF +:04122F006163462190 +:04123000665D02B63F +:041231004946061311 +:041232004703962EAA +:041233004619000652 +:0412340083E3D83A3E +:0412350065E314C792 +:04123600460512F661 +:0412370012C78AE36D +:04123800D0B64722C3 +:0412390000F70E2389 +:04123A00000705A301 +:04123B00EF4FC0EFC2 +:04123C004789568602 +:04123D000D85759313 +:04123E0012F694E32D +:04123F000015E5931E +:04124000D0AE4505E2 +:04124100BA2FC0EF11 +:04124200450955867F +:04124300B9AFC0EF90 +:04124400450D558679 +:04124500B92FC0EF0E +:04124600E3DFC0EF33 +:04124700B04FC0EFF5 +:04124800C78367E50C +:04124900CF91D7C7A3 +:04124A0095B345858E +:04124B00F593009582 +:04124C0045190FF53C +:04124D00C0EFD0AE70 +:04124E005586B30FFF +:04124F00C0EF451D8A +:0412500047A6B28F6C +:0412510000044483CE +:04125200C703458108 +:0412530047890007C0 +:041254000097E663B6 +:041255000064959309 +:0412560085E105E247 +:0412570000471793A2 +:0412580000271693C2 +:041259008FD98FD5C5 +:04125A00F5938DDD9E +:04125B0045650FF5E1 +:04125C00BC0FC0EF14 +:04125D00C0EF454158 +:04125E005742B70F2D +:04125F007593478DAF +:0412600014630F857F +:04126100E59300F71A +:0412620045410055AD +:04126300BA4FC0EFCF +:04126400059347891E +:04126500F96305B074 +:041266004791009715 +:0412670005200593C6 +:041268000097F46394 +:0412690005300593B4 +:04126A00C0EF453953 +:04126B000513B86F40 +:04126C00B0EF3E8021 +:04126D004551F4CF24 +:04126E00B2EFC0EF2C +:04126F00478D57420E +:04127000036345C10E +:04127100458100F7BC +:04127200C0EF456123 +:041273004581B66F8C +:0412740003600513FB +:04127500B5CFC0EF42 +:04127600464547A200 +:041277000007A223A7 +:0412780001C7C78360 +:0412790000279713A0 +:04127A00879367DD12 +:04127B0097BA4A07CD +:04127C0047E6438C72 +:04127D00840785134A +:04127E001A7010EFE3 +:04127F0065DD47F6EC +:041280008513464547 +:041281008593854785 +:0412820010EF8705DD +:0412830045051950B4 +:04128400C69FD0EF42 +:04128500CF8957D2E4 +:04128600C70367E54E +:0412870047A9D68716 +:0412880000F71563F3 +:04128900D0EF453D20 +:04128A00B0EFB1AF61 +:04128B00CE02EF8F11 +:04128C00C70347B29B +:04128D0047A202373B +:04128E000417C783F7 +:04128F0002F70763F8 +:04129000468147A2AA +:04129100C50346014A +:04129200458101177A +:0412930091FFC0EF18 +:04129400C50347B295 +:04129500C0EF02376D +:0412960047B2A3FFB9 +:04129700C7834722A0 +:0412980022230237D4 +:0412990000A30007A7 +:04129A0047B204F75C +:04129B000237C583CE +:04129C008A63478991 +:04129D0047B202F55D +:04129E000247C6033A +:04129F00C78347A218 +:0412A000826304273A +:0412A10047A202C797 +:0412A2000117C50368 +:0412A3004589C19127 +:0412A400C68347A214 +:0412A500C0EF00F79F +:0412A60047B28D5F5F +:0412A700C783472290 +:0412A80001230247D5 +:0412A90047B204F74D +:0412AA00C78347228D +:0412AB0047030347AB +:0412AC0005630527AA +:0412AD00472200F7DD +:0412AE0004F7092315 +:0412AF00470647C6E1 +:0412B0000007C683EA +:0412B10000074703E8 +:0412B200C78347D6D1 +:0412B30017630007B6 +:0412B400471600D702 +:0412B50000074703E4 +:0412B60004F70463D2 +:0412B700363347067D +:0412B800002300D03F +:0412B900471600D7FD +:0412BA0000F7002316 +:0412BB0000024737AF +:0412BC0038070713D5 +:0412BD008B8D43349E +:0412BE009AF9078E04 +:0412BF00C3348ED1D5 +:0412C0009A9D43347C +:0412C100C33C8FD5C6 +:0412C200C78357E2A5 +:0412C300CB890007CC +:0412C400A02347D24A +:0412C500C0EF00076F +:0412C6004505F85F83 +:0412C700CFEFE0EF96 +:0412C800C78347A2EF +:0412C90083E301C7F3 +:0412CA004403600772 +:0412CB0067E10004D3 +:0412CC006A07879393 +:0412CD0000022737BD +:0412CE00C46343149E +:0412CF0017FD000601 +:0412D0004551FFE5A0 +:0412D1009A2FC0EFA1 +:0412D200F3634791EA +:0412D30075136E879A +:0412D4000513088571 +:0412D5003313F78553 +:0412D60047A2001516 +:0412D70000B7C40395 +:0412D80027B7C4115F +:0412D900438000024C +:0412DA00880D8041BA +:0412DB000370051384 +:0412DC00C0EFC49A01 +:0412DD00DA2A974F23 +:0412DE000380051371 +:0412DF0096AFC0EF17 +:0412E00084AA57D2B3 +:0412E100008517135A +:0412E2000513650586 +:0412E3008F69F0051A +:0412E400DC3A8F5D04 +:0412E500D793472232 +:0412E6008B85006490 +:0412E7000390051358 +:0412E80000F704A364 +:0412E900942FC0EF8F +:0412EA000513DA2AE4 +:0412EB00C0EF03A0AD +:0412EC0027B7938FFE +:0412ED00439C00021C +:0412EE0052D2468909 +:0412EF00576283C9F6 +:0412F000F793432607 +:0412F10008637FF718 +:0412F20046A268D4D4 +:0412F30000B6C683F8 +:0412F4000693E689EE +:0412F500F06318F09A +:0412F600468568E6DB +:0412F70066D419633D +:0412F8000017871341 +:0412F9001907359309 +:0412FA000015C59383 +:0412FB00AD8D4681EE +:0412FC00BE954789CB +:0412FD00BE85478DD6 +:0412FE00B6B54791A9 +:0412FF00B6A54795B4 +:04130000B6954799BE +:04130100B685479DC9 +:04130200BEB147A190 +:04130300BEA147A59B +:0413040007136765FF +:041305004683D7271D +:04130600463700075F +:04130700B793000296 +:0413080000230016A8 +:04130900971300F73F +:04130A00278300270E +:04130B009BED3C0614 +:04130C0020238FD932 +:04130D0057B23CF6A1 +:04130E000007C7030A +:04130F008FA367E55C +:041310009C63D6E71D +:04131100C0EF3606ED +:041312004505E55F49 +:04131300BCEFE0EF5C +:04131400BE1147A916 +:041315000002273774 +:04131600F693431CEB +:04131700C4B67FF7E2 +:0413180000B7D693B1 +:04131900DC368A85AF +:04131A00676543447C +:04131B00D727470386 +:04131C000613F3655C +:04131D0045813C00CA +:04131E00000245374D +:04131F00B0EFD0BE9D +:041320006659985F13 +:041321007B860613AE +:04132200453745C145 +:0413230000EF0002D5 +:0413240057B250D09C +:041325000002443747 +:04132600C6836659BB +:0413270006130007A2 +:0413280045C14CC6A9 +:0413290001040513A3 +:04132A004F3000EF51 +:04132B0004B247A21F +:04132C00C70380B1C2 +:04132D00C76900B7D5 +:04132E0006136659E3 +:04132F0045C17C46F2 +:04133000020405139B +:041331004D7000EF0C +:0413320046F147A297 +:04133300870366596D +:04133400061300A7F5 +:0413350045C152C696 +:0413360002D70733A0 +:04133700869366E54E +:0413380005138CC647 +:0413390096BA030459 +:04133A004B3000EF45 +:04133B0006136659D6 +:04133C0045C17D46E4 +:04133D00040405138C +:04133E004A3000EF42 +:04133F00051347A6A5 +:041340000713050486 +:0413410086930690F9 +:0413420057E2001757 +:0413430000F696B367 +:04134400578696BE74 +:0413450000B7D61304 +:041346008A05D2BE84 +:041347000713E2198D +:04134800063707005D +:041349008E7D000392 +:04134A0002A0079363 +:04134B000793E21909 +:04134C00C63702009E +:04134D000613A0EEF5 +:04134E005633B0065C +:04134F00059302966A +:0413500044370640D8 +:0413510073330002F0 +:04135200563302B656 +:04135300C21A02B602 +:04135400C03245C19D +:0413550006136659BC +:0413560000EF7E46E0 +:04135700665944107F +:041358007FC6061333 +:04135900051345C172 +:04135A0000EF060496 +:04135B00665D431078 +:04135C00061386A648 +:04135D0045C180C640 +:04135E000704051368 +:04135F0041F000EF6A +:04136000000244370C +:041361000613665DAC +:0413620045C18106FA +:041363000804051362 +:0413640040B000EFA6 +:041365000713665DA7 +:041366004681059027 +:0413670081C6061322 +:04136800051345C163 +:0413690000EF090484 +:04136A0007933F5056 +:04136B0043B8380447 +:04136C0000276713DC +:04136D002623C3B8B8 +:04136E00477D3C0477 +:04136F00C7B8C3F840 +:0413700047C2BD4172 +:04137100D783474295 +:04137200C793000716 +:04137300102301073B +:04137400BDBD00F704 +:04137500468547B2B0 +:04137600C7834701E1 +:04137700E563000723 +:04137800078500F6EF +:041379000FF7F71360 +:04137A00802347B2D3 +:04137B0067E500E73B +:04137C00D727C78325 +:04137D006461E7B10F +:04137E0024840413AC +:04137F004641400C97 +:0413800000024537EB +:0413810059A010EF70 +:04138200C70347B2A4 +:04138300481C0007FB +:0413840097BA070A03 +:041385004641438C0E +:0413860000024437E6 +:041387000204051344 +:0413880057E010EF2B +:041389003C04278376 +:04138A000027E793BE +:04138B003CF42023EB +:04138C003C042623D4 +:04138D002223478D43 +:04138E0024233CF4E4 +:04138F00BD093C0454 +:04139000C78367E5C3 +:041391009713D737A0 +:0413920067E10037D8 +:041393005B8787935A +:04139400676197BA3C +:04139500BC870713F7 +:041396009BE3439CF6 +:04139700C0EFDEE7DE +:04139800B3FDC3DFFF +:04139900468547B28C +:04139A00C7834701BD +:04139B00E5630017EF +:04139C00078500F6CB +:04139D000FF7F7133C +:04139E0080A347B22F +:04139F0067E500E717 +:0413A000D727C78301 +:0413A1006461FFD5AF +:0413A2002484041388 +:0413A30008C42583D2 +:0413A4004537464142 +:0413A50010EF000243 +:0413A60047B250807A +:0413A7000017C70361 +:0413A80009C42783CA +:0413A90047B2B7B5DB +:0413AA00C783475955 +:0413AB0090630067E4 +:0413AC00C78104E60B +:0413AD00F79317FD9E +:0413AE0047320FF7BC +:0413AF0000F703231D +:0413B000C78367E5A3 +:0413B100FFADD7278E +:0413B200041364615B +:0413B300484C2484FA +:0413B4004537464132 +:0413B50010EF000233 +:0413B60047324C80EE +:0413B7004503505C3E +:0413B80097820067B1 +:0413B900464165E163 +:0413BA007F45859353 +:0413BB0046B9B73543 +:0413BC00F363873E12 +:0413BD00473900F6B6 +:0413BE00070547B226 +:0413BF0000E783239D +:0413C00065DDB7C16F +:0413C10046456561D7 +:0413C2008285859308 +:0413C3007E0505138B +:0413C40048E010EFFE +:0413C50065DD64611D +:0413C6008593464580 +:0413C7000513838502 +:0413C80010EF7F445F +:0413C900473747C09B +:0413CA002783000273 +:0413CB0045053C0791 +:0413CC00E79364E55A +:0413CD002023004792 +:0413CE00D0EF3CF729 +:0413CF008493CDAF87 +:0413D00017B78CC4FB +:0413D100A70300026C +:0413D20067C10D07DB +:0413D3008F7D17FDF6 +:0413D400879367E5AF +:0413D5004681808746 +:0413D600D583466D08 +:0413D70006630007A2 +:0413D800068500B7CF +:0413D9009AE3078903 +:0413DA0047A2FEC662 +:0413DB00878347714C +:0413DC0087B300A72C +:0413DD00471502E7C7 +:0413DE004BDC97A6A7 +:0413DF00646383F5CB +:0413E000471102F7B8 +:0413E10006D7426386 +:0413E200973E091811 +:0413E300FDC74703F8 +:0413E40040D7573364 +:0413E500C7158B0598 +:0413E6000918078A51 +:0413E700A78397BA87 +:0413E8008023FE4719 +:0413E90067E500D7DD +:0413EA00D607892376 +:0413EB00000247377E +:0413EC003C07278310 +:0413ED0020239BED31 +:0413EE0045013CF782 +:0413EF00EBCFD0EF81 +:0413F000665DB9413C +:0413F1000613068554 +:0413F20045C5844623 +:0413F3007F4405131B +:0413F4001CB000EF3A +:0413F500D0EF4505EB +:0413F600A537C3EF65 +:0413F70005130007D3 +:0413F800B0EF12053B +:0413F900B7C191CF18 +:0413FA008EE347B186 +:0413FB006509FAF690 +:0413FC00710505135F +:0413FD0090AFB0EF0E +:0413FE0047A2B7A9A2 +:0413FF00646546716A +:0414000000A7878337 +:041401008CC407137D +:041402008CC404137F +:0414030002C7863363 +:041404004665973270 +:041405000167470331 +:0414060008C6996318 +:04140700C701467D56 +:041408007613177DC3 +:0414090046F10FF7A2 +:04140A0002D786B3CC +:04140B0096A26765D9 +:04140C0000C68B2368 +:04140D0003234685EA +:04140E006765DAD75D +:04140F00DA7747033E +:0414100000E79B63F3 +:0414110087B34771E5 +:0414120097A202E7B4 +:041413000167C703A3 +:041414009F2367E5C6 +:0414150067E5D8E7C8 +:04141600D727C7838A +:0414170067E1EFA9F1 +:04141800E247A5837F +:0414190045374641CC +:04141A0010EF0002CD +:04141B0047A2334071 +:04141C00069347717B +:04141D00878346502B +:04141E00665900A764 +:04141F00500606135A +:0414200002E787B3A5 +:04142100943E45C5EB +:041422000164478397 +:0414230005136461E8 +:0414240087B37F44C7 +:04142500069302D751 +:04142600C6B3064003 +:0414270000EF02D7F9 +:0414280046410FD05A +:041429007F44059364 +:04142A0046F9BB853F +:04142B00ECE34601A7 +:04142C000705F6E6D4 +:04142D0067E5B7BDFB +:04142E00D737C78362 +:04142F0000379713D8 +:04143000879367E156 +:0414310097BA5B8784 +:0414320007136761D4 +:04143300B369B8875A +:0414340043014485A7 +:0414350065DD67E129 +:041436007E07851395 +:04143700859346450E +:04143800DC1A8545F0 +:041439002BA010EFE5 +:04143A0046A953620A +:04143B0006B3479518 +:04143C00873602D31A +:04143D0000D7D3639E +:04143E006461471589 +:04143F000725665DBA +:0414400086460613C3 +:04144100051345C585 +:04144200DC1A7F44ED +:0414430008F000EFBE +:041444000002473724 +:041445003C072783B6 +:04144600E7934505DE +:041447002023004717 +:04144800D0EF3CF7AE +:041449001737AF2F73 +:04144A0022830002F7 +:04144B0067410D07E1 +:04144C00177D87A6DB +:04144D00F4B353623F +:04144E00EF9900E230 +:04144F0067E5CC91F0 +:0414500080878713F7 +:04145100478146ED9C +:041452000007560336 +:0414530000C48D63E1 +:0414540007090785F8 +:04145500FED79AE341 +:04145600051365090C +:04145700DC1A710525 +:04145800F9FFA0EF09 +:041459004725B7C9A3 +:04145A0000E78863BC +:04145B0013634711BF +:04145C00472500031D +:04145D0002E7DA6365 +:04145E00078547298E +:04145F0002E7E7B306 +:0414600002E303336D +:04146100979A67658A +:04146200D6F70FA307 +:041463008C8FD0EFAB +:0414640066D9C9017B +:0414650076C686932E +:0414660006136659AA +:04146700B53552C67F +:04146800869366D928 +:04146900BFCD4D8620 +:04146A0095634769D6 +:04146B00431300E740 +:04146C00B70D0013A5 +:04146D0091E347318F +:04146E00B3F5FAE7F1 +:04146F00C70367E563 +:0414700057D2D72751 +:04147100DA07016332 +:0414720047A9B46171 +:04147300DAAFF06F8D +:041474007063471545 +:0414750047097CF7B0 +:0414760000E6986391 +:04147700FFA7871331 +:041478000FF77713E0 +:041479007AE6776335 +:04147A00470946A632 +:04147B008023448501 +:04147C00468900E6B7 +:04147D00EC0FF06F11 +:04147E004685448DCE +:04147F00EB8FF06F90 +:041480008D63461D15 +:04148100460900C751 +:0414820000C400237F +:04148300ED4FF06FCA +:0414840000F400234D +:04148500ECCFF06F49 +:04148600B7FD460D5B +:04148700B7ED461562 +:041488008DD5069662 +:041489000FF5F593D3 +:04148A000045E593A1 +:04148B00ED4FF06FC2 +:04148C007313478906 +:04148D00F7630FF5FD +:04148E00751300874B +:04148F000513090533 +:04149000BA11F70591 +:0414910000135513DC +:0414920000157313BB +:04149300F493B239E3 +:0414940089E30204E2 +:0414950035939804EF +:04149600C5930C8767 +:041497004685001571 +:04149800646147A2A2 +:04149900C48366653D +:04149A0067E500B74B +:04149B00DA678793F2 +:04149C000413DA3E1D +:04149D0006137704B7 +:04149E009263D5C6BA +:04149F0002632C04B4 +:0414A0008063620300 +:0414A1000783620556 +:0414A2004589000672 +:0414A3002AF5D563EE +:0414A400000600231B +:0414A500478546220F +:0414A60005A34491C5 +:0414A700462200F6E3 +:0414A8000085179311 +:0414A9004210650583 +:0414AA00F005051331 +:0414AB0065E58FE97B +:0414AC00001605130E +:0414AD000057E7B34A +:0414AE00D8C5859385 +:0414AF0000E56F6382 +:0414B0000017051309 +:0414B10000C56B63A4 +:0414B200425046223C +:0414B30000C7976374 +:0414B4004603462283 +:0414B5000963008641 +:0414B60080235CD65D +:0414B70046320005B4 +:0414B80001964583D1 +:0414B900460346227E +:0414BA0097630376BB +:0414BB0046320EC5E2 +:0414BC0001A64583BD +:0414BD00460346227A +:0414BE009F6303869F +:0414BF0046320CC5E0 +:0414C00001B64583A9 +:0414C1004603462276 +:0414C2009763039693 +:0414C30046320CC5DC +:0414C40001C6458395 +:0414C5004603462272 +:0414C6009F6303A677 +:0414C70046320AC5DA +:0414C80001D6458381 +:0414C900460346226E +:0414CA00976303B66B +:0414CB0046320AC5D6 +:0414CC00014645830D +:0414CD00460346226A +:0414CE009F630326EF +:0414CF00463208C5D4 +:0414D00001564583F9 +:0414D1004603462266 +:0414D20097630336E3 +:0414D300463208C5D0 +:0414D40001664583E5 +:0414D5004603462262 +:0414D6009F630346C7 +:0414D700463206C5CE +:0414D80001764583D1 +:0414D900460346225E +:0414DA0097630356BB +:0414DB00463206C5CA +:0414DC0001864583BD +:0414DD00460346225A +:0414DE009F6303669F +:0414DF00666504C575 +:0414E0008846061321 +:0414E10002764583C7 +:0414E2000454460365 +:0414E30004C5966343 +:0414E4000613666520 +:0414E500458388466D +:0414E6004603028631 +:0414E7009D63046499 +:0414E800666502C56E +:0414E9008846061318 +:0414EA0003364583FD +:0414EB00051446039B +:0414EC0002C594633E +:0414ED000613666517 +:0414EE004583884664 +:0414EF00460303A607 +:0414F0009B63058471 +:0414F100666500C567 +:0414F200884606130F +:0414F30003B6458374 +:0414F4000594460312 +:0414F50000C5896342 +:0414F6008626458978 +:0414F70000B4F363E7 +:0414F800749346099A +:0414F90046320FF672 +:0414FA0002564583CE +:0414FB00460346223C +:0414FC008B630436C4 +:0414FD00462202C5BC +:0414FE00060345F1AB +:0414FF0005B300A68B +:04150000666502B664 +:041501008CC606137B +:041502004A10962EC7 +:0415030020D005935C +:0415040076138215C3 +:0415050019637FF6F1 +:04150600458900B65D +:04150700F3638626DE +:04150800460900B4DC +:041509000FF67493D2 +:04150A00458346329D +:04150B00462202660C +:04150C000446460348 +:04150D0002C58B6325 +:04150E0045F146223B +:04150F0000A6060329 +:0415100002B605B367 +:0415110006136665F2 +:04151200962E8CC6BF +:0415130005934A10E2 +:0415140082151C1010 +:041515007FF67613D4 +:0415160000B619639F +:041517008626458956 +:0415180000B4F363C5 +:041519007493460978 +:04151A0045A20FF6E1 +:04151B00000286370D +:04151C00C583421031 +:04151D00826D01D505 +:04151E0089638A3D16 +:04151F00458900C535 +:04152000F3638626C5 +:04152100460900B4C3 +:041522000FF67493B9 +:0415230046035652D3 +:04152400CE490006A6 +:0415250000A4050316 +:04152600666542F1C3 +:041527000255053331 +:041528008CC6031357 +:0415290001244583D1 +:04152A004383429520 +:04152B00460104541D +:04152C002503932AD6 +:04152D00897D0103B0 +:04152E0002B50533CA +:04152F000255053329 +:0415300006400293DC +:041531000325051376 +:0415320002554533E6 +:0415330000E35283FC +:04153400025585B324 +:041535000542952EA8 +:0415360095938141C7 +:041537001B2301076A +:0415380081C100A4C9 +:0415390000038663C2 +:04153A000183260300 +:04153B008A05820596 +:04153C00DC3AD0B60F +:04153D00B0EFC4BE89 +:04153E0006038D5FB4 +:04153F0045F100A4CE +:04154000063367E522 +:04154100829302B6D9 +:0415420045838CC78A +:0415430096160124D3 +:0415440001664503F4 +:04154500A99FB0EFBB +:0415460000A409A351 +:0415470047A65762FA +:041548008626568617 +:041549004605E091E2 +:04154A000FF6749391 +:04154B00C05CC018A8 +:04154C0000D40423A0 +:04154D000785A03539 +:04154E0000F6002380 +:04154F000463B38DF1 +:0415500090630003A1 +:0415510007833605D1 +:0415520053710006CB +:041553003467D663C0 +:04155400002347A287 +:0415550044910006B7 +:04155600000785A362 +:04155700D40591E343 +:04155800C70347B2CC +:0415590047A200079E +:04155A0001E7C7835B +:04155B0010F71D6305 +:04155C00C70347B2C8 +:04155D0047A200178A +:04155E0001F7C78347 +:04155F0010F7156309 +:04156000C70347B2C4 +:0415610047A2002776 +:041562000207C78332 +:041563000EF71D63FF +:04156400C70347B2C0 +:0415650047A2003762 +:041566000217C7831E +:041567000EF7156303 +:04156800C70347B2BC +:0415690047A200672E +:04156A000247C783EA +:04156B000CF71D63F9 +:04156C00461547A237 +:04156D0002678593F9 +:04156E00851347B2E8 +:04156F0000EF008702 +:04157000E17158D0FD +:04157100461947A22E +:0415720002B78593A4 +:04157300851347B2E3 +:0415740000EF00D7AD +:04157500E94557905D +:04157600C70347B2AE +:0415770047A2004740 +:041578000227C783FC +:041579000AF71163F9 +:04157A00C70347B2AA +:04157B0047A200572C +:04157C000237C783E8 +:04157D0008F71963EF +:04157E00C70347B2A6 +:04157F0047A2007708 +:041580000257C783C4 +:0415810008F71163F3 +:04158200879367E5FF +:04158300C7038847CB +:04158400478301F7A1 +:04158500186303D410 +:0415860067E506F718 +:041587008847879377 +:041588000207C7038C +:0415890003E44783AD +:04158A0004F71F63E0 +:04158B00879367E5F6 +:04158C00C7038847C2 +:04158D004783021777 +:04158E00166303F4E9 +:04158F0067E504F711 +:04159000884787936E +:041591000227C70363 +:041592000404478383 +:0415930002F71D63DB +:04159400879367E5ED +:04159500C7038847B9 +:04159600478301E79F +:04159700146303C412 +:0415980067E502F70A +:041599008847879365 +:04159A000367C70319 +:04159B000544478339 +:04159C0000F71B63D6 +:04159D00879367E5E4 +:04159E00C7038847B0 +:04159F004783035724 +:0415A00007630534A4 +:0415A10087A600F722 +:0415A2004785E09108 +:0415A3000FF7F493B7 +:0415A400C58347B202 +:0415A50047A202A7B0 +:0415A600C783DC2EED +:0415A7008E630487C4 +:0415A800454100B702 +:0415A900E42FB0EF8C +:0415AA00891D55E260 +:0415AB008DC9058E53 +:0415AC000FF5F593AF +:0415AD00B0EF454115 +:0415AE0047B2E7AFAA +:0415AF0002B7C58337 +:0415B000C78347A204 +:0415B10086630497B2 +:0415B200051300B766 +:0415B300B0EF03D0C2 +:0415B40047B2E62F25 +:0415B50002C7C58321 +:0415B600C78347A2FE +:0415B700856304A79D +:0415B800454500B7EE +:0415B900E4CFB0EFDC +:0415BA00472247B2CB +:0415BB000307C583DA +:0415BC0004E74703F6 +:0415BD000317C783C6 +:0415BE0000B71763F8 +:0415BF004703472275 +:0415C0000B6304F7BE +:0415C100454900F7A1 +:0415C200B0EFDC3E6C +:0415C30057E2E26F9A +:0415C40085BE454D4E +:0415C500E1CFB0EFD3 +:0415C600C50347B260 +:0415C70047830297BD +:0415C80084630474C0 +:0415C900478502A7A9 +:0415CA0000A7F8631B +:0415CB00C50367E508 +:0415CC001561D93795 +:0415CD0000153513BD +:0415CE00053347E1B9 +:0415CF0067DD02F5DD +:0415D0004CC78793EA +:0415D100B0EF953EA4 +:0415D20047B2F50F18 +:0415D30002F7C50353 +:0415D40004D4478371 +:0415D50000A7846384 +:0415D6008F9FB0EF44 +:0415D700C50347B24F +:0415D800478302D76C +:0415D900846304B46F +:0415DA00B0EF00A7C7 +:0415DB0047B2821F72 +:0415DC0002E7C583DA +:0415DD0004C4478378 +:0415DE008F63DC2E0D +:0415DF00456900B7A3 +:0415E000D66FB0EF23 +:0415E100751355E247 +:0415E2000592FCF57D +:0415E300F5938DC926 +:0415E40045690FF551 +:0415E500D9CFB0EFBB +:0415E60047B247328F +:0415E70003D745835E +:0415E80003C7C783EB +:0415E90005A447030B +:0415EA0000F716638D +:0415EB0005B44703F9 +:0415EC0000B70A63D7 +:0415ED008DDD058EFD +:0415EE000FF5F5936D +:0415EF00028005135E +:0415F000D70FB0EF72 +:0415F100879367E590 +:0415F200879388470C +:0415F300853E03E747 +:0415F4000593461DF8 +:0415F50000EF05C43A +:0415F60067E537501E +:0415F7008C27879323 +:0415F800853EC50166 +:0415F900D8EFB0EF88 +:0415FA00879366E588 +:0415FB00C703884654 +:0415FC0047830377A7 +:0415FD001A63055414 +:0415FE00879300F7D8 +:0415FF00C703884650 +:041600004783038792 +:041601000563056414 +:0416020067E502F79F +:0416030088478793FA +:041604000237C703DF +:04160500B0EFC63A42 +:041606004581C0AFAB +:041607000CE00513DB +:04160800BC4FB0EF34 +:041609004789473294 +:04160A0000F704637E +:04160B00935FB0EF4A +:04160C00C78367E544 +:04160D00C795D7C7DF +:04160E00879367E572 +:04160F00C5838847C0 +:041610004783039772 +:041611008E6305746B +:0416120015D100B737 +:04161300F5930586C0 +:0416140045050FF584 +:04161500B0EFC62E3E +:0416160045B2C10F09 +:04161700B0EF4509E2 +:0416180067E5C08F33 +:0416190088478593E6 +:04161A00046006134F +:04161B0001E40513CE +:04161C00D57FA0EFE7 +:04161D00802357D2FD +:04161E0047890007F1 +:04161F0010F48263DE +:041620008763479104 +:04162100478504F401 +:041622000AF49263D1 +:0416230000B4478345 +:04162400A0EFCFD193 +:04162500A859D99F48 +:04162600002317FD89 +:04162700448100F604 +:041628000023B9756D +:04162900BFE5000613 +:04162A000005C603EE +:04162B0008E3450586 +:04162C000605A2A667 +:04162D000FF676132B +:04162E0000C5802350 +:04162F00A2A611E37B +:04163000862645893C +:0416310000B4F363AB +:04163200749346095E +:04163300BC010FF6F1 +:0416340000B4478334 +:041635006765C3B56D +:04163600D8E70713D7 +:0416370000075783CE +:041638000513640929 +:04163900E7937104BE +:04163A001023002752 +:04163B00173700F766 +:04163C002023000265 +:04163D00A0EF0EF715 +:04163E0045C5809F7F +:04163F00B0EF45596A +:041640000513C32F9C +:04164100A0EF7104A1 +:041642004589FF8F48 +:04164300B0EF455D62 +:041644000513C22F99 +:04164500A0EF71049D +:04164600C0EFFE8F64 +:0416470067E5DCBFB8 +:0416480088478793B5 +:041649000237C5039C +:04164A00B6DFB0EF68 +:04164B0012C00513B1 +:04164C00FCEFA0EF20 +:04164D00C21FE06F69 +:04164E00000422234F +:04164F00E19FB0EF78 +:0416500001C4478307 +:041651004645656540 +:0416520000279713C3 +:04165300879367DD35 +:0416540097BA4A07F0 +:041655000513438CAA +:0416560000EF840518 +:0416570065DD2450D9 +:041658004645656539 +:0416590087058593E9 +:04165A0085450513AA +:04165B00233000EF49 +:04165C00C0EF450591 +:04165D00A0EFD07FAB +:04165E00D002FACFED +:04165F00B77DCE0283 +:0416600000B4478308 +:04166100C0EFD7C53A +:04166200B74DD5FFAC +:041663006DE34715D7 +:04166400448984F73A +:04166500E06F46816B +:041666000113F1FF7C +:04166700D6A6F7C14B +:04166800DA86C13E1F +:04166900D8A267E1BB +:04166A00DEBADCB652 +:04166B0075C7A48318 +:04166C000005DC6336 +:04166D0008B0079327 +:04166E00557DC09C4A +:04166F00544650D6B7 +:04167000011354B658 +:04167100808208412A +:04167200208007933A +:0416730000F1182347 +:04167400CA2AC22A92 +:041675004781842EF7 +:041676008793C199FC +:04167700C63EFFF577 +:0416780018B4CC3E98 +:04167900004C57FDCD +:04167A001923852685 +:04167B00C03600F184 +:04167C0057FD2C5991 +:04167D0000F55563BC +:04167E0008B0079316 +:04167F00DC5DC09CD2 +:0416800080234792EA +:04168100BF5D000742 +:04168200A783C5C5B0 +:041683001141FFC54D +:04168400C606C422B0 +:04168500FFC5841306 +:041686000007D36323 +:04168700C02A943EA3 +:041688000BB000EFB4 +:0416890027836765E7 +:04168A004502DB0733 +:04168B00EB91863A1F +:04168C000004222311 +:04168D00DA872823AD +:04168E0040B2442200 +:04168F00006F0141A6 +:041690007F6309F07B +:04169100401400F40D +:0416920000D4073346 +:0416930000E7966373 +:0416940043DC439858 +:04169500C0189736AC +:041696002823C05CE9 +:04169700BFE9DA8647 +:0416980043DC873E6A +:041699007DE3C39991 +:04169A004314FEF403 +:04169B0000D706333B +:04169C0000861F6342 +:04169D0096B24010B1 +:04169E000633C31438 +:04169F009DE300D7F0 +:0416A0004390FAC7B2 +:0416A10096B243DCDE +:0416A200C35CC3144E +:0416A3007563B7753F +:0416A40047B100C486 +:0416A500B74DC11C60 +:0416A60006B3401037 +:0416A700966300C482 +:0416A800439400D790 +:0416A90096B243DCD6 +:0416AA00C05CC0144C +:0416AB00B769C34018 +:0416AC0011418082E6 +:0416AD008493C2263A +:0416AE0098F100357A +:0416AF00C422C60685 +:0416B000473104A119 +:0416B100FC6387AAA5 +:0416B20044B104E457 +:0416B30004B4EB632D +:0416B400C03E853E71 +:0416B500007000EFD2 +:0416B60026836765BB +:0416B7004782DB0784 +:0416B800DB07061333 +:0416B900E43184365E +:0416BA00041364654C +:0416BB004018DB44B4 +:0416BC00853EEB017B +:0416BD00C03E458165 +:0416BE0079C000EF00 +:0416BF00C008478296 +:0416C00085A6853E38 +:0416C10000EFC03E38 +:0416C200577D78E0F8 +:0416C30016634782E1 +:0416C400473106E5BF +:0416C500853EC39803 +:0416C6007C4000EF75 +:0416C700D7E3A0299C +:0416C8004731FA04A8 +:0416C9004501C3987C +:0416CA00442240B2C4 +:0416CB000141449203 +:0416CC0040188082C0 +:0416CD004F638F05D3 +:0416CE0045AD02071D +:0416CF0000E5F663D9 +:0416D000943AC01870 +:0416D100A029C00488 +:0416D2009363405886 +:0416D300C2180286B1 +:0416D40000EF853E60 +:0416D500051378A0E1 +:0416D600079300B4C2 +:0416D70099610044D1 +:0416D80040F507339F +:0416D900FCF502E337 +:0416DA008F89943A26 +:0416DB00BF6DC01C03 +:0416DC00BFF9C2D8B8 +:0416DD00404086A261 +:0416DE000413B7BD7D +:0416DF0098710035C9 +:0416E000FC8502E3A0 +:0416E10040A405B369 +:0416E200C03E853E43 +:0416E300577D2721E7 +:0416E40019E347823D +:0416E500BFB5FAE5AE +:0416E600C2321111EA +:0416E700C82645903C +:0416E800CA22CC0640 +:0416E900E46384AE84 +:0416EA0082AA08C602 +:0416EB0000C5D5035E +:0416EC004805771323 +:0416ED0048D0CB3DD9 +:0416EE004080470DE4 +:0416EF0002C70733F4 +:0416F0004609498CD2 +:0416F10040B407B347 +:0416F2004433C03E7F +:0416F300861302C791 +:0416F400963E001608 +:0416F50000C4736357 +:0416F60075138432B2 +:0416F700C6364005AE +:0416F8008516C53559 +:0416F900C41685A2EC +:0416FA00ECBFF0EF62 +:0416FB0046B242A20F +:0416FC00CD25473180 +:0416FD00488C4602CD +:0416FE00C42AC636FE +:0416FF009CBFA0EFFD +:0417000000C4D60348 +:0417010046B2432287 +:04170200B7F67613AD +:04170300080666135B +:0417040000C4962364 +:04170500A82347824C +:04170600C8C00064F3 +:041707000733933ED3 +:04170800A02340F4E6 +:0417090086360064BC +:04170A00F363C49829 +:04170B00863600C658 +:04170C00408845923A +:04170D00A0EFC03257 +:04170E0044989A7FE2 +:04170F004501460248 +:04171000C4988F11D9 +:04171100963A40982C +:04171200A80DC090CE +:04171300862285168F +:041714002571C41661 +:0417150046B242A2F4 +:04171600FD4D832AD8 +:041717008516488C5F +:04171800F0EFC01618 +:041719004282DA7FAF +:04171A00A023473190 +:04171B00D70300E20E +:04171C00557D00C433 +:04171D000407671343 +:04171E0000E496232A +:04171F00445240E20E +:04172000017144C24D +:04172100D7038082E8 +:04172200011300C5EA +:04172300C122F78167 +:041724000793DEA6A3 +:04172500C30601F105 +:04172600C02EC22AE5 +:041727000807771325 +:04172800F41384B280 +:04172900CB1DFF874E +:04172A00EB0D4998E2 +:04172B00040005931E +:04172C00F0EFC636DE +:04172D004782E01FF0 +:04172E00C38846B274 +:04172F00E919CB8861 +:0417300047B1471264 +:04173100C31C557D03 +:04173200440A409A8B +:04173300011354F654 +:041734008082088126 +:0417350007134782CD +:04173600CBD8040008 +:041737000200071392 +:0417380000E40CA31A +:04173900030007138F +:04173A0000042A235A +:04173B0000E40D2396 +:04173C008726CA36FC +:04173D00025006133D +:04173E0000074683D7 +:04173F009663C29952 +:0417400006B30AC61C +:041741000163409769 +:041742004582029743 +:04174300862645129F +:04174400C636C83AA3 +:04174500E85FF0EF7A +:041746000A63567D5F +:0417470048501AC527 +:04174800474246B21C +:04174900C8509636B8 +:04174A0000074683CB +:04174B001A06816396 +:04174C0000170493EB +:04174D002023577D81 +:04174E00262300044A +:04174F00C05800047A +:04175000000424234A +:04175100040401A3E8 +:0417520004042C233C +:041753000004C58346 +:04175400461567E1EE +:04175500AF07851342 +:04175600401423A573 +:0417570000148713E0 +:04175800F613E53966 +:04175900C6090106B6 +:04175A000200061370 +:04175B0004C401A31E +:04175C000086F613FA +:04175D000613C609A0 +:04175E0001A302B031 +:04175F00C58304C476 +:041760000613000468 +:041761008F6302A0F0 +:04176200445402C524 +:04176300458187260F +:0417640042A945252C +:041765000007460330 +:041766000017031352 +:04176700FD06061362 +:0417680006C57763D8 +:04176900C454C98516 +:04176A000705A0359A +:04176B0067E1B7B1CA +:04176C00AF0786132A +:04176D0046058D118F +:04176E0000A6153389 +:04176F00C0148EC94B +:04177000B76984BA17 +:041771000593465244 +:0417720042100046DB +:041773004963CA2ECE +:04177400C450020655 +:041775000007460320 +:0417760002E00693F4 +:0417770006D611631E +:04177800001746030D +:0417790002A0069331 +:04177A0002D61B6315 +:04177B00070946D242 +:04177C00004686138A +:04177D00CA32429496 +:04177E000206C1633B +:04177F00A081C05431 +:0417800040C006332C +:041781000026E693C5 +:04178200C014C4507B +:0417830086B3B7E191 +:04178400871A025668 +:0417850096B245854E +:0417860056FDBFB598 +:041787000705B7C5D6 +:041788000004222314 +:0417890046814581CF +:04178A0042A9452506 +:04178B00000746030A +:04178C00001703132C +:04178D00FD0606133C +:04178E0006C57363B6 +:04178F004583F1E1BC +:0417900064E1000709 +:041791008513460D69 +:04179200C63AAF8420 +:04179300473229951B +:041794008493CD016C +:041795008D05AF848B +:0417960004000693B2 +:0417970000A696B35F +:0417980007054008F9 +:04179900C0088D55A2 +:04179A00000745837C +:04179B004619656125 +:04179C00AFC50513BD +:04179D00001704939A +:04179E0000B40C2364 +:04179F00C13521919E +:0417A000000007132B +:0417A1004014E70DFC +:0417A200F693475221 +:0417A300CE911006CD +:0417A400CA3A071125 +:0417A50047A24858B7 +:0417A600C858973E4A +:0417A70086B3BD99AF +:0417A800871A025644 +:0417A90096B245852A +:0417AA000721B7510B +:0417AB004602B7DD5E +:0417AC0066D94512A3 +:0417AD0086930858BF +:0417AE0085A2B986D1 +:0417AF00000000979F +:0417B000000000E74E +:0417B10047A2C42A5D +:0417B20095E3577DE7 +:0417B3004782FCE786 +:0417B400D703557D85 +:0417B500771300C7DF +:0417B60017E304072A +:0417B7004848DE07B9 +:0417B8004602B3E54D +:0417B90066D9451296 +:0417BA0086930858B2 +:0417BB0085A2B986C4 +:0417BC00BFC922156A +:0417BD0087AE1101E1 +:0417BE0085B6CA26FC +:0417BF00479484BA0D +:0417C000CC224B9854 +:0417C100842ACE06A2 +:0417C20053638332B8 +:0417C300873600D78E +:0417C40000E32023FB +:0417C5000437C6839C +:0417C6000705C681CC +:0417C70000E32023F8 +:0417C80077134398B8 +:0417C900C71102073B +:0417CA0000032703EE +:0417CB0020230709C7 +:0417CC00439800E35B +:0417CD0001978613E7 +:0417CE00C3358B197B +:0417CF00C6834398F2 +:0417D0007713043750 +:0417D10036B3020722 +:0417D200E75100D00B +:0417D300043786133E +:0417D400C41A85228C +:0417D500C02EC23E22 +:0417D600577D948225 +:0417D70006E50763B9 +:0417D80043224792CF +:0417D900439445826E +:0417DA0000032603DF +:0417DB008A99431193 +:0417DC00470147C8B2 +:0417DD0000669763A8 +:0417DE0040C50733C8 +:0417DF000007536349 +:0417E00047944701E2 +:0417E10054634B9072 +:0417E2008E9100D60E +:0417E30043019736F1 +:0417E40001A78613C0 +:0417E5000667106320 +:0417E600A80D450104 +:0417E70047D40705D7 +:0417E80000032503D2 +:0417E9005BE38E89A7 +:0417EA004685F8D761 +:0417EB00C81A852271 +:0417EC00C43AC63EF7 +:0417ED00C02EC23216 +:0417EE0056FD94828E +:0417EF0046124582D7 +:0417F00047B2472293 +:0417F1001BE3434271 +:0417F200557DFCD550 +:0417F300446240F21A +:0417F400610544D275 +:0417F5008633808235 +:0417F600051300D700 +:0417F70001A3030047 +:0417F800C60304A67A +:0417F90087130457F7 +:0417FA00973E001600 +:0417FB0001A30689B7 +:0417FC00BFA904C7B6 +:0417FD008522468576 +:0417FE00C43AC61A09 +:0417FF00C02EC23204 +:0418000057FD94827A +:04180100FCF503E30C +:041802004722433204 +:0418030045824612C2 +:04180400B7490305D8 +:04180500CC221101DF +:04180600CE06CA261A +:04180700842EC02A41 +:04180800043585130B +:041809000185C5830D +:04180A00C23284B6AC +:04180B0007800693B9 +:04180C0000B6EC63D3 +:04180D000620069318 +:04180E0000B6ED63D0 +:04180F001C058C63C5 +:0418100005800693B6 +:0418110012D58D63FC +:041812000424031394 +:0418130004B40123F5 +:041814008693A8050A +:04181500F693F9D578 +:0418160046550FF62E +:04181700FED666E3B0 +:04181800068A666175 +:04181900B2C606133A +:04181A00429496B2AC +:04181B00431486826A +:04181C00042403138A +:04181D0000468613E8 +:04181E004298C31019 +:04181F0004E40123B9 +:04182000AA75470559 +:041821002303401449 +:04182200F6130007B2 +:04182300059308061B +:04182400C20D0043AE +:041825000003268313 +:041826006661C30C28 +:041827000006D8637C +:0418280002D00713D0 +:0418290040D006B3F2 +:04182A0004E401A32E +:04182B00B0460613AA +:04182C00A0B94729EF +:04182D000406F613A4 +:04182E00000326830A +:04182F00DE71C30C97 +:0418300086C106C2A5 +:041831004010BFD9CB +:041832007293431456 +:04183300831308060D +:041834008663004681 +:04183500202300026A +:041836004294006771 +:041837007613A8017B +:04183800202304065F +:04183900DA750067F5 +:04183A000006D6834B +:04183B0007136661C8 +:04183C00061306F099 +:04183D008763B046C7 +:04183E0047290EE543 +:04183F00040401A3F9 +:04184000C40C404C48 +:041841000005C86373 +:041842000004230378 +:04184300FFB3731369 +:0418440000642023F9 +:04184500832AE29977 +:04184600832ACD998B +:0418470002E6F5B30D +:0418480095B2137DC5 +:041849000005C5834E +:04184A0000B30023C4 +:04184B00D6B385B6D5 +:04184C00F5E302E6D8 +:04184D0046A1FEE5CD +:04184E0000D71E633E +:04184F008B054018AD +:041850004054CB1124 +:041851004763481889 +:04185200071300D7A1 +:041853000FA30300DC +:04185400137DFEE31F +:0418550040650533B2 +:041856004692C808E6 +:041857008726450299 +:0418580085A208104D +:04185900F0EFC41ACE +:04185A00577DD8FFDF +:04185B001C634322A5 +:04185C00557D0CE5C5 +:04185D00446240F2AF +:04185E00610544D20A +:04185F0066618082BC +:0418600004B402A327 +:04186100B046061374 +:041862002303400C10 +:04186300F2930007F5 +:0418640026830805CA +:041865000311000368 +:0418660002028D638A +:0418670000672023D3 +:041868000015F7135D +:04186900E593C7013B +:04186A00C00C0205A7 +:04186B00F6B9474142 +:04186C00F593400CA4 +:04186D00C00CFDF5B9 +:04186E004014B791DA +:04186F000206E693F4 +:041870000693C01407 +:041871006661078025 +:0418720004D402A3F5 +:04187300B186061321 +:04187400F293BF65C7 +:041875002023040523 +:0418760083E30067A1 +:0418770006C2FC02A7 +:04187800BF7D82C1ED +:04187900BF1947212B +:04187A0043144010C3 +:04187B007293484CD0 +:04187C0083130806C4 +:04187D008763004637 +:04187E002023000221 +:04187F004298006724 +:04188000A809C30CE4 +:0418810000672023B9 +:0418820004067613CF +:04188300DA6D429840 +:0418840000B7102376 +:041885000004282310 +:04188600B781832A79 +:041887004581431440 +:04188800004686137D +:04188900A303C310E2 +:04188A0040500006C4 +:04188B00C41A851ADC +:04188C00432228418A +:04188D000533C50159 +:04188E00C0484065A9 +:04188F00C8184058DD +:04189000040401A3A8 +:041891004814BF191F +:041892004502459234 +:041893009482861A9B +:0418940000E3577D99 +:041895004018F2E520 +:04189600EB0D8B09C2 +:041897004448474238 +:04189800F0E55AE33A +:04189900B739853A9C +:04189A00450245922C +:04189B00C63A46857E +:04189C009482C4323C +:04189D000EE356FD03 +:04189E004732EED50A +:04189F0007054622D1 +:0418A00045C24454A5 +:0418A10041E38E8D04 +:0418A200BFC9FED7E5 +:0418A30006134701E0 +:0418A400B7FD0194F7 +:0418A500C2221151F9 +:0418A600842AC026AA +:0418A700852E64E541 +:0418A800A623C406A9 +:0418A9002A15DA041E +:0418AA00166357FD6D +:0418AB00A78300F51A +:0418AC00C391DAC446 +:0418AD0040A2C01C79 +:0418AE00448244121A +:0418AF008082013101 +:0418B0000FF5F593A8 +:0418B1001463962AFC +:0418B200450100C527 +:0418B3004783808265 +:0418B4008DE30005BB +:0418B5000505FEB770 +:0418B6008082B7FD78 +:0418B700E5818082C5 +:0418B800F06F85B296 +:0418B9001131FD0FDD +:0418BA00C622C80674 +:0418BB00EA11C42644 +:0418BC00F18FF0EFC9 +:0418BD0040C247815D +:0418BE0044A24432CA +:0418BF000151853E10 +:0418C000842E808270 +:0418C10084AAC03203 +:0418C2004602203D7D +:0418C30074E387A2A1 +:0418C40085B2FEC526 +:0418C500C232852680 +:0418C600F9AFF0EF97 +:0418C700DD6187AAAE +:0418C80085A246129D +:0418C900A0EFC02AA2 +:0418CA0085A2AA0F3A +:0418CB00F0EF85268F +:0418CC004782EDAFB3 +:0418CD00A783B7C96D +:0418CE008513FFC5BA +:0418CF00D563FFC717 +:0418D00095AA0007CE +:0418D100953E419C63 +:0418D20047018082C8 +:0418D30000E61463B4 +:0418D40080824501C8 +:0418D50000E507B370 +:0418D60086B30705C9 +:0418D700C78300E5DE +:0418D800C6830007BC +:0418D90083E3FFF6B0 +:0418DA008533FED77D +:0418DB00808240D7F0 +:0418DC00167DC60DA2 +:0418DD0007B3470105 +:0418DE0086B300E5E8 +:0418DF00C78300E5D6 +:0418E000C6830007B4 +:0418E1009463000606 +:0418E200156300D7B3 +:0418E300853300C782 +:0418E400808240D7E7 +:0418E500F3E507051B +:0418E6004501BFDD1C +:0418E70087AA8082CA +:0418E800C703CA095F +:0418E900058500056C +:0418EA008FA307853C +:0418EB00167DFEE781 +:0418EC00963EFB65C4 +:0418ED0000C793633A +:0418EE000785808268 +:0418EF00FE078FA3BE +:0418F00095AABFD521 +:0418F100856387AADA +:0418F200C70300B771 +:0418F300E701000702 +:0418F40040A7853351 +:0418F5000785808261 +:0418F60063E5B7FDF2 +:0418F700DB83A78365 +:0418F800C2221151A6 +:0418F900842AC40673 +:0418FA00DB83839376 +:0418FB004501E79D1F +:0418FC0046014581DB +:0418FD0047014681D8 +:0418FE000D600293E4 +:0418FF000000007372 +:041900001A6357FD12 +:04190100283500F590 +:04190200C11C47B10C +:0419030040A2557D2C +:041904000131441257 +:04190500A023808219 +:04190600A30300A394 +:041907004581000313 +:04190800053346015C +:0419090046810064AF +:04190A0047814701C9 +:04190B000D600293D6 +:04190C000000007364 +:04190D0018E3941A2D +:04190E00A023FC8591 +:04190F00851A00A392 +:0419100067E1B7F9DB +:0419110075C7A503EE +:0419120000008082CF +:0419130000000000D0 +:0419140000000000CF +:0419150000000000CE +:0419160000000000CD +:041917000001045473 +:041918000001045A6C +:041919000001046065 +:04191A00000104665E +:04191B0000010408BB +:04191C00000104289A +:04191D000001042899 +:04191E000001042898 +:04191F000001042897 +:041920000001042896 +:041921000001042895 +:041922000001042C90 +:041923000001043289 +:041924006C206F4E76 +:04192500006B6E697C +:041926006D206425A7 +:041927000000005666 +:04192800252E7525CE +:041929002075322EC5 +:04192A0000007375D1 +:04192B0025257525D4 +:04192C0000000000B7 +:04192D006C20752590 +:04192E0073656E6906 +:04192F0000000000B4 +:041930007020752589 +:041931006C65786900 +:04193200000000733E +:041933000000752516 +:0419340064206425A2 +:04193500000000426C +:04193600656E6F4427 +:0419370000000000AC +:041938006C6961462F +:041939002820646599 +:04193A0000296425F7 +:04193B0000011A3855 +:04193C0000011A523A +:04193D0000011A5C2F +:04193E0000011A8EFC +:04193F0000011A6A1F +:041940006420642596 +:0419410000006765D6 +:04194200322E252EEE +:04194300000000752B +:041944006573753C16 +:0419450000003E64FC +:04194600445253555F +:0419470000415441C6 +:04194800706D653C1D +:04194900003E79746F +:04194A00203A7525A5 +:04194B000000732500 +:04194C0074204B4F69 +:04194D006E69206F30 +:04194E0000007469B8 +:04194F00736C61460E +:041950007274206528 +:0419510065676769F6 +:04195200000000721F +:04195300656D695401 +:041954000074756F37 +:04195500252E7525A1 +:041956006D75322E4B +:041957000000007319 +:04195800252E75259E +:041959006D75322E48 +:04195A0075252F734D +:04195B00322E252ED5 +:04195C0000736D7532 +:04195D0025207325A9 +:04195E0063252D755B :04195F000000000084 -:0419600061647055F9 -:041961006320657426 -:0419620065636E61EA -:0419630064656C6CDF -:04196400000000007F -:0419650061766E49F0 -:041966002064696C24 -:0419670067616D69DE -:041968000000006516 -:0419690061766E49EC -:04196A002064696C20 -:04196B0064616568E6 -:04196C0000007265A0 -:04196D004353534F3E -:04196E000000000075 -:04196F00696C6156E8 -:0419700069746164D1 -:041971006420676E19 -:04197200006174613B -:041973006220752554 -:0419740073657479AA -:04197500000000006E -:04197600252E752580 -:041977002575322E72 -:041978000073257360 -:0419790061647055E0 -:04197A00676E6974B7 -:04197B0000574620AB -:04197C0061656C70C5 -:04197D0077206573F7 -:04197E002E746961F9 -:04197F0000002E2E08 -:0419800069726556CD -:041981006E697966AC -:041982006C66206708 -:041983000068736124 -:0419840061656C70BD -:0419850072206573F4 -:0419860061747365B0 -:041987000000747276 -:0419880072746552BE -:04198900676E6979A3 -:04198A0064707520F0 -:04198B00006574611E -:04198C0061647055CD -:04198D00203F65741E -:04198E002C593D3162 -:04198F004E3D322077 -:041990000000000053 -:041991006D726946C4 -:0419920065726177A2 -:0419930064707520E7 -:0419940064657461B1 -:04199500000000004E -:0419960000013C9080 -:0419970000013CD43B -:0419980000013CDC32 -:0419990000013CE429 -:04199A0064616F4CC9 -:04199B002E676E69DC -:04199C0000002E2EEB -:04199D00732064252A -:04199E0073746F6C83 -:04199F00616F6C20E8 -:0419A0000064656416 -:0419A1006F706D49AD -:0419A200203F7472FC -:0419A3002C593D314D -:0419A4004E3D322062 -:0419A500000000003E -:0419A6006C696146C1 -:0419A7000000646573 -:0419A80073657250A1 -:0419A90000000073C7 -:0419AA00666E6F43B3 -:0419AB00006D7269F0 -:0419AC006D73694DA1 -:0419AD006863746196 -:0419AE006572202C12 -:0419AF0000797274D5 -:0419B0004353534FFB -:0419B1007766202015 -:0419B2007525202E49 -:0419B300322E252E7D -:0419B4000000617559 -:0419B50074696E499A -:0419B60072726520C4 -:0419B7002020726F0B -:0419B80000006425A2 -:0419B900666F725093 -:0419BA003A656C69B5 -:0419BB000000000028 -:0419BC0065646F4DA2 -:0419BD0065727020BF -:0419BE003A7465739F -:0419BF000000000024 -:0419C000646F6D499A -:0419C100462820652F -:0419C2002941475020 -:0419C3000000003AE6 -:0419C4002D756C25EC -:0419C500632563250E -:0419C600756C2520F7 -:0419C700322E252E69 -:0419C8007A48756C78 -:0419C900000000001A -:0419CA00746E634391 -:0419CB0066202F2043 -:0419CC00656D617272 -:0419CD000000003ADC -:0419CE0000756C250F -:0419CF006D72694686 -:0419D0006572617764 -:0419D1000000003AD8 -:0419D200252E752524 -:0419D3006175322EDA -:0419D400000000000F -:0419D500656E694C86 -:0419D600746C756D4B -:0419D700646F6D20AC -:0419D80000003A656C -:0419D9007365727050 -:0419DA002D31207318 -:0419DB0000000035D3 -:0419DC0020787525D5 -:0419DD0075736E753B -:0419DE00726F707044 -:0419DF0000646574C7 -:0419E000666F72506C -:0419E10020656C69A8 -:0419E20064616F6C61 -:0419E3000000003AC6 -:0419E4007365727045 -:0419E50075252073D1 -:0419E6000075252D36 -:0419E700202020207C -:0419E80053204F4EEB -:0419E90000434E5910 -:0419EA003431303232 -:0419EB003230322D37 -:0419EC006D2020301A -:0419ED00737172613F -:0419EE0000000000F5 -:0419EF000F031F01C2 -:0419F00000030303EA -:0419F1000001459814 -:0419F2000001464C5E -:0419F3000001464C5D -:0419F4000001466246 -:0419F5000001466245 -:0419F6000001466C3A -:0419F7000001467035 -:0419F8000001467E26 -:0419F9000001467E25 -:0419FA000001469012 -:0419FB0000014B2478 -:0419FC0000014B2C6F -:0419FD0000014B1C7E -:0419FE0000014B2871 -:0419FF0000014B3068 -:041A000000014B2076 -:041A010000014B7C19 -:041A020000014B3460 -:041A030000014B385B -:041A040000014B3C56 -:041A050000014B7C15 -:041A060000014B7C14 -:041A070000014B7C13 -:041A080000014B7C12 -:041A090000014B7C11 -:041A0A00000150E89F -:041A0B0000014B800B -:041A0C0000014CEE9B -:041A0D0000014D0087 -:041A0E0000014D90F6 -:041A0F0000014DD2B3 -:041A100000014DD2B2 -:041A110000014E2E54 -:041A120000014F265A -:041A130000014F2659 -:041A140000014FFC82 -:041A15002D62755376 -:041A1600656E696C24 -:041A170073203120E7 -:041A180000007274E4 -:041A19002D62755372 -:041A1A00656E696C20 -:041A1B0073203220E2 -:041A1C0000007274E0 -:041A1D002D6275536E -:041A1E00656E696C1C -:041A1F0073203320DD -:041A200000007274DC -:041A21002D6275536A -:041A2200656E696C18 -:041A230073203420D8 -:041A240000007274D8 -:041A25002D62755366 -:041A2600656E696C14 -:041A270073203520D3 -:041A280000007274D4 -:041A29002D62755362 -:041A2A00756C6F6305 -:041A2B0031206E6D8B -:041A2C00727473203D -:041A2D0000000000B5 -:041A2E002D6275535D -:041A2F00756C6F6300 -:041A300032206E6D85 -:041A31007274732038 -:041A320000000000B0 -:041A33002D62755358 -:041A3400756C6F63FB -:041A350033206E6D7F -:041A36007274732033 -:041A370000000000AB -:041A38002D62755353 -:041A3900756C6F63F6 -:041A3A0034206E6D79 -:041A3B00727473202E -:041A3C0000000000A6 -:041A3D002D6275534E -:041A3E00756C6F63F1 -:041A3F0035206E6D73 -:041A40007274732029 -:041A410000000000A1 -:041A42002D62755349 -:041A4300756C6F63EC -:041A440036206E6D6D -:041A45007274732024 -:041A4600000000009C -:041A470073202E4892 -:041A48006C706D61F0 -:041A490074617265ED -:041A4A000000006533 -:041A4B0073202E488E -:041A4C007461722E21 -:041A4D007266206538 -:041A4E0000006361D0 -:041A4F0073202E488A -:041A50006C636E79DC -:041A510000006E65BE -:041A520062202E4898 -:041A5300706B6361F0 -:041A54006863726FE2 -:041A5500000000008D -:041A560061202E4895 -:041A570076697463D5 -:041A58000000006525 -:041A590073202E5672 -:041A5A006C636E79D2 -:041A5B0000006E65B4 -:041A5C0062202E5680 -:041A5D00706B6361E6 -:041A5E006863726FD8 -:041A5F000000000083 -:041A600061202E567D -:041A610076697463CB -:041A6200000000651B -:041A6300706D6153EE -:041A6400676E696CD4 -:041A65006168702024 -:041A660000006573A4 -:041A670000007332D6 -:041A680000007335D2 -:041A690000733031A5 -:041A6A000066664F5D -:041A6B006C6C7546E4 +:04196000252E752596 +:041961006B75322E42 +:0419620025207A487A +:041963002E252E758A +:041964007A48753216 +:04196500000000007E +:04196600783032376C +:0419670000303034E8 +:041968007830343669 +:0419690000303034E6 +:04196A00FFFFFFFF7D +:04196B00FFFFFFFF7C +:04196C000000FFFF79 +:04196D00636E614301 +:04196E00656C6C65D3 +:04196F000000006410 +:04197000462F445367 +:041971006873616CCA +:041972007272652008 +:041973000000726F8F +:0419740053206F4E3F +:041975006163204446 +:041976006420647213 +:04197700002E746565 +:0419780061766E49DD +:041979002064696C11 +:04197A0061726170C5 +:04197B00002E736D5A +:04197C006F707845CB +:04197D006E697472A9 +:04197E002E2E2E6774 +:04197F000000000064 +:0419800063637553D5 +:041981000073736517 +:041982006F707845C5 +:04198300203F74721B +:041984002C593D316C +:041985004E3D322081 +:04198600000000005D +:0419870073616C46D6 +:0419880065762068F8 +:0419890020666972F9 +:04198A006C696166BD +:04198B000000000058 +:04198C0061766E49C9 +:04198D002064696CFD +:04198E0020726468F7 +:04198F00004352437C +:0419900061766E49C5 +:041991002064696CF9 +:0419920061746164B7 +:041993004352432058 +:04199400000000004F +:0419950061647055C4 +:0419960063206574F1 +:0419970065636E61B5 +:0419980064656C6CAA +:04199900000000004A +:04199A0061766E49BB +:04199B002064696CEF +:04199C0067616D69A9 +:04199D0000000065E1 +:04199E0061766E49B7 +:04199F002064696CEB +:0419A00064616568B1 +:0419A100000072656B +:0419A2004353534F09 +:0419A3000000000040 +:0419A400696C6156B3 +:0419A500697461649C +:0419A6006420676EE4 +:0419A7000061746106 +:0419A800622075251F +:0419A9007365747975 +:0419AA000000000039 +:0419AB00252E75254B +:0419AC002575322E3D +:0419AD00007325732B +:0419AE0061647055AB +:0419AF00676E697482 +:0419B0000057462076 +:0419B10061656C7090 +:0419B20077206573C2 +:0419B3002E746961C4 +:0419B40000002E2ED3 +:0419B5006972655698 +:0419B6006E69796677 +:0419B7006C662067D3 +:0419B80000687361EF +:0419B90061656C7088 +:0419BA0072206573BF +:0419BB00617473657B +:0419BC000000747241 +:0419BD007274655289 +:0419BE00676E69796E +:0419BF0064707520BB +:0419C00000657461E9 +:0419C1006164705598 +:0419C200203F6574E9 +:0419C3002C593D312D +:0419C4004E3D322042 +:0419C500000000001E +:0419C6006D7269468F +:0419C700657261776D +:0419C80064707520B2 +:0419C900646574617C +:0419CA000000000019 +:0419CB0000013D6278 +:0419CC0000013DA831 +:0419CD0000013DB028 +:0419CE0000013DB81F +:0419CF0064616F4C94 +:0419D0002E676E69A7 +:0419D10000002E2EB6 +:0419D20073206425F5 +:0419D30073746F6C4E +:0419D400616F6C20B3 +:0419D50000646564E1 +:0419D6006F706D4978 +:0419D700203F7472C7 +:0419D8002C593D3118 +:0419D9004E3D32202D +:0419DA000000000009 +:0419DB006C6961468C +:0419DC00000064653E +:0419DD00736572506C +:0419DE000000007392 +:0419DF00666E6F437E +:0419E000006D7269BB +:0419E1006D73694D6C +:0419E2006863746161 +:0419E3006572202CDD +:0419E40000797274A0 +:0419E5004353534FC6 +:0419E60077662020E0 +:0419E7007525202E14 +:0419E800322E252E48 +:0419E9000000617524 +:0419EA0074696E4965 +:0419EB00727265208F +:0419EC002020726FD6 +:0419ED00000064256D +:0419EE00666F72505E +:0419EF003A656C6980 +:0419F00000000000F3 +:0419F10065646F4D6D +:0419F200657270208A +:0419F3003A7465736A +:0419F40000000000EF +:0419F500646F6D4965 +:0419F60046282065FA +:0419F70029414750EB +:0419F8000000003AB1 +:0419F9002D756C25B7 +:0419FA0063256325D9 +:0419FB00756C2520C2 +:0419FC00322E252E34 +:0419FD007A48756C43 +:0419FE0000000000E5 +:0419FF00746E63435C +:041A000066202F200D +:041A0100656D61723C +:041A02000000003AA6 +:041A030000756C25D9 +:041A04006D72694650 +:041A0500657261772E +:041A06000000003AA2 +:041A0700252E7525EE +:041A08006175322EA4 +:041A090000000000D9 +:041A0A00656E694C50 +:041A0B00746C756D15 +:041A0C00646F6D2076 +:041A0D0000003A6536 +:041A0E00736572701A +:041A0F002D312073E2 +:041A1000000000359D +:041A1100207875259F +:041A120075736E7505 +:041A1300726F70700E +:041A14000064657491 +:041A1500666F725036 +:041A160020656C6972 +:041A170064616F6C2B +:041A18000000003A90 +:041A1900736572700F +:041A1A00752520739B +:041A1B000075252D00 +:041A1C002020202046 +:041A1D0053204F4EB5 +:041A1E0000434E59DA +:041A1F0034313032FC +:041A20003230322D01 +:041A21006D202030E4 +:041A22007371726109 +:041A230000000000BF +:041A24000F031F018C +:041A250000030303B4 +:041A26000001466C09 +:041A27000001472053 +:041A28000001472052 +:041A2900000147363B +:041A2A00000147363A +:041A2B00000147402F +:041A2C00000147442A +:041A2D00000147521B +:041A2E00000147521A +:041A2F000001476407 +:041A300000014BF86E +:041A310000014C0064 +:041A320000014BF074 +:041A330000014BFC67 +:041A340000014C045D +:041A350000014BF46D +:041A360000014C500F +:041A370000014C0856 +:041A380000014C0C51 +:041A390000014C104C +:041A3A0000014C500B +:041A3B0000014C500A +:041A3C0000014C5009 +:041A3D0000014C5008 +:041A3E0000014C5007 +:041A3F00000151BC95 +:041A400000014C5401 +:041A410000014DC291 +:041A420000014DD47E +:041A430000014E64EC +:041A440000014EA6A9 +:041A450000014EA6A8 +:041A460000014F024A +:041A470000014FFA51 +:041A480000014FFA50 +:041A4900000150D078 +:041A4A002D62755341 +:041A4B00656E696CEF +:041A4C0073203120B2 +:041A4D0000007274AF +:041A4E002D6275533D +:041A4F00656E696CEB +:041A500073203220AD +:041A510000007274AB +:041A52002D62755339 +:041A5300656E696CE7 +:041A540073203320A8 +:041A550000007274A7 +:041A56002D62755335 +:041A5700656E696CE3 +:041A580073203420A3 +:041A590000007274A3 +:041A5A002D62755331 +:041A5B00656E696CDF +:041A5C00732035209E +:041A5D00000072749F +:041A5E002D6275532D +:041A5F00756C6F63D0 +:041A600031206E6D56 +:041A61007274732008 +:041A62000000000080 +:041A63002D62755328 +:041A6400756C6F63CB +:041A650032206E6D50 +:041A66007274732003 +:041A6700000000007B +:041A68002D62755323 +:041A6900756C6F63C6 +:041A6A0033206E6D4A +:041A6B0072747320FE :041A6C000000000076 -:041A6D00706D6953DC -:041A6E000000656CA3 -:041A6F0000007333CD -:041A7000007330339C -:041A710072727543D5 -:041A720020746E6509 -:041A730075706E69B3 -:041A740000000074FA -:041A7500206C6C4134 -:041A760075706E69B0 -:041A77000000737484 -:041A78002066664F2F -:041A79002073662848 -:041A7A003639203D9C -:041A7B00297A486B11 -:041A7C000000000066 -:041A7D00202078327B -:041A7E002073662843 -:041A7F003834203D9A -:041A8000297A486B0C -:041A81000000000061 -:041A82000031564198 -:041A83000032564196 -:041A840063616C42EC -:041A85000000006BF2 -:041A860065756C42D4 -:041A8700000000005B -:041A880065657247D7 -:041A89000000006EEB -:041A8A006E617943CD -:041A8B000000000057 -:041A8C00006465523B -:041A8D006567614DDB -:041A8E000061746E11 -:041A8F006C6C6559BD -:041A90000000776F6C -:041A910074696857B5 -:041A920000000065EB -:041A930069726F48BD -:041A9400746E6F7A83 -:041A950000006C6180 -:041A960074726556AB -:041A97006C616369B2 -:041A9800000000004A -:041A990069726F48B7 -:041A9A002B202E7A55 -:041A9B0072655620FA -:041A9C0000002E74A4 -:041A9D0074737543A6 -:041A9E0000006D6F68 -:041A9F0000706F5410 -:041AA00074746F42A9 -:041AA10000006D6F65 -:041AA200746C754D9E -:041AA300696C706991 -:041AA400697461639D -:041AA50000006E6F60 -:041AA600746275539E -:041AA7007463617291 -:041AA800006E6F69F4 -:041AA9006F747541A0 -:041AAA000000000038 -:041AAB0000006E4F7A -:041AAC00494D444814 -:041AAD004752282054 -:041AAE0000002942C9 -:041AAF00494D444811 -:041AB000435928204E -:041AB10034724362E6 -:041AB200002934349F -:041AB300004956444C -:041AB40000373A3885 -:041AB5003032393161 -:041AB600383031781B -:041AB70000000030FB -:041AB8003030363163 -:041AB900303231781E -:041ABA0000000030F8 -:041ABB00303239315B -:041ABC00303231781B -:041ABD0000000030F5 -:041ABE00656E6547A5 -:041ABF0020636972C5 -:041AC000393A363148 -:041AC1000000000021 -:041AC200656E6547A1 -:041AC30020636972C1 -:041AC40000333A347D -:041AC500783231350D -:041AC6002030343266 -:041AC7006974706F5F -:041AC80000002E6D7F -:041AC9007834383302 -:041ACA002030343262 -:041ACB006974706F5B -:041ACC0000002E6D7B -:041ACD007830323308 -:041ACE00203034325E -:041ACF006974706F57 -:041AD00000002E6D77 -:041AD10078363532FC -:041AD200203034325A -:041AD3006974706F53 -:041AD40000002E6D73 -:041AD5007373615076 -:041AD6007572687449 -:041AD700000000000B -:041AD800656E694C82 -:041AD9002820783217 -:041ADA0029626F62AC -:041ADB000000000007 -:041ADC00656E694C7E -:041ADD00000078325B -:041ADE00656E694C7C -:041ADF002820783310 -:041AE0006563616C6D -:041AE1000000296474 -:041AE200656E694C78 -:041AE300282078340B -:041AE40029626F62A2 -:041AE50000000000FD -:041AE600656E694C74 -:041AE70032207832FF -:041AE80033783034EB -:041AE9000000303693 -:041AEA00656E694C70 -:041AEB0032207833FA -:041AEC0033783034E7 -:041AED00000030368F -:041AEE00656E694C6C -:041AEF0047207833E1 -:041AF00072656E6548 -:041AF1000000636925 -:041AF200656E694C68 -:041AF3000000783344 -:041AF400656E694C66 -:041AF5000000783441 -:041AF600656E694C64 -:041AF700000078353E -:041AF8004D382E3403 -:041AF90028207A48DF -:041AFA0056544448B2 -:041AFB002943502FFC -:041AFC0000000000E6 -:041AFD004D352E3005 -:041AFE0028207A48DA -:041AFF0056544453A2 -:041B000000000029B8 -:041B01004D372E31FD -:041B020028207A48D5 -:041B030056544445AB -:041B040000000029B4 -:041B05004D352E32FA -:041B060028207A48D1 -:041B07002978616D6B -:041B080000000000D9 -:041B0900484D3031E2 -:041B0A006D28207AA8 -:041B0B0000296465E4 -:041B0C00484D3333DA -:041B0D006D28207AA5 -:041B0E0000296E69D3 -:041B0F0020414756D4 -:041B100078303436BF -:041B110040303034FC -:041B12000000303768 -:041B130020414756D0 -:041B140078303237BC -:041B150040303034F8 -:041B16000000303764 -:041B170020565444BC -:041B180070303834BD -:041B190000000000C8 -:041B1A004153455698 -:041B1B00303436200C -:041B1C0030383478B1 -:041B1D00003036401E -:041B1E0020505350B0 -:041B1F0078303834AE -:041B20000032373226 -:041B21002E63655278 -:041B22003130362008 -:041B230000000000BE -:041B24002E63655275 -:041B250039303720FC -:041B260000000000BB -:041B2700484D3539B7 -:041B28004828207AAF -:041B290020565444AA -:041B2A0000294949FC -:041B2B00484D3533B9 -:041B2C004828207AAB -:041B2D0020565444A6 -:041B2E000000294941 -:041B2F00484D3631B6 -:041B30004528207AAA -:041B31002956544499 -:041B320000000000AF -:041B33007A484D3966 -:041B340044532820CE -:041B350000295654D9 -:041B3600616F4C3C53 -:041B37007270206444 -:041B38006C69666FFF -:041B3900003E2065E5 -:041B3A007661533C41 -:041B3B00727020653F -:041B3C006C69666FFB -:041B3D00003E2065E1 -:041B3E007365523C3D -:041B3F007320746536 -:041B400069747465EB -:041B41003E73676E1A -:041B4200000000009F -:041B43006B6E694C10 -:041B44006F7270202C -:041B4500693E2D6662 -:041B46007475706ED4 -:041B4700000000009A -:041B48006B6E694C0B -:041B4900706E692031 -:041B4A003E2D747543 -:041B4B00666F7270DF -:041B4C000000000095 -:041B4D0074696E4900 -:041B4E00206C61693D -:041B4F0075706E69D6 -:041B5000000000741D -:041B51006F747541F7 -:041B520065746564ED -:041B5300692074632E -:041B54007475706EC6 -:041B5500000000008C -:041B56006F747541F2 -:041B570031564120A2 -:041B5800472F59209A -:041B59000000007315 -:041B5A006F747541EE -:041B5B00325641209D -:041B5C00472F592096 -:041B5D000000007311 -:041B5E006F747541EA -:041B5F003356412098 -:041B6000472F592092 -:041B6100000000730D -:041B62002044434C8C -:041B630074204C425C -:041B64006F656D69D3 -:041B65000000747593 -:041B66000044534F95 -:041B67002044534F74 -:041B680074617473BD -:041B6900642073750C -:041B6A002E707369FD -:041B6B000000000076 -:041B6C00706D493C13 -:041B6D002074726FFF -:041B6E0074746573B3 -:041B6F003E20202EC6 -:041B70000000000071 -:041B71007078453C07 -:041B72002074726FFA -:041B730074746573AE -:041B74003E20202EC1 -:041B7500000000006C -:041B76002E77463C44 -:041B77006470752001 -:041B7800206574610F -:041B79003E202020CA -:041B7A000000000067 -:041B7B006E776F44CE -:041B7C006D61732DF7 -:041B7D006E696C70B1 -:041B7E0000000067FC -:041B7F0070617753C7 -:041B800066656C200A -:041B810069722F74E2 -:041B8200007468671C -:041B83002D6572500A -:041B84002043444175 -:041B85006E696167BD -:041B8600000000005B -:041B87006C6C7546C7 -:041B8800205854206D -:041B89007574657397 -:041B8A0000000070E7 -:041B8B00203356416C -:041B8C0065746E69A5 -:041B8D0063616C72B2 -:041B8E0078696665A7 -:041B8F000000000052 -:041B90002033564167 -:041B910020657375E3 -:041B92002E746C61E0 -:041B93004247522053 -:041B9400000000004D -:041B950061666544DC -:041B960020746C75D6 -:041B9700494D444828 -:041B98004349562047 -:041B99000000000048 -:041B9A00616E6150C7 -:041B9B00696E6F738D -:041B9C0061682063F9 -:041B9D0000006B6376 -:041B9E0069726F48B1 -:041B9F00746E6F7A77 -:041BA0006D206C61E7 -:041BA100006B736101 -:041BA200747265569E -:041BA3006C616369A5 -:041BA40073616D20DC -:041BA5000000006BD1 -:041BA6006B73614DAF -:041BA7006C6F6320DC -:041BA8000000726F58 -:041BA9006B73614DAC -:041BAA0069726220DA -:041BAB006E74686785 -:041BAC0000737365EA -:041BAD0065766552A2 -:041BAE0020657372C9 -:041BAF000046504C50 -:041BB0005949443C0F -:041BB10074616C20CF -:041BB2006574202E08 -:041BB300003E747309 -:041BB4006E616353A8 -:041BB500656E696C84 -:041BB60000000073B8 -:041BB700202E6C531D -:041BB800657274736B -:041BB9006874676E77 -:041BBA000000000027 -:041BBB00202E6C5319 -:041BBC007262796870 -:041BBD0073206469C4 -:041BBE00002E72740F -:041BBF00202E6C5315 -:041BC0006874656D73 -:041BC1000000646F4D -:041BC200202E6C5312 -:041BC30065746C6178 -:041BC40074616E7268 -:041BC50000676E69DE -:041BC600202E6C530E -:041BC70067696C617D -:041BC8006E656D6E6B -:041BC90000000074A4 -:041BCA00202E6C530A -:041BCB0020746C61B5 -:041BCC0065746E6965 -:041BCD006C6176725F +:041A6D002D6275531E +:041A6E00756C6F63C1 +:041A6F0034206E6D44 +:041A700072747320F9 +:041A71000000000071 +:041A72002D62755319 +:041A7300756C6F63BC +:041A740035206E6D3E +:041A750072747320F4 +:041A7600000000006C +:041A77002D62755314 +:041A7800756C6F63B7 +:041A790036206E6D38 +:041A7A0072747320EF +:041A7B000000000067 +:041A7C0073202E485D +:041A7D006C706D61BB +:041A7E0074617265B8 +:041A7F0000000065FE +:041A800073202E4859 +:041A81007461722EEC +:041A82007266206503 +:041A8300000063619B +:041A840073202E4855 +:041A85006C636E79A7 +:041A860000006E6589 +:041A870062202E4863 +:041A8800706B6361BB +:041A89006863726FAD +:041A8A000000000058 +:041A8B0061202E4860 +:041A8C0076697463A0 +:041A8D0000000065F0 +:041A8E0073202E563D +:041A8F006C636E799D +:041A900000006E657F +:041A910062202E564B +:041A9200706B6361B1 +:041A93006863726FA3 +:041A9400000000004E +:041A950061202E5648 +:041A96007669746396 +:041A970000000065E6 +:041A9800706D6153B9 +:041A9900676E696C9F +:041A9A0061687020EF +:041A9B00000065736F +:041A9C0000007332A1 +:041A9D00000073359D +:041A9E000073303170 +:041A9F000066664F28 +:041AA0006C6C7546AF +:041AA1000000000041 +:041AA200706D6953A7 +:041AA3000000656C6E +:041AA4000000733398 +:041AA5000073303367 +:041AA60072727543A0 +:041AA70020746E65D4 +:041AA80075706E697E +:041AA90000000074C5 +:041AAA00206C6C41FF +:041AAB0075706E697B +:041AAC00000073744F +:041AAD002066664FFA +:041AAE002073662813 +:041AAF003639203D67 +:041AB000297A486BDC +:041AB1000000000031 +:041AB2002020783246 +:041AB300207366280E +:041AB4003834203D65 +:041AB500297A486BD7 +:041AB600000000002C +:041AB7000031564163 +:041AB8000032564161 +:041AB90063616C42B7 +:041ABA000000006BBD +:041ABB0065756C429F +:041ABC000000000026 +:041ABD0065657247A2 +:041ABE000000006EB6 +:041ABF006E61794398 +:041AC0000000000022 +:041AC1000064655206 +:041AC2006567614DA6 +:041AC3000061746EDC +:041AC4006C6C655988 +:041AC5000000776F37 +:041AC6007469685780 +:041AC70000000065B6 +:041AC80069726F4888 +:041AC900746E6F7A4E +:041ACA0000006C614B +:041ACB007472655676 +:041ACC006C6163697D +:041ACD000000000015 +:041ACE0069726F4882 +:041ACF002B202E7A20 +:041AD00072655620C5 +:041AD10000002E746F +:041AD2007473754371 +:041AD30000006D6F33 +:041AD40000706F54DB +:041AD50074746F4274 +:041AD60000006D6F30 +:041AD700746C754D69 +:041AD800696C70695C +:041AD9006974616368 +:041ADA0000006E6F2B +:041ADB007462755369 +:041ADC00746361725C +:041ADD00006E6F69BF +:041ADE006F7475416B +:041ADF000000000003 +:041AE00000006E4F45 +:041AE100494D4448DF +:041AE200475228201F +:041AE3000000294294 +:041AE400494D4448DC +:041AE5004359282019 +:041AE60034724362B1 +:041AE700002934346A +:041AE8000049564417 +:041AE90000373A3850 +:041AEA00303239312C +:041AEB0038303178E6 +:041AEC0000000030C6 +:041AED00303036312E +:041AEE0030323178E9 +:041AEF0000000030C3 +:041AF0003032393126 +:041AF10030323178E6 +:041AF20000000030C0 +:041AF300656E654770 +:041AF4002063697290 +:041AF500393A363113 +:041AF60000000000EC +:041AF700656E65476C +:041AF800206369728C +:041AF90000333A3448 +:041AFA0078323135D8 +:041AFB002030343231 +:041AFC006974706F2A +:041AFD0000002E6D4A +:041AFE0078343833CD +:041AFF00203034322D +:041B00006974706F25 +:041B010000002E6D45 +:041B020078303233D2 +:041B03002030343228 +:041B04006974706F21 +:041B050000002E6D41 +:041B060078363532C6 +:041B07002030343224 +:041B08006974706F1D +:041B090000002E6D3D +:041B0A007373615040 +:041B0B007572687413 +:041B0C0000000000D5 +:041B0D00656E694C4C +:041B0E0028207832E1 +:041B0F0029626F6276 +:041B100000000000D1 +:041B1100656E694C48 +:041B12000000783225 +:041B1300656E694C46 +:041B140028207833DA +:041B15006563616C37 +:041B1600000029643E +:041B1700656E694C42 +:041B180028207834D5 +:041B190029626F626C +:041B1A0000000000C7 +:041B1B00656E694C3E +:041B1C0032207832C9 +:041B1D0033783034B5 +:041B1E00000030365D +:041B1F00656E694C3A +:041B200032207833C4 +:041B210033783034B1 +:041B22000000303659 +:041B2300656E694C36 +:041B240047207833AB +:041B250072656E6512 +:041B260000006369EF +:041B2700656E694C32 +:041B2800000078330E +:041B2900656E694C30 +:041B2A00000078340B +:041B2B00656E694C2E +:041B2C000000783508 +:041B2D004D382E34CD +:041B2E0028207A48A9 +:041B2F00565444487C +:041B30002943502FC6 +:041B310000000000B0 +:041B32004D352E30CF +:041B330028207A48A4 +:041B3400565444536C +:041B35000000002983 +:041B36004D372E31C8 +:041B370028207A48A0 +:041B38005654444576 +:041B3900000000297F +:041B3A004D352E32C5 +:041B3B0028207A489C +:041B3C002978616D36 +:041B3D0000000000A4 +:041B3E00484D3031AD +:041B3F006D28207A73 +:041B400000296465AF +:041B4100484D3333A5 +:041B42006D28207A70 +:041B430000296E699E +:041B4400204147569F +:041B4500783034368A +:041B460040303034C7 +:041B47000000303733 +:041B4800204147569B +:041B49007830323787 +:041B4A0040303034C3 +:041B4B00000030372F +:041B4C002056544487 +:041B4D007030383488 +:041B4E000000000093 +:041B4F004153455663 +:041B500030343620D7 +:041B5100303834787C +:041B520000303640E9 +:041B5300205053507B +:041B54007830383479 +:041B550000323732F1 +:041B56002E63655243 +:041B570031303620D3 +:041B58000000000089 +:041B59002E63655240 +:041B5A0039303720C7 +:041B5B000000000086 +:041B5C00484D353982 +:041B5D004828207A7A +:041B5E002056544475 +:041B5F0000294949C7 +:041B6000484D353384 +:041B61004828207A76 +:041B62002056544471 +:041B6300000029490C +:041B6400484D363181 +:041B65004528207A75 +:041B66002956544464 +:041B6700000000007A +:041B68007A484D3931 +:041B69004453282099 +:041B6A0000295654A4 +:041B6B00616F4C3C1E +:041B6C00727020640F +:041B6D006C69666FCA +:041B6E00003E2065B0 +:041B6F007661533C0C +:041B7000727020650A +:041B71006C69666FC6 +:041B7200003E2065AC +:041B73007365523C08 +:041B74007320746501 +:041B750069747465B6 +:041B76003E73676EE5 +:041B7700000000006A +:041B78006B6E694CDB +:041B79006F727020F7 +:041B7A00693E2D662D +:041B7B007475706E9F +:041B7C000000000065 +:041B7D006B6E694CD6 +:041B7E00706E6920FC +:041B7F003E2D74750E +:041B8000666F7270AA +:041B81000000000060 +:041B820074696E49CB +:041B8300206C616908 +:041B840075706E69A1 +:041B850000000074E8 +:041B86006F747541C2 +:041B870065746564B8 +:041B880069207463F9 +:041B89007475706E91 +:041B8A000000000057 +:041B8B006F747541BD +:041B8C00315641206D +:041B8D00472F592065 +:041B8E0000000073E0 +:041B8F006F747541B9 +:041B90003256412068 +:041B9100472F592061 +:041B920000000073DC +:041B93006F747541B5 +:041B94003356412063 +:041B9500472F59205D +:041B960000000073D8 +:041B97002044434C57 +:041B980074204C4227 +:041B99006F656D699E +:041B9A00000074755E +:041B9B000044534F60 +:041B9C002044534F3F +:041B9D007461747388 +:041B9E0064207375D7 +:041B9F002E707369C8 +:041BA0000000000041 +:041BA100706D493CDE +:041BA2002074726FCA +:041BA300747465737E +:041BA4003E20202E91 +:041BA500000000003C +:041BA6007078453CD2 +:041BA7002074726FC5 +:041BA8007474657379 +:041BA9003E20202E8C +:041BAA000000000037 +:041BAB002E77463C0F +:041BAC0064707520CC +:041BAD0020657461DA +:041BAE003E20202095 +:041BAF000000000032 +:041BB0006E776F4499 +:041BB1006D61732DC2 +:041BB2006E696C707C +:041BB30000000067C7 +:041BB4007061775392 +:041BB50066656C20D5 +:041BB60069722F74AD +:041BB70000746867E7 +:041BB8002D657250D5 +:041BB9002043444140 +:041BBA006E69616788 +:041BBB000000000026 +:041BBC006C6C754692 +:041BBD002058542038 +:041BBE007574657362 +:041BBF0000000070B2 +:041BC0002033564137 +:041BC10065746E6970 +:041BC20063616C727D +:041BC3007869666572 +:041BC400000000001D +:041BC5002033564132 +:041BC60020657375AE +:041BC7002E746C61AB +:041BC800424752201E +:041BC9000000000018 +:041BCA0061666544A7 +:041BCB0020746C75A1 +:041BCC00494D4448F3 +:041BCD004349562012 :041BCE000000000013 -:041BCF00202E6C5305 -:041BD000657079744F -:041BD1000000000010 -:041BD2004320203C50 -:041BD3006F74737543 -:041BD4006C53206DC1 -:041BD5003E20202E60 -:041BD600000000000B -:041BD7007030343204 -:041BD8003838322F38 -:041BD9007270207096 -:041BDA000000636F35 -:041BDB0070343833F7 -:041BDC003030342F42 -:041BDD007270207092 -:041BDE000000636F31 -:041BDF0069303834FD -:041BE0003637352F30 -:041BE1007270206995 -:041BE2000000636F2D -:041BE30070303834F2 -:041BE4003637352F2C -:041BE500727020708A -:041BE6000000636F29 -:041BE70069303639F2 -:041BE8003830312F31 -:041BE90070206930CF -:041BEA0000636F72B3 -:041BEB00656E694C6E -:041BEC006D207832BE -:041BED000065646FBC -:041BEE00656E694C6B -:041BEF006D207833BA -:041BF0000065646FB9 -:041BF100656E694C68 -:041BF2006D207834B6 -:041BF3000065646FB6 -:041BF400656E694C65 -:041BF5006D207835B2 -:041BF6000065646FB3 -:041BF700656E694C62 -:041BF80066207835B6 -:041BF900616D726F39 -:041BFA000000007473 -:041BFB0078363532D1 -:041BFC00203034322F -:041BFD00657073613B -:041BFE00000074630C -:041BFF006D205854A9 -:041C00000065646FA8 -:041C0100494D4448BD -:041C020043544920DE +:041BCF00616E615092 +:041BD000696E6F7358 +:041BD10061682063C4 +:041BD20000006B6341 +:041BD30069726F487C +:041BD400746E6F7A42 +:041BD5006D206C61B2 +:041BD600006B7361CC +:041BD7007472655669 +:041BD8006C61636970 +:041BD90073616D20A7 +:041BDA000000006B9C +:041BDB006B73614D7A +:041BDC006C6F6320A7 +:041BDD000000726F23 +:041BDE006B73614D77 +:041BDF0069726220A5 +:041BE0006E74686750 +:041BE10000737365B5 +:041BE200657665526D +:041BE3002065737294 +:041BE4000046504C1B +:041BE5005949443CDA +:041BE60074616C209A +:041BE7006574202ED3 +:041BE800003E7473D4 +:041BE9006E61635373 +:041BEA00656E696C4F +:041BEB000000007383 +:041BEC00202E6C53E8 +:041BED006572747336 +:041BEE006874676E42 +:041BEF0000000000F2 +:041BF000202E6C53E4 +:041BF100726279683B +:041BF200732064698F +:041BF300002E7274DA +:041BF400202E6C53E0 +:041BF5006874656D3E +:041BF6000000646F18 +:041BF700202E6C53DD +:041BF80065746C6143 +:041BF90074616E7233 +:041BFA0000676E69A9 +:041BFB00202E6C53D9 +:041BFC0067696C6148 +:041BFD006E656D6E36 +:041BFE00000000746F +:041BFF00202E6C53D5 +:041C000020746C617F +:041C010065746E692F +:041C02006C61767229 :041C030000000000DD -:041C04006C616E4160 -:041C05007320676F72 -:041C060020636E7970 -:041C07000046504CF7 -:041C08006C616E415C -:041C09005320676F8E -:041C0A004C204354D3 -:041C0B00000046503F -:041C0C006C616E4158 -:041C0D007320676F6A -:041C0E0020636E7968 -:041C0F00006874569F -:041C10006E7973482E -:041C11006F74206369 -:041C12006172656C2A -:041C13000065636E97 -:041C14006E7973561C -:041C1500687420636C -:041C16006873657218 -:041C170000646C6F8A -:041C18004C502D48B7 -:041C19007250204C99 -:041C1A006F432D6582 -:041C1B00007473617D -:041C1C004C502D48B3 -:041C1D006F50204C98 -:041C1E00432D74736B -:041C1F007473616F0A -:041C200000000000C0 -:041C210070303834B3 -:041C2200206E6920A7 -:041C2300706D61730C -:041C24000072656C79 -:041C250070303034B7 -:041C2600206E6920A3 -:041C2700706D617308 -:041C28000072656C75 -:041C29006F6C6C412F -:041C2A005654207775 -:041C2B0050482050AD -:041C2C0078324C4C72 -:041C2D0000000000B3 -:041C2E006F6C6C412A -:041C2F007075207735 -:041C3000706D6173FF -:041C31007832656C34 -:041C320000000000AE -:041C33007664413C56 -:041C34006974202E81 -:041C3500676E696D00 -:041C36003E2020200C -:041C370000000000A9 -:041C38006564695620 -:041C3900504C206F7C -:041C3A000000004660 -:041C3B00506250594A -:041C3C006E6920723B -:041C3D006C6F432065 -:041C3E00006170537E -:041C3F0072502F525E -:041C400066666F2045 -:041C41000074657353 -:041C420020592F47AF -:041C43007366666FEF -:041C440000007465C3 -:041C450062502F4278 -:041C460066666F203F -:041C4700007465734D -:041C480072502F5255 -:041C49006961672046 -:041C4A000000006E28 -:041C4B0020592F47A6 -:041C4C006E696167F5 -:041C4D000000000093 -:041C4E0062502F426F -:041C4F006961672040 -:041C50000000006E22 -:041C51002D6572503B -:041C520020434441A6 -:041C53006E6961470E -:041C5400000000008C -:041C55006D616C430E -:041C56004C412F705E -:041C5700666F204351 -:041C580074657366D6 -:041C59000000000087 -:041C5A0020434C4196 -:041C5B006966205640 -:041C5C007265746CCD -:041C5D000000000083 -:041C5E0020434C4192 -:041C5F00696620484A -:041C60007265746CC9 -:041C6100000000007F -:041C620065646956F6 -:041C63006E69206F17 -:041C64006F7270200B -:041C65003E2020639A -:041C6600000000007A -:041C6700706D6153E8 -:041C6800676E696CCE -:041C690074706F2004 -:041C6A003E20202ECA -:041C6B000000000075 -:041C6C00636E7953D7 -:041C6D0074706F2000 -:041C6E002020202EE4 -:041C6F003E202020D3 -:041C70000000000070 -:041C71007074754FC7 -:041C72006F207475F6 -:041C7300202E74703B -:041C74003E202020CE -:041C7500000000006B -:041C76006E616353E5 -:041C7700656E696CC1 -:041C780074706F20F5 -:041C79003E20202EBB -:041C7A000000000066 -:041C7B0074736F50BF -:041C7C006F72702DE6 -:041C7D0020202E6392 -:041C7E003E202020C4 -:041C7F000000000061 -:041C8000706D6F43D1 -:041C810062697461BF -:041C820074696C69AC -:041C83003E20207966 -:041C8400000000005C -:041C850069647541D8 -:041C8600706F206FEC -:041C87006E6F69749F -:041C88003E20207367 +:041C0400202E6C53CF +:041C05006570797419 +:041C060000000000DA +:041C07004320203C1A +:041C08006F7473750D +:041C09006C53206D8B +:041C0A003E20202E2A +:041C0B0000000000D5 +:041C0C0070303432CE +:041C0D003838322F02 +:041C0E007270207060 +:041C0F000000636FFF +:041C100070343833C1 +:041C11003030342F0C +:041C1200727020705C +:041C13000000636FFB +:041C140069303834C7 +:041C15003637352FFA +:041C1600727020695F +:041C17000000636FF7 +:041C180070303834BC +:041C19003637352FF6 +:041C1A007270207054 +:041C1B000000636FF3 +:041C1C0069303639BC +:041C1D003830312FFB +:041C1E007020693099 +:041C1F0000636F727D +:041C2000656E694C38 +:041C21006D20783288 +:041C22000065646F86 +:041C2300656E694C35 +:041C24006D20783384 +:041C25000065646F83 +:041C2600656E694C32 +:041C27006D20783480 +:041C28000065646F80 +:041C2900656E694C2F +:041C2A006D2078357C +:041C2B000065646F7D +:041C2C00656E694C2C +:041C2D006620783580 +:041C2E00616D726F03 +:041C2F00000000743D +:041C3000783635329B +:041C310020303432F9 +:041C32006570736105 +:041C330000007463D6 +:041C34006D20585473 +:041C35000065646F73 +:041C3600494D444888 +:041C370043544920A9 +:041C380000000000A8 +:041C39006C616E412B +:041C3A007320676F3D +:041C3B0020636E793B +:041C3C000046504CC2 +:041C3D006C616E4127 +:041C3E005320676F59 +:041C3F004C2043549E +:041C4000000046500A +:041C41006C616E4123 +:041C42007320676F35 +:041C430020636E7933 +:041C4400006874566A +:041C45006E797348F9 +:041C46006F74206334 +:041C47006172656CF5 +:041C48000065636E62 +:041C49006E797356E7 +:041C4A006874206337 +:041C4B0068736572E3 +:041C4C0000646C6F55 +:041C4D004C502D4882 +:041C4E007250204C64 +:041C4F006F432D654D +:041C50000074736148 +:041C51004C502D487E +:041C52006F50204C63 +:041C5300432D747336 +:041C54007473616FD5 +:041C5500000000008B +:041C5600703038347E +:041C5700206E692072 +:041C5800706D6173D7 +:041C59000072656C44 +:041C5A007030303482 +:041C5B00206E69206E +:041C5C00706D6173D3 +:041C5D000072656C40 +:041C5E006F6C6C41FA +:041C5F005654207740 +:041C60005048205078 +:041C610078324C4C3D +:041C6200000000007E +:041C63006F6C6C41F5 +:041C64007075207700 +:041C6500706D6173CA +:041C66007832656CFF +:041C67000000000079 +:041C68007664413C21 +:041C69006974202E4C +:041C6A00676E696DCB +:041C6B003E202020D7 +:041C6C000000000074 +:041C6D0065646956EB +:041C6E00504C206F47 +:041C6F00000000462B +:041C70005062505915 +:041C71006E69207206 +:041C72006C6F432030 +:041C73000061705349 +:041C740072502F5229 +:041C750066666F2010 +:041C7600007465731E +:041C770020592F477A +:041C78007366666FBA +:041C7900000074658E +:041C7A0062502F4243 +:041C7B0066666F200A +:041C7C000074657318 +:041C7D0072502F5220 +:041C7E006961672011 +:041C7F000000006EF3 +:041C800020592F4771 +:041C81006E696167C0 +:041C8200000000005E +:041C830062502F423A +:041C8400696167200B +:041C85000000006EED +:041C86002D65725006 +:041C87002043444171 +:041C88006E696147D9 :041C89000000000057 -:041C8A0074746553B6 -:041C8B0073676E69A4 -:041C8C0074706F20E1 -:041C8D003E202020B5 +:041C8A006D616C43D9 +:041C8B004C412F7029 +:041C8C00666F20431C +:041C8D0074657366A1 :041C8E000000000052 -:041C8F0074736554B1 -:041C900074617020EB -:041C91006E72657496 +:041C8F0020434C4161 +:041C9000696620560B +:041C91007265746C98 :041C9200000000004E -:041C93005F31564126 -:041C9400534247521E -:041C9500000000004B -:041C96005F31564123 -:041C970042734752FB -:041C98000000000048 -:041C99005F31564120 -:041C9A0050625059EB -:041C9B0000000072D3 -:041C9C005F3256411C -:041C9D0050625059E8 -:041C9E0000000072D0 -:041C9F005F32564119 -:041CA00042734752F2 -:041CA100000000003F -:041CA2005F33564115 -:041CA300484247521A -:041CA40000000056E6 -:041CA5005F33564112 -:041CA600534247520C -:041CA7000000000039 -:041CA8005F3356410F -:041CA90042734752E9 +:041C930020434C415D +:041C94006966204815 +:041C95007265746C94 +:041C9600000000004A +:041C970065646956C1 +:041C98006E69206FE2 +:041C99006F727020D6 +:041C9A003E20206365 +:041C9B000000000045 +:041C9C00706D6153B3 +:041C9D00676E696C99 +:041C9E0074706F20CF +:041C9F003E20202E95 +:041CA0000000000040 +:041CA100636E7953A2 +:041CA20074706F20CB +:041CA3002020202EAF +:041CA4003E2020209E +:041CA500000000003B +:041CA6007074754F92 +:041CA7006F207475C1 +:041CA800202E747006 +:041CA9003E20202099 :041CAA000000000036 -:041CAB005F3356410C -:041CAC0050625059D9 -:041CAD0000000072C1 -:041CAE007473614C9E -:041CAF0065737520C4 -:041CB00000000064CC -:041CB1002D706F54CF -:041CB2007466656C83 -:041CB300000000002D -:041CB400746E6543A2 -:041CB5000000726554 -:041CB60074746F4291 -:041CB700722D6D6FAE -:041CB800746867697C +:041CAB006E616353B0 +:041CAC00656E696C8C +:041CAD0074706F20C0 +:041CAE003E20202E86 +:041CAF000000000031 +:041CB00074736F508A +:041CB1006F72702DB1 +:041CB20020202E635D +:041CB3003E2020208F +:041CB400000000002C +:041CB500706D6F439C +:041CB600626974618A +:041CB70074696C6977 +:041CB8003E20207931 :041CB9000000000027 -:041CBA0000000031F5 -:041CBB0000000032F3 -:041CBC0000000033F1 -:041CBD0000000034EF -:041CBE0000000036EC -:041CBF0000000037EA -:041CC00000000038E8 -:041CC10000000039E6 -:041CC200554E454DE9 +:041CBA0069647541A3 +:041CBB00706F206FB7 +:041CBC006E6F69746A +:041CBD003E20207332 +:041CBE000000000022 +:041CBF007474655381 +:041CC00073676E696F +:041CC10074706F20AC +:041CC2003E20202080 :041CC300000000001D -:041CC40000004B4F82 -:041CC5004B4341420A -:041CC600000000001A -:041CC7000000505574 -:041CC8004E574F44E0 -:041CC9000000000017 -:041CCA005446454CEB -:041CCB000000000015 -:041CCC0048474952EA -:041CCD0000000054BF -:041CCE004F464E49E6 -:041CCF000000000011 -:041CD0005F44434CDE -:041CD1004B434142FE -:041CD2004847494CEA -:041CD30000000054B9 -:041CD4004E414353E7 -:041CD500454E494CE3 -:041CD600444F4D5FCB -:041CD70000000045C4 -:041CD8004E414353E3 -:041CD900454E494CDF -:041CDA005059545FAA -:041CDB0000000045C0 -:041CDC004E414353DF -:041CDD00454E494CDB -:041CDE00544E495FB8 -:041CDF000000002BD6 -:041CE0004E414353DB -:041CE100454E494CD7 -:041CE200544E495FB4 -:041CE3000000002DD0 -:041CE400454E494CD4 -:041CE500544C554DB9 -:041CE600444F4D5FBB -:041CE70000000045B4 -:041CE80053414850CC -:041CE90000002B4587 -:041CEA0053414850CA -:041CEB0000002D4583 -:041CEC00464F5250BD -:041CED005F454C49BA -:041CEE004B544F48BC -:041CEF000000594553 -:041CF00003030200E8 -:041CF10002000102EA -:041CF20000000003EB -:041CF3000001723C3E -:041CF4000001724C2D -:041CF5000001725820 -:041CF6000001726413 -:041CF7000001727006 -:041CF8000001727CF9 -:041CF90000017288EC -:041CFA0000017294DF -:041CFB00000172A0D2 -:041CFC00000172ACC5 -:041CFD00000172B8B8 -:041CFE0000016C84F1 -:041CFF0000002000C1 -:041D000020002CE5AE -:041D0100E926F4FDDE -:041D020038BC2000C9 -:041D030000000000DC -:041D040000016C90DE -:041D050000002000BA -:041D06002000323E49 -:041D0700F113FA04D6 -:041D08003B6120001B -:041D090000000000D6 -:041D0A0000018C9DAB -:041D0B0000000002D2 -:041D0C00000111962B -:041D0D00000172C49B -:041D0E00000172D08E -:041D0F00000172D885 -:041D10000D806000E2 -:041D1100004020105E -:041D1200080402209F -:041D1300000040226A -:041D140000000000CB -:041D15000DC060009D -:041D160000783C1104 -:041D1700070180E060 -:041D18000000180EA1 -:041D190000000000C6 -:041D1A000D806000D8 -:041D1B000030180478 -:041D1C000201406020 -:041D1D0000001406A8 -:041D1E0000000000C1 -:041D1F0000018CAB88 -:041D20000000000EB1 -:041D21000001225447 -:041D2200000172E862 -:041D2300000172EC5D -:041D2400000172F058 -:041D2500000172F453 -:041D26000001676CE5 -:041D2700000172F84D -:041D2800000172FC48 -:041D29000001730042 -:041D2A00000173043D -:041D2B0000016AE861 -:041D2C000001730837 -:041D2D00000173102E -:041D2E000001731429 -:041D2F000001731C20 -:041D3000000173201B -:041D31000001732812 -:041D32000001733009 -:041D33000001733800 -:041D340000017340F7 -:041D350000017350E6 -:041D360000017360D5 -:041D370000017370C4 -:041D380000017380B3 -:041D390000017390A2 -:041D3A00000173A091 -:041D3B00000173A888 -:041D3C00000173B07F -:041D3D003EA93E2954 -:041D3E003EE93E69D3 -:041D3F003E993E1972 -:041D40003ED93E59F1 -:041D41003EC93E3920 -:041D42003E1D3E4DB7 -:041D43003E2D3EED06 -:041D44003EAD3ECDA5 -:041D45003E653E6D4C -:041D46001C483E01F6 -:041D47001C501C18F8 -:041D48001CC81CD0C7 -:041D49005ED85E58AA -:041D4A0000003EB99E -:041D4B000000000094 -:041D4C000000000192 -:041D4D000000000092 +:041CC400747365547C +:041CC50074617020B6 +:041CC6006E72657461 +:041CC7000000000019 +:041CC8005F315641F1 +:041CC90053424752E9 +:041CCA000000000016 +:041CCB005F315641EE +:041CCC0042734752C6 +:041CCD000000000013 +:041CCE005F315641EB +:041CCF0050625059B6 +:041CD000000000729E +:041CD1005F325641E7 +:041CD20050625059B3 +:041CD300000000729B +:041CD4005F325641E4 +:041CD50042734752BD +:041CD600000000000A +:041CD7005F335641E0 +:041CD80048424752E5 +:041CD90000000056B1 +:041CDA005F335641DD +:041CDB0053424752D7 +:041CDC000000000004 +:041CDD005F335641DA +:041CDE0042734752B4 +:041CDF000000000001 +:041CE0005F335641D7 +:041CE10050625059A4 +:041CE200000000728C +:041CE3007473614C69 +:041CE400657375208F +:041CE5000000006497 +:041CE6002D706F549A +:041CE7007466656C4E +:041CE80000000000F8 +:041CE900746E65436D +:041CEA00000072651F +:041CEB0074746F425C +:041CEC00722D6D6F79 +:041CED007468676947 +:041CEE0000000000F2 +:041CEF0000000031C0 +:041CF00000000032BE +:041CF10000000033BC +:041CF20000000034BA +:041CF30000000036B7 +:041CF40000000037B5 +:041CF50000000038B3 +:041CF60000000039B1 +:041CF700554E454DB4 +:041CF80000000000E8 +:041CF90000004B4F4D +:041CFA004B434142D5 +:041CFB0000000000E5 +:041CFC00000050553F +:041CFD004E574F44AB +:041CFE0000000000E2 +:041CFF005446454CB6 +:041D000000000000DF +:041D010048474952B4 +:041D02000000005489 +:041D03004F464E49B0 +:041D040000000000DB +:041D05005F44434CA8 +:041D06004B434142C8 +:041D07004847494CB4 +:041D08000000005483 +:041D09004E414353B1 +:041D0A00454E494CAD +:041D0B00444F4D5F95 +:041D0C00000000458E +:041D0D004E414353AD +:041D0E00454E494CA9 +:041D0F005059545F74 +:041D1000000000458A +:041D11004E414353A9 +:041D1200454E494CA5 +:041D1300544E495F82 +:041D14000000002BA0 +:041D15004E414353A5 +:041D1600454E494CA1 +:041D1700544E495F7E +:041D18000000002D9A +:041D1900454E494C9E +:041D1A00544C554D83 +:041D1B00444F4D5F85 +:041D1C00000000457E +:041D1D005341485096 +:041D1E0000002B4551 +:041D1F005341485094 +:041D200000002D454D +:041D2100464F525087 +:041D22005F454C4984 +:041D23004B544F4886 +:041D2400000059451D +:041D250003030200B2 +:041D260002000102B4 +:041D270000000003B5 +:041D28000001731033 +:041D29000001732022 +:041D2A000001732C15 +:041D2B000001733808 +:041D2C0000017344FB +:041D2D0000017350EE +:041D2E000001735CE1 +:041D2F0000017368D4 +:041D300000017374C7 +:041D310000017380BA +:041D32000001738CAD +:041D330000016D58E6 +:041D3400000020008B +:041D350020002CE579 +:041D3600E926F4FDA9 +:041D370038BC200094 +:041D380000000000A7 +:041D390000016D64D4 +:041D3A000000200085 +:041D3B002000323E14 +:041D3C00F113FA04A1 +:041D3D003B612000E6 +:041D3E0000000000A1 +:041D3F0000018D71A1 +:041D4000000000029D +:041D41000001110686 +:041D42000001739891 +:041D4300000173A484 +:041D4400000173AC7B +:041D45000D806000AD +:041D46000040201029 +:041D4700080402206A +:041D48000000402235 +:041D49000000000096 +:041D4A000DC0600068 +:041D4B0000783C11CF +:041D4C00070180E02B +:041D4D000000180E6C :041D4E000000000091 -:041D4F000000000090 -:041D5000000001008E -:041D5100010101008B -:041D5200000001008C +:041D4F000D806000A3 +:041D50000030180443 +:041D510002014060EB +:041D52000000140673 :041D5300000000008C -:041D5400010000008A -:041D5500060B000079 -:041D56000000004445 -:041D57000000000187 -:041D58000100000086 -:041D590080000C00FA -:041D5A008080090379 -:041D5B001A1A1A80B6 -:041D5C0000000A0871 -:041D5D0030303631BB -:041D5E003034327873 -:041D5F00C8000000B8 -:041D600007FE00F08A -:041D61000FCA20C0C5 -:041D620022100396B2 -:041D6300004000023A -:041D640030383231B0 -:041D6500303432786C -:041D6600A0000000D9 -:041D6700061800F06A -:041D68000FAA20C0DE -:041D690022100348F9 -:041D6A00000204026D -:041D6B00783036395D -:041D6C0000303432DD -:041D6D0078000000FA -:041D6E00049200F0EB -:041D6F000F8020C001 -:041D70002210033604 -:041D71000000080264 -:041D7200783231355D -:041D730000303432D6 -:041D7400400000002B -:041D750002AA00F0CE -:041D76000E4D20C02E -:041D77002210033201 -:041D780000841010C3 -:041D7900783438334F -:041D7A0000303432CF -:041D7B003000000034 -:041D7C00020000F071 -:041D7D000E3B20C039 -:041D7E002210032507 -:041D7F000108202017 -:041D80007830323352 -:041D810000303432C8 -:041D82002800000035 -:041D830001AA00F0C1 -:041D84000E3120C03C -:041D85002210031F06 -:041D860002104040C7 -:041D87007836353243 -:041D880000303432C1 -:041D89002000000036 -:041D8A00015500F00F -:041D8B000E2720C03F -:041D8C002210031905 -:041D8D00042080802E -:041D8E00703034324B -:041D8F000000000050 -:041D90005A080000ED -:041D9100035A00F001 -:041D92000F3920C025 -:041D93002210033ED9 -:041D94000000000E3D -:041D95003030363183 -:041D9600303432783B -:041D9700C800004C34 -:041D980007FE00F052 -:041D990029CA27002C -:041D9A00221003967A -:041D9B000040000202 -:041D9C003038323178 -:041D9D003838327828 -:041D9E00A0000000A1 -:041D9F000618012001 -:041DA0000FAA27005F -:041DA10022100348C1 -:041DA2000002040235 -:041DA3007830363925 -:041DA4000038383299 -:041DA50078000000C2 -:041DA6000492012082 -:041DA7000F80270082 -:041DA80022100336CC -:041DA900000008022C -:041DAA007832313525 -:041DAB004C30343252 -:041DAC0040000042B1 -:041DAD0002AA00F096 -:041DAE00294D270094 -:041DAF0022100332C9 -:041DB000008410108B -:041DB1007834383317 -:041DB2004C3034324B -:041DB30030000042BA -:041DB400020000F039 -:041DB500293B27009F -:041DB60022100325CF -:041DB70001082020DF -:041DB800783032331A -:041DB9004C30343244 -:041DBA0028000042BB -:041DBB0001AA00F089 -:041DBC0029312700A2 -:041DBD002210031FCE -:041DBE00021040408F -:041DBF00783635320B -:041DC0004C3034323D -:041DC10020000042BC -:041DC200015500F0D7 -:041DC30029272700A5 -:041DC40022100319CD -:041DC50004208080F6 -:041DC6007038383207 -:041DC7000000000018 -:041DC8005A170000A6 -:041DC9000360012092 -:041DCA001345270096 -:041DCB002210033FA0 -:041DCC000000000E05 -:041DCD0078303834FE -:041DCE000030363378 -:041DCF003C000000D4 -:041DD000025801684C -:041DD1000A3F2EE0B7 -:041DD2004410032690 -:041DD3000000000EFE -:041DD40078303432FD -:041DD5000030363371 -:041DD60020000000E9 -:041DD700012C016872 -:041DD8000A182EE0D7 -:041DD900441003129D -:041DDA000001010003 -:041DDB0070343833F5 -:041DDC000000000003 -:041DDD003E000000C4 -:041DDE0002800180FE -:041DDF001D3233A0DE -:041DE0004410033E6A -:041DE1000000000EF0 -:041DE2003030363136 -:041DE30030303478F0 -:041DE400C800000033 -:041DE50007D0019092 -:041DE6002278382007 -:041DE700501002F0A6 -:041DE80000000400F3 -:041DE90078303237E5 -:041DEA000030303461 -:041DEB005A0000009A -:041DEC0003840190DB -:041DED002240382038 -:041DEE00501002602F -:041DEF000000000CE4 -:041DF00078303436DD -:041DF100003030345A -:041DF200500000009D -:041DF3000320019038 -:041DF4002230382041 -:041DF5005010026028 -:041DF6000000000CDD -:041DF70078303436D6 -:041DF8000034383348 -:041DF9005000000096 -:041DFA000320018041 -:041DFB003F303D80B8 -:041DFC005010026021 -:041DFD000000000ED4 -:041DFE0069303834DC -:041DFF0000000000E0 -:041E00005A0600007E -:041E0100035A00F090 -:041E02000F3941A0B3 -:041E03006210033E28 -:041E04000002040FC5 -:041E050070303834CD -:041E060000000000D8 -:041E07005A0200007B -:041E0800035A01E098 -:041E09001E3C41A09A -:041E0A008410063EFC -:041E0B000000000CC7 -:041E0C0078303834BE -:041E0D000032373236 -:041E0E003C03000091 -:041E0F00035A011061 -:041E100086B141A0B6 -:041E11008410063EF5 -:041E120000000204C6 -:041E130078303436B9 -:041E1400003038342E -:041E15005001000078 -:041E1600032001E0C4 -:041E1700213041A095 -:041E180090100260C4 -:041E19000000000CB9 -:041E1A0078303436B2 -:041E1B00003231352B -:041E1C005000000072 -:041E1D00032002009C -:041E1E001C3047002D -:041E1F0090100260BD -:041E20000000000CB2 -:041E210069363735B2 -:041E220000000000BC -:041E23005A1500004C -:041E24000360012036 -:041E250013454E20F3 -:041E26006210033F04 -:041E27000002040FA2 -:041E280070363735A4 -:041E290000000000B5 -:041E2A005A11000049 -:041E2B00036002400E -:041E2C0027444E20D9 -:041E2D0084100540D8 -:041E2E000000000CA4 -:041E2F00783030389F -:041E30000030303618 -:041E31006400000049 -:041E3200042002582E -:041E330017584E806E -:041E34001010048006 -:041E350000000004A5 -:041E3600703032379F -:041E37000030355FE3 -:041E3800A0130000F3 -:041E390007BC02D010 -:041E3A0014DC5DC097 -:041E3B00181005284E -:041E3C00000000049E -:041E3D007030323798 -:041E3E000030365FDB -:041E3F00A0040000FB -:041E4000067202D054 -:041E410014DC5DC090 -:041E42001810052847 -:041E43000000000497 -:041E440034323031D3 -:041E4500383637787C -:041E46008000000018 -:041E4700054003004F -:041E48001DA064C0B5 -:041E490010100688E7 -:041E4A000000000490 -:041E4B0030383231C8 -:041E4C003230317887 -:041E4D00A0000034BD -:041E4E0006980400EE -:041E4F0026F88540AC -:041E500010100370FB -:041E51000000000489 -:041E5200783034367A -:041E53006930363983 -:041E5400500000003A -:041E5500032001E085 -:041E56002130834074 -:041E5700A410026071 -:041E58000000000D79 -:041E590030383031BC -:041E5A0030355F6957 -:041E5B00F01400007F -:041E5C000A50021C0A -:041E5D000F948CA0B2 -:041E5E00B810052C87 -:041E5F000000000D72 -:041E600030383031B5 -:041E610030365F694F -:041E6200F005000087 -:041E63000898021CBD -:041E640010948CA0AA -:041E6500B810052C80 -:041E66000000000D6B -:041E670030383031AE -:041E680030355F7042 -:041E6900F01F000066 -:041E6A000A500438DE -:041E6B0024948CA08F -:041E6C001810052C19 -:041E6D00000000046D -:041E6E0030383031A7 -:041E6F0030365F703A -:041E7000F01000006E -:041E71000898043891 -:041E720024948CA088 -:041E73001810052C12 -:041E74000000000466 -:041E750030303631A2 -:041E7600303231785D -:041E7700C80000306F -:041E7800087004B03A -:041E79002EFF9C405C -:041E7A00101003F150 -:041E7B00000000045F -:041E7C0000018CD401 -:041E7D000000002839 -:041E7E00000111B09E -:041E7F007665642FF1 -:041E80006370652FF7 -:041E81006F635F71BB -:041E82006F72746E99 -:041E830072656C6CAC -:041E8400615F305F0B -:041E85006D5F6C76AB -:041E860000006D6586 -:041E87002B302D23AC -:041E88000000002036 -:041E8900004C6C6835 -:041E8A0045676665DD -:041E8B0000004746C6 -:041E8C00333231308C -:041E8D00373635347B -:041E8E00424139385C -:041E8F00464544433D -:041E9000000000004E -:041E91003332313087 -:041E92003736353476 -:041E93006261393817 -:041E940066656463B8 -:041E95000000000049 -:041E960000015F9A4E -:041E970000015FB037 -:041E980000015F7472 -:041E990000015F7471 -:041E9A0000015F7470 -:041E9B0000015F746F -:041E9C0000015FB032 -:041E9D0000015F746D -:041E9E0000015F746C -:041E9F0000015F746B -:041EA00000015F746A -:041EA10000016114C7 -:041EA20000015FF2EA -:041EA300000160E6F4 -:041EA40000015F7466 -:041EA50000015F7465 -:041EA600000161488E -:041EA70000015F7463 -:041EA80000015FF2E4 -:041EA90000015F7461 -:041EAA0000015F7460 -:041EAB00000160EEE4 -:041EAC00C896554B34 -:041EAD000000000928 -:041EAE0000017CB003 -:041EAF00000000032C -:041EB00000017D644C -:041EB1000000000528 -:041EB20000017DA00E -:041EB3000000000B20 -:041EB40000017E04A7 -:041EB5000000000920 -:041EB60000017EE0C9 -:041EB7000000000D1A -:041EB80000017F9412 -:041EB900000000061F -:041EBA00000180980B -:041EBB00000000051E -:041EBC000001811090 -:041EBD000000000918 -:041EBE00000181742A -:041EBF00000000100F -:041EC0000001822873 -:041EC1000000000716 -:041EC2000001836830 -:041EC3000000000C0F -:041EC400000183F4A2 +:041D540000018D7F7E +:041D55000000000E7C +:041D5600000122BAAC +:041D5700000173BC58 +:041D5800000173C053 +:041D5900000173C44E +:041D5A00000173C849 +:041D5B0000016840DB +:041D5C00000173CC43 +:041D5D00000173D03E +:041D5E00000173D439 +:041D5F00000173D834 +:041D600000016BBC57 +:041D6100000173DC2E +:041D6200000173E425 +:041D6300000173E820 +:041D6400000173F017 +:041D6500000173F412 +:041D6600000173FC09 +:041D670000017404FF +:041D68000001740CF6 +:041D690000017414ED +:041D6A0000017424DC +:041D6B0000017434CB +:041D6C0000017444BA +:041D6D0000017454A9 +:041D6E000001746498 +:041D6F000001747487 +:041D70000001747C7E +:041D71000001748475 +:041D72003EA93E291F +:041D73003EE93E699E +:041D74003E993E193D +:041D75003ED93E59BC +:041D76003EC93E39EB +:041D77003E1D3E4D82 +:041D78003E2D3EEDD1 +:041D79003EAD3ECD70 +:041D7A003E653E6D17 +:041D7B001C483E01C1 +:041D7C001C501C18C3 +:041D7D001CC81CD092 +:041D7E005ED85E5875 +:041D7F0000003EB969 +:041D8000000000005F +:041D8100000000015D +:041D8200000000005D +:041D8300000000005C +:041D8400000000005B +:041D85000000010059 +:041D86000101010056 +:041D87000000010057 +:041D88000000000057 +:041D89000100000055 +:041D8A00060B000044 +:041D8B000000004410 +:041D8C000000000152 +:041D8D000100000051 +:041D8E0080000C00C5 +:041D8F008080090344 +:041D90001A1A1A8081 +:041D910000000A083C +:041D92003030363186 +:041D9300303432783E +:041D9400C800000083 +:041D950007FE00F055 +:041D96000FCA20C090 +:041D9700221003967D +:041D98000040000205 +:041D9900303832317B +:041D9A003034327837 +:041D9B00A0000000A4 +:041D9C00061800F035 +:041D9D000FAA20C0A9 +:041D9E0022100348C4 +:041D9F000002040238 +:041DA0007830363928 +:041DA10000303432A8 +:041DA20078000000C5 +:041DA300049200F0B6 +:041DA4000F8020C0CC +:041DA50022100336CF +:041DA600000008022F +:041DA7007832313528 +:041DA80000303432A1 +:041DA90040000000F6 +:041DAA0002AA00F099 +:041DAB000E4D20C0F9 +:041DAC0022100332CC +:041DAD00008410108E +:041DAE00783438331A +:041DAF00003034329A +:041DB00030000000FF +:041DB100020000F03C +:041DB2000E3B20C004 +:041DB30022100325D2 +:041DB40001082020E2 +:041DB500783032331D +:041DB6000030343293 +:041DB7002800000000 +:041DB80001AA00F08C +:041DB9000E3120C007 +:041DBA002210031FD1 +:041DBB000210404092 +:041DBC00783635320E +:041DBD00003034328C +:041DBE002000000001 +:041DBF00015500F0DA +:041DC0000E2720C00A +:041DC10022100319D0 +:041DC20004208080F9 +:041DC3007030343216 +:041DC400000000001B +:041DC5005A080000B8 +:041DC600035A00F0CC +:041DC7000F3920C0F0 +:041DC8002210033EA4 +:041DC9000000000E08 +:041DCA00303036314E +:041DCB003034327806 +:041DCC00C800004CFF +:041DCD0007FE00F01D +:041DCE0029CA2700F7 +:041DCF002210039645 +:041DD00000400002CD +:041DD1003038323143 +:041DD20038383278F3 +:041DD300A00000006C +:041DD40006180120CC +:041DD5000FAA27002A +:041DD600221003488C +:041DD7000002040200 +:041DD80078303639F0 +:041DD9000038383264 +:041DDA00780000008D +:041DDB00049201204D +:041DDC000F8027004D +:041DDD002210033697 +:041DDE0000000802F7 +:041DDF0078323135F0 +:041DE0004C3034321D +:041DE100400000427C +:041DE20002AA00F061 +:041DE300294D27005F +:041DE4002210033294 +:041DE5000084101056 +:041DE60078343833E2 +:041DE7004C30343216 +:041DE8003000004285 +:041DE900020000F004 +:041DEA00293B27006A +:041DEB00221003259A +:041DEC0001082020AA +:041DED0078303233E5 +:041DEE004C3034320F +:041DEF002800004286 +:041DF00001AA00F054 +:041DF100293127006D +:041DF2002210031F99 +:041DF300021040405A +:041DF40078363532D6 +:041DF5004C30343208 +:041DF6002000004287 +:041DF700015500F0A2 +:041DF8002927270070 +:041DF9002210031998 +:041DFA0004208080C1 +:041DFB0070383832D2 +:041DFC0000000000E3 +:041DFD005A17000071 +:041DFE00036001205D +:041DFF001345270061 +:041E00002210033F6A +:041E01000000000ECF +:041E020078303834C8 +:041E03000030363342 +:041E04003C0000009E +:041E05000258016816 +:041E06000A3F2EE081 +:041E0700441003265A +:041E08000000000EC8 +:041E090078303432C7 +:041E0A00003036333B +:041E0B0020000000B3 +:041E0C00012C01683C +:041E0D000A182EE0A1 +:041E0E004410031267 +:041E0F0000010100CD +:041E100070343833BF +:041E110000000000CD +:041E12003E0000008E +:041E130002800180C8 +:041E14001D3233A0A8 +:041E15004410033E34 +:041E16000000000EBA +:041E17003030363100 +:041E180030303478BA +:041E1900C8000000FD +:041E1A0007D001905C +:041E1B0022783820D1 +:041E1C00501002F070 +:041E1D0000000400BD +:041E1E0078303237AF +:041E1F00003030342B +:041E20005A00000064 +:041E210003840190A5 +:041E22002240382002 +:041E230050100260F9 +:041E24000000000CAE +:041E250078303436A7 +:041E26000030303424 +:041E27005000000067 +:041E28000320019002 +:041E2900223038200B +:041E2A0050100260F2 +:041E2B000000000CA7 +:041E2C0078303436A0 +:041E2D000034383312 +:041E2E005000000060 +:041E2F00032001800B +:041E30003F303D8082 +:041E310050100260EB +:041E32000000000E9E +:041E330069303834A6 +:041E340000000000AA +:041E35005A06000049 +:041E3600035A00F05B +:041E37000F3941A07E +:041E38006210033EF3 +:041E39000002040F90 +:041E3A007030383498 +:041E3B0000000000A3 +:041E3C005A02000046 +:041E3D00035A01E063 +:041E3E001E3C41A065 +:041E3F008410063EC7 +:041E40000000000C92 +:041E41007830383489 +:041E42000032373201 +:041E43003C0300005C +:041E4400035A01102C +:041E450086B141A081 +:041E46008410063EC0 +:041E47000000020491 +:041E48007830343684 +:041E490000303834F9 +:041E4A005001000043 +:041E4B00032001E08F +:041E4C00213041A060 +:041E4D00901002608F +:041E4E000000000C84 +:041E4F00783034367D +:041E500000323135F6 +:041E5100500000003D +:041E52000320020067 +:041E53001C304700F8 +:041E54009010026088 +:041E55000000000C7D +:041E5600693637357D +:041E57000000000087 +:041E58005A15000017 +:041E59000360012001 +:041E5A0013454E20BE +:041E5B006210033FCF +:041E5C000002040F6D +:041E5D00703637356F +:041E5E000000000080 +:041E5F005A11000014 +:041E600003600240D9 +:041E610027444E20A4 +:041E620084100540A3 +:041E63000000000C6F +:041E6400783030386A +:041E650000303036E3 +:041E66006400000014 +:041E670004200258F9 +:041E680017584E8039 +:041E690010100480D1 +:041E6A000000000470 +:041E6B00703032376A +:041E6C000030355FAE +:041E6D00A0130000BE +:041E6E0007BC02D0DB +:041E6F0014DC5DC062 +:041E70001810052819 +:041E71000000000469 +:041E72007030323763 +:041E73000030365FA6 +:041E7400A0040000C6 +:041E7500067202D01F +:041E760014DC5DC05B +:041E77001810052812 +:041E78000000000462 +:041E7900343230319E +:041E7A003836377847 +:041E7B0080000000E3 +:041E7C00054003001A +:041E7D001DA064C080 +:041E7E0010100688B2 +:041E7F00000000045B +:041E80003038323193 +:041E81003230317852 +:041E8200A000003488 +:041E830006980400B9 +:041E840026F8854077 +:041E850010100370C6 +:041E86000000000454 +:041E87007830343645 +:041E8800693036394E +:041E89005000000005 +:041E8A00032001E050 +:041E8B00213083403F +:041E8C00A41002603C +:041E8D000000000D44 +:041E8E003038303187 +:041E8F0030355F6922 +:041E9000F01400004A +:041E91000A50021CD5 +:041E92000F948CA07D +:041E9300B810052C52 +:041E94000000000D3D +:041E95003038303180 +:041E960030365F691A +:041E9700F005000052 +:041E98000898021C88 +:041E990010948CA075 +:041E9A00B810052C4B +:041E9B000000000D36 +:041E9C003038303179 +:041E9D0030355F700D +:041E9E00F01F000031 +:041E9F000A500438A9 +:041EA00024948CA05A +:041EA1001810052CE4 +:041EA2000000000438 +:041EA3003038303172 +:041EA40030365F7005 +:041EA500F010000039 +:041EA600089804385C +:041EA70024948CA053 +:041EA8001810052CDD +:041EA9000000000431 +:041EAA00303036316D +:041EAB003032317828 +:041EAC00C80000303A +:041EAD00087004B005 +:041EAE002EFF9C4027 +:041EAF00101003F11B +:041EB000000000042A +:041EB10000018DA8F7 +:041EB2000000002804 +:041EB30000011120F9 +:041EB4007665642FBC +:041EB5006370652FC2 +:041EB6006F635F7186 +:041EB7006F72746E64 +:041EB80072656C6C77 +:041EB9005F305F3205 +:041EBA005F6C766182 +:041EBB00006D656DE4 +:041EBC002B302D2377 +:041EBD000000002001 +:041EBE00004C6C6800 +:041EBF0045676665A8 +:041EC0000000474691 +:041EC1003332313057 +:041EC2003736353446 +:041EC3004241393827 +:041EC4004645444308 :041EC5000000000019 -:041EC6000000000018 -:041EC700000179FCA1 -:041EC8000000000016 -:041EC9000000000015 -:041ECA000001035AB6 -:041ECB0000010412FC -:041ECC00000101CE42 -:041ECD00000101EC23 -:041ECE000001023AD3 -:041ECF00008000008F -:041ED00000200000EE -:041ED100000000000D -:041ED200000000000C -:041ED300000000000B -:041ED400000000000A -:041ED5000000000009 -:041ED6000000000008 -:041ED7000000000007 -:041ED8000000000006 -:041ED9000000000005 -:041EDA000000000004 -:041EDB000000000003 -:041EDC000000000002 -:041EDD000000000001 -:041EDE000000000000 -:041EDF0000000000FF -:041EE00000000000FE -:041EE10000000000FD -:041EE20000000000FC -:041EE30000000000FB -:041EE40000000000FA -:041EE50000000000F9 -:041EE60000000000F8 -:041EE70000000000F7 -:041EE80000000000F6 -:041EE90000000000F5 -:041EEA0000000000F4 -:041EEB0000000000F3 -:041EEC0000000000F2 -:041EED0000000000F1 -:041EEE0000000000F0 -:041EEF0000000000EF -:041EF00000000000EE -:041EF10000000000ED -:041EF2000001017476 -:041EF300008000006B -:041EF40000A000004A -:041EF50000020100E6 -:041EF60000200000C8 -:041EF70000000001E6 -:041EF80000000020C6 -:041EF90000010000E4 -:041EFA0000000100E3 +:041EC6003332313052 +:041EC7003736353441 +:041EC80062613938E2 +:041EC9006665646383 +:041ECA000000000014 +:041ECB000001606E44 +:041ECC00000160842D +:041ECD000001604868 +:041ECE000001604867 +:041ECF000001604866 +:041ED0000001604865 +:041ED1000001608428 +:041ED2000001604863 +:041ED3000001604862 +:041ED4000001604861 +:041ED5000001604860 +:041ED600000161E8BE +:041ED700000160C6E0 +:041ED800000161BAEA +:041ED900000160485C +:041EDA00000160485B +:041EDB000001621C84 +:041EDC000001604859 +:041EDD00000160C6DA +:041EDE000001604857 +:041EDF000001604856 +:041EE000000161C2DA +:041EE100C896554BFF +:041EE20000000009F3 +:041EE30000017D84F9 +:041EE40000000003F7 +:041EE50000017E3842 +:041EE60000000005F3 +:041EE70000017E7404 +:041EE8000000000BEB +:041EE90000017ED89E +:041EEA0000000009EB +:041EEB0000017FB4BF +:041EEC000000000DE5 +:041EED000001806808 +:041EEE0000000006EA +:041EEF000001816C01 +:041EF00000000005E9 +:041EF100000181E487 +:041EF20000000009E3 +:041EF3000001824820 +:041EF40000000010DA +:041EF500000182FC6A +:041EF60000000007E1 +:041EF7000001843C26 +:041EF8000000000CDA +:041EF900000184C898 +:041EFA0000000000E4 :041EFB0000000000E3 -:041EFC00000169A8D0 -:041EFD00000169C4B3 -:041EFE00000169D4A2 -:041EFF00000169A8CD -:041F000000016A086A -:041F010000016A0C65 -:041F02000001723C2C -:041F03000001724C1B -:041F0400000172580E -:041F05000001726401 -:041F060000017270F4 -:041F07000001727CE7 -:041F080000017288DA -:041F090000017294CD -:041F0A00000172A0C0 -:041F0B00000172ACB3 -:041F0C00000172B8A6 -:041F0D00020E0409B3 -:041F0E003D0E00C8BC -:041F0F003F6E03839B -:041F10003ED03DACD6 -:041F11000000038346 -:041F120000016B0857 -:041F130000016B144A -:041F140000016B2439 -:041F150000016B3428 -:041F160000016B4417 -:041F170000016AF863 -:041F180000016B0851 -:041F190000016B1444 -:041F1A0000016B2433 -:041F1B0000016B3422 -:041F1C0000016B4411 -:041F1D0000016AD481 -:041F1E0000016AE074 -:041F1F0000016AEC67 -:041F2000000169A8AB -:041F2100000169BC96 -:041F2200000169A4AD -:041F2300000169C090 -:041F240000016A103E -:041F250000016A1835 -:041F260000016A202C -:041F270000016A2823 -:041F280000016A301A -:041F290000016A3415 -:041F2A0000016A3C0C -:041F2B0000016A4403 -:041F2C000001691C2B -:041F2D0000000002AE -:041F2E0000018CC45E -:041F2F000AF0012C87 -:041F300000011BF29F -:041F31000001692C16 -:041F320000000002A9 -:041F330000018CC657 -:041F34000013000096 -:041F350000011BF29A -:041F36000001693C01 -:041F370000000002A4 -:041F380000018CC850 -:041F390000FF000A9B -:041F3A0000011BF295 -:041F3B0000016948F0 -:041F3C00000000029F -:041F3D0000018CC251 -:041F3E0000FF00019F -:041F3F0000011BF290 -:041F400000016958DB -:041F4100000000029A -:041F420000018CC04E -:041F4300078000C84B -:041F440000011BF28B -:041F450000016964CA -:041F46000000000295 -:041F470000018CD039 -:041F4800000700018D -:041F490000011BF286 -:041F4A0000016970B9 -:041F4B000000000290 -:041F4C0000018CCE36 -:041F4D0000EC0001A3 -:041F4E0000011BF281 -:041F4F0000016980A4 -:041F5000000000028B -:041F510000018CCC33 -:041F520004B000A037 -:041F530000011BF27C -:041F54000001698C93 -:041F55000000000286 -:041F560000018CCA30 -:041F5700001F000067 -:041F580000011BF277 -:041F590000016DEC2A -:041F5A000000000083 -:041F5B00000187E713 -:041F5C00000100017F -:041F5D000001863CBD -:041F5E0000016DFC15 -:041F5F00000000007E -:041F6000000187E80D -:041F6100000100017A -:041F62000001864CA8 -:041F630000016E0CFF -:041F64000000000178 -:041F6500000187E907 -:041F6600001800005F -:041F670000011A62F9 -:041F680000016E1CEA -:041F69000000000074 -:041F6A00000187E209 -:041F6B000001000170 -:041F6C000001864C9E -:041F6D0000016E2CD5 -:041F6E00000000006F -:041F6F00000187E303 -:041F7000000100016B -:041F71000001864C99 -:041F720000016E40BC -:041F7300000000006A -:041F7400000187E4FD -:041F75000002000165 -:041F760000017BFCEF -:041F770000016E54A3 -:041F78000000000164 -:041F7900000187EAF2 -:041F7A00001F000044 -:041F7B0000011A3413 -:041F7C0000016E688A -:041F7D000000000060 -:041F7E00000187E5F2 -:041F7F00000100015C -:041F80000001864C8A -:041F8100000168549F -:041F8200000000015A -:041F8300000187B81A -:041F84000010000049 -:041F8500000119E856 -:041F8600000168648A -:041F87000000000155 -:041F8800000187B914 -:041F89000010000044 -:041F8A00000119E851 -:041F8B000001687475 -:041F8C000000000150 -:041F8D00000187BA0E -:041F8E00001000003F -:041F8F00000119E84C -:041F90000001688460 -:041F9100000000014B -:041F9200000187BB08 -:041F9300001000003A -:041F9400000119E847 -:041F9500000168944B -:041F96000000000146 -:041F9700000187BC02 -:041F98000010000035 -:041F9900000119E842 -:041F9A00000168A436 -:041F9B000000000141 -:041F9C00000187BDFC -:041F9D000010000030 -:041F9E00000119E83D -:041F9F00000168B81D -:041FA000000000013C -:041FA100000187BEF6 -:041FA200001000002B -:041FA300000119E838 -:041FA400000168CC04 -:041FA5000000000137 -:041FA600000187BFF0 -:041FA7000010000026 -:041FA800000119E833 -:041FA900000168E0EB -:041FAA000000000132 -:041FAB00000187C0EA -:041FAC000010000021 -:041FAD00000119E82E -:041FAE00000168F4D2 -:041FAF00000000012D -:041FB000000187C1E4 -:041FB100001000001C -:041FB200000119E829 -:041FB30000016908B8 -:041FB4000000000128 -:041FB500000187C2DE -:041FB6000010000017 -:041FB700000119E824 -:041FB800000171882B -:041FB9000000000321 -:041FBA0000017B0C9B -:041FBB000000000022 -:041FBC000000000021 -:041FBD000001719C12 -:041FBE00000000031C -:041FBF0000017AECB7 -:041FC000000000001D -:041FC100000000001C -:041FC200000171B0F9 -:041FC3000000000317 -:041FC40000017B0499 -:041FC5000000000018 -:041FC6000000000017 -:041FC700000171C4E0 -:041FC8000000000312 -:041FC90000017ADCBD -:041FCA000000000013 -:041FCB000000000012 -:041FCC00000171D8C7 -:041FCD00000000030D -:041FCE0000017AF4A0 -:041FCF00000000000E -:041FD000000000000D -:041FD100000171ECAE -:041FD2000000000308 -:041FD30000017AE4AB -:041FD4000000000009 -:041FD5000000000008 -:041FD6000001720094 -:041FD7000000000303 -:041FD80000017AC4C6 -:041FD9000000000004 -:041FDA000000000003 -:041FDB00000172147B -:041FDC0000000003FE -:041FDD0000017ABCC9 -:041FDE0000000000FF -:041FDF0000000000FE -:041FE0000001722862 -:041FE10000000003F9 -:041FE20000017AFC84 -:041FE30000000000FA -:041FE40000000000F9 -:041FE50000016F5C2C -:041FE60000000000F7 -:041FE700000187C9A5 -:041FE80000040001F0 -:041FE9000001851856 -:041FEA0000016F6C17 -:041FEB0000000000F2 -:041FEC00000187CA9F -:041FED0000040001EB -:041FEE000001852C3D -:041FEF0000016F7C02 +:041EFC0000017AD097 +:041EFD0000000000E1 +:041EFE0000000000E0 +:041EFF00000102EAF2 +:041F0000000103A237 +:041F0100000101AA30 +:041F0200000101C811 +:041F030000010220B7 +:041F04000080000059 +:041F050000200000B8 +:041F060000000000D7 +:041F070000000000D6 +:041F080000000000D5 +:041F090000000000D4 +:041F0A0000000000D3 +:041F0B0000000000D2 +:041F0C0000000000D1 +:041F0D0000000000D0 +:041F0E0000000000CF +:041F0F0000000000CE +:041F100000000000CD +:041F110000000000CC +:041F120000000000CB +:041F130000000000CA +:041F140000000000C9 +:041F150000000000C8 +:041F160000000000C7 +:041F170000000000C6 +:041F180000000000C5 +:041F190000000000C4 +:041F1A0000000000C3 +:041F1B0000000000C2 +:041F1C0000000000C1 +:041F1D0000000000C0 +:041F1E0000000000BF +:041F1F0000000000BE +:041F200000000000BD +:041F210000000000BC +:041F220000000000BB +:041F230000000000BA +:041F240000000000B9 +:041F250000000000B8 +:041F260000000000B7 +:041F27000001017440 +:041F28000080000035 +:041F290000A0000014 +:041F2A0000020100B0 +:041F2B000020000092 +:041F2C0000000000B1 +:041F2D000000002090 +:041F2E0000010000AE +:041F2F0000000100AD +:041F300000000000AD +:041F310000016A7CC5 +:041F320000016A98A8 +:041F330000016AA897 +:041F340000016A7CC2 +:041F350000016ADC61 +:041F360000016AE05C +:041F37000001731022 +:041F38000001732011 +:041F39000001732C04 +:041F3A0000017338F7 +:041F3B0000017344EA +:041F3C0000017350DD +:041F3D000001735CD0 +:041F3E0000017368C3 +:041F3F0000017374B6 +:041F400000017380A9 +:041F41000001738C9C +:041F4200020E04097E +:041F43003D0E00C887 +:041F44003F6E038366 +:041F45003ED03DACA1 +:041F46000000038311 +:041F470000016BDC4E +:041F480000016BE841 +:041F490000016BF830 +:041F4A0000016C081E +:041F4B0000016C180D +:041F4C0000016BCC59 +:041F4D0000016BDC48 +:041F4E0000016BE83B +:041F4F0000016BF82A +:041F500000016C0818 +:041F510000016C1807 +:041F520000016BA877 +:041F530000016BB46A +:041F540000016BC05D +:041F550000016A7CA1 +:041F560000016A908C +:041F570000016A78A3 +:041F580000016A9486 +:041F590000016AE435 +:041F5A0000016AEC2C +:041F5B0000016AF423 +:041F5C0000016AFC1A +:041F5D0000016B0410 +:041F5E0000016B080B +:041F5F0000016B1002 +:041F600000016B18F9 +:041F6100000169F022 +:041F62000000000279 +:041F630000018D9854 +:041F64000AF0012C52 +:041F650000011B62FA +:041F660000016A000C +:041F67000000000274 +:041F680000018D9A4D +:041F69000013000061 +:041F6A0000011B62F5 +:041F6B0000016A10F7 +:041F6C00000000026F +:041F6D0000018D9C46 +:041F6E0000FF000A66 +:041F6F0000011B62F0 +:041F700000016A1CE6 +:041F7100000000026A +:041F720000018D9647 +:041F730000FF00016A +:041F740000011B62EB +:041F750000016A2CD1 +:041F76000000000265 +:041F770000018D9444 +:041F7800078000C816 +:041F790000011B62E6 +:041F7A0000016A38C0 +:041F7B000000000260 +:041F7C0000018DA42F +:041F7D000007000158 +:041F7E0000011B62E1 +:041F7F0000016A44AF +:041F8000000000025B +:041F810000018DA22C +:041F820000EC00016E +:041F830000011B62DC +:041F840000016A549A +:041F85000000000256 +:041F860000018DA029 +:041F870004B000A002 +:041F880000011B62D7 +:041F890000016A6089 +:041F8A000000000251 +:041F8B0000018D9E26 +:041F8C00001F000032 +:041F8D0000011B62D2 +:041F8E0000016EC020 +:041F8F00000000004E +:041F9000000188BB09 +:041F9100000100014A +:041F920000018710B3 +:041F930000016ED00B +:041F94000000000049 +:041F9500000188BC03 +:041F96000001000145 +:041F9700000187209E +:041F980000016EE0F6 +:041F99000000000143 +:041F9A00000188BDFD +:041F9B00001800002A +:041F9C00000119D255 +:041F9D0000016EF0E1 +:041F9E00000000003F +:041F9F00000188B6FF +:041FA000000100013B +:041FA1000001872094 +:041FA20000016F00CB +:041FA300000000003A +:041FA400000188B7F9 +:041FA5000001000136 +:041FA600000187208F +:041FA70000016F14B2 +:041FA8000000000035 +:041FA900000188B8F3 +:041FAA000002000130 +:041FAB0000017CD0E5 +:041FAC0000016F2899 +:041FAD00000000012F +:041FAE00000188BEE8 +:041FAF00001F00000F +:041FB000000119A46F +:041FB10000016F3C80 +:041FB200000000002B +:041FB300000188B9E8 +:041FB4000001000127 +:041FB5000001872080 +:041FB6000001692895 +:041FB7000000000125 +:041FB8000001888C10 +:041FB9000010000014 +:041FBA0000011958B1 +:041FBB000001693880 +:041FBC000000000120 +:041FBD000001888D0A +:041FBE00001000000F +:041FBF0000011958AC +:041FC000000169486B +:041FC100000000011B +:041FC2000001888E04 +:041FC300001000000A +:041FC40000011958A7 +:041FC5000001695856 +:041FC6000000000116 +:041FC7000001888FFE +:041FC8000010000005 +:041FC90000011958A2 +:041FCA000001696841 +:041FCB000000000111 +:041FCC0000018890F8 +:041FCD000010000000 +:041FCE00000119589D +:041FCF00000169782C +:041FD000000000010C +:041FD10000018891F2 +:041FD20000100000FB +:041FD3000001195898 +:041FD4000001698C13 +:041FD5000000000107 +:041FD60000018892EC +:041FD70000100000F6 +:041FD8000001195893 +:041FD900000169A0FA +:041FDA000000000102 +:041FDB0000018893E6 +:041FDC0000100000F1 +:041FDD00000119588E +:041FDE00000169B4E1 +:041FDF0000000001FD +:041FE00000018894E0 +:041FE10000100000EC +:041FE2000001195889 +:041FE300000169C8C8 +:041FE40000000001F8 +:041FE50000018895DA +:041FE60000100000E7 +:041FE7000001195884 +:041FE800000169DCAF +:041FE90000000001F3 +:041FEA0000018896D4 +:041FEB0000100000E2 +:041FEC00000119587F +:041FED000001725C21 +:041FEE0000000003EC +:041FEF0000017BE092 :041FF00000000000ED -:041FF100000187CB99 -:041FF20000030001E7 -:041FF3000001854024 -:041FF40000016F8CED +:041FF10000000000EC +:041FF2000001727008 +:041FF30000000003E7 +:041FF40000017BC0AD :041FF50000000000E8 -:041FF600000187CC93 -:041FF70000010001E4 -:041FF80000018660FE -:041FF90000016F9CD8 +:041FF60000000000E7 +:041FF70000017284EF +:041FF80000000003E2 +:041FF90000017BD890 :041FFA0000000000E3 -:041FFB00000187CD8D -:041FFC0000010001DF -:041FFD000001865801 -:041FFE0000016FACC3 +:041FFB0000000000E2 +:041FFC0000017298D6 +:041FFD0000000003DD +:041FFE0000017BB0B3 :041FFF0000000000DE -:04200000000187C490 -:0420010000040001D6 -:0420020000017C4815 -:0420030000016FB8B1 +:0420000000000000DC +:04200100000172ACBC +:0420020000000003D7 +:0420030000017BC895 :0420040000000000D8 -:04200500000187C58A -:0420060000050001D0 -:0420070000017C5CFC -:0420080000016FC4A0 +:0420050000000000D7 +:04200600000172C0A3 +:0420070000000003D2 +:0420080000017BB8A0 :0420090000000000D3 -:04200A00000187C684 -:04200B0000040001CC -:04200C0000017C480B -:04200D0000016FD08F +:04200A0000000000D2 +:04200B00000172D48A +:04200C0000000003CD +:04200D0000017B98BB :04200E0000000000CE -:04200F00000187C77E -:0420100000040001C7 -:0420110000017C4806 -:0420120000016FDC7E +:04200F0000000000CD +:04201000000172E871 +:0420110000000003C8 +:0420120000017B90BE :0420130000000000C9 -:04201400000187C878 -:0420150000020001C4 -:0420160000017C74D5 -:0420170000016FEC69 +:0420140000000000C8 +:04201500000172FC58 +:0420160000000003C3 +:0420170000017BD079 :0420180000000000C4 -:04201900000187CE6D -:04201A0000010001C0 -:04201B000001863406 -:04201C0000016FFC54 -:04201D0000000000BF -:04201E00000187D363 -:04201F0000020001BA -:04202000000185989E -:042021000001700446 -:0420220000000000BA -:04202300000187D45D -:0420240000010001B6 -:042025000001864CE4 -:0420260000016E78CF -:0420270000000001B4 -:04202800000187CF5D -:0420290000FF0000B4 -:04202A0000011A2077 -:04202B0000016E88BA -:04202C0000000001AF -:04202D00000187D057 -:04202E00003F00006F -:04202F0000011A2072 -:0420300000016E98A5 -:0420310000000000AB -:04203200000187D250 -:0420330000070000A2 -:0420340000017C909B -:0420350000016EA494 -:0420360000000001A5 -:04203700000187D14C -:04203800000F000095 -:0420390000011A3454 -:04203A0000016EB47F -:04203B0000000001A0 -:04203C00000187E632 -:04203D00001F000080 -:04203E0000011A344F -:04203F0000016EC06E -:042040000000000498 -:042041000001245A1C -:0420420000017428FD +:0420190000000000C3 +:04201A000001703021 +:04201B0000000000C1 +:04201C000001889D9A +:04201D0000040001BA +:04201E00000185EC4C +:04201F00000170400C +:0420200000000000BC +:042021000001889E94 +:0420220000040001B5 +:042023000001860032 +:0420240000017050F7 +:0420250000000000B7 +:042026000001889F8E +:0420270000030001B1 +:042028000001861419 +:0420290000017060E2 +:04202A0000000000B2 +:04202B00000188A088 +:04202C0000010001AE +:04202D0000018734F3 +:04202E0000017070CD +:04202F0000000000AD +:04203000000188A182 +:0420310000010001A9 +:042032000001872CF6 +:0420330000017080B8 +:0420340000000000A8 +:042035000001889886 +:0420360000040001A1 +:0420370000017D1C0B +:042038000001708CA7 +:0420390000000000A3 +:04203A000001889980 +:04203B00000500019B +:04203C0000017D30F2 +:04203D000001709896 +:04203E00000000009E +:04203F000001889A7A +:042040000004000197 +:0420410000017D1C01 +:04204200000170A485 :042043000000000099 -:0420440000017084A3 -:042045000000000097 -:04204600000187D539 -:042047000003000191 -:0420480000018550BE -:04204900000170948E -:04204A000000000092 -:04204B00000187D633 -:04204C00000100018E -:04204D000001867098 -:04204E00000170A479 -:04204F00000000008D -:04205000000187D72D -:042051000001000189 -:042052000001864CB7 -:04205300000170B860 -:042054000000000088 -:04205500000187D827 -:042056000001000184 -:042057000001864CB2 -:04205800000170CC47 -:042059000000000380 -:04205A0000017AB453 -:04205B00000179F017 -:04205C0000010B0C68 -:04205D0000016ED040 -:04205E00000000007E -:04205F00000187B045 -:042060000002000179 -:042061000001856095 -:0420620000016EDC2F -:042063000000000178 -:04206400000187B63A -:04206500000F000068 -:04206600000119C498 -:0420670000016EEC1A -:042068000000000173 -:04206900000187B239 -:04206A00001C000056 -:04206B0000011A0A4C -:04206C0000016EFC05 -:04206D00000000006F -:04206E00000187B333 -:04206F00000100016B -:042070000001868065 -:0420710000016F08F3 -:04207200000000006A -:04207300000187B42D -:042074000001000166 -:042075000001864C94 -:0420760000016F18DE -:042077000000000065 -:04207800000187B725 -:042079000001000161 -:04207A000001867863 -:04207B0000016F28C9 -:04207C000000000060 -:04207D00000187B522 -:04207E00000100015C -:04207F000001864C8A -:0420800000016F3CB0 -:04208100000000005B -:04208200000187B121 -:042083000003000155 -:042084000001856C66 -:0420850000016F489F -:042086000000000353 -:0420870000017ACC0E -:042088000000000054 +:042044000001889B74 +:042045000004000192 +:0420460000017D1CFC +:04204700000170B074 +:042048000000000094 +:042049000001889C6E +:04204A00000200018F +:04204B0000017D48CB +:04204C00000170C05F +:04204D00000000008F +:04204E00000188A263 +:04204F00000100018B +:0420500000018708FC +:04205100000170D04A +:04205200000000008A +:04205300000188A759 +:042054000002000185 +:042055000001866C94 +:04205600000170D83D +:042057000000000085 +:04205800000188A853 +:042059000001000181 +:04205A0000018720DA +:04205B0000016F4CC5 +:04205C00000000017F +:04205D00000188A353 +:04205E0000FF00007F +:04205F0000011990D3 +:0420600000016F5CB0 +:04206100000000017A +:04206200000188A44D +:04206300003F00003A +:0420640000011990CE +:0420650000016F6C9B +:042066000000000076 +:04206700000188A646 +:04206800000700006D +:0420690000017D6491 +:04206A0000016F788A +:04206B000000000170 +:04206C00000188A542 +:04206D00000F000060 +:04206E00000119A4B0 +:04206F0000016F8875 +:04207000000000016B +:04207100000188BA28 +:04207200001F00004B +:04207300000119A4AB +:0420740000016F9464 +:042075000000000463 +:04207600000124C081 +:04207700000174FCF4 +:042078000000000064 +:042079000001715899 +:04207A000000000062 +:04207B00000188A92F +:04207C00000300015C +:04207D0000018624B4 +:04207E000001716884 +:04207F00000000005D +:04208000000188AA29 +:042081000001000159 +:04208200000187448E +:04208300000171786F +:042084000000000058 +:04208500000188AB23 +:042086000001000154 +:0420870000018720AD +:042088000001718C56 :042089000000000053 -:04208A0000016CD80D -:04208B00000000044D -:04208C00000121F03E -:04208D000001747C5E -:04208E00000000004E -:04208F0000016CE8F8 -:042090000000000448 -:0420910000011F60CB -:042092000001747C59 +:04208A00000188AC1D +:04208B00000100014F +:04208C0000018720A8 +:04208D00000171A03D +:04208E00000000034B +:04208F0000017B8849 +:0420900000017AC40D +:0420910000010A4000 +:0420920000016FA436 :042093000000000049 -:0420940000016CF8E3 -:042095000000000443 -:042096000001192408 -:042097000000000045 -:042098000000000044 -:0420990000016D0CC9 -:04209A000000000141 -:04209B00000187F5C4 -:04209C00000A010134 -:04209D00000111CA63 -:04209E0000016D20B0 -:04209F00000000003D -:0420A00000018CA906 -:0420A1000001000139 -:0420A2000001864C67 -:0420A30000016D3497 -:0420A4000000000038 -:0420A50000018C9416 -:0420A600000A00012B -:0420A70000017C08B0 -:0420A80000016D4482 -:0420A9000000000033 -:0420AA0000018C8B1A -:0420AB00000200012E -:0420AC0000017BF0C4 -:0420AD0000016D5869 -:0420AE00000000002E -:0420AF0000018C8917 -:0420B000000100012A -:0420B100000186683C -:0420B20000016D6854 -:0420B3000000000029 -:0420B400000186445D -:0420B5000001000125 -:0420B6000001866837 -:0420B70000016D783F -:0420B8000000000024 -:0420B90000018C8A0C -:0420BA000001000120 -:0420BB000001866832 -:0420BC0000016D882A +:04209400000188843B +:042095000002000144 +:04209600000186348B +:0420970000016FB025 +:042098000000000143 +:042099000001888A30 +:04209A00000F000033 +:04209B0000011934F3 +:04209C0000016FC010 +:04209D00000000013E +:04209E00000188862F +:04209F00001C000021 +:0420A0000001197AA8 +:0420A10000016FD0FB +:0420A200000000003A +:0420A3000001888729 +:0420A4000001000136 +:0420A500000187545B +:0420A60000016FDCEA +:0420A7000000000035 +:0420A8000001888823 +:0420A9000001000131 +:0420AA00000187208A +:0420AB0000016FECD5 +:0420AC000000000030 +:0420AD000001888B1B +:0420AE00000100012C +:0420AF000001874C59 +:0420B00000016FFCC0 +:0420B100000000002B +:0420B2000001888918 +:0420B3000001000127 +:0420B4000001872080 +:0420B50000017010A6 +:0420B6000000000026 +:0420B7000001888517 +:0420B8000003000120 +:0420B900000186405C +:0420BA000001701C95 +:0420BB00000000031E +:0420BC0000017BA004 :0420BD00000000001F -:0420BE0000018C9CF5 -:0420BF000003000119 -:0420C00000017C801F -:0420C10000016D9815 -:0420C200000000001A -:0420C300000186543E -:0420C4000002000115 -:0420C500000184FC96 -:0420C60000016D9C0C -:0420C7000000000015 -:0420C8000001865538 -:0420C900000300010F -:0420CA000001850884 -:0420CB0000016DB0F3 -:0420CC00000000040C -:0420CD0000013D1CB5 -:0420CE00000000000E -:0420CF00000000000D -:0420D00000016DC4DA -:0420D1000000000407 -:0420D200000136567D -:0420D3000000000009 +:0420BE00000000001E +:0420BF0000016DAC03 +:0420C0000000000418 +:0420C10000012254A4 +:0420C2000001755054 +:0420C3000000000019 +:0420C40000016DBCEE +:0420C5000000000413 +:0420C60000011F8C6A +:0420C700000175504F +:0420C8000000000014 +:0420C90000016DCCD9 +:0420CA00000000040E +:0420CB000001189464 +:0420CC000000000010 +:0420CD00000000000F +:0420CE0000016DE0C0 +:0420CF00000000010C +:0420D000000188C9BA +:0420D100000A0101FF +:0420D2000001113ABE +:0420D30000016DF4A7 :0420D4000000000008 -:0420D50000016DD8C1 -:0420D6000000000402 -:0420D7000001393E8D -:0420D8000000000004 +:0420D50000018D7DFC +:0420D6000001000104 +:0420D700000187205D +:0420D80000016E088D :0420D9000000000003 -:0420DA000001701081 -:0420DB000000000001 -:0420DC00000187DD9B -:0420DD0000030001FB -:0420DE0000018588F0 -:0420DF00000170206C -:0420E00000000000FC -:0420E100000187DE95 -:0420E20000020001F7 -:0420E3000001857CF7 -:0420E4000001703057 -:0420E50000000001F6 -:0420E600000187DA94 -:0420E700001F0000D6 -:0420E800000119607A -:0420E9000001704042 -:0420EA0000000001F1 -:0420EB00000187DB8E -:0420EC0000FF0000F1 -:0420ED000001198253 -:0420EE00000170502D -:0420EF0000000001EC -:0420F000000187DC88 -:0420F10000C80A0019 -:0420F200000119824E -:0420F3000001706018 -:0420F40000000001E7 -:0420F500000187E07F -:0420F60000050000E1 -:0420F70000011A0CBE -:0420F8000001707003 -:0420F90000000001E2 -:0420FA00000187E179 -:0420FB0000050000DC -:0420FC0000011A0CB9 -:0420FD00000170E08E -:0420FE0000000000DE -:0420FF00000187DF76 -:0421000000050001D5 -:04210100000185A4B0 -:04210200000170EC7C +:0420DA0000018D680C +:0420DB00000A0001F6 +:0420DC0000017CDCA7 +:0420DD0000016E1878 +:0420DE0000000000FE +:0420DF0000018D5F10 +:0420E00000020001F9 +:0420E10000017CC4BA +:0420E20000016E2C5F +:0420E30000000000F9 +:0420E40000018D5D0D +:0420E50000010001F5 +:0420E6000001873C32 +:0420E70000016E3C4A +:0420E80000000000F4 +:0420E9000001871853 +:0420EA0000010001F0 +:0420EB000001873C2D +:0420EC0000016E4C35 +:0420ED0000000000EF +:0420EE0000018D5E02 +:0420EF0000010001EB +:0420F0000001873C28 +:0420F10000016E5C20 +:0420F20000000000EA +:0420F30000018D70EB +:0420F40000030001E4 +:0420F50000017D5415 +:0420F60000016E6C0B +:0420F70000000000E5 +:0420F8000001872834 +:0420F90000020001E0 +:0420FA00000185D08C +:0420FB0000016E7002 +:0420FC0000000000E0 +:0420FD00000187292E +:0420FE0000030001DA +:0420FF00000185DC7B +:0421000000016E84E8 +:0421010000000004D6 +:0421020000013DF0AB :0421030000000000D8 -:04210400000187D976 -:0421050000020001D3 -:04210600000185BC93 -:04210700000170FC67 -:0421080000000001D2 -:04210900000187EE5C -:04210A0000FF0000D2 -:04210B0000011A3481 -:04210C000001710855 -:04210D0000000001CD -:04210E00000187EF56 -:04210F0000FF0000CD -:0421100000011A347C -:042111000001711444 -:0421120000000001C8 -:04211300000187F050 -:0421140000FF0000C8 -:0421150000011A3477 -:042116000001712033 -:0421170000000001C3 -:04211800000187F14A -:0421190000FF0000C3 -:04211A0000011A3472 -:04211B000001712C22 -:04211C0000000001BE -:04211D00000187F244 -:04211E0000FF0000BE -:04211F0000011A346D -:042120000001713811 -:0421210000000001B9 -:04212200000187F33E -:0421230000FF0000B9 -:0421240000011A3468 -:042125000001714400 -:0421260000000001B4 -:04212700000187F438 -:04212800000F0000A4 -:0421290000011A3463 -:04212A0000017154EB -:04212B0000000001AF -:04212C00000187EB3C -:04212D0000E41C00AE -:04212E0000011A484A -:04212F0000017168D2 -:0421300000000001AA -:04213100000187ED35 -:04213200000A00009F -:0421330000011A7C11 -:0421340000017178BD -:0421350000000001A5 -:04213600000187EC31 -:04213700000700009D -:0421380000011A94F4 -:0421390000017AD453 -:04213A0000000000A1 -:04213B0000000000A0 -:04213C00000000009F -:04213D00000000009E -:04213E00000000009D -:04213F00000169A88A -:04214000000169AC85 -:04214100000169B47C -:042142000001699C93 -:04214300000169A08E -:04214400000169A489 -:04214500000169A884 -:0421460000016B54D5 -:0421470000016B70B8 -:0421480000016BC85F -:0421490000016BD056 -:04214A0000016BD84D -:04214B0000016B54D0 -:04214C0000016B70B3 -:04214D0000016B988A -:04214E0000016BA879 -:04214F0000016BB868 -:0421500000016B54CB -:0421510000016B60BE -:0421520000016B78A5 -:0421530000016B8894 -:0421540000016AA478 -:0421550000016C5CBD -:0421560000016C68B0 -:0421570000016C789F -:04215800000169A871 -:0421590000016AA473 -:04215A0000016AAC6A -:04215B0000016A4CC9 -:04215C0000016A58BC -:04215D0000016A64AF -:04215E0000016A749E -:04215F0000016BE030 -:0421600000016BF41B -:0421610000016C0409 -:0421620000016C14F8 -:0421630000016C24E7 -:0421640000016C30DA -:04216500000169A864 -:0421660000016AB05A -:0421670000016ABC4D -:0421680000016ACC3C -:0421690000016AA463 -:04216A00000169A85F -:04216B0000016C9C67 -:04216C0000016CAC56 -:04216D0000016CBC45 -:04216E0000016CCC34 -:04216F0000016C847B -:0421700000016C906E -:0421710000016AA45B +:0421040000000000D7 +:0421050000016E98CF +:0421060000000004D1 +:04210700000136BCE1 +:0421080000000000D3 +:0421090000000000D2 +:04210A0000016EACB6 +:04210B0000000004CC +:04210C00000139EAAB +:04210D0000000000CE +:04210E0000000000CD +:04210F00000170E477 +:0421100000000000CB +:04211100000188B190 +:0421120000030001C5 +:042113000001865CE5 +:04211400000170F462 +:0421150000000000C6 +:04211600000188B28A +:0421170000020001C1 +:0421180000018650EC +:04211900000171044C +:04211A0000000001C0 +:04211B00000188AE89 +:04211C00001F0000A0 +:04211D00000118D0D5 +:04211E000001711437 +:04211F0000000001BB +:04212000000188AF83 +:0421210000FF0000BB +:04212200000118F2AE +:042123000001712422 +:0421240000000001B6 +:04212500000188B07D +:0421260000C80A00E3 +:04212700000118F2A9 +:04212800000171340D +:0421290000000001B1 +:04212A00000188B474 +:04212B0000050000AB +:04212C000001197C19 +:04212D0000017144F8 +:04212E0000000001AC +:04212F00000188B56E +:0421300000050000A6 +:042131000001197C14 +:04213200000171B483 +:0421330000000000A8 +:04213400000188B36B +:0421350000050001A0 +:0421360000018678A6 +:04213700000171C072 +:0421380000000000A3 +:04213900000188AD6C +:04213A00000200019E +:04213B000001869089 +:04213C00000171D05D +:04213D00000000019D +:04213E00000188C252 +:04213F0000FF00009D +:04214000000119A4DD +:04214100000171DC4C +:042142000000000198 +:04214300000188C34C +:0421440000FF000098 +:04214500000119A4D8 +:04214600000171E83B +:042147000000000193 +:04214800000188C446 +:0421490000FF000093 +:04214A00000119A4D3 +:04214B00000171F42A +:04214C00000000018E +:04214D00000188C540 +:04214E0000FF00008E +:04214F00000119A4CE +:042150000001720018 +:042151000000000189 +:04215200000188C63A +:0421530000FF000089 +:04215400000119A4C9 +:042155000001720C07 +:042156000000000184 +:04215700000188C734 +:0421580000FF000084 +:04215900000119A4C4 +:04215A0000017218F6 +:04215B00000000017F +:04215C00000188C82E +:04215D00000F00006F +:04215E00000119A4BF +:04215F0000017228E1 +:04216000000000017A +:04216100000188BF32 +:0421620000E41C0079 +:04216300000119B8A6 +:042164000001723CC8 +:042165000000000175 +:04216600000188C12B +:04216700000A00006A +:04216800000119EC6D +:042169000001724CB3 +:04216A000000000170 +:04216B00000188C027 +:04216C000007000068 +:04216D0000011A044F +:04216E0000017BA849 +:04216F00000000006C +:04217000000000006B +:04217100000000006A :042172000000000069 :042173000000000068 -:042174000000000067 -:042175000000000066 -:042176000000000065 -:042177000000000064 -:042178000000000063 -:042179000000000062 -:04217A000000000061 -:04217B000000000060 -:04217C00000000005F -:04217D00000000005E -:04217E00000000005D -:04217F00000000005C -:04218000000000005B -:04218100000000005A -:042182000000000059 -:042183000000000058 -:042184000000000057 -:042185000000000056 -:042186000000000055 -:042187000000000054 -:042188000000000053 -:042189000000000052 -:04218A0000018628A2 -:04218B0000018628A1 -:04218C000001636E7D -:04218D0000016B10D2 -:04218E0000016AD012 -:04218F00000169E002 -:04219000000169F4ED -:042191000000000149 -:0421920000108010A9 -:04219300000169A836 -:0421940000016AAC30 -:042195000000010144 -:0421960000016B5485 -:0421970000016B6078 -:0421980000016B5483 -:0421990000016B7066 -:04219A000001725C72 -:04219B000001726865 -:04219C0000016C3C96 -:04219D0000016C4C85 -:04219E0000016A7C56 -:04219F0000016A8051 -:0421A00000016A8848 -:0421A10000016A9837 -:0421A200000185C8EB +:0421740000016A7C80 +:0421750000016A807B +:0421760000016A8872 +:0421770000016A7089 +:0421780000016A7484 +:0421790000016A787F +:04217A0000016A7C7A +:04217B0000016C28CB +:04217C0000016C44AE +:04217D0000016C9C55 +:04217E0000016CA44C +:04217F0000016CAC43 +:0421800000016C28C6 +:0421810000016C44A9 +:0421820000016C6C80 +:0421830000016C7C6F +:0421840000016C8C5E +:0421850000016C28C1 +:0421860000016C34B4 +:0421870000016C4C9B +:0421880000016C5C8A +:0421890000016B786E +:04218A0000016D30B3 +:04218B0000016D3CA6 +:04218C0000016D4C95 +:04218D0000016A7C67 +:04218E0000016B7869 +:04218F0000016B8060 +:0421900000016B20BF +:0421910000016B2CB2 +:0421920000016B38A5 +:0421930000016B4894 +:0421940000016CB426 +:0421950000016CC811 +:0421960000016CD800 +:0421970000016CE8EF +:0421980000016CF8DE +:0421990000016D04D0 +:04219A0000016A7C5A +:04219B0000016B8450 +:04219C0000016B9043 +:04219D0000016BA032 +:04219E0000016B7859 +:04219F0000016A7C55 +:0421A00000016D705D +:0421A10000016D804C +:0421A20000016D903B +:0421A30000016DA02A +:0421A40000016D5871 +:0421A50000016D6464 +:0421A60000016B7851 +:0421A7000000000034 +:0421A8000000000033 +:0421A9000000000032 +:0421AA000000000031 +:0421AB000000000030 +:0421AC00000000002F +:0421AD00000000002E +:0421AE00000000002D +:0421AF00000000002C +:0421B000000000002B +:0421B100000000002A +:0421B2000000000029 +:0421B3000000000028 +:0421B4000000000027 +:0421B5000000000026 +:0421B6000000000025 +:0421B7000000000024 +:0421B8000000000023 +:0421B9000000000022 +:0421BA000000000021 +:0421BB000000000020 +:0421BC00000000001F +:0421BD00000000001E +:0421BE00000000001D +:0421BF00000186FC99 +:0421C000000186FC98 +:0421C1000001644273 +:0421C20000016BE4C9 +:0421C30000016BA408 +:0421C40000016AB4F8 +:0421C50000016AC8E3 +:0421C6000000000114 +:0421C7000010801074 +:0421C80000016A7C2C +:0421C90000016B8026 +:0421CA00000001010F +:0421CB0000016C287B +:0421CC0000016C346E +:0421CD0000016C2879 +:0421CE0000016C445C +:0421CF000001733068 +:0421D0000001733C5B +:0421D10000016D108C +:0421D20000016D207B +:0421D30000016B504C +:0421D40000016B5447 +:0421D50000016B5C3E +:0421D60000016B6C2D +:0421D7000001869CE1 :00000001FF diff --git a/software/sys_controller/memory/flash.c b/software/sys_controller/memory/flash.c index 9c13ffa..b3a3847 100644 --- a/software/sys_controller/memory/flash.c +++ b/software/sys_controller/memory/flash.c @@ -35,7 +35,7 @@ int init_flash() extern alt_llist alt_flash_dev_list; epcq_dev = (alt_flash_dev*)alt_flash_dev_list.next; #else - epcq_dev = alt_flash_open_dev(EPCQ_CONTROLLER_0_AVL_MEM_NAME); + epcq_dev = alt_flash_open_dev(EPCQ_CONTROLLER2_0_AVL_MEM_NAME); #endif if (epcq_dev == NULL) @@ -46,14 +46,16 @@ int init_flash() int verify_flash(alt_u32 offset, alt_u32 length, alt_u32 golden_crc, alt_u8 *tmpbuf) { - alt_u32 crcval=0, i, bytes_to_read; + alt_u32 crcval=0, i, j, bytes_to_read; int retval; for (i=0; i #include "sdcard.h" #include "flash.h" -#include "lcd.h" +#include "utils.h" extern alt_flash_dev *epcq_dev; @@ -42,7 +42,7 @@ int check_sdcard(alt_u8 *databuf) int copy_sd_to_flash(alt_u32 sd_blknum, alt_u32 flash_pagenum, alt_u32 length, alt_u8 *tmpbuf) { SDRESULTS res; - int retval; + int retval, i; alt_u32 bytes_to_rw; while (length > 0) { @@ -54,12 +54,14 @@ int copy_sd_to_flash(alt_u32 sd_blknum, alt_u32 flash_pagenum, alt_u32 length, a } if ((flash_pagenum % PAGES_PER_SECTOR) == 0) { - retval = alt_epcq_controller_erase_block(epcq_dev, flash_pagenum*PAGESIZE); + retval = alt_epcq_controller2_erase_block(epcq_dev, flash_pagenum*PAGESIZE); if (retval != 0) return retval; } - retval = alt_epcq_controller_write_block(epcq_dev, ((flash_pagenum/PAGES_PER_SECTOR)*SECTORSIZE), flash_pagenum*PAGESIZE, tmpbuf, bytes_to_rw); + for (i=0; i 0) { bytes_to_rw = (length < SD_BLK_SIZE) ? length : SD_BLK_SIZE; - retval = alt_epcq_controller_read(epcq_dev, flash_pagenum*PAGESIZE, tmpbuf, bytes_to_rw); + retval = alt_epcq_controller2_read(epcq_dev, flash_pagenum*PAGESIZE, tmpbuf, bytes_to_rw); + for (i=0; i MAX_USERDATA_ENTRY) { printf("invalid entry\n"); @@ -82,7 +83,9 @@ int write_userdata(alt_u8 entry) ((ude_initcfg*)databuf)->osd_enable = osd_enable_pre; ((ude_initcfg*)databuf)->osd_status_timeout = osd_status_timeout_pre; memcpy(((ude_initcfg*)databuf)->keys, rc_keymap, sizeof(rc_keymap)); - retval = alt_epcq_controller_write(epcq_dev, (USERDATA_OFFSET+entry*SECTORSIZE), databuf, sizeof(ude_initcfg)); + for (i=0; i 0) { - retval = alt_epcq_controller_write_block(epcq_dev, (USERDATA_OFFSET+entry*SECTORSIZE), (USERDATA_OFFSET+entry*SECTORSIZE+PAGESIZE), (alt_u8*)video_modes+srcoffset, vm_to_write); + // then write the rest page by page + pageno = 1; + while (vm_to_write > 0) { + memcpy(databuf, (char*)video_modes+srcoffset, (vm_to_write > PAGESIZE) ? PAGESIZE : vm_to_write); + for (i=0; i PAGESIZE) ? PAGESIZE : vm_to_write); if (retval != 0) return retval; + + srcoffset += PAGESIZE; + vm_to_write = (vm_to_write < PAGESIZE) ? 0 : (vm_to_write - PAGESIZE); + pageno++; } printf("Profile %u data written (%u bytes)\n", entry, sizeof(avconfig_t)+VIDEO_MODES_SIZE); @@ -145,7 +158,9 @@ int read_userdata(alt_u8 entry, int dry_run) return -1; } - retval = alt_epcq_controller_read(epcq_dev, (USERDATA_OFFSET+entry*SECTORSIZE), databuf, PAGESIZE); + retval = alt_epcq_controller2_read(epcq_dev, (USERDATA_OFFSET+entry*SECTORSIZE), databuf, PAGESIZE); + for (i=0; i> 16; +} + alt_u32 bswap32(alt_u32 w) { return (((w << 24) & 0xff000000) | diff --git a/software/sys_controller/ossc/utils.h b/software/sys_controller/ossc/utils.h index cb45f0b..0e6da07 100644 --- a/software/sys_controller/ossc/utils.h +++ b/software/sys_controller/ossc/utils.h @@ -24,7 +24,7 @@ #define PRINTF_BUFSIZE 512 -unsigned char bitswap8(unsigned char v); +inline unsigned char bitswap8(unsigned char v); alt_u32 bswap32(alt_u32 w); diff --git a/software/sys_controller_bsp/Makefile b/software/sys_controller_bsp/Makefile index e13195f..b664376 100644 --- a/software/sys_controller_bsp/Makefile +++ b/software/sys_controller_bsp/Makefile @@ -225,12 +225,12 @@ altera_avalon_timer_driver_C_LIB_SRCS := \ $(altera_avalon_timer_driver_SRCS_ROOT)/src/altera_avalon_timer_ts.c \ $(altera_avalon_timer_driver_SRCS_ROOT)/src/altera_avalon_timer_vars.c -# altera_epcq_controller_mod_driver sources root -altera_epcq_controller_mod_driver_SRCS_ROOT := drivers +# altera_epcq_controller2_driver sources root +altera_epcq_controller2_driver_SRCS_ROOT := drivers -# altera_epcq_controller_mod_driver sources -altera_epcq_controller_mod_driver_C_LIB_SRCS := \ - $(altera_epcq_controller_mod_driver_SRCS_ROOT)/src/altera_epcq_controller_mod.c +# altera_epcq_controller2_driver sources +altera_epcq_controller2_driver_C_LIB_SRCS := \ + $(altera_epcq_controller2_driver_SRCS_ROOT)/src/altera_epcq_controller2.c # altera_nios2_gen2_hal_driver sources root altera_nios2_gen2_hal_driver_SRCS_ROOT := HAL @@ -276,7 +276,7 @@ nios2_hw_crc32_driver_SRCS_ROOT := drivers COMPONENT_C_LIB_SRCS += \ $(altera_avalon_jtag_uart_driver_C_LIB_SRCS) \ $(altera_avalon_timer_driver_C_LIB_SRCS) \ - $(altera_epcq_controller_mod_driver_C_LIB_SRCS) \ + $(altera_epcq_controller2_driver_C_LIB_SRCS) \ $(altera_nios2_gen2_hal_driver_C_LIB_SRCS) \ $(hal_C_LIB_SRCS) \ $(i2c_opencores_driver_C_LIB_SRCS) \ diff --git a/software/sys_controller_bsp/alt_sys_init.c b/software/sys_controller_bsp/alt_sys_init.c index a500582..59cbb61 100644 --- a/software/sys_controller_bsp/alt_sys_init.c +++ b/software/sys_controller_bsp/alt_sys_init.c @@ -61,7 +61,7 @@ //#include "altera_nios2_gen2_irq.h" #include "altera_avalon_jtag_uart.h" #include "altera_avalon_timer.h" -#include "altera_epcq_controller_mod.h" +#include "altera_epcq_controller2.h" #include "i2c_opencores.h" /* @@ -71,7 +71,7 @@ //ALTERA_NIOS2_GEN2_IRQ_INSTANCE ( NIOS2_QSYS_0, nios2_qsys_0); ALTERA_AVALON_JTAG_UART_INSTANCE ( JTAG_UART_0, jtag_uart_0); ALTERA_AVALON_TIMER_INSTANCE ( TIMER_0, timer_0); -ALTERA_EPCQ_CONTROLLER_MOD_AVL_MEM_AVL_CSR_INSTANCE ( EPCQ_CONTROLLER_0, EPCQ_CONTROLLER_0_AVL_MEM, EPCQ_CONTROLLER_0_AVL_CSR, epcq_controller_0); +ALTERA_EPCQ_CONTROLLER2_AVL_MEM_AVL_CSR_INSTANCE ( EPCQ_CONTROLLER2_0, EPCQ_CONTROLLER2_0_AVL_MEM, EPCQ_CONTROLLER2_0_AVL_CSR, epcq_controller2_0); I2C_OPENCORES_INSTANCE ( I2C_OPENCORES_0, i2c_opencores_0); I2C_OPENCORES_INSTANCE ( I2C_OPENCORES_1, i2c_opencores_1); @@ -98,7 +98,7 @@ void alt_sys_init( void ) { ALTERA_AVALON_TIMER_INIT ( TIMER_0, timer_0); ALTERA_AVALON_JTAG_UART_INIT ( JTAG_UART_0, jtag_uart_0); - ALTERA_EPCQ_CONTROLLER_MOD_INIT ( EPCQ_CONTROLLER_0, epcq_controller_0); + ALTERA_EPCQ_CONTROLLER2_INIT ( EPCQ_CONTROLLER2_0, epcq_controller2_0); I2C_OPENCORES_INIT ( I2C_OPENCORES_0, i2c_opencores_0); I2C_OPENCORES_INIT ( I2C_OPENCORES_1, i2c_opencores_1); } diff --git a/ip/altera_epcq_controller_mod/HAL/inc/altera_epcq_controller_mod.h b/software/sys_controller_bsp/drivers/inc/altera_epcq_controller2.h similarity index 73% rename from ip/altera_epcq_controller_mod/HAL/inc/altera_epcq_controller_mod.h rename to software/sys_controller_bsp/drivers/inc/altera_epcq_controller2.h index 2c58d09..7702456 100644 --- a/ip/altera_epcq_controller_mod/HAL/inc/altera_epcq_controller_mod.h +++ b/software/sys_controller_bsp/drivers/inc/altera_epcq_controller2.h @@ -28,8 +28,8 @@ * * ******************************************************************************/ -#ifndef __ALT_EPCQ_CONTROLLER_H__ -#define __ALT_EPCQ_CONTROLLER_H__ +#ifndef __ALT_EPCQ_CONTROLLER2_H__ +#define __ALT_EPCQ_CONTROLLER2_H__ #include "alt_types.h" #include "sys/alt_flash_dev.h" @@ -43,7 +43,7 @@ extern "C" /** * Description of the EPCQ controller */ -typedef struct alt_epcq_controller_dev +typedef struct alt_epcq_controller2_dev { alt_flash_dev dev; @@ -56,25 +56,25 @@ typedef struct alt_epcq_controller_dev alt_u32 sector_size; /** size of each flash sector */ alt_u32 page_size; /** page size */ alt_u32 silicon_id; /** ID of silicon used with EPCQ IP */ -} alt_epcq_controller_dev; +} alt_epcq_controller2_dev; /** * Macros used by alt_sys_init.c to create data storage for driver instance */ -#define ALTERA_EPCQ_CONTROLLER_MOD_AVL_MEM_AVL_CSR_INSTANCE(epcq_name, avl_mem, avl_csr, epcq_dev) \ -alt_epcq_controller_dev epcq_dev = \ +#define ALTERA_EPCQ_CONTROLLER2_AVL_MEM_AVL_CSR_INSTANCE(epcq_name, avl_mem, avl_csr, epcq_dev) \ +static alt_epcq_controller2_dev epcq_dev = \ { \ .dev = { \ .llist = ALT_LLIST_ENTRY, \ .name = avl_mem##_NAME, \ - .write = alt_epcq_controller_write, \ - .read = alt_epcq_controller_read, \ - .get_info = alt_epcq_controller_get_info, \ - .erase_block = alt_epcq_controller_erase_block, \ - .write_block = alt_epcq_controller_write_block, \ + .write = alt_epcq_controller2_write, \ + .read = alt_epcq_controller2_read, \ + .get_info = alt_epcq_controller2_get_info, \ + .erase_block = alt_epcq_controller2_erase_block, \ + .write_block = alt_epcq_controller2_write_block, \ .base_addr = ((void*)(avl_mem##_BASE)), \ .length = ((int)(avl_mem##_SPAN)), \ - .lock = alt_epcq_controller_lock , \ + .lock = alt_epcq_controller2_lock , \ }, \ .data_base = ((alt_u32)(avl_mem##_BASE)), \ .data_end = ((alt_u32)(avl_mem##_BASE) + (alt_u32)(avl_mem##_SPAN)), \ @@ -94,33 +94,33 @@ alt_epcq_controller_dev epcq_dev = of the Nios II Software Developer's Handbook. */ -int alt_epcq_controller_read(alt_flash_dev *flash_info, int offset, void *dest_addr, int length); +int alt_epcq_controller2_read(alt_flash_dev *flash_info, int offset, void *dest_addr, int length); -int alt_epcq_controller_get_info(alt_flash_fd *fd, flash_region **info, int *number_of_regions); +int alt_epcq_controller2_get_info(alt_flash_fd *fd, flash_region **info, int *number_of_regions); -int alt_epcq_controller_erase_block(alt_flash_dev *flash_info, int block_offset); +int alt_epcq_controller2_erase_block(alt_flash_dev *flash_info, int block_offset); -int alt_epcq_controller_write_block(alt_flash_dev *flash_info, int block_offset, int data_offset, const void *data, int length); +int alt_epcq_controller2_write_block(alt_flash_dev *flash_info, int block_offset, int data_offset, const void *data, int length); -int alt_epcq_controller_write(alt_flash_dev *flash_info, int offset, const void *src_addr, int length); +int alt_epcq_controller2_write(alt_flash_dev *flash_info, int offset, const void *src_addr, int length); -int alt_epcq_controller_lock(alt_flash_dev *flash_info, alt_u32 sectors_to_lock); +int alt_epcq_controller2_lock(alt_flash_dev *flash_info, alt_u32 sectors_to_lock); /* * Initialization function */ -extern alt_32 altera_epcq_controller_init(alt_epcq_controller_dev *dev); +extern alt_32 altera_epcq_controller2_init(alt_epcq_controller2_dev *dev); /* * alt_sys_init.c will call this macro automatically initialize the driver instance */ -#define ALTERA_EPCQ_CONTROLLER_MOD_INIT(name, dev) \ - altera_epcq_controller_init(&dev); +#define ALTERA_EPCQ_CONTROLLER2_INIT(name, dev) \ + altera_epcq_controller2_init(&dev); #ifdef __cplusplus } #endif /* __cplusplus */ -#endif /* __ALT_EPCQ_CONTROLLER_H__ */ +#endif /* __ALT_EPCQ_CONTROLLER2_H__ */ diff --git a/ip/altera_epcq_controller_mod/inc/altera_epcq_controller_mod_regs.h b/software/sys_controller_bsp/drivers/inc/altera_epcq_controller2_regs.h similarity index 51% rename from ip/altera_epcq_controller_mod/inc/altera_epcq_controller_mod_regs.h rename to software/sys_controller_bsp/drivers/inc/altera_epcq_controller2_regs.h index 3d36697..3f86378 100644 --- a/ip/altera_epcq_controller_mod/inc/altera_epcq_controller_mod_regs.h +++ b/software/sys_controller_bsp/drivers/inc/altera_epcq_controller2_regs.h @@ -28,8 +28,8 @@ * * ******************************************************************************/ -#ifndef __ALTERA_EPCQ_CONTROLLER_REGS_H__ -#define __ALTERA_EPCQ_CONTROLLER_REGS_H__ +#ifndef __ALTERA_EPCQ_CONTROLLER2_REGS_H__ +#define __ALTERA_EPCQ_CONTROLLER2_REGS_H__ #include @@ -41,31 +41,31 @@ * data sheet, * */ -#define ALTERA_EPCQ_CONTROLLER_STATUS_REG (0x0) +#define ALTERA_EPCQ_CONTROLLER2_STATUS_REG (0x0) /* * EPCQ_RD_STATUS register access macros */ -#define IOADDR_ALTERA_EPCQ_CONTROLLER_STATUS(base) \ - __IO_CALC_ADDRESS_DYNAMIC(base, ALTERA_EPCQ_CONTROLLER_STATUS_REG) +#define IOADDR_ALTERA_EPCQ_CONTROLLER2_STATUS(base) \ + __IO_CALC_ADDRESS_DYNAMIC(base, ALTERA_EPCQ_CONTROLLER2_STATUS_REG) -#define IORD_ALTERA_EPCQ_CONTROLLER_STATUS(base) \ - IORD_32DIRECT(base, ALTERA_EPCQ_CONTROLLER_STATUS_REG) +#define IORD_ALTERA_EPCQ_CONTROLLER2_STATUS(base) \ + IORD_32DIRECT(base, ALTERA_EPCQ_CONTROLLER2_STATUS_REG) -#define IOWR_ALTERA_EPCQ_CONTROLLER_STATUS(base, data) \ - IOWR_32DIRECT(base, ALTERA_EPCQ_CONTROLLER_STATUS_REG, data) +#define IOWR_ALTERA_EPCQ_CONTROLLER2_STATUS(base, data) \ + IOWR_32DIRECT(base, ALTERA_EPCQ_CONTROLLER2_STATUS_REG, data) /* * EPCQ_RD_STATUS register description macros */ /** Write in progress bit */ -#define ALTERA_EPCQ_CONTROLLER_STATUS_WIP_MASK (0x00000001) -#define ALTERA_EPCQ_CONTROLLER_STATUS_WIP_AVAILABLE (0x00000000) -#define ALTERA_EPCQ_CONTROLLER_STATUS_WIP_BUSY (0x00000001) +#define ALTERA_EPCQ_CONTROLLER2_STATUS_WIP_MASK (0x00000001) +#define ALTERA_EPCQ_CONTROLLER2_STATUS_WIP_AVAILABLE (0x00000000) +#define ALTERA_EPCQ_CONTROLLER2_STATUS_WIP_BUSY (0x00000001) /** When to time out a poll of the write in progress bit */ /* 0.7 sec time out */ -#define ALTERA_EPCQ_CONTROLLER_1US_TIMEOUT_VALUE 700000 +#define ALTERA_EPCQ_CONTROLLER2_1US_TIMEOUT_VALUE 700000 /* * EPCQ_RD_SID register offset @@ -77,19 +77,19 @@ * This register is valid only if the device is an EPCS. * */ -#define ALTERA_EPCQ_CONTROLLER_SID_REG (0x4) +#define ALTERA_EPCQ_CONTROLLER2_SID_REG (0x4) /* * EPCQ_RD_SID register access macros */ -#define IOADDR_ALTERA_EPCQ_CONTROLLER_SID(base) \ - __IO_CALC_ADDRESS_DYNAMIC(base, ALTERA_EPCQ_CONTROLLER_SID_REG) +#define IOADDR_ALTERA_EPCQ_CONTROLLER2_SID(base) \ + __IO_CALC_ADDRESS_DYNAMIC(base, ALTERA_EPCQ_CONTROLLER2_SID_REG) -#define IORD_ALTERA_EPCQ_CONTROLLER_SID(base) \ - IORD_32DIRECT(base, ALTERA_EPCQ_CONTROLLER_SID_REG) +#define IORD_ALTERA_EPCQ_CONTROLLER2_SID(base) \ + IORD_32DIRECT(base, ALTERA_EPCQ_CONTROLLER2_SID_REG) -#define IOWR_ALTERA_EPCQ_CONTROLLER_SID(base, data) \ - IOWR_32DIRECT(base, ALTERA_EPCQ_CONTROLLER_SID_REG, data) +#define IOWR_ALTERA_EPCQ_CONTROLLER2_SID(base, data) \ + IOWR_32DIRECT(base, ALTERA_EPCQ_CONTROLLER2_SID_REG, data) /* * EPCQ_RD_SID register description macros @@ -97,10 +97,10 @@ * Specific device values obtained from Table 14 of: * "Serial Configuration (EPCS) Devices Datasheet" */ -#define ALTERA_EPCQ_CONTROLLER_SID_MASK (0x000000FF) -#define ALTERA_EPCQ_CONTROLLER_SID_EPCS16 (0x00000014) -#define ALTERA_EPCQ_CONTROLLER_SID_EPCS64 (0x00000016) -#define ALTERA_EPCQ_CONTROLLER_SID_EPCS128 (0x00000018) +#define ALTERA_EPCQ_CONTROLLER2_SID_MASK (0x000000FF) +#define ALTERA_EPCQ_CONTROLLER2_SID_EPCS16 (0x00000014) +#define ALTERA_EPCQ_CONTROLLER2_SID_EPCS64 (0x00000016) +#define ALTERA_EPCQ_CONTROLLER2_SID_EPCS128 (0x00000018) /* * EPCQ_RD_RDID register offset @@ -112,19 +112,19 @@ * This register is only valid if the device is an EPCQ. * */ -#define ALTERA_EPCQ_CONTROLLER_RDID_REG (0x8) +#define ALTERA_EPCQ_CONTROLLER2_RDID_REG (0x8) /* * EPCQ_RD_RDID register access macros */ -#define IOADDR_ALTERA_EPCQ_CONTROLLER_RDID(base) \ - __IO_CALC_ADDRESS_DYNAMIC(base, ALTERA_EPCQ_CONTROLLER_RDID_REG) +#define IOADDR_ALTERA_EPCQ_CONTROLLER2_RDID(base) \ + __IO_CALC_ADDRESS_DYNAMIC(base, ALTERA_EPCQ_CONTROLLER2_RDID_REG) -#define IORD_ALTERA_EPCQ_CONTROLLER_RDID(base) \ - IORD_32DIRECT(base, ALTERA_EPCQ_CONTROLLER_RDID_REG) +#define IORD_ALTERA_EPCQ_CONTROLLER2_RDID(base) \ + IORD_32DIRECT(base, ALTERA_EPCQ_CONTROLLER2_RDID_REG) -#define IOWR_ALTERA_EPCQ_CONTROLLER_RDID(base, data) \ - IOWR_32DIRECT(base, ALTERA_EPCQ_CONTROLLER_RDID_REG, data) +#define IOWR_ALTERA_EPCQ_CONTROLLER2_RDID(base, data) \ + IOWR_32DIRECT(base, ALTERA_EPCQ_CONTROLLER2_RDID_REG, data) /* * EPCQ_RD_RDID register description macros @@ -133,14 +133,14 @@ * "Quad-Serial Configuration (EPCQ (www.altera.com/literature/hb/cfg/cfg_cf52012.pdf)) * Devices Datasheet" */ -#define ALTERA_EPCQ_CONTROLLER_RDID_MASK (0x000000FF) -#define ALTERA_EPCQ_CONTROLLER_RDID_EPCQ16 (0x00000015) -#define ALTERA_EPCQ_CONTROLLER_RDID_EPCQ32 (0x00000016) -#define ALTERA_EPCQ_CONTROLLER_RDID_EPCQ64 (0x00000017) -#define ALTERA_EPCQ_CONTROLLER_RDID_EPCQ128 (0x00000018) -#define ALTERA_EPCQ_CONTROLLER_RDID_EPCQ256 (0x00000019) -#define ALTERA_EPCQ_CONTROLLER_RDID_EPCQ512 (0x00000020) -#define ALTERA_EPCQ_CONTROLLER_RDID_EPCQ1024 (0x00000021) +#define ALTERA_EPCQ_CONTROLLER2_RDID_MASK (0x000000FF) +#define ALTERA_EPCQ_CONTROLLER2_RDID_EPCQ16 (0x00000015) +#define ALTERA_EPCQ_CONTROLLER2_RDID_EPCQ32 (0x00000016) +#define ALTERA_EPCQ_CONTROLLER2_RDID_EPCQ64 (0x00000017) +#define ALTERA_EPCQ_CONTROLLER2_RDID_EPCQ128 (0x00000018) +#define ALTERA_EPCQ_CONTROLLER2_RDID_EPCQ256 (0x00000019) +#define ALTERA_EPCQ_CONTROLLER2_RDID_EPCQ512 (0x00000020) +#define ALTERA_EPCQ_CONTROLLER2_RDID_EPCQ1024 (0x00000021) /* * EPCQ_MEM_OP register offset @@ -148,30 +148,31 @@ * The EPCQ_MEM_OP register is used to do memory protect and erase operations * */ -#define ALTERA_EPCQ_CONTROLLER_MEM_OP_REG (0xC) +#define ALTERA_EPCQ_CONTROLLER2_MEM_OP_REG (0xC) /* * EPCQ_MEM_OP register access macros */ -#define IOADDR_ALTERA_EPCQ_CONTROLLER_MEM_OP(base) \ - __IO_CALC_ADDRESS_DYNAMIC(base, ALTERA_EPCQ_CONTROLLER_MEM_OP_REG) +#define IOADDR_ALTERA_EPCQ_CONTROLLER2_MEM_OP(base) \ + __IO_CALC_ADDRESS_DYNAMIC(base, ALTERA_EPCQ_CONTROLLER2_MEM_OP_REG) -#define IORD_ALTERA_EPCQ_CONTROLLER_MEM_OP(base) \ - IORD_32DIRECT(base, ALTERA_EPCQ_CONTROLLER_MEM_OP_REG) +#define IORD_ALTERA_EPCQ_CONTROLLER2_MEM_OP(base) \ + IORD_32DIRECT(base, ALTERA_EPCQ_CONTROLLER2_MEM_OP_REG) -#define IOWR_ALTERA_EPCQ_CONTROLLER_MEM_OP(base, data) \ - IOWR_32DIRECT(base, ALTERA_EPCQ_CONTROLLER_MEM_OP_REG, data) +#define IOWR_ALTERA_EPCQ_CONTROLLER2_MEM_OP(base, data) \ + IOWR_32DIRECT(base, ALTERA_EPCQ_CONTROLLER2_MEM_OP_REG, data) /* * EPCQ_MEM_OP register description macros */ -#define ALTERA_EPCQ_CONTROLLER_MEM_OP_CMD_MASK (0x00000003) -#define ALTERA_EPCQ_CONTROLLER_MEM_OP_BULK_ERASE_CMD (0x00000001) -#define ALTERA_EPCQ_CONTROLLER_MEM_OP_SECTOR_ERASE_CMD (0x00000002) -#define ALTERA_EPCQ_CONTROLLER_MEM_OP_SECTOR_PROTECT_CMD (0x00000003) +#define ALTERA_EPCQ_CONTROLLER2_MEM_OP_CMD_MASK (0x00000003) +#define ALTERA_EPCQ_CONTROLLER2_MEM_OP_BULK_ERASE_CMD (0x00000001) +#define ALTERA_EPCQ_CONTROLLER2_MEM_OP_SECTOR_ERASE_CMD (0x00000002) +#define ALTERA_EPCQ_CONTROLLER2_MEM_OP_SECTOR_PROTECT_CMD (0x00000003) +#define ALTERA_EPCQ_CONTROLLER2_MEM_OP_WRITE_ENABLE_CMD (0x00000004) /** see datasheet for sector values */ -#define ALTERA_EPCQ_CONTROLLER_MEM_OP_SECTOR_VALUE_MASK (0x00FFFF00) +#define ALTERA_EPCQ_CONTROLLER2_MEM_OP_SECTOR_VALUE_MASK (0x00FFFF00) /* * EPCQ_ISR register offset @@ -180,28 +181,28 @@ * operation triggered an interrupt * */ -#define ALTERA_EPCQ_CONTROLLER_ISR_REG (0x10) +#define ALTERA_EPCQ_CONTROLLER2_ISR_REG (0x10) /* * EPCQ_ISR register access macros */ -#define IOADDR_ALTERA_EPCQ_CONTROLLER_ISR(base) \ - __IO_CALC_ADDRESS_DYNAMIC(base, ALTERA_EPCQ_CONTROLLER_ISR_REG) +#define IOADDR_ALTERA_EPCQ_CONTROLLER2_ISR(base) \ + __IO_CALC_ADDRESS_DYNAMIC(base, ALTERA_EPCQ_CONTROLLER2_ISR_REG) -#define IORD_ALTERA_EPCQ_CONTROLLER_ISR(base) \ - IORD_32DIRECT(base, ALTERA_EPCQ_CONTROLLER_ISR_REG) +#define IORD_ALTERA_EPCQ_CONTROLLER2_ISR(base) \ + IORD_32DIRECT(base, ALTERA_EPCQ_CONTROLLER2_ISR_REG) -#define IOWR_ALTERA_EPCQ_CONTROLLER_ISR(base, data) \ - IOWR_32DIRECT(base, ALTERA_EPCQ_CONTROLLER_ISR_REG, data) +#define IOWR_ALTERA_EPCQ_CONTROLLER2_ISR(base, data) \ + IOWR_32DIRECT(base, ALTERA_EPCQ_CONTROLLER2_ISR_REG, data) /* * EPCQ_ISR register description macros */ -#define ALTERA_EPCQ_CONTROLLER_ISR_ILLEGAL_ERASE_MASK (0x00000001) -#define ALTERA_EPCQ_CONTROLLER_ISR_ILLEGAL_ERASE_ACTIVE (0x00000001) +#define ALTERA_EPCQ_CONTROLLER2_ISR_ILLEGAL_ERASE_MASK (0x00000001) +#define ALTERA_EPCQ_CONTROLLER2_ISR_ILLEGAL_ERASE_ACTIVE (0x00000001) -#define ALTERA_EPCQ_CONTROLLER_ISR_ILLEGAL_WRITE_MASK (0x00000002) -#define ALTERA_EPCQ_CONTROLLER_ISR_ILLEGAL_WRITE_ACTIVE (0x00000002) +#define ALTERA_EPCQ_CONTROLLER2_ISR_ILLEGAL_WRITE_MASK (0x00000002) +#define ALTERA_EPCQ_CONTROLLER2_ISR_ILLEGAL_WRITE_ACTIVE (0x00000002) /* @@ -211,28 +212,28 @@ * interrupts. * */ -#define ALTERA_EPCQ_CONTROLLER_IMR_REG (0x14) +#define ALTERA_EPCQ_CONTROLLER2_IMR_REG (0x14) /* * EPCQ_IMR register access macros */ -#define IOADDR_ALTERA_EPCQ_CONTROLLER_IMR(base) \ - __IO_CALC_ADDRESS_DYNAMIC(base, ALTERA_EPCQ_CONTROLLER_IMR_REG) +#define IOADDR_ALTERA_EPCQ_CONTROLLER2_IMR(base) \ + __IO_CALC_ADDRESS_DYNAMIC(base, ALTERA_EPCQ_CONTROLLER2_IMR_REG) -#define IORD_ALTERA_EPCQ_CONTROLLER_IMR(base) \ - IORD_32DIRECT(base, ALTERA_EPCQ_CONTROLLER_IMR_REG) +#define IORD_ALTERA_EPCQ_CONTROLLER2_IMR(base) \ + IORD_32DIRECT(base, ALTERA_EPCQ_CONTROLLER2_IMR_REG) -#define IOWR_ALTERA_EPCQ_CONTROLLER_IMR(base, data) \ - IOWR_32DIRECT(base, ALTERA_EPCQ_CONTROLLER_IMR_REG, data) +#define IOWR_ALTERA_EPCQ_CONTROLLER2_IMR(base, data) \ + IOWR_32DIRECT(base, ALTERA_EPCQ_CONTROLLER2_IMR_REG, data) /* * EPCQ_IMR register description macros */ -#define ALTERA_EPCQ_CONTROLLER_IMR_ILLEGAL_ERASE_MASK (0x00000001) -#define ALTERA_EPCQ_CONTROLLER_IMR_ILLEGAL_ERASE_ENABLED (0x00000001) +#define ALTERA_EPCQ_CONTROLLER2_IMR_ILLEGAL_ERASE_MASK (0x00000001) +#define ALTERA_EPCQ_CONTROLLER2_IMR_ILLEGAL_ERASE_ENABLED (0x00000001) -#define ALTERA_EPCQ_CONTROLLER_IMR_ILLEGAL_WRITE_MASK (0x00000002) -#define ALTERA_EPCQ_CONTROLLER_IMR_ILLEGAL_WRITE_ENABLED (0x00000002) +#define ALTERA_EPCQ_CONTROLLER2_IMR_ILLEGAL_WRITE_MASK (0x00000002) +#define ALTERA_EPCQ_CONTROLLER2_IMR_ILLEGAL_WRITE_ENABLED (0x00000002) /* * EPCQ_CHIP_SELECT register offset @@ -257,4 +258,4 @@ #define ALTERA_EPCQ_CHIP2_SELECT (0x00000002) #define ALTERA_EPCQ_CHIP3_SELECT (0x00000003) -#endif /* __ALTERA_EPCQ_CONTROLLER_REGS_H__ */ +#endif /* __ALTERA_EPCQ_CONTROLLER2_REGS_H__ */ diff --git a/software/sys_controller_bsp/drivers/inc/altera_epcq_controller_mod.h b/software/sys_controller_bsp/drivers/inc/altera_epcq_controller_mod.h deleted file mode 120000 index 82fe20c..0000000 --- a/software/sys_controller_bsp/drivers/inc/altera_epcq_controller_mod.h +++ /dev/null @@ -1 +0,0 @@ -../../../../ip/altera_epcq_controller_mod/HAL/inc/altera_epcq_controller_mod.h \ No newline at end of file diff --git a/software/sys_controller_bsp/drivers/inc/altera_epcq_controller_mod_regs.h b/software/sys_controller_bsp/drivers/inc/altera_epcq_controller_mod_regs.h deleted file mode 120000 index 0443cfd..0000000 --- a/software/sys_controller_bsp/drivers/inc/altera_epcq_controller_mod_regs.h +++ /dev/null @@ -1 +0,0 @@ -../../../../ip/altera_epcq_controller_mod/inc/altera_epcq_controller_mod_regs.h \ No newline at end of file diff --git a/ip/altera_epcq_controller_mod/HAL/src/altera_epcq_controller_mod.c b/software/sys_controller_bsp/drivers/src/altera_epcq_controller2.c similarity index 57% rename from ip/altera_epcq_controller_mod/HAL/src/altera_epcq_controller_mod.c rename to software/sys_controller_bsp/drivers/src/altera_epcq_controller2.c index 3c096d1..142c7e0 100644 --- a/ip/altera_epcq_controller_mod/HAL/src/altera_epcq_controller_mod.c +++ b/software/sys_controller_bsp/drivers/src/altera_epcq_controller2.c @@ -34,16 +34,15 @@ #include #include "sys/param.h" #include "alt_types.h" -#include "altera_epcq_controller_mod_regs.h" -#include "altera_epcq_controller_mod.h" +#include "altera_epcq_controller2_regs.h" +#include "altera_epcq_controller2.h" #include "priv/alt_busy_sleep.h" #include "sys/alt_debug.h" #include "sys/alt_cache.h" -ALT_INLINE alt_32 static alt_epcq_validate_read_write_arguments(alt_epcq_controller_dev *flash_info,alt_u32 offset, alt_u32 length); -alt_32 static alt_epcq_poll_for_write_in_progress(alt_epcq_controller_dev* epcq_flash_info); -ALT_INLINE unsigned char static bitswap8(unsigned char v); +ALT_INLINE alt_32 static alt_epcq_validate_read_write_arguments(alt_epcq_controller2_dev *flash_info,alt_u32 offset, alt_u32 length); +alt_32 static alt_epcq_poll_for_write_in_progress(alt_epcq_controller2_dev* epcq_flash_info); /* * Public API @@ -55,7 +54,7 @@ ALT_INLINE unsigned char static bitswap8(unsigned char v); /** - * alt_epcq_controller_lock + * alt_epcq_controller2_lock * * Locks the range of the memory sectors, which * protected from write and erase. @@ -72,52 +71,52 @@ ALT_INLINE unsigned char static bitswap8(unsigned char v); * -ETIME -> Time out and skipping the looping after 0.7 sec. * -ENOLCK -> Sectors lock failed. **/ -int alt_epcq_controller_lock(alt_flash_dev *flash_info, alt_u32 sectors_to_lock) +int alt_epcq_controller2_lock(alt_flash_dev *flash_info, alt_u32 sectors_to_lock) { alt_u32 mem_op_value = 0; /* value to write to EPCQ_MEM_OP register */ - alt_epcq_controller_dev* epcq_flash_info = NULL; + alt_epcq_controller2_dev* epcq_flash_info = NULL; alt_u32 result = 0; alt_32 status = 0; /* return -EINVAL if flash_info is NULL */ if(NULL == flash_info || 0 > sectors_to_lock) { - return -EINVAL; + return -EINVAL; } - - epcq_flash_info = (alt_epcq_controller_dev*)flash_info; + + epcq_flash_info = (alt_epcq_controller2_dev*)flash_info; /* sector value should occupy bits 17:8 */ mem_op_value = sectors_to_lock << 8; /* sector protect commands 0b11 occupies lower 2 bits */ - mem_op_value |= ALTERA_EPCQ_CONTROLLER_MEM_OP_SECTOR_PROTECT_CMD; + mem_op_value |= ALTERA_EPCQ_CONTROLLER2_MEM_OP_SECTOR_PROTECT_CMD; /* write sector protect command to EPCQ_MEM_OP register to protect sectors */ - IOWR_ALTERA_EPCQ_CONTROLLER_MEM_OP(epcq_flash_info->csr_base, mem_op_value); + IOWR_ALTERA_EPCQ_CONTROLLER2_MEM_OP(epcq_flash_info->csr_base, mem_op_value); /* poll write in progress to make sure no operation is in progress */ status = alt_epcq_poll_for_write_in_progress(epcq_flash_info); if(status != 0) { - return status; + return status; } - - status = IORD_ALTERA_EPCQ_CONTROLLER_STATUS(epcq_flash_info->csr_base); - result |= (status >> 2) & 0x07; /* extract out BP3 - BP0 */ - result |= (status >> 3) & 0x08; /* extract out BP4 */ + + status = IORD_ALTERA_EPCQ_CONTROLLER2_STATUS(epcq_flash_info->csr_base); + result |= (status >> 2) & 0x07; /* extract out BP3 - BP0 */ + result |= (status >> 3) & 0x08; /* extract out BP4 */ result |= (status >> 1) & 0x10; /* extract out TOP/BOTTOM bit */ - if(result != sectors_to_lock) - { - return -ENOLCK; - } + if(result != sectors_to_lock) + { + /*return -ENOLCK;*/ + } return 0; } /** - * alt_epcq_controller_get_info + * alt_epcq_controller2_get_info * * Pass the table of erase blocks to the user. This flash will return a single * flash_region that gives the number and size of sectors for the device used. @@ -134,19 +133,19 @@ int alt_epcq_controller_lock(alt_flash_dev *flash_info, alt_u32 sectors_to_lock) * -EINVAL -> Invalid arguments * -EIO -> Could be hardware problem. **/ -int alt_epcq_controller_get_info +int alt_epcq_controller2_get_info ( alt_flash_fd *fd, /** flash device descriptor */ flash_region **info, /** pointer to flash_region will be stored here */ int *number_of_regions /** number of regions will be stored here */ ) { - alt_flash_dev* flash = NULL; - - /* return -EINVAL if fd,info and number_of_regions are NULL */ - if(NULL == fd || NULL == info || NULL == number_of_regions) + alt_flash_dev* flash = NULL; + + /* return -EINVAL if fd,info and number_of_regions are NULL */ + if(NULL == fd || NULL == info || NULL == number_of_regions) { - return -EINVAL; + return -EINVAL; } flash = (alt_flash_dev*)fd; @@ -166,7 +165,7 @@ int alt_epcq_controller_get_info } /** - * alt_epcq_controller_erase_block + * alt_epcq_controller2_erase_block * * This function erases a single flash sector. * @@ -179,20 +178,20 @@ int alt_epcq_controller_get_info * -EINVAL -> Invalid arguments * -EIO -> write failed, sector might be protected **/ -int alt_epcq_controller_erase_block(alt_flash_dev *flash_info, int block_offset) +int alt_epcq_controller2_erase_block(alt_flash_dev *flash_info, int block_offset) { alt_32 ret_code = 0; alt_u32 mem_op_value = 0; /* value to write to EPCQ_MEM_OP register */ - alt_epcq_controller_dev* epcq_flash_info = NULL; + alt_epcq_controller2_dev* epcq_flash_info = NULL; alt_u32 sector_number = 0; /* return -EINVAL if flash_info is NULL */ if(NULL == flash_info) { - return -EINVAL; + return -EINVAL; } - - epcq_flash_info = (alt_epcq_controller_dev*)flash_info; + + epcq_flash_info = (alt_epcq_controller2_dev*)flash_info; /* * Sanity checks that block_offset is within the flash memory span and that the @@ -203,38 +202,47 @@ int alt_epcq_controller_erase_block(alt_flash_dev *flash_info, int block_offset) || (block_offset >= epcq_flash_info->size_in_bytes) || (block_offset & (epcq_flash_info->sector_size - 1)) != 0) { - return -EINVAL; + return -EINVAL; } /* calculate current sector/block number */ sector_number = (block_offset/(epcq_flash_info->sector_size)); /* sector value should occupy bits 23:8 */ - mem_op_value = (sector_number << 8) & ALTERA_EPCQ_CONTROLLER_MEM_OP_SECTOR_VALUE_MASK; - - /* sector erase commands 0b10 occupies lower 2 bits */ - mem_op_value |= ALTERA_EPCQ_CONTROLLER_MEM_OP_SECTOR_ERASE_CMD; + mem_op_value = (sector_number << 8) & ALTERA_EPCQ_CONTROLLER2_MEM_OP_SECTOR_VALUE_MASK; + + /* write enable command */ + mem_op_value |= ALTERA_EPCQ_CONTROLLER2_MEM_OP_WRITE_ENABLE_CMD; /* write sector erase command to EPCQ_MEM_OP register to erase sector "sector_number" */ - IOWR_ALTERA_EPCQ_CONTROLLER_MEM_OP(epcq_flash_info->csr_base, mem_op_value); - + IOWR_ALTERA_EPCQ_CONTROLLER2_MEM_OP(epcq_flash_info->csr_base, mem_op_value); + + /* sector value should occupy bits 23:8 */ + mem_op_value = (sector_number << 8) & ALTERA_EPCQ_CONTROLLER2_MEM_OP_SECTOR_VALUE_MASK; + + /* sector erase commands 0b10 occupies lower 2 bits */ + mem_op_value |= ALTERA_EPCQ_CONTROLLER2_MEM_OP_SECTOR_ERASE_CMD; + + /* write sector erase command to EPCQ_MEM_OP register to erase sector "sector_number" */ + IOWR_ALTERA_EPCQ_CONTROLLER2_MEM_OP(epcq_flash_info->csr_base, mem_op_value); + /* check whether erase triggered a illegal erase interrupt */ - if((IORD_ALTERA_EPCQ_CONTROLLER_ISR(epcq_flash_info->csr_base) & - ALTERA_EPCQ_CONTROLLER_ISR_ILLEGAL_ERASE_MASK) == - ALTERA_EPCQ_CONTROLLER_ISR_ILLEGAL_ERASE_ACTIVE) + if((IORD_ALTERA_EPCQ_CONTROLLER2_ISR(epcq_flash_info->csr_base) & + ALTERA_EPCQ_CONTROLLER2_ISR_ILLEGAL_ERASE_MASK) == + ALTERA_EPCQ_CONTROLLER2_ISR_ILLEGAL_ERASE_ACTIVE) { - /* clear register */ - /* EPCQ_ISR access is write one to clear (W1C) */ - IOWR_ALTERA_EPCQ_CONTROLLER_ISR(epcq_flash_info->csr_base, - ALTERA_EPCQ_CONTROLLER_ISR_ILLEGAL_ERASE_MASK ); - return -EIO; /* erase failed, sector might be protected */ + /* clear register */ + /* EPCQ_ISR access is write one to clear (W1C) */ + IOWR_ALTERA_EPCQ_CONTROLLER2_ISR(epcq_flash_info->csr_base, + ALTERA_EPCQ_CONTROLLER2_ISR_ILLEGAL_ERASE_MASK ); + return -EIO; /* erase failed, sector might be protected */ } return ret_code; } /** - * alt_epcq_controller_write_block + * alt_epcq_controller2_write_block * * This function writes one block/sector of data to flash. The length of the write can NOT * spill into the adjacent sector. @@ -254,7 +262,7 @@ int alt_epcq_controller_erase_block(alt_flash_dev *flash_info, int block_offset) * -EINVAL -> Invalid arguments * -EIO -> write failed, sector might be protected **/ -int alt_epcq_controller_write_block +int alt_epcq_controller2_write_block ( alt_flash_dev *flash_info, /** flash device info */ int block_offset, /** sector/block offset in byte addressing */ @@ -267,8 +275,8 @@ int alt_epcq_controller_write_block alt_u32 remaining_length = length; /** length left to write */ alt_u32 write_offset = data_offset; /** offset into flash to write too */ - alt_epcq_controller_dev *epcq_flash_info = (alt_epcq_controller_dev*)flash_info; - + alt_epcq_controller2_dev *epcq_flash_info = (alt_epcq_controller2_dev*)flash_info; + /* * Sanity checks that data offset is not larger then a sector, that block offset is * sector aligned and within the valid flash memory range and a write doesn't spill into @@ -284,7 +292,7 @@ int alt_epcq_controller_write_block || length < 0 || (block_offset & (epcq_flash_info->sector_size - 1)) != 0) { - return -EINVAL; + return -EINVAL; } /* @@ -294,20 +302,20 @@ int alt_epcq_controller_write_block */ while (remaining_length > 0) { - alt_u32 word_to_write = 0xFFFFFFFF; /** initialize word to write to blank word */ - alt_u32 padding = 0; /** bytes to pad the next word that is written */ - alt_u32 bytes_to_copy = sizeof(alt_u32); /** number of bytes from source to copy */ + alt_u32 word_to_write = 0xFFFFFFFF; /** initialize word to write to blank word */ + alt_u32 padding = 0; /** bytes to pad the next word that is written */ + alt_u32 bytes_to_copy = sizeof(alt_u32); /** number of bytes from source to copy */ /* * we need to make sure the write is word aligned - * this should only be true at most 1 time - */ + * this should only be true at most 1 time + */ if (0 != (write_offset & (sizeof(alt_u32) - 1))) { - /* - * data is not word aligned - * calculate padding bytes need to add before start of a data offset - */ + /* + * data is not word aligned + * calculate padding bytes need to add before start of a data offset + */ padding = write_offset & (sizeof(alt_u32) - 1); /* update variables to account for padding being added */ @@ -315,46 +323,46 @@ int alt_epcq_controller_write_block if(bytes_to_copy > remaining_length) { - bytes_to_copy = remaining_length; + bytes_to_copy = remaining_length; } write_offset = write_offset - padding; if(0 != (write_offset & (sizeof(alt_u32) - 1))) { - return -EINVAL; + return -EINVAL; } } else { if(bytes_to_copy > remaining_length) { - bytes_to_copy = remaining_length; + bytes_to_copy = remaining_length; } } /* prepare the word to be written */ memcpy((((void*)&word_to_write)) + padding, ((void*)data) + buffer_offset, bytes_to_copy); - // Bit-reverse bytes for flash - for (int i=0; idata_base, write_offset, word_to_write); - - /* check whether write triggered a illegal write interrupt */ - if((IORD_ALTERA_EPCQ_CONTROLLER_ISR(epcq_flash_info->csr_base) & - ALTERA_EPCQ_CONTROLLER_ISR_ILLEGAL_WRITE_MASK) == - ALTERA_EPCQ_CONTROLLER_ISR_ILLEGAL_WRITE_ACTIVE) + if (IORD_32DIRECT(epcq_flash_info->data_base, write_offset) != word_to_write) { - /* clear register */ - IOWR_ALTERA_EPCQ_CONTROLLER_ISR(epcq_flash_info->csr_base, - ALTERA_EPCQ_CONTROLLER_ISR_ILLEGAL_WRITE_MASK ); - return -EIO; /** write failed, sector might be protected */ + IOWR_32DIRECT(epcq_flash_info->data_base, write_offset, word_to_write); + } + + /* check whether write triggered a illegal write interrupt */ + if((IORD_ALTERA_EPCQ_CONTROLLER2_ISR(epcq_flash_info->csr_base) & + ALTERA_EPCQ_CONTROLLER2_ISR_ILLEGAL_WRITE_MASK) == + ALTERA_EPCQ_CONTROLLER2_ISR_ILLEGAL_WRITE_ACTIVE) + { + /* clear register */ + IOWR_ALTERA_EPCQ_CONTROLLER2_ISR(epcq_flash_info->csr_base, + ALTERA_EPCQ_CONTROLLER2_ISR_ILLEGAL_WRITE_MASK ); + return -EIO; /** write failed, sector might be protected */ } /* update current offset */ @@ -365,12 +373,12 @@ int alt_epcq_controller_write_block } /** - * alt_epcq_controller_write + * alt_epcq_controller2_write * * Program the data into the flash at the selected address. * - * The different between this function and alt_epcq_controller_write_block function - * is that this function (alt_epcq_controller_write) will automatically erase a block as needed + * The different between this function and alt_epcq_controller2_write_block function + * is that this function (alt_epcq_controller2_write) will automatically erase a block as needed * Arguments: * - *flash_info: Pointer to EPCQ flash device structure. * - offset: Byte offset (unaligned access) of write to flash memory. For best performance, @@ -384,7 +392,7 @@ int alt_epcq_controller_write_block * -EIO -> write failed, sector might be protected * **/ -int alt_epcq_controller_write( +int alt_epcq_controller2_write( alt_flash_dev *flash_info, /** device info */ int offset, /** offset of write from base address */ const void *src_addr, /** source buffer */ @@ -393,7 +401,7 @@ int alt_epcq_controller_write( { alt_32 ret_code = 0; - alt_epcq_controller_dev *epcq_flash_info = NULL; + alt_epcq_controller2_dev *epcq_flash_info = NULL; alt_u32 write_offset = offset; /** address of next byte to write */ alt_u32 remaining_length = length; /** length of write data left to be written */ @@ -401,20 +409,20 @@ int alt_epcq_controller_write( alt_u32 i = 0; /* return -EINVAL if flash_info and src_addr are NULL */ - if(NULL == flash_info || NULL == src_addr) + if(NULL == flash_info || NULL == src_addr) { - return -EINVAL; + return -EINVAL; } - - epcq_flash_info = (alt_epcq_controller_dev*)flash_info; - + + epcq_flash_info = (alt_epcq_controller2_dev*)flash_info; + /* make sure the write parameters are within the bounds of the flash */ ret_code = alt_epcq_validate_read_write_arguments(epcq_flash_info, offset, length); - if(0 != ret_code) - { - return ret_code; - } + if(0 != ret_code) + { + return ret_code; + } /* * This loop erases and writes data one sector at a time. We check for write completion @@ -423,13 +431,13 @@ int alt_epcq_controller_write( for(i = offset/epcq_flash_info->sector_size ; i < epcq_flash_info->number_of_sectors; i++) { alt_u32 block_offset = 0; /** block offset in byte addressing */ - alt_u32 offset_within_current_sector = 0; /** offset into current sector to write */ + alt_u32 offset_within_current_sector = 0; /** offset into current sector to write */ alt_u32 length_to_write = 0; /** length to write to current sector */ - if(0 >= remaining_length) - { - break; /* out of data to write */ - } + if(0 >= remaining_length) + { + break; /* out of data to write */ + } /* calculate current sector/block offset in byte addressing */ block_offset = write_offset & ~(epcq_flash_info->sector_size - 1); @@ -441,7 +449,7 @@ int alt_epcq_controller_write( } /* erase sector */ - ret_code = alt_epcq_controller_erase_block(flash_info, block_offset); + ret_code = alt_epcq_controller2_erase_block(flash_info, block_offset); if(0 != ret_code) { @@ -453,7 +461,7 @@ int alt_epcq_controller_write( remaining_length); /* write data to erased block */ - ret_code = alt_epcq_controller_write_block(flash_info, block_offset, write_offset, + ret_code = alt_epcq_controller2_write_block(flash_info, block_offset, write_offset, src_addr + buffer_offset, length_to_write); @@ -472,7 +480,7 @@ int alt_epcq_controller_write( } /** - * alt_epcq_controller_read + * alt_epcq_controller2_read * * There's no real need to use this function as opposed to using memcpy directly. It does * do some sanity checks on the bounds of the read. @@ -487,7 +495,7 @@ int alt_epcq_controller_write( * 0 -> success * -EINVAL -> Invalid arguments **/ -int alt_epcq_controller_read +int alt_epcq_controller2_read ( alt_flash_dev *flash_info, /** device info */ int offset, /** offset of read from base address */ @@ -496,34 +504,30 @@ int alt_epcq_controller_read ) { alt_32 ret_code = 0; - alt_epcq_controller_dev *epcq_flash_info = NULL; - - /* return -EINVAL if flash_info and dest_addr are NULL */ - if(NULL == flash_info || NULL == dest_addr) + alt_epcq_controller2_dev *epcq_flash_info = NULL; + + /* return -EINVAL if flash_info and dest_addr are NULL */ + if(NULL == flash_info || NULL == dest_addr) { - return -EINVAL; + return -EINVAL; } - - epcq_flash_info = (alt_epcq_controller_dev*)flash_info; + + epcq_flash_info = (alt_epcq_controller2_dev*)flash_info; - /* validate arguments */ - ret_code = alt_epcq_validate_read_write_arguments(epcq_flash_info, offset, length); + /* validate arguments */ + ret_code = alt_epcq_validate_read_write_arguments(epcq_flash_info, offset, length); - /* copy data from flash to destination address */ - if(0 == ret_code) - { - memcpy(dest_addr, (alt_u8*)epcq_flash_info->data_base + offset, length); - - // Bit-reverse bytes read from flash - for (int i=0; idata_base + offset, length); + } return ret_code; } /** - * altera_epcq_controller_init + * altera_epcq_controller2_init * * alt_sys_init.c will call this function automatically through macro * @@ -539,147 +543,147 @@ int alt_epcq_controller_read * -EINVAL -> Invalid arguments. * -ENODEV -> System is configured incorrectly. **/ -alt_32 altera_epcq_controller_init(alt_epcq_controller_dev *flash) +alt_32 altera_epcq_controller2_init(alt_epcq_controller2_dev *flash) { - alt_u32 silicon_id = 0; - alt_u32 size_in_bytes = 0; - alt_u32 number_of_sectors = 0; + alt_u32 silicon_id = 0; + alt_u32 size_in_bytes = 0; + alt_u32 number_of_sectors = 0; /* return -EINVAL if flash is NULL */ - if(NULL == flash) + if(NULL == flash) { - return -EINVAL; + return -EINVAL; + } + + /* return -ENODEV if CSR slave is not attached */ + if(NULL == (void *)flash->csr_base) + { + return -ENODEV; } - - /* return -ENODEV if CSR slave is not attached */ - if(NULL == (void *)flash->csr_base) - { - return -ENODEV; - } - /* - * If flash is an EPCQ device, we read the EPCQ_RD_RDID register for the ID - * If flash is an EPCS device, we read the EPCQ_RD_SID register for the ID - * - * Whether or not the flash is a EPCQ or EPCS is indicated in the system.h. The system.h gets - * this value from the hw.tcl of the IP. If this value is set incorrectly, then things will go - * badly. - * - * In both cases, we can determine the number of sectors, which we can use - * to calculate a size. We compare that size to the system.h value to make sure - * the EPCQ soft IP was configured correctly. - */ - if(0 == flash->is_epcs) - { - /* If we're an EPCQ, we read EPCQ_RD_RDID for the silicon ID */ - silicon_id = IORD_ALTERA_EPCQ_CONTROLLER_RDID(flash->csr_base); - silicon_id &= ALTERA_EPCQ_CONTROLLER_RDID_MASK; + /* + * If flash is an EPCQ device, we read the EPCQ_RD_RDID register for the ID + * If flash is an EPCS device, we read the EPCQ_RD_SID register for the ID + * + * Whether or not the flash is a EPCQ or EPCS is indicated in the system.h. The system.h gets + * this value from the hw.tcl of the IP. If this value is set incorrectly, then things will go + * badly. + * + * In both cases, we can determine the number of sectors, which we can use + * to calculate a size. We compare that size to the system.h value to make sure + * the EPCQ soft IP was configured correctly. + */ + if(0 == flash->is_epcs) + { + /* If we're an EPCQ, we read EPCQ_RD_RDID for the silicon ID */ + silicon_id = IORD_ALTERA_EPCQ_CONTROLLER2_RDID(flash->csr_base); + silicon_id &= ALTERA_EPCQ_CONTROLLER2_RDID_MASK; - /* Determine which EPCQ device so we can figure out the number of sectors */ - /* EPCQ share the same ID for the same capacity*/ - switch(silicon_id) - { - case ALTERA_EPCQ_CONTROLLER_RDID_EPCQ16: - { - number_of_sectors = 32; - break; - } - case ALTERA_EPCQ_CONTROLLER_RDID_EPCQ32: - { - number_of_sectors = 64; - break; - } - case ALTERA_EPCQ_CONTROLLER_RDID_EPCQ64: - { - number_of_sectors = 128; - break; - } - case ALTERA_EPCQ_CONTROLLER_RDID_EPCQ128: - { - number_of_sectors = 256; - break; - } - case ALTERA_EPCQ_CONTROLLER_RDID_EPCQ256: - { - number_of_sectors = 512; - break; - } - case ALTERA_EPCQ_CONTROLLER_RDID_EPCQ512: - { - number_of_sectors = 1024; - break; - } - case ALTERA_EPCQ_CONTROLLER_RDID_EPCQ1024: - { - number_of_sectors = 2048; - break; - } - default: - { - return -ENODEV; - } - } - } - else { - /* If we're an EPCS, we read EPCQ_RD_SID for the silicon ID */ - silicon_id = IORD_ALTERA_EPCQ_CONTROLLER_SID(flash->csr_base); - silicon_id &= ALTERA_EPCQ_CONTROLLER_SID_MASK; + /* Determine which EPCQ device so we can figure out the number of sectors */ + /* EPCQ share the same ID for the same capacity*/ + switch(silicon_id) + { + case ALTERA_EPCQ_CONTROLLER2_RDID_EPCQ16: + { + number_of_sectors = 32; + break; + } + case ALTERA_EPCQ_CONTROLLER2_RDID_EPCQ32: + { + number_of_sectors = 64; + break; + } + case ALTERA_EPCQ_CONTROLLER2_RDID_EPCQ64: + { + number_of_sectors = 128; + break; + } + case ALTERA_EPCQ_CONTROLLER2_RDID_EPCQ128: + { + number_of_sectors = 256; + break; + } + case ALTERA_EPCQ_CONTROLLER2_RDID_EPCQ256: + { + number_of_sectors = 512; + break; + } + case ALTERA_EPCQ_CONTROLLER2_RDID_EPCQ512: + { + number_of_sectors = 1024; + break; + } + case ALTERA_EPCQ_CONTROLLER2_RDID_EPCQ1024: + { + number_of_sectors = 2048; + break; + } + default: + { + return -ENODEV; + } + } + } + else { + /* If we're an EPCS, we read EPCQ_RD_SID for the silicon ID */ + silicon_id = IORD_ALTERA_EPCQ_CONTROLLER2_SID(flash->csr_base); + silicon_id &= ALTERA_EPCQ_CONTROLLER2_SID_MASK; - /* Determine which EPCS device so we can figure out various properties */ - switch(silicon_id) - { - case ALTERA_EPCQ_CONTROLLER_SID_EPCS16: - { - number_of_sectors = 32; - break; - } - case ALTERA_EPCQ_CONTROLLER_SID_EPCS64: - { - number_of_sectors = 128; - break; - } - case ALTERA_EPCQ_CONTROLLER_SID_EPCS128: - { - number_of_sectors = 256; - break; - } - default: - { - return -ENODEV; - } - } - } + /* Determine which EPCS device so we can figure out various properties */ + switch(silicon_id) + { + case ALTERA_EPCQ_CONTROLLER2_SID_EPCS16: + { + number_of_sectors = 32; + break; + } + case ALTERA_EPCQ_CONTROLLER2_SID_EPCS64: + { + number_of_sectors = 128; + break; + } + case ALTERA_EPCQ_CONTROLLER2_SID_EPCS128: + { + number_of_sectors = 256; + break; + } + default: + { + return -ENODEV; + } + } + } - /* Calculate size of flash based on number of sectors */ - size_in_bytes = number_of_sectors * flash->sector_size; + /* Calculate size of flash based on number of sectors */ + size_in_bytes = number_of_sectors * flash->sector_size; - /* - * Make sure calculated size is the same size given in system.h - * Also check number of sectors is the same number given in system.h - * Otherwise the EPCQ IP was not configured correctly - */ - if( size_in_bytes != flash->size_in_bytes || - number_of_sectors != flash->number_of_sectors) - { - flash->dev.number_of_regions = 0; - return -ENODEV; - } - else - { - flash->silicon_id = silicon_id; - flash->number_of_sectors = number_of_sectors; + /* + * Make sure calculated size is the same size given in system.h + * Also check number of sectors is the same number given in system.h + * Otherwise the EPCQ IP was not configured correctly + */ + if( size_in_bytes != flash->size_in_bytes || + number_of_sectors != flash->number_of_sectors) + { + flash->dev.number_of_regions = 0; + return -ENODEV; + } + else + { + flash->silicon_id = silicon_id; + flash->number_of_sectors = number_of_sectors; - /* - * populate fields of region_info required to conform to HAL API - * create 1 region that composed of "number_of_sectors" blocks - */ - flash->dev.number_of_regions = 1; - flash->dev.region_info[0].offset = 0; - flash->dev.region_info[0].region_size = size_in_bytes; - flash->dev.region_info[0].number_of_blocks = number_of_sectors; - flash->dev.region_info[0].block_size = flash->sector_size; - } + /* + * populate fields of region_info required to conform to HAL API + * create 1 region that composed of "number_of_sectors" blocks + */ + flash->dev.number_of_regions = 1; + flash->dev.region_info[0].offset = 0; + flash->dev.region_info[0].region_size = size_in_bytes; + flash->dev.region_info[0].number_of_blocks = number_of_sectors; + flash->dev.region_info[0].block_size = flash->sector_size; + } /* @@ -687,7 +691,7 @@ alt_32 altera_epcq_controller_init(alt_epcq_controller_dev *flash) * * Only register the device if it's configured correctly. */ - alt_flash_device_register(&(flash->dev)); + alt_flash_device_register(&(flash->dev)); return 0; @@ -695,9 +699,9 @@ alt_32 altera_epcq_controller_init(alt_epcq_controller_dev *flash) /* - * Private API + * Private API * - * Helper functions used by Public API functions. + * Helper functions used by Public API functions. * * Arguments: * - *flash_info: Pointer to EPCQ flash device structure. @@ -713,35 +717,35 @@ alt_32 altera_epcq_controller_init(alt_epcq_controller_dev *flash) */ ALT_INLINE alt_32 static alt_epcq_validate_read_write_arguments ( - alt_epcq_controller_dev *flash_info, /** device info */ - alt_u32 offset, /** offset of read/write */ - alt_u32 length /** length of read/write */ + alt_epcq_controller2_dev *flash_info, /** device info */ + alt_u32 offset, /** offset of read/write */ + alt_u32 length /** length of read/write */ ) { - alt_epcq_controller_dev *epcq_flash_info = NULL; + alt_epcq_controller2_dev *epcq_flash_info = NULL; alt_u32 start_address = 0; alt_32 end_address = 0; - + /* return -EINVAL if flash_info is NULL */ if(NULL == flash_info) { - return -EINVAL; + return -EINVAL; } - - epcq_flash_info = (alt_epcq_controller_dev*)flash_info; + + epcq_flash_info = (alt_epcq_controller2_dev*)flash_info; start_address = epcq_flash_info->data_base + offset; /** first address of read or write */ end_address = start_address + length; /** last address of read or write (not inclusive) */ /* make sure start and end address is less then the end address of the flash */ if( - start_address >= epcq_flash_info->data_end || - end_address > epcq_flash_info->data_end || - offset < 0 || - length < 0 + start_address >= epcq_flash_info->data_end || + end_address > epcq_flash_info->data_end || + offset < 0 || + length < 0 ) { - return -EINVAL; + return -EINVAL; } return 0; @@ -751,14 +755,14 @@ ALT_INLINE alt_32 static alt_epcq_validate_read_write_arguments * Private function that polls write in progress bit EPCQ_RD_STATUS. * * Write in progress will be set if any of the following operations are in progress: - * -WRITE STATUS REGISTER - * -WRITE NONVOLATILE CONFIGURATION REGISTER - * -PROGRAM - * -ERASE + * -WRITE STATUS REGISTER + * -WRITE NONVOLATILE CONFIGURATION REGISTER + * -PROGRAM + * -ERASE * * Assumes EPCQ was configured correctly. * - * If ALTERA_EPCQ_CONTROLLER_1US_TIMEOUT_VALUE is set, the function will time out after + * If ALTERA_EPCQ_CONTROLLER2_1US_TIMEOUT_VALUE is set, the function will time out after * a period of time determined by that value. * * Arguments: @@ -769,44 +773,38 @@ ALT_INLINE alt_32 static alt_epcq_validate_read_write_arguments * -EINVAL -> Invalid arguments * -ETIME -> Time out and skipping the looping after 0.7 sec. */ -alt_32 static alt_epcq_poll_for_write_in_progress(alt_epcq_controller_dev* epcq_flash_info) +alt_32 static alt_epcq_poll_for_write_in_progress(alt_epcq_controller2_dev* epcq_flash_info) { /* we'll want to implement timeout if a timeout value is specified */ -#if ALTERA_EPCQ_CONTROLLER_1US_TIMEOUT_VALUE > 0 - alt_u32 timeout = ALTERA_EPCQ_CONTROLLER_1US_TIMEOUT_VALUE; - alt_u16 counter = 0; +#if ALTERA_EPCQ_CONTROLLER2_1US_TIMEOUT_VALUE > 0 + alt_u32 timeout = ALTERA_EPCQ_CONTROLLER2_1US_TIMEOUT_VALUE; + alt_u16 counter = 0; #endif /* return -EINVAL if epcq_flash_info is NULL */ - if(NULL == epcq_flash_info) + if(NULL == epcq_flash_info) { - return -EINVAL; + return -EINVAL; } - /* while Write in Progress bit is set, we wait */ - while((IORD_ALTERA_EPCQ_CONTROLLER_STATUS(epcq_flash_info->csr_base) & - ALTERA_EPCQ_CONTROLLER_STATUS_WIP_MASK) == - ALTERA_EPCQ_CONTROLLER_STATUS_WIP_BUSY) - { + /* while Write in Progress bit is set, we wait */ + while((IORD_ALTERA_EPCQ_CONTROLLER2_STATUS(epcq_flash_info->csr_base) & + ALTERA_EPCQ_CONTROLLER2_STATUS_WIP_MASK) == + ALTERA_EPCQ_CONTROLLER2_STATUS_WIP_BUSY) + { alt_busy_sleep(1); /* delay 1us */ -#if ALTERA_EPCQ_CONTROLLER_1US_TIMEOUT_VALUE > 0 - if(timeout <= counter ) - { - return -ETIME; - } - - counter++; +#if ALTERA_EPCQ_CONTROLLER2_1US_TIMEOUT_VALUE > 0 + if(timeout <= counter ) + { + return -ETIME; + } + + counter++; #endif - } + } - return 0; -} - -ALT_INLINE unsigned char static bitswap8(unsigned char v) -{ - return ((v * 0x0802LU & 0x22110LU) | - (v * 0x8020LU & 0x88440LU)) * 0x10101LU >> 16; + return 0; } diff --git a/software/sys_controller_bsp/drivers/src/altera_epcq_controller_mod.c b/software/sys_controller_bsp/drivers/src/altera_epcq_controller_mod.c deleted file mode 120000 index d33bbb1..0000000 --- a/software/sys_controller_bsp/drivers/src/altera_epcq_controller_mod.c +++ /dev/null @@ -1 +0,0 @@ -../../../../ip/altera_epcq_controller_mod/HAL/src/altera_epcq_controller_mod.c \ No newline at end of file diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index 9a78b53d1c047dcad200f1e67d17bfb2e5104781..bdb9ffb49e18f5af0a4746bcfac08a4df080cd5c 100644 GIT binary patch delta 4261 zcmZ`*3s6+o89rz4vb+}ET?nkO;=01FP#(L>E-aKH5fDcl6cx>DWXVEDTONv^b z4+EeETL@|S9U+^xM74dI5Y(+J|G)MeCgj`N+_t^x#U0yTY1-D-+PZ}R6*FlcWtC-F z>{H$6I&Tx}*=40(c67~rXulHd(340uW#p;wJI)gkQ_Nhy2g|tZBVHSDXB&JwPwW%WpE9=N; zpk8q-!zw6gH!@F4DMO;wJ{Gkux+q)S*?N66b{<=s^QvZuS98y3hd6IZ6Q3<8rw{=% z7iS7*)!Y$7DjV|+$exJbt&YV#OWB-Kztr<_@lNTiIxX~GD~G3+)^hdpL2=@H|Dnng z!GP?uB@d;%Au;&$9W}4Mx?Qp!7N4nEty)KF73=8o2JIWEueP$>x<*)>NL{p(j(V%k z>tkCo7So&JnfgRZ3HxA`%kFJ*H#NW1*3{nGPV7VnySu8Y%)Yp`zA?w{aTnk#*vWRU zb}USXibA;#N{Jw0r4dLh$!BL)7lysE2|6Mch+6{xi1TC)C7=q_8-bEh1v!+KD#(w@ zOb`EN80EC;B?SGKALm~3m&XnGtg2wb!YBArcC;bK+`1*SwJFruvAwIat*x~S>gsYs zr&2lfYvh6gLsx5a3mX$s;DtO;bY>=i{#<)M6%6cjU<*Da2x28S4ls=u&#q0Sw3~gjZWYa9^BUJuJ8N$|L5=Ls=^4zuUaxzB zvK#RZ-ODj76E;EDdP{V3&11h@-v)cawBfDjc6|OLGnMN8T2COj_CGqc&M7R}vuX{?{KN6B-~c5YHL zI<}?>9#1iD%sL+|TRcqF2TI6dVkC(q<_~?Y7-NI^Sd3%bFu`v5NpAo2q~OvUZB+w% z58XaiX{okV(Hal!jl|RpL*DHOAs5+#E?4@gE1}CVdf`N^F?9cyzVDsbq~tvhzG>f= z^bZ#7N(+BcL)fisvTjm15o=s2wYIu}y{}CU)Y`7JH}*z)BcV$%Mrw9U8?HL1a{Ijf zIgO!8Lqced5O?8fpR39kdgVb}=->Z}>vIW7@AntQo~ta{bGtIsF>DA;>kW_6{=V;5 ze>-%O)|@`_;|*n(lXv(?{zY?b{66PkXj^!glnurYi31Nv#kei5;$ZqE??myKG6ipy zW7&aP!PS@e#?aZ}rOO<{y~|9$NA4IlR)vIf1est=HpEhEUHsm8e|)XhHs0R&&&n68 zKdz2x?u{hXnwR~xdHA7oaO;O={pk;LU`omK!Xv|sT~T$6kL;u#e?S$j{M}*hS0rRx=_cbq`_>MP@d^nWlwFz050{Z4HW~TWrrEh$4K2! zI0N69Dlu@Bz{RO@O0ods8Nh|Y%Y}&m%Vq{3YlHH4_1e*XlFr>(Xv=kc*_G7RQL;M)*mk`GJG0ul^#pozTM*xDC ztSm)O2^s_V00E={q$L;~kOFbIQtUc_gOo@O2A34cmz!_b;wP@SL%UpH`POIUQEFw$ z-R5usEP$nuF9%}f>dJyevd9FnV!wlSta1>~er5G(dJw95psVm}Tz-9W-p zM@SUMuK{NmBr`#**zK?b(@VG=v10cE&XDbh6?-vYi+q$JR_xeAUM%k?6UWcPTN(t9 zs(if0Kq^7=@a6|u3aa304aQF*#g3;;ULrIgK~R&7H)-%ofR&hc6pZu7&22J51A?2_ zlq5mv8%e=fV|lXuV@(VemlAVFgTK_^`+$|)2Z-UiMtKMt&X+sY!@JCrKW&VFO;{Nq zD-fGGegR`F9Cx8Tnd6rM=gYVoaSFG;j`2@%{3ha5j!yzMaU3S^MhQ8OIE@EQ0M3^a z@I215a{DaC%;WeT+T|EM>=jcww`T!X?8Sid|40ysX+C$fXmCFU z;lTtlgm?kR2N2siK7#QJIi5i5;CLGGB91=+oG<5IN1Vm&pNBQ%E+%Ai$9=^3DGBm5 zVmVn4J6TCi&|s4Wr)n_fQx~>`=s_u7;2^l?DU2n}ANL-W2gBD+bD#mi*GT1=;p!_G zS5U!r4aP|+b{t2+d5CkN0da%U??{l4f^F=!^PsL?V7s4k#J524U4v(jXJ5rF(De%J zZL^dArLr%3Ofmi7^RWw#MY{4N=InLqMg>+6e^&%HlI~2p5AOY-ShfZDX>I6-GQY_` at*<4qyS=&cXSJBwSIR$ty2aUkxBkE42hi65 delta 4658 zcmZ`-3sh9c8J>Ihg@C#b!(&ND1+Cb8!jYf=+!o8+V#O`25G|G$rAH0_-8&;7n{ z{(oj??mu$}x;pvxm-tPVu;1k^bmd#EE-Mzcwp!ipd}zT^mq-Y46QbQhNMafx!&VVu z+(k&r1<5kT5%TcyLCXZ3ZN1-evk38QA|%j7$hbX(j0Yg04V^#7LW}0u=x9}|rnYfu@F#S$Y6R_9jigspR{9-S z{R}hhS!1Dd)Z_WQJldmn&_1ln3q&^MiyX1~Y`v1;soc8Gn(RN?X5aU6KS%!U5Ei}BcQZ3^x4MCF!{*A*X2 zYMDsy1-xRGr)SIcXeOU)LSaNx0TIOSKtp!D?kZ^YORp=!d7cx-W5 zlc;tHQzli#)$Vdd-7}?{eJjOfY1CX%0}B+Y80=(0Wg74H(YlN*?vl_`nZ$7%eSNya zTI;H=^A*;cnJ7hl5~x}?fxfSndEDxxE1y1yzfeUz+0 zATbh-IN^!dNq?1;8m^IB@u3G>_5j}~^ULrw5EXev=CDJ6>&S0qjt`7K@c_T_0RQ%W zZX_Appn@IzfNrkNrf*j_rPeN9vbdRie}|RK5ciFyc6men!UuQMXmOv36EqK%ddA5X z$b;Z%WEo1$GxNA%bn47J_b{o!#`xF)8;46eVkI^KFqa_goteUM&2(Vabk0HzH8Z(U zw4r7cBesnNz(&;Z}H_92Z>xusiW(efm@8?bzbM9rKcit!Q zT*GXPx6s~s7Gd9lGx63J>V6~kwpQ3x?_}~jm43ExiQICj;kEcU=QAhLbBpkt2vw{V zPAyJjc1tR~+f*t20(xY)QTTevB3>&U?r^rGs68HE0Fp903`;TumcS#fOcqHdNyPoO z%ArZFHqdQRVmoT@*B+>vc;hH_7zAqlCWaFB!R^=cmzF zP3ORdzjOxMza%D&_3q9RV_937n`>*)Oxgy$UvDAg^x^E9wwArI?3&g;{^GRZ%=URy zy*wq{b24~LqgL%MPY!B?eAm>e`KR#iyS!gVIFnBI1vhD@=HDAtyLHL* z<1u8~Nko>4=!W$tqve*fP0(>$OK?DAG;tH|+AnA?+TIx(InbV66P&J13=UN#esCt@ zC`}Hox|0~Zb33u9UFA>>6?BJ6gs|?blHg~tgkXg_;rZ02&L^*@U*#s%@3{L!@p;p2 z4|)8==JNHOwspZ1Q$ zOyP&LV#VQb_36E_e0VVv&Qu$0M}6&)-dIv(_sJyf@k3kf{et!&34VK<5B~jq-nOnN zs!VxoO!M^NF+6#sp>?uJYj2@ZjlrbR@HoNXsdWV2Sv(C2RPU2Wsfy5czAUO0V#jzP z@+$vXr*MlBA^rMuWFSZ*COuAJAd*RLkpc0i3dPL^{33)ZI>HZ&S*lbTk_m9WLg5s2 z0Ip*AqktzfJP)vi;dltFWq1Ja8HPUw`1ANt#)Zj55V{8iQVu+g;nRTcWq394T!zmE zeu&}ofd7Nxb--N=Zvg&moQFB`V-SpSfg?+S@4>TBEF5Wu=U#@d2JU0{3&8Uk9sxd$ z;V%MT!*Kjkj8%9TmiQ$QrmCP43r8>$DjD7dd>F%ffTuCM7kC20@l!JbzdK^#NFO{? z82$$E1G0Y@j%xBI2s@Y#-U8mm@Z-R*G5j>}c7~q^eu?3K27ZO%mw=yR_y@q>kvOIk zM?QkOg>kqJd>6w%1-@5Bt8^pi+%YNPe*wi(2Zmqj#Q58jzOCuNu?=$@+kL?CEmg{V zHt=(R?eN6*!E}(~p9lRW7|t8pw*fu`Z|r4>+ejY>Rq*>TvC-iiaHZh4`LFP8Hc|a* zQ&_y021)KTe*h{km<`O(?$ z7cUfdR%gYp=?m64;@9*eYjVRgU?GgsN01;^t_LNsSVlY+pdeQCIk3V;pQIyJ^bXYh zl8#u>uc0pYgILi+@M6qb0+|g3iPG>a;0&$Q5n@IE6a&UdI$}k?hyJpTSkZadOGZ4$ zpdeOsB@DJo_%eg>AlE-4=~Dpb*d&a{jWbiia}bY~@B+kRB-{vCZD5nt1u@@Ns_ZWOx#^U@xVj8dm z&WSZ4YA`NGgakJUCl7`R&B=pdnsB+2559Izo*Aybf^qc~Y-KR~Mn}8>C$2c*_#EC@ zpt!&|7d>z9=FMul#-t3@J2}rRTS)X}8%vv>#lq!h~^m7tlYMGc-G3ZeQZxIhp`$h9~J}gNb&vj?j7w Y{Q;jJ{^^h^yxnSptC;Xb+iU9o0x>IG!~g&Q diff --git a/software/sys_controller_bsp/system.h b/software/sys_controller_bsp/system.h index 638db36..080221f 100644 --- a/software/sys_controller_bsp/system.h +++ b/software/sys_controller_bsp/system.h @@ -151,7 +151,7 @@ #define __ALTERA_AVALON_ONCHIP_MEMORY2 #define __ALTERA_AVALON_PIO #define __ALTERA_AVALON_TIMER -#define __ALTERA_EPCQ_CONTROLLER_MOD +#define __ALTERA_EPCQ_CONTROLLER2 #define __ALTERA_NIOS2_GEN2 #define __ALTERA_NIOS_CUSTOM_INSTR_BITSWAP #define __ALTERA_NIOS_CUSTOM_INSTR_ENDIANCONVERTER @@ -196,43 +196,43 @@ /* - * epcq_controller_0_avl_csr configuration + * epcq_controller2_0_avl_csr configuration * */ -#define ALT_MODULE_CLASS_epcq_controller_0_avl_csr altera_epcq_controller_mod -#define EPCQ_CONTROLLER_0_AVL_CSR_BASE 0x20100 -#define EPCQ_CONTROLLER_0_AVL_CSR_FLASH_TYPE "EPCS16" -#define EPCQ_CONTROLLER_0_AVL_CSR_IRQ 2 -#define EPCQ_CONTROLLER_0_AVL_CSR_IRQ_INTERRUPT_CONTROLLER_ID 0 -#define EPCQ_CONTROLLER_0_AVL_CSR_IS_EPCS 1 -#define EPCQ_CONTROLLER_0_AVL_CSR_NAME "/dev/epcq_controller_0_avl_csr" -#define EPCQ_CONTROLLER_0_AVL_CSR_NUMBER_OF_SECTORS 32 -#define EPCQ_CONTROLLER_0_AVL_CSR_PAGE_SIZE 256 -#define EPCQ_CONTROLLER_0_AVL_CSR_SECTOR_SIZE 65536 -#define EPCQ_CONTROLLER_0_AVL_CSR_SPAN 32 -#define EPCQ_CONTROLLER_0_AVL_CSR_SUBSECTOR_SIZE 4096 -#define EPCQ_CONTROLLER_0_AVL_CSR_TYPE "altera_epcq_controller_mod" +#define ALT_MODULE_CLASS_epcq_controller2_0_avl_csr altera_epcq_controller2 +#define EPCQ_CONTROLLER2_0_AVL_CSR_BASE 0x20100 +#define EPCQ_CONTROLLER2_0_AVL_CSR_FLASH_TYPE "EPCQ16" +#define EPCQ_CONTROLLER2_0_AVL_CSR_IRQ 2 +#define EPCQ_CONTROLLER2_0_AVL_CSR_IRQ_INTERRUPT_CONTROLLER_ID 0 +#define EPCQ_CONTROLLER2_0_AVL_CSR_IS_EPCS 0 +#define EPCQ_CONTROLLER2_0_AVL_CSR_NAME "/dev/epcq_controller2_0_avl_csr" +#define EPCQ_CONTROLLER2_0_AVL_CSR_NUMBER_OF_SECTORS 32 +#define EPCQ_CONTROLLER2_0_AVL_CSR_PAGE_SIZE 256 +#define EPCQ_CONTROLLER2_0_AVL_CSR_SECTOR_SIZE 65536 +#define EPCQ_CONTROLLER2_0_AVL_CSR_SPAN 64 +#define EPCQ_CONTROLLER2_0_AVL_CSR_SUBSECTOR_SIZE 4096 +#define EPCQ_CONTROLLER2_0_AVL_CSR_TYPE "altera_epcq_controller2" /* - * epcq_controller_0_avl_mem configuration + * epcq_controller2_0_avl_mem configuration * */ -#define ALT_MODULE_CLASS_epcq_controller_0_avl_mem altera_epcq_controller_mod -#define EPCQ_CONTROLLER_0_AVL_MEM_BASE 0x800000 -#define EPCQ_CONTROLLER_0_AVL_MEM_FLASH_TYPE "EPCS16" -#define EPCQ_CONTROLLER_0_AVL_MEM_IRQ -1 -#define EPCQ_CONTROLLER_0_AVL_MEM_IRQ_INTERRUPT_CONTROLLER_ID -1 -#define EPCQ_CONTROLLER_0_AVL_MEM_IS_EPCS 1 -#define EPCQ_CONTROLLER_0_AVL_MEM_NAME "/dev/epcq_controller_0_avl_mem" -#define EPCQ_CONTROLLER_0_AVL_MEM_NUMBER_OF_SECTORS 32 -#define EPCQ_CONTROLLER_0_AVL_MEM_PAGE_SIZE 256 -#define EPCQ_CONTROLLER_0_AVL_MEM_SECTOR_SIZE 65536 -#define EPCQ_CONTROLLER_0_AVL_MEM_SPAN 2097152 -#define EPCQ_CONTROLLER_0_AVL_MEM_SUBSECTOR_SIZE 4096 -#define EPCQ_CONTROLLER_0_AVL_MEM_TYPE "altera_epcq_controller_mod" +#define ALT_MODULE_CLASS_epcq_controller2_0_avl_mem altera_epcq_controller2 +#define EPCQ_CONTROLLER2_0_AVL_MEM_BASE 0x800000 +#define EPCQ_CONTROLLER2_0_AVL_MEM_FLASH_TYPE "EPCQ16" +#define EPCQ_CONTROLLER2_0_AVL_MEM_IRQ -1 +#define EPCQ_CONTROLLER2_0_AVL_MEM_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define EPCQ_CONTROLLER2_0_AVL_MEM_IS_EPCS 0 +#define EPCQ_CONTROLLER2_0_AVL_MEM_NAME "/dev/epcq_controller2_0_avl_mem" +#define EPCQ_CONTROLLER2_0_AVL_MEM_NUMBER_OF_SECTORS 32 +#define EPCQ_CONTROLLER2_0_AVL_MEM_PAGE_SIZE 256 +#define EPCQ_CONTROLLER2_0_AVL_MEM_SECTOR_SIZE 65536 +#define EPCQ_CONTROLLER2_0_AVL_MEM_SPAN 2097152 +#define EPCQ_CONTROLLER2_0_AVL_MEM_SUBSECTOR_SIZE 4096 +#define EPCQ_CONTROLLER2_0_AVL_MEM_TYPE "altera_epcq_controller_mod" /* diff --git a/sys.qsys b/sys.qsys index c3b1955..d427a44 100644 --- a/sys.qsys +++ b/sys.qsys @@ -17,7 +17,7 @@ type = "int"; } } - element epcq_controller_0 + element epcq_controller2_0 { datum _sortIndex { @@ -25,7 +25,7 @@ type = "int"; } } - element epcq_controller_0.avl_csr + element epcq_controller2_0.avl_csr { datum _lockedAddress { @@ -38,7 +38,7 @@ type = "String"; } } - element epcq_controller_0.avl_mem + element epcq_controller2_0.avl_mem { datum _lockedAddress { @@ -394,14 +394,16 @@ + + - + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 @@ -618,7 +620,7 @@ kind="avalon" version="19.1" start="pulpino_0.avalon_master_lsu" - end="epcq_controller_0.avl_csr"> + end="epcq_controller2_0.avl_csr"> @@ -627,7 +629,7 @@ kind="avalon" version="19.1" start="pulpino_0.avalon_master_lsu" - end="epcq_controller_0.avl_mem"> + end="epcq_controller2_0.avl_mem"> @@ -716,11 +718,6 @@ version="19.1" start="clk_27.clk" end="i2c_opencores_1.clock" /> - - - + start="clk_27.clk" + end="epcq_controller2_0.clock_sink" /> + + + + end="timer_0.reset" /> + end="epcq_controller2_0.reset" /> - + java.lang.Integer - 1601738060 + 1604844554 false true false @@ -390,12 +390,6 @@ parameters are a RESULT of the module parameters. --> clock i2c_opencores_1.clock - - false - epcq_controller_0 - clock_sink - epcq_controller_0.clock_sink - false sc_config_0 @@ -414,6 +408,12 @@ parameters are a RESULT of the module parameters. --> clock_sink pll_reconfig_0.clock_sink + + false + epcq_controller2_0 + clock_sink + epcq_controller2_0.clock_sink + + path="epcq_controller2_0"> embeddedsw.CMacro.FLASH_TYPE - EPCS16 + EPCQ16 embeddedsw.CMacro.IS_EPCS - 1 + 0 embeddedsw.CMacro.NUMBER_OF_SECTORS @@ -630,7 +630,7 @@ the requested settings for a module instance. --> java.lang.String - EPCS16 + EPCQ16 false true true @@ -652,6 +652,24 @@ the requested settings for a module instance. --> true true + + java.lang.String + EP4CE15E22C8 + false + true + false + true + DEVICE + + + java.lang.String + 8 + false + true + false + true + DEVICE_SPEEDGRADE + java.lang.String UNKNOWN @@ -668,112 +686,6 @@ the requested settings for a module instance. --> true true - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.Boolean - true - true - true - false - true - - - java.lang.Long - 27000000 - true - true - false - true - - clock - false - - clk - Input - 1 - clk - - - - - - java.lang.String - clock_sink - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - reset_n - Input - 1 - reset_n - - java.math.BigInteger - 32 + 64 true true false @@ -860,7 +772,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - + 0 false true false @@ -1129,7 +1041,7 @@ parameters are a RESULT of the module parameters. --> avl_csr_addr Input - 3 + 4 address @@ -1237,7 +1149,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - + 0 false true false @@ -1546,7 +1458,7 @@ particular module parameters. Connection points and their parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IConnectionPoint - epcq_controller_0.avl_csr + epcq_controller2_0.avl_csr false true true @@ -1570,7 +1482,7 @@ parameters are a RESULT of the module parameters. --> java.lang.Integer - + 0 false true true @@ -1617,6 +1529,112 @@ parameters are a RESULT of the module parameters. --> irq + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 27000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clock_sink + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + 32 irq - - false - epcq_controller_0 - interrupt_sender - epcq_controller_0.interrupt_sender - 2 - false i2c_opencores_0 @@ -8954,6 +8965,13 @@ parameters are a RESULT of the module parameters. --> i2c_opencores_1.interrupt_sender 4 + + false + epcq_controller2_0 + interrupt_sender + epcq_controller2_0.interrupt_sender + 2 + false timer_0 @@ -9650,17 +9668,17 @@ parameters are a RESULT of the module parameters. --> false - epcq_controller_0 + epcq_controller2_0 avl_csr - epcq_controller_0.avl_csr + epcq_controller2_0.avl_csr 131328 - 32 + 64 false - epcq_controller_0 + epcq_controller2_0 avl_mem - epcq_controller_0.avl_mem + epcq_controller2_0.avl_mem 8388608 2097152 @@ -11852,11 +11870,11 @@ parameters are a RESULT of the module parameters. --> avalon_slave_0 + end="epcq_controller2_0.avl_csr"> int 1 @@ -11899,15 +11917,15 @@ parameters are a RESULT of the module parameters. --> pulpino_0 avalon_master_lsu - epcq_controller_0 + epcq_controller2_0 avl_csr + end="epcq_controller2_0.avl_mem"> int 1 @@ -11950,7 +11968,7 @@ parameters are a RESULT of the module parameters. --> pulpino_0 avalon_master_lsu - epcq_controller_0 + epcq_controller2_0 avl_mem i2c_opencores_1 clock - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - epcq_controller_0 - clock_sink - clock_sink - - int - 2 - false - true - true - true - + start="clk_27.clk" + end="epcq_controller2_0.clock_sink"> java.lang.String UNKNOWN @@ -12667,10 +12650,10 @@ parameters are a RESULT of the module parameters. --> true true - pulpino_0 - interrupt_receiver - epcq_controller_0 - interrupt_sender + clk_27 + clk + epcq_controller2_0 + clock_sink i2c_opencores_1 interrupt_sender + + + int + 2 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + interrupt_receiver + epcq_controller2_0 + interrupt_sender + pio_1 reset - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - epcq_controller_0 - reset - timer_0 reset + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk_reset + epcq_controller2_0 + reset + 1 - altera_epcq_controller_mod + altera_epcq_controller2 com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Altera Serial Flash Controller - 19.1 - - - 14 - clock_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Clock Input - 19.1 - - - 14 - reset_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Reset Input + Serial Flash Controller II Intel FPGA IP 19.1 @@ -13270,6 +13272,22 @@ parameters are a RESULT of the module parameters. --> Interrupt Sender 19.1 + + 14 + clock_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Input + 19.1 + + + 14 + reset_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Reset Input + 19.1 + 1 hw_crc32