1
0
mirror of https://github.com/marqs85/ossc.git synced 2024-06-01 17:41:37 +00:00

Misc small fixes and improvements

* Disable 480p scanlines in auto mode
* Remove inoperable 3x/4x processing modes from 1080i list
* Add 640x512 mode to 480p group and limit 480p sampler option to 525-line modes
* Fix occasional pixel repetion issue after HDMI<->DVI TX switch
* Add "Allow upsample2x" option
* Add comments to some unclear sections
This commit is contained in:
marqs 2017-08-10 00:06:35 +03:00
parent 2cd36cdd37
commit 9d14cc776e
11 changed files with 388 additions and 359 deletions

View File

@ -239,9 +239,9 @@ case (V_MULTMODE)
col_id_act = {2'b00, hcnt_2x[0]};
end
`H_MULTMODE_OPTIMIZED: begin
pclk_act = pclk_1x;
pclk_act = pclk_1x; //special case: pclk bypass to enable 2x native sampling
linebuf_hoffset = hcnt_2x_opt;
col_id_act = {2'b00, hcnt_2x[1]};;
col_id_act = {2'b00, hcnt_2x[1]};
end
endcase
end
@ -358,9 +358,11 @@ linebuf linebuf_rgb (
);
//Postprocess pipeline
// h_cnt, v_cnt, line_id, col_id: 0
// HSYNC, VSYNC, DE: 1
// RGB: 2
//
// Latency with respect to h_cnt/v_cnt before 1st stage:
// line_id, col_id: 0 cycles
// HSYNC, VSYNC, DE: 1 cycle
// RGB: 2 cycles
always @(posedge pclk_act)
begin
line_id_pp1 <= line_id_act;

View File

@ -82,7 +82,7 @@ void HDMITX_SetAVIInfoFrame(alt_u8 VIC, bool b16x9, bool ITU709, bool ITC, alt_u
{
AVI_InfoFrame AviInfo;
OS_PRINTF("HDMITX_SetAVIInfoFrame, VIC=%d, Aspect-Ratio=%s, ITU709=%s, ITC=%s, pixelrep=%u\n",
OS_PRINTF("HDMITX_SetAVIInfoFrame: VIC=%d, Aspect-Ratio=%s, ITU709=%s, ITC=%s, pixelrep=%u\n",
VIC, b16x9?"16:9":"4:3", ITU709?"Yes":"No", ITC?"Yes":"No", pixelrep);
AviInfo.pktbyte.AVI_HB[0] = AVI_INFOFRAME_TYPE|0x80 ;

View File

@ -1,6 +1,6 @@
:020000020000FC
:2000000000402074084008140800683A0000000000000000000000000000000000000000FE
:2000080006C02074DEE80014068020B4D681C0140080207410A3F71400C0207418E50414E4
:2000080006C02074DEE80014068020B4D681DF140080207410A4161400C0207418E5231486
:2000100010C00326100000151080010410FFFD3608167A4008168840003FFF06DEFFFB04FD
:2000180000BFE084D880000500800084D880004500800344D880008500800484DFC0041543
:20002000D88000C531803FCCD8C005173000022600BFE004000001060080100439C03FCC99
@ -26,7 +26,7 @@
:2000C000DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283ADEFFFF0433
:2000C8000140FA04DFC000150815ED801009883ADFC00017DEC0010408168B01DEFFFF04DD
:2000D000000B883A010003C4DFC0001508102900000B883A01001844DFC00017DEC0010403
:2000D80008102901DEFFFD04DC000015040020B484240C048140008301000144DFC0021527
:2000D80008102901DEFFFD04DC000015040020B484242B048140008301000144DFC0021508
:2000E000DC40011508102900808000838100030300FFFEC41004D1FA20C6703A044001040A
:2000E8001085883A1085883A1884B03A01400F448809883A8080030508102900010000444F
:2000F000081031C08809883A014007440810290001400C04010018440810290001402C84E7
@ -40,7 +40,7 @@
:20013000050000441021883A9D00021E0486000400000106048C000401400044010003C440
:2001380008102900000B883A01000CC408102900900AD23A01000D04048020B40810290036
:20014000000B883A01000D440810290081403FCC01000C0408102900800AD23A01000C443A
:2001480094A40C0429403FCC08102900800AD43A01000C8408102900000B883A010003C49B
:2001480094A42B0429403FCC08102900800AD43A01000C8408102900000B883A010003C47C
:2001500008102900000B883A0100314408102900954002159D000226040002840000010688
:200158000400008400800044940001C5908001058C403FCC050000448D0002260005883A2F
:2001600000000106008003C401003804908001450140300408102900047FFF040100164407
@ -76,7 +76,7 @@
:20025000DC40011508102900044030448809883A0810274084003FCC800AC03A10803F8C23
:200258008809883A114AB03A08102900014000C401003184DFC00217DC400117DC00001713
:20026000DEC0030408102901DEFFF904DCC00315DC800215DC4001152025883A2823883A50
:20026800010001040140074404C020B49CE40C04DD000415DC000015DFC006153821883A00
:20026800010001040140074404C020B49CE42B04DD000415DC000015DFC006153821883AE1
:20027000DD4005153029883A0810290098C0030381C0004C00BFFF441884703A39CF883ADE
:2002780011CEB03A99C00305988003171080008C10000226010000440810928001001C0426
:200280009C0000C308102740108000CC8D4000CC00C00044100B883AA8C0052600C00084D3
@ -94,18 +94,18 @@
:2002E0001140074C010001040810290001001904081031C001000104081027401140054CC5
:2002E80001000104081029000400190401000384081027401080040C1000321E0100004442
:2002F000081031C080BFFFC41021883A10BFFFCC103FF61E00002B06053FE8048C400C0CAE
:2002F800008008048880081E018000C4D16000C401001CC408102B400140207401800484B0
:20030000295FE4840000090600800C0488800B1E018000C4D16000C401001CC408102B407F
:200308000140207401800484295FDB8401001D8408102B4004000084003FA30600800404F3
:200310008880081E018000C4D160000401001CC408102B400140207401800484295FD70480
:20031800003FF206018000C4D160000401001CC408102B400140207401800484295FE00466
:2002F800008008048880081E018000C4D16000C401001CC408102B40014020B40180048470
:20030000296003840000090600800C0488800B1E018000C4D16000C401001CC408102B405F
:200308000140207401800484295FFA8401001D8408102B4004000084003FA30600800404D4
:200310008880081E018000C4D160000401001CC408102B400140207401800484295FF60461
:20031800003FF206018000C4D160000401001CC408102B400140207401800484295FFF0447
:20032000003FEA06000B883A01000304081029000140100401000344081029000140004415
:200328000100038408102900000B883A0100038408102900081033C000800044DFC00617CB
:20033000DD400517DD000417DCC00317DC800217DC400117DC000017DEC00704F800283A27
:20033800DEFFFD04DC400115DC0000152823883A2021883A000B883A010003C4DFC0021549
:200340000810290001001644081027408C403FCC10800BCC8800051E81403FCC280A91BA50
:2003480029400414114AB03A00000106100B883A29403FCC01001644DFC00217DC40011730
:20035000DC000017DEC0030408102901008020B410A1890410C03217D0A238151800042607
:20035000DC000017DEC0030408102901008020B410A1A80410C03217D0A2381518000426E8
:2003580010803517108040180085C83AF800283A00BFFFC4F800283ADEFFFD04DC400115F4
:20036000280F883A2823883A200B883AD1223817DC000015DFC002153021883A0816D4C0DC
:2003680010000B1E800D883A8463883A34400626308000031005C2721005D63A318000448E
@ -113,99 +113,99 @@
:20037800DEC00304F800283ADEFFFA04DC800315DC400215DC000115DFC00515DCC0041584
:2003800030803FCC2023883A2825883A3021883A100003268805883A8C89883A00001706FF
:20038800300A923AD12238170816A4001027883A103FF82601402074010020B40180044472
:20039000295C8204212413040816070001802074010020B4980F883A319C8504014004448F
:20039800212413040815F580008020B410A41B841000000500FFCE0400001D06110006266A
:20039000295C8204212432040816070001802074010020B4980F883A319C85040140044470
:20039800212432040815F580008020B410A43A841000000500FFCE0400001D06110006262C
:2003A00010C000031807C2721807D63A1080004410FFFFC5003FF906800AD23A800C923A15
:2003A800D1223817280A943ADC800015880F883A0816AD400007883A10000D26014020743D
:2003B000010020B401800444295C8804212413040816070001402074010020B4018004448A
:2003B800295C8B0421241B840816070000FFCDC41805883ADFC00517DCC00417DC800317B1
:2003B000010020B401800444295C8804212432040816070001402074010020B4018004446B
:2003B800295C8B0421243A840816070000FFCDC41805883ADFC00517DCC00417DC80031792
:2003C000DC400217DC000117DEC00604F800283ADEFFF904DD400515DD000415DCC0031537
:2003C800DC800215DC000015DFC00615DC4001152825883A3029883A3827883A0021883A97
:2003D0000007883A0540400484800F2E9423C83AAC40012E04404004980D883A880B883A62
:2003D8008009883A0810D7801000141E800D003A880B883A9809883A0816F0801007883A23
:2003E00084004004003FF0060005883A1D000C2601802074010020B4319C8D040140044419
:2003E800212413040815F580008020B410A41B841000000500BFCD440000010600BFCD8464
:2003E800212432040815F580008020B410A43A841000000500BFCD440000010600BFCD8426
:2003F000DFC00617DD400517DD000417DCC00317DC800217DC400117DC000017DEC0070405
:2003F800F800283ADEFFFD04DC0001152021883A010020B421241004DFC0021508158CC06B
:2004000010803FCC10000B2601802074010020B4319C920401400444212413040815F5803C
:20040800008020B410A41B8410000005008000440000090600808004010020B4D8800015FF
:20041000000F883A000D883A800B883A212410040815AE8010803FCCDFC00217DC000117F4
:20041800DEC00304F800283A014020B4010020B429641B842124130408143A41014020B4A7
:20042000010020B4296431042124174408143A41DEFFFB04DFC00415DC000015DCC00315B5
:2003F800F800283ADEFFFD04DC0001152021883A010020B421242F04DFC0021508158CC04C
:2004000010803FCC10000B2601802074010020B4319C920401400444212432040815F5801D
:20040800008020B410A43A8410000005008000440000090600808004010020B4D8800015E0
:20041000000F883A000D883A800B883A21242F040815AE8010803FCCDFC00217DC000117D5
:20041800DEC00304F800283A014020B4010020B429643A842124320408143A41014020B469
:20042000010020B4296450042124364408143A41DEFFFB04DFC00415DC000015DCC0031577
:200428002021883ADC800215DC40011508107080000B883A0009883A84003FCC08108680BF
:2004300080002B1E040020B4842420048140011701006734213F30040815E1C0810002876E
:20043800014006841023883A0815ED8000C020B418E4510410C5883A1140000B8809883A2F
:20044000044020B40815ED80814004031009883A8C6435440815ED80814003031009883AC2
:2004300080002B1E040020B484243F048140011701006734213F30040815E1C0810002874F
:20043800014006841023883A0815ED8000C020B418E4700410C5883A1140000B8809883A10
:20044000044020B40815ED80814004031009883A8C6454440815ED80814003031009883AA3
:20044800294000440815ED80810003838CC008C38C800883100B883A210000440815ED80DC
:20045000980D883A900B883A1009883A0810488089000883DFC00417DCC00317DC80021714
:20045800DC400117DC000017DEC0050408100F81DFC00417DCC00317DC800217DC400117F5
:20046000DC000017DEC00504F800283ADEFFFB04DC0001152021883A01000044DFC00415BA
:20046800DC800315DC4002150810928008106C80000B883A0009883A081073C084003FCC2D
:20047000800F003A000D883A000B883A01000044081098808000121E044020B48C642004B6
:2004780088C003C3008020B410A4354411C005031025883A18000226888003830000010630
:20047000800F003A000D883A000B883A01000044081098808000121E044020B48C643F0497
:2004780088C003C3008020B410A4544411C005031025883A18000226888003830000010611
:200480000005883AD8800015000D883A000B883A0009883A081005C09080050388800AC5F5
:200488008009883A081109000009883ADFC00417DC800317DC400217DC000117DEC005041C
:2004900008109281DEFFFD04DFC00215DC400115DC00001521003FCC2000271ED0A23A43EA
:2004980000C0020410C01D2600C0040410C0062600C0010410C01C1E0009883A0814CB80A6
:2004A0000100004400002E06040020B4842420048140011701006734213F30040815E1C058
:2004A80081000287014006841023883A0815ED8000C020B418E4510410C5883A1140000B08
:2004A0000100004400002E06040020B484243F048140011701006734213F30040815E1C039
:2004A80081000287014006841023883A0815ED8000C020B418E4700410C5883A1140000BE9
:2004B0008809883A0815ED8000C072B418F0DFC418800236010003C4000001060009883A54
:2004B8000814CB80000015060009883A0814CB800009883A00001206008020B410A435440C
:2004B8000814CB80000015060009883A0814CB800009883A00001206008020B410A45444ED
:2004C00010C00743044000841021883A1C4002260009883A00000106010003C40814CB80C2
:2004C8008100074320803FCC8880042E008001441109C83A21003FCC00000106010000C48B
:2004D000DFC00217DC400117DC000017DEC0030408146941DEFFF50400C020B4DFC00A159A
:2004D800DF000915DDC00815DD800715DD400615DD000515DCC00415DC800315DC40021549
:2004E000DC0001150089C40418C4300419800037054020B4AD4430043000020E10BFFFC4C9
:2004E800103FFA1E29403FCC21003FCC040020B40815188084242004102D883A808002C3D0
:2004E800103FFA1E29403FCC21003FCC040020B40815188084243F04102D883A808002C3B1
:2004F00010000426AC4000378823D43A8C4000CC000001060023883A01000DC4081477C02D
:2004F80001000E041027883A081477C01025883A108003CC1004923A01000E4414E6B03A18
:200500009004D1BA1080004C80800245081477C001000E841029883A081477C0A8C00037C6
:20050800010000848C403FCC89000E26010020B421242004210002C32000021E010063C42E
:20050800010000848C403FCC89000E26010020B421243F04210002C32000021E010063C40F
:2005100024C0082E010000448900041E1827D4BA9CC1FFCC9927883A000005069480080C17
:200518009000032698C03228054000440000020698C06428002B883A814002C3048020B418
:20052000B1003FCC94A435442800131E2000212618002026D0E239030140008419003FCC59
:200528002100201C213FE0042900070E00C020B40100004418E42004D0223905190002C5CB
:20052000B1003FCC94A454442800131E2000212618002026D0E239030140008419003FCC3A
:200528002100201C213FE0042900070E00C020B40100004418E43F04D0223905190002C5AC
:20053000044001040000160618C00044D0E239050023883A000012062000012618000D1EB3
:20053800D1223903017FFF0421803FCC3180201C31BFE0043140040ED0223905800002C58A
:200540000440010400000506213FFFC4D122390500000106D02239050023883A1800862613
:20054800108003CC1004923A80C000171528B03A19000044008020B410A4200424C007362C
:20054800108003CC1004923A80C000171528B03A19000044008020B410A43F0424C007360D
:200550009900004420C0053610C00117A0C0031E10C00203A8803FCC18800226D0223DC56E
:2005580000000C06D0E23DC30080004419003FCC208008261887883AD0E23DC518C03FCC11
:200560001880041E88803FCC00C0008410C0012E1823883A9140028380800843010020B4F8
:2005680000C020B42124354418E420042880251E214002C3188008832880221E214003037E
:2005680000C020B42124544418E43F042880251E214002C3188008832880221E2140030340
:20057000188008C328801F1E214003431880090328801C1E21400383188009432880191E4C
:2005780021400143188007032880161E21400183188007432880131E214001C31880078359
:200580002880101E21400203188007C328800D1E210002431880080320800A1E90C005C301
:2005880080800B831880071E008020B410A4354410C00843008020B410A4200410800E039F
:2005880080800B831880071E008020B410A4544410C00843008020B410A43F0410800E0361
:200590001880042688803FCC00C0008410C0012E1823883A90C0054380800B0318801126C1
:20059800008020B410A4200411000287014006840815ED8000C020B418E4534410C5883A6A
:20059800008020B410A43F0411000287014006840815ED8000C020B418E4724410C5883A2C
:2005A0001080000300C0004410BFFF0410803FCC1880043688803FCC00C0008410C0012E0F
:2005A8001823883AD0A23EC31000202685C0028701400684058020B4B809883A0815ED806E
:2005B000B5A44D8487000403B085883A1140038BE009883A0815ED80173FFFCC80800B83B9
:2005B000B5A46C8487000403B085883A1140038BE009883A0815ED80173FFFCC80800B839A
:2005B800A0FFFFCC10000A2601400684B809883AD8C000150815ED80B085883A1180060B5B
:2005C000D8C00017300CD07A3180004C00000106000D883A180B883AE009883A08149EC009
:2005C80088803FCC1000011E0440004484C00015850001158540020591400003810005C36C
:2005D00000C020B4008020B418E4354410A420042900151E19400043110006032900121E6B
:2005D00000C020B4008020B418E4544410A43F042900151E19400043110006032900121E2D
:2005D800194000831100064329000F1E194000C31100068329000C1E19400403110009C331
:2005E0002900091E1940044311000A032900061E1940048311000A432900031E18C003C37A
:2005E800108009831880032688803FCC1000011E044000449100058380800B4304C020B44D
:2005F0009CE4200420800626994004030814D5409880044588803FCC1000011E044000443F
:2005F0009CE43F0420800626994004030814D5409880044588803FCC1000011E0440004420
:2005F8009100064380800C03208001260814E6409100068380800C4320800126081497000E
:20060000910006C380800C8320800126081497C09100078380800D432080071E008020B433
:2006080010A4354410C007C3008020B410A4200410800D8318800226914007C3081493C0F5
:200610009100060380800BC320800626014006040815ED8001002074211F0A042089883A73
:2006080010A4544410C007C3008020B410A43F0410800D8318800226914007C3081493C0B7
:200610009100060380800BC320800626014006040815ED8001002074211F29042089883A54
:200618000814BA009100074380800D0320800126081124409100070380800CC3208001268C
:200620000814D000014020B4010020B40180018429642F0421243E840815F0001000031ED9
:20062800010020B421242F040814988090C0088380800E431880071E008020B410A43544C7
:2006300010C008C3008020B410A4200410800E8318800226910004C308110900014020B473
:20063800010020B401800AC429643544212425C40815F3008805883AD0223EC5DFC00A1736
:200620000814D000014020B4010020B40180018429644E0421245D840815F0001000031E9B
:20062800010020B421244E040814988090C0088380800E431880071E008020B410A4544489
:2006300010C008C3008020B410A43F0410800E8318800226910004C308110900014020B454
:20063800010020B401800AC429645444212444C40815F3008805883AD0223EC5DFC00A17F8
:20064000DF000917DDC00817DD800717DD400617DD000517DCC00417DC800317DC400217CF
:20064800DC000117DEC00B04F800283ADEFFEF04DC400815044020B48C642004DF000F155F
:20064800DC000117DEC00B04F800283ADEFFEF04DC400815044020B48C643F04DF000F1540
:200650008F00028701400684DD400C15E009883ADD000B15DCC00A15DFC01015DDC00E1582
:20065800DD800D15DC800915DC0007150815ED80054020B488C005C3AD644D84A885883A0D
:20065800DD800D15DC800915DC0007150815ED80054020B488C005C3AD646C84A885883AEE
:2006600001000084120005031500030B14C004C31900031E8C8006039480004400000C0664
:20066800010000441900091E1080060B8C8003031104703A1000032690000426048000C440
:20067000000003069024C03A000001060025883A88C0050B008020B40100800410A42004BC
:20067000000003069024C03A000001060025883A88C0050B008020B40100800410A43F049D
:200678001900242620C00D360100040419001A2620C00436010002041900341E14000403D3
:200680000000350601000804190015260080400418802E1E040000C400002F06010800040C
:200688001900182620C007360102000419000A26010400041900251E1080098304000144C4
@ -231,7 +231,7 @@
:2007280018A4B03AA0BFFFCC90A4B03A98BFFFCC100492FA90A4B03A008020B410842404D3
:200730001480003588800A431006913A888006431884B03A00C020B418C420041880003572
:20073800DFC01017DF000F17DDC00E17DD800D17DD400C17DD000B17DCC00A17DC8009171B
:20074000DC400817DC000717DEC01104F800283ADEFFF304DC000415040020B484242004EA
:20074000DC400817DC000717DEC01104F800283ADEFFF304DC000415040020B484243F04CB
:20074800DC4005158440011700800044DFC00C15DDC00B15DD800A15DD400915DD0008158D
:20075000DCC00715DC800615D0A23DC58800162684C000179800142601006734880B883A04
:20075800213F30040815E1C01025883A8080020301283BF4980B883A212EC0041000042629
@ -239,22 +239,22 @@
:200768001023883A000002060445DC04048F550401000EC4081477C001000F041027883A2C
:20077000081477C08080058300C0207418DCDE041085883A1085883A1885883A11C000176F
:200778008080020380C000171000021E00801A440000010600801C0401802074010020B466
:20078000D8C00015319C96040140044421241744D88001150815F580014019048809883A6B
:20078000D8C00015319C96040140044421243644D88001150815F580014019048809883A4C
:200788000815E1C00140FA049009883A1029883A0815E1C0014019048809883A102B883A92
:200790000815E8000140FA049009883AD8800215DD0001150815E800014002841009883AA1
:200798000815E1C001802074010020B4D8800015A80F883A319C9804014004442124310447
:200798000815E1C001802074010020B4D8800015A80F883A319C9804014004442124500428
:2007A0000815F580D0A244831000011E081107C081000017D1E23E8381400203A00D883A1E
:2007A800044020B408151F8011003FCC2100201C213FE00400FFFFC48C64200420C0011ECB
:2007A800044020B408151F8011003FCC2100201C213FE00400FFFFC48C643F0420C0011EAC
:2007B00000800104888002858480028301400684054020B4D4A23A0594803FCC9480201C84
:2007B80094BFE0049009883A0815ED80AD644D84A885883AD0E23E831440058385800403D9
:2007B80094BFE0049009883A0815ED80AD646C84A885883AD0E23E831440058385800403BA
:2007C0008500011788E2703AD4623A4515C0038BB1403FCC9CC03FCCB93FFFCC0815ED80A0
:2007C8009809883A100B883A0815ED80A00B883A1009883A0815E1C0B1003FCCB80B883A00
:2007D0001027883A0815ED8015BFFFCC80800B838C403FCCA53FFFCC1000082601400684CA
:2007D8009009883A0815ED80A885883A11C0060B380ED07A39C0004C00000106000F883A9E
:2007E00080800C839CC03FCCA00D883AD880031580800D83B00B883A8809883AD880021555
:2007E80080800D43DCC00015D88001150814F2C081000D030811244081000B43814004030F
:2007F0000814D54080800445081192C080800A83810003831000041E008020B410A4200412
:2007F800114003C300000106000B883A0810CE0080C00DC3008020B410A4200481000A83C6
:2007F0000814D54080800445081192C080800A83810003831000041E008020B410A43F04F3
:2007F800114003C300000106000B883A0810CE0080C00DC3008020B410A43F0481000A83A7
:2008000018000B26DFC00C17DDC00B17DD800A17DD400917DD000817DCC00717DC80061724
:20080800DC400517DC000417DEC00D04081118C120000B1E10C003C311C00AC31800022643
:2008100010800383000001060005883AD8800015000D883A000B883A0009883A081005C033
@ -263,26 +263,26 @@
:2008280000C002C4D1223D4310C0271EDEFFFE04DC000015DFC001150813F2801021883A9D
:2008300010000F2601C0207439DCA00400000E06D0A23D4310C03FCC1800072610BFFFC49D
:2008380000000506D0A23D430100020410C03FCC20C0013610800044D0A23D45F800283A88
:2008400001C0207439DC9E0401802074010020B4319CA3040140044421241B840815F5802F
:2008400001C0207439DC9E0401802074010020B4319CA3040140044421243A840815F58010
:20084800081106808000021E010003C40813D6800100023421284804DFC00117DC000017A2
:20085000DEC0020408168B01200F883A01802074010020B4319CA4040140044421241B847D
:20085000DEC0020408168B01200F883A01802074010020B4319CA4040140044421243A845E
:200858000815F58120803FCC00C003C410C00E2600C0040410C0112600C002C4D1223D43EF
:2008600010C0271EDEFFFE04DC000015DFC001150813D6801021883A10000F2601C02074E0
:2008680039DCA80400000E06D0A23D4310C03FCC1800072610BFFFC400000506D0A23D43FA
:200870000100020410C03FCC20C0013610800044D0A23D45F800283A01C0207439DCA60439
:2008780001802074010020B4319CA3040140044421241B840815F580081106808000021EC4
:2008780001802074010020B4319CA3040140044421243A840815F580081106808000021EA5
:20088000010003C40813D6800100023421284804DFC00117DC000017DEC0020408168B015B
:20088800200F883A01802074010020B4319CA4040140044421241B840815F58121003FCCD4
:20088800200F883A01802074010020B4319CA4040140044421243A840815F58121003FCCB5
:20089000008003C4208028260080040420802B26DEFFFD04DC000015DFC00215040020B43D
:20089800DC400115008002C484244D8420802A1ED4623A0301400684D4623D858C403FCC5A
:20089800DC400115008002C484246C8420802A1ED4623A0301400684D4623D858C403FCC3B
:2008A0008809883A0815ED808085883A10C0038B014006848809883AD0E23C8D10C00503C5
:2008A800D0E23F8D10C00483D0E2398D10C0028BD0E23C0D10C00543D0E23A8D108004C3A3
:2008B000D0A23E0D0815ED808085883A1080030BD0A23B8DDFC00217DC400117DC00001763
:2008B800DEC00304F800283AD0A23A0310C03FCC1800072610BFFFC400000506D0A23A0306
:2008C0000100068410C03FCC20C0013610800044D0A23A05F800283AD1223A0301400684C1
:2008C8000815ED80010020B401800444808B883A21241B84DFC00217DC400117DC00001758
:2008C8000815ED80010020B401800444808B883A21243A84DFC00217DC400117DC00001739
:2008D000DEC0030408160701DEFFFA04008020B4DD000415DCC00315DC800215DC400115BF
:2008D800DC000015DFC0051510A4200410C002C3040020B42029883AD4623D8384244D8497
:2008D800DC000015DFC0051510A43F0410C002C3040020B42029883AD4623D8384246C8459
:2008E000D4E2398BD4A23E0B180021261080028714401F1E8809883A014006840815ED800E
:2008E8008085883A1100038BD0E23C8B20C0161E11000503D0E23F8B20C0131E11000483BF
:2008F00098C03FCC20C0101E1100028BD0E23C0B20C00D1E11000543D0E23A8B20C00A1EFD
@ -290,7 +290,7 @@
:20090000D0A23B8B1880022600800044D0A23EC58809883A014006840815ED80D0E23C8B85
:200908008085883A8809883A10C0038DD0E23F8B14C004850140068410C00505D0E23C0BDE
:2009100010C0028DD0E23A8B148004C510C005450815ED80D0E23B8B01802074010020B48E
:200918008085883AA1FFFFCC319DA9040140044421241B8410C0030DDFC00517DD00041712
:200918008085883AA1FFFFCC319DA9040140044421243A8410C0030DDFC00517DD000417F3
:20092000DCC00317DC800217DC400117DC000017DEC006040815F581DEFFFE04DC0000155A
:20092800040020B4DFC0011584043804008004448080003580000035008020B410842C0494
:2009300010000035008020B410842404100000350109C40408168B0000BFF444D0A23B05E9
@ -298,23 +298,23 @@
:200940003186A004297F3004210418040816F7C008142BC0081461C0100026260009883ADF
:20094800081477C000C03FC410C024260814DD40010000840810274010803FCC00C004C4FF
:2009500010C0201E08103640081424001000022600800044D0A23F050810D4C01021883A5A
:2009580010001A1E0812AC4001402074010020B401800D84295D4B84212440040815F30087
:2009580010001A1E0812AC4001402074010020B401800D84295D4B8421245F040815F30068
:20096000010003C40813F280D1223D430813F280008020B41084340410800037108000ACFF
:200968001000011E0812B1400009883A081118C000000706043FFF8400000506043FFF4415
:2009700000000306043FFF0400000106043FFFC48005883ADFC00117DC000017DEC0020476
:20097800F800283ADEFFFF04DFC000150811D10008148F00008020B410A43544110004C383
:20097800F800283ADEFFFF04DFC000150811D10008148F00008020B410A45444110004C364
:20098000DFC00017DEC00104081118C1DEFFF504DFC00A15DF000915DDC00815DD80071548
:20098800DD400615DD000515DCC00415DC800315DC400215DC0001150812498010001B16AE
:200990000080134401802074010020B4000F883A319CAB040140044421241744D8800015A3
:200998000815F58001402074010020B401800444295CB704212431040815F300081107C090
:2009A0000100023421284804058020B408168B00B5A43544B08009030100024410C03FCC39
:200990000080134401802074010020B4000F883A319CAB040140044421243644D880001584
:200998000815F58001402074010020B401800444295CB704212450040815F300081107C071
:2009A0000100023421284804058020B408168B00B5A45444B08009030100024410C03FCC1A
:2009A80020C01136D0A23D0500000F0601802074010020B4100F883A319CB004014004446A
:2009B000212417440815F58001402074010020B401800444295DB6042124310408160700A3
:2009B000212436440815F58001402074010020B401800444295DB804212450040816070063
:2009B800081107C0003FFF0605C020B4070020B4002B883A0021883A0029883A0023883AE7
:2009C0000025883ABDE42004E724354400C020B418C434041880003710FFFFCCD0E242158D
:2009C0000025883ABDE43F04E724544400C020B418C434041880003710FFFFCCD0E242154F
:2009C8000086303A1004D63A18C000ECD0E24315D0A244051000062610FFFF8418C03FCCC1
:2009D000010000C420C0022ED0E2410310C0011ED02242150812CBC0D0A2448310000226EE
:2009D8000009883A08138C80B8C00583D0A23D0304C020B49CE420041880011ED0223D0534
:2009D8000009883A08138C80B8C00583D0A23D0304C020B49CE43F041880011ED0223D0515
:2009E000D0E23D030100020418BFFFC410803FCC20804136100490BA010020742109EB04A6
:2009E8001105883A108000171000683A008127D0008127E0008127FC008128100081282494
:2009F0000081283C00812850008128600081287C008003C4D0A23E850021883A0000030673
@ -327,8 +327,8 @@
:200A280099400D0398C00585980002C528803FCC00C0004489003FCC1880042E00800144AA
:200A3000114BC83A29403FCC00000106014000C4081470000814864008107080D0A23F039E
:200A380010000226A1003FCC0814200081403FCC91003FCC081505009880058300C0207400
:200A400018DCDE041085883A1085883A1885883A11400017010020B401800444212417440D
:200A4800980001150816070001402074010020B401800444295CB40421243104081607006C
:200A400018DCDE041085883A1085883A1885883A11400017010020B40180044421243644EE
:200A4800980001150816070001402074010020B401800444295CB40421245004081607004D
:200A5000D0A244831000011E081107C0AD403FCCA8000526B0C00903008002841880021E39
:200A5800010003C40813D68005400044B10004C398800A8320800426081118C0E08004C3BD
:200A6000B8000115B8800A85B08004C310000F1EE1C0050398800AC338800C26B88003C337
@ -337,31 +337,31 @@
:200A780010803FCC00C0008410C0272600C0010410C0032600C0004410C02A1E000026065C
:200A8000988002C310000926D0A23B0300C020B418C4380410800094D0A23B0510803FCC6D
:200A88001880003508125E4000001E06B800011508148640B880058300C0207418DCDE040B
:200A90001085883A1085883A1885883A11400017010020B40180044421241744081607006E
:200A980001402074010020B401800444295CB4042124310408160700D0A244831000091E7F
:200A90001085883A1085883A1885883A11400017010020B40180044421243644081607004F
:200A980001402074010020B401800444295CB4042124500408160700D0A244831000091E60
:200AA000081107C000000706988002C3100005260811D10000000306988002C3100001262A
:200AA800081192C0D0A2431701004B04D0223D05D0A24015D0A24403D0A2410508168B0092
:200AB000003F120601402074010020B4DEFFFF0401800AC4295CE90421243544DFC0001512
:200AB8000815F30001402074010020B40180B604295F160421244D840815F300008000449D
:200AB000003F120601402074010020B4DEFFFF0401800AC4295CE90421245444DFC00015F3
:200AB8000815F30001402074010020B40180B604295F350421246C840815F300008000445F
:200AC000D0A23EC50005883ADFC00017DEC00104F800283ADEFFF804DD000415DCC00315A4
:200AC800DC80021505002074048020B404C020B4DD800615DC000015DFC00715DD400515B7
:200AD000DC400115002D883A0021883AA51D5904948434049CE4400401402074010020B425
:200AD80001800444295CF40421241304081607008405883A1085883AA085883A1140001746
:200AE000010020B40180044421241B8408160700081106800023883A0540008490800037BB
:200AD000DC400115002D883A0021883AA51D5904948434049CE45F0401402074010020B406
:200AD80001800444295CF40421243204081607008405883A1085883AA085883A1140001727
:200AE000010020B40180044421243A8408160700081106800023883A05400084908000379C
:200AE80010BFFFCCD0A2421590C0003700C6303A18C000ECD0E2431510001A26B080192647
:200AF0008407883A98C7883A88000A1E01402074010020B401800444295CF604212413047A
:200AF0008407883A98C7883A88000A1E01402074010020B401800444295CF604212432045B
:200AF8001880000D08160700081106800440004400000C0618C0000B10C009260140207424
:200B0000010020B401800444295CF8042124130408160700081106800023883A00000106AA
:200B0000010020B401800444295CF8042124320408160700081106800023883A000001068B
:200B080004400084D0A2401710000F1ED0E243170080007418800C1E8000091E01402074C1
:200B1000010020B401800D84295D4B84212440040815F30004400084040006C40000020652
:200B1000010020B401800D84295D4B8421245F040815F30004400084040006C40000020633
:200B1800843FFF8404400084D0A24317D5A24217D0A240158D4003260109C40408168B00DB
:200B2000003FC6068400004400800684143FB20E010003C4DFC00717DD800617DD4005178D
:200B2800DD000417DCC00317DC800217DC400117DC000017DEC008040813D681DEFFEF0477
:200B3000D88009C4D8800115008020B410A437C410C00044D8C003150140207410C0008422
:200B3000D88009C4D8800115008020B410A456C410C00044D8C003150140207410C0008403
:200B3800D8800215D8C00415018001C410C000C4295D49C410800104D9000804DFC01015D2
:200B4000DD400F15DD000E15DCC00D15DC800C15DC400B15DC000A15D80009C5D8C005158A
:200B4800D8C00615D88007150815F300D1224217014020B40005883A296440040180068452
:200B50001087883A1947883A18C0000B044020B48C644004190003261181132610800044FA
:200B4800D8C00615D88007150815F300D1224217014020B40005883A29645F040180068433
:200B50001087883A1947883A18C0000B044020B48C645F04190003261181132610800044DB
:200B5800003FF70600C0068418810F36100490BA00C0207418CB610410C5883A10800017E1
:200B60001000683A00812DF000812E0C00812E1C00812DF800812E1400812E2400812E04B0
:200B6800008131A800812E2C00812E3400812E3C008131A8008131A8008131A8008131A802
@ -370,94 +370,94 @@
:200B80000000E906008000C4003FFC0600800104003FFA0600800144003FF8060080018416
:200B8800003FF606008001C4003FF40600800204003FF20600800244003FF006D0A24483A3
:200B90001007003AD0E244851000031E0100004408138C800000D406081107C00000D2064A
:200B9800008020B410A4200411000287014006840815ED8001C020B439E44D84018020748A
:200BA000010020B4388F883A319CFC0401400444212413040815F580008020B41084300477
:200B9800008020B410A43F0411000287014006840815ED8001C020B439E46C84018020744C
:200BA000010020B4388F883A319CFC0401400444212432040815F580008020B41084300458
:200BA80011C0003710800037018020741005D43A39C1FFCC010020B4108000CC39C00044F3
:200BB000319CFF040140044421241B84D88000150815F580081106800000B306D0A23B03E1
:200BB000319CFF040140044421243A84D88000150815F580081106800000B306D0A23B03C2
:200BB80000C020B418C438041080041CD0A23B0510803FCC188000350000AB0600C020B462
:200BC00018E43544188000030140004411003FCC290002361145883A000001060005883A1D
:200BC800188000050000A00600C020B418E43544188000430140004411003FCC29000236E4
:200BD0001145883A000001060005883A1880004500009506008020B410A4354410C00083D3
:200BD80019003FCC2000012618FFFFC410C0008500008D0600C020B418E4354418800083AC
:200BC00018E45444188000030140004411003FCC290002361145883A000001060005883AFE
:200BC800188000050000A00600C020B418E45444188000430140004411003FCC29000236C5
:200BD0001145883A000001060005883A1880004500009506008020B410A4544410C00083B4
:200BD80019003FCC2000012618FFFFC410C0008500008D0600C020B418E45444188000838D
:200BE0000140038411003FCC290002361080004400000106008003C41880008500008206E9
:200BE80001402074010020B401800444295D0304212413040816070001402074010020B4C2
:200BF00001800444295D070421241B8408160700048020B4050020B4054020B40811068099
:200BF8009484340404C006C4A5244D84AD642004908000370021883A10BFFFCC8407883A1F
:200BE80001402074010020B401800444295D0304212432040816070001402074010020B4A3
:200BF00001800444295D070421243A8408160700048020B4050020B4054020B4081106807A
:200BF8009484340404C006C4A5246C84AD643F04908000370021883A10BFFFCC8407883AE1
:200C00001C47883A18C0000B10C002268400004484FFFA1EA9000287014006840815ED80EA
:200C0800A085883A108005C300C001841880403600C001041C001916D90008042087883ADC
:200C100018C000031C07D83A18C0004C180007261085883A1085883AD8C001041885883AA1
:200C180010800017140000050000310601802074010020B481C00044319D0A0401400444F1
:200C200021241B840815F58008110680010002342128480408168B00000025060080030478
:200C200021243A840815F58008110680010002342128480408168B00000025060080030459
:200C2800808023260109C40408168B00003FD00601402074010020B401800444295D0E04C8
:200C3000212413040816070001402074010020B401800444295D120421241B84081607000B
:200C3000212432040816070001402074010020B401800444295D120421243A8408160700CD
:200C3800040020B40811068084043404048006C404C0024405000304808000370009883AFF
:200C400010BFFFCC2107883A1C47883A18C0000B10C01E1E99002016210000440140028401
:200C48000815DA80010002C4D0A23D45081208C0081107C0D022448500001B0600C020B428
:200C500018E43544188005830140078411003FCC290002361080004400000A060005883AFB
:200C58000000080600C020B418E435441880058311003FCC2000022610BFFFC40000010648
:200C500018E45444188005830140078411003FCC290002361080004400000A060005883ADC
:200C58000000080600C020B418E454441880058311003FCC2000022610BFFFC40000010629
:200C6000008007C418800585000007062100004424BFDC1E00000106253FE5260109C40470
:200C680008168B00003FD406D0A240171000191ED0E243171880006C10000A26008020B4F6
:200C700010A42004108005830100024411403FCC29000226108000440000010600800044E1
:200C7800D0A23D0518C000AC18000A2600C020B418E43544188000030140004411003FCC97
:200C700010A43F04108005830100024411403FCC29000226108000440000010600800044C2
:200C7800D0A23D0518C000AC18000A2600C020B418E45444188000030140004411003FCC78
:200C8000290002361145883A000001060005883A18800005DFC01017DD400F17DD000E1765
:200C8800DCC00D17DC800C17DC400B17DC000A17DEC01104F800283ADEFF6C04D900030498
:200C9000DC008C15DFC09315DD809215DD409115DD009015DCC08F15DC808E15DC408D153A
:200C98000810FE401021883A0815C6408000891E01800104D9400304D9008304081607007E
:200CA0000140207401800104295D7404D90083040816010010000B2601802074010020B431
:200CA800319D760401400444212413040815F580008020B410A41B84100000050400004469
:200CA800319D760401400444212432040815F580008020B410A43A8410000005040000442B
:200CB00000007406D8800403DC808484D9400484D8808405D8800443018002049009883AE4
:200CB800D880844508160700D880068BD8008645D8808B0DD880070BD8808B8DD9408B17C5
:200CC000280BC232D880078BD9408715D8808B0DD880080BD8808B8DD8808B171005C23280
:200CC800D8808815D880088BD8808B0DD880090BD8808B8DD8808B171005C232D880891567
:200CD000D8808217D8808B151005C232D8808A1500C0788428BFF98418800B2E0180207415
:200CD800010020B4319D7A0401400444212413040815F580008020B410A41B8410000005A8
:200CD800010020B4319D7A0401400444212432040815F580008020B410A43A84100000056A
:200CE000043FFFC40000430601800044D90003040816F080D8C08A1710C00A260180207424
:200CE800010020B4319D7E0401400444212413040815F580008020B410A41B841000000594
:200CF0000000330601802074010020B4319D820401400444212413040815F580D9C08817BE
:200CF80001802074010020B4319D86040140044421241B840815F58008110680DCC08817C1
:200CE800010020B4319D7E0401400444212432040815F580008020B410A43A841000000556
:200CF0000000330601802074010020B4319D820401400444212432040815F580D9C088179F
:200CF80001802074010020B4319D86040140044421243A840815F58008110680DCC08817A2
:200D0000DD4089170021883A0005883A0580800484C0132E9C23C83AB440012E0440800432
:200D080085008004A00CD27A010020B4DC400015000F883AD9400304212410040815AE802F
:200D080085008004A00CD27A010020B4DC400015000F883AD940030421242F040815AE8010
:200D100010803FCC1000121E800D003A880B883AD90003040816F080A021883A003FEC06AA
:200D1800A880612601802074010020B4319D890401400444212413040815F580008020B4FC
:200D200010A41B8410000005043FFF4400000106043FFF8404C000C40815C64008110680AE
:200D1800A880612601802074010020B4319D890401400444212432040815F580008020B4DD
:200D200010A43A8410000005043FFF4400000106043FFF8404C000C40815C640081106808F
:200D2800010003F42110900408168B0000BFCE4480804B0E98004A2601802074010020B429
:200D3000319D9F0401400444212413040815F5809CFFFFC401402074010020B401800444EA
:200D3800295D970421241B8408160700081106800025883A0580800405004004DC408817DE
:200D3000319D9F0401400444212432040815F5809CFFFFC401402074010020B401800444CB
:200D3800295D970421243A8408160700081106800025883A0580800405004004DC408817BF
:200D40009440202E8CA3C83AB440012E0440800495408004A80DD27A010020B4DC400015F5
:200D4800000F883AD9400304212410040815AE8014003FCC803FD81E9025D23A880B883A0C
:200D4800000F883AD940030421242F040815AE8014003FCC803FD81E9025D23A880B883AED
:200D5000A440012E01404004900D883AD90003040810DE801021883A103FCF1EA4400236EB
:200D5800A825883A003FE50691800044897FC004D90043040810DE801021883A103FF826AB
:200D6000003FC50601402074010020B401800444295D9B042124130408160700014020747B
:200D6800010020B401800444295D970421241B840816070008110680D9808917D940881753
:200D6000003FC50601402074010020B401800444295D9B042124320408160700014020745C
:200D6800010020B401800444295D970421243A840816070008110680D9808917D940881734
:200D7000D9C003040009883A0810F1001021883A103FB11E0815C6400005883A00004306AB
:200D780000BFFFC400004106D8808487D9C08403D8C084431000032600802074109D3D0475
:200D80000000020600802074109DB60401802074010020B4D8C00015319D8E040140044450
:200D880021241304DC800215D88001150815F58001402074010020B401800444295DA304DC
:200D900021241B840815F300044020B4040020B4081106808C44340484244004888000378D
:200D80000000020600802074109DB80401802074010020B4D8C00015319D8E04014004444E
:200D880021243204DC800215D88001150815F58001402074010020B401800444295DA304BD
:200D900021243A840815F300044020B4040020B4081106808C44340484245F04888000374F
:200D980080C0000B10BFFFCC10C00E2680C0008B10C0091E01402074010020B4018004441D
:200DA000295D9104212413040816070004C000C404000084003F80060109C40408168B0047
:200DA000295D9104212432040816070004C000C404000084003F80060109C40408168B0028
:200DA800003FEE0608148640D0A23B0300C020B418C4380410800094D0A23B0510803FCC49
:200DB000188000350109C40408168B0001402074010020B401800444295D940421241304EE
:200DB000188000350109C40408168B0001402074010020B401800444295D940421243204CF
:200DB8000816070004C000C4003F7A06DFC09317DD809217DD409117DD009017DCC08F17D5
:200DC000DC808E17DC408D17DC008C17DEC09404F800283A21C03FCC01802074010020B46D
:200DC800319DA8040140044421241B840815F581DEFFFF040141194421003FCCDFC0001532
:200DC800319DA8040140044421243A840815F581DEFFFF040141194421003FCCDFC0001513
:200DD0000815ED80014019041009883A0815D24001802074010020B4100F883A319DAA04CA
:200DD8000140044421241B84DFC00017DEC001040815F58121C03FCC01802074010020B4CC
:200DE000319DAC040140044421241B840815F581014003F4DEFFFC042950900421003FCC27
:200DD8000140044421243A84DFC00017DEC001040815F58121C03FCC01802074010020B4AD
:200DE000319DAC040140044421243A840815F581014003F4DEFFFC042950900421003FCC08
:200DE800DFC00315DC400215DC0001150815ED80014018F4294BA8041009883A1021883A4A
:200DF0000815E1C08009883A014659041023883A0815E1C00140FA041009883A0815E80064
:200DF800014002841009883A0815E1C001802074010020B4D8800015880F883A319DAE044B
:200E00000140044421241B840815F580DFC00317DC400217DC000117DEC00404F800283AF1
:200DF800014002841009883A0815E1C001802074010020B4D8800015880F883A319DAF044A
:200E00000140044421243A840815F580DFC00317DC400217DC000117DEC00404F800283AD2
:200E0800DEFFFF04014119C421003FCCDFC000150815ED80014019041009883A0815D240F9
:200E100001802074010020B4100F883A319DB1040140044421241B84DFC00017DEC00104AE
:200E18000815F58121C03FCC01802074010020B4319DB3040140044421241B840815F581CC
:200E100001802074010020B4100F883A319DB2040140044421243A84DFC00017DEC001048E
:200E18000815F58121C03FCC01802074010020B4319DB4040140044421243A840815F581AC
:200E200021003FCCDEFFFF0401409C4421000044DFC000150815ED80014019041009883AA9
:200E28000815D24001802074010020B4100F883A319DB5040140044421241B84DFC0001706
:200E28000815D24001802074010020B4100F883A319DB7040140044421243A84DFC00017E5
:200E3000DEC001040815F581D0A24217DEFFF604018020B4DCC00315DFC00915DF00081508
:200E3800DDC00715DD800615DD400515DD000415DC800215DC400115DC00001504C002C41C
:200E400031A44004014004449CC7883A1987883A18C0000B1880041E21003FCC9823883A23
:200E48002000051E000003069CC00044997FF61E0000A50610010026D562444304802074BA
:200E5000949FE904AF003FCCE02090FA014005049405883A1500010315C00017A5803FCC44
:200E400031A45F04014004449CC7883A1987883A18C0000B1880041E21003FCC9823883A04
:200E48002000051E000003069CC00044997FF61E0000A50610010026D5624443048020B47A
:200E500094A00804AF003FCCE02090FA014005049405883A1500010315C00017A5803FCC24
:200E5800B009883A0815ED80B8C00117010001041885883A88FFFD0418C03FCC20C02936D6
:200E6000180690BA01002074210E66041907883A18C000171800683A008139E0008139AC51
:200E6800008139C400813AD800813AD8B000011EBD000003A53FFFC49421883A850001058E
@ -467,7 +467,7 @@
:200E8800081107C110C00103014000C4194006261900631E10800217044002C4103EE83A4E
:200E90001021883A000064061080031710000226010002C4103EE83AD462444301400504C5
:200E98008C003FCC802690FA94C5883A1540001711000103AD0001170815ED80A085883AA1
:200EA00010C0021718004E2684000044802090FA00802074109FE9041405883A1100001718
:200EA00010C0021718004E2684000044802090FA008020B410A008041405883A11000017B8
:200EA80019000126100001059421883A94E5883A91000103ACC00117014005040815ED8035
:200EB0009885883A108002178C400044D46244458080001500003A0610C00103010000841D
:200EB8001900202619000336010000C419002F26000038061180021789C03FCC020003C431
@ -477,16 +477,16 @@
:200ED800000010061100021789403FCC018003C420C0000B2980061E1080030B197FFFCCE5
:200EE0001140012E18FFFFC420C0000D000011061080038B197FFFCC2880012E18C0004420
:200EE80020C0000D0440040400000A06108003171000082699003FCC103EE83A000005069A
:200EF000044002C40000030621003FCC200059260023883A0021883AD0A2444300C02074EF
:200EF80018DFE904100490FA014005041885883A1100010314C000170815ED801025883A2E
:200F000098800117010020B4212413041485883A114000170180044408160700988001178F
:200EF000044002C40000030621003FCC200059260023883A0021883AD0A2444300C020B4AF
:200EF80018E00804100490FA014005041885883A1100010314C000170815ED801025883A0E
:200F000098800117010020B4212432041485883A1140001701800444081607009880011770
:200F0800010001041485883A10C0010320C03536180690BA01002074210F12041907883A24
:200F100018C000171800683A00813C5C00813C8C00813C9C00813CAC00813CC010C002178E
:200F1800110004170180044418C0000318C5883A1085883A2085883A11400017010020B44F
:200F200021241B840816070000001E0610C0021710800417190000030000070610C00217DE
:200F200021243A840816070000001E0610C0021710800417190000030000070610C00217BF
:200F2800108004171900000B0000030610800317100006260009883A103EE83A0000110699
:200F30008C403FCC00C002C488C00426008020B410A41B841000000500000A068000021E66
:200F380011C003170000010611C0041701802074010020B4319CA3040140044421241B84F0
:200F30008C403FCC00C002C488C00426008020B410A43A841000000500000A068000021E47
:200F380011C003170000010611C0041701802074010020B4319CA3040140044421243A84D1
:200F40000815F580DFC00917DF000817DDC00717DD800617DD400517DD000417DCC0031726
:200F4800DC800217DC400117DC000017DEC00A0408110681103FA61EDFC00917DF000817D1
:200F5000DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC000017C9
@ -494,30 +494,30 @@
:200F6000D88000150080107410951044D880011500801344D8800245208002B0DC004015A0
:200F6800DFC04915DF004815DDC04715DD804615DD404515DD004415DCC04315DC8042152B
:200F7000DC404115D8000205D8800285240004041000151E00800E04D88002C5D0A23D431F
:200F7800014020B401800D84D8800345008020B410A420041080058329644004D90003C4DD
:200F7800014020B401800D84D8800345008020B410A43F041080058329645F04D90003C49F
:200F8000D8000305D88003850815F300800C923A01401144D809883A0810DE801004C03A6C
:200F88000085C83A0000340600BFF604014020B4802A923A01800AC4D8800345296435444F
:200F88000085C83A0000340600BFF604014020B4802A923A01800AC4D88003452964544430
:200F900000800084D90003C4070020B4D98002C5D8000305D88003850025883A0815F300EB
:200F9800002F883A04400E840580B60405004004E7244D84893FFFCCA10DC83AB0BFFFCC91
:200F9800002F883A04400E840580B60405004004E7246C84893FFFCCA10DC83AB0BFFFCC72
:200FA000D909883AB97FFFCC94C03FCC11800C16E14B883A0815F300A463C83A9D4D883AC5
:200FA80001404004D809883A8DEF883AB5BFC0040810DE80948000440023883A003FED06A6
:200FB000100D883A008020B410A44D84114B883A0815F300800C923A01404004D809883ABB
:200FB000100D883A008020B410A46C84114B883A0815F300800C923A01404004D809883A9C
:200FB80034CD883A0810DE800005883A0000020600BFFFC4F800283ADFC04917DF004817F8
:200FC000DDC04717DD804617DD404517DD004417DCC04317DC804217DC404117DC00401759
:200FC800DEC04A04F800283ADEFFB704DFC04815DDC04715DD804615DD404515DD004415D1
:200FD000DCC04315DC804215DC404115DC00401521003FCC008003C41100022E047FFFC4BD
:200FD80000006306240004048008943AD80D883A014040040810D780103FF81E0140207439
:200FE00001800204295F0704D809883A081601001023883A1000531ED88002031000531EC1
:200FE00001800204295F2604D809883A081601001023883A1000531ED88002031000531EA2
:200FE800D8C00243008013441880501ED88002831000032600C0004410C0162600004C06B7
:200FF000D8800303D8C002C31004923A10C4B03A00C00E0410C0461ED880034300C00244DE
:200FF80011003FCC19000136D0A23D45D880038311003FCC19000136D0A23D05010020B4A6
:2010000001800D84D94003C4212440040815F30000003706D8800317D8C002C311803FCC9D
:2010000001800D84D94003C421245F040815F30000003706D8800317D8C002C311803FCC7E
:20100800300C923A30CCB03A00C00AC430C0301E1004D23A00C0B6041025883A10BFFFCCE3
:2010100010C02B1E010020B48020923AD94003C42124354405C020B40815F3000027883A36
:20101800002D883A05400E8405004004BDE44D84A97FFFCCA14DC83A90BFFFCCB13FFFCC7F
:2010100010C02B1E010020B48020923AD94003C42124544405C020B40815F3000027883A17
:20101800002D883A05400E8405004004BDE46C84A97FFFCCA14DC83A90BFFFCCB13FFFCC60
:20102000D94B883A11800F16B909883A0815F300990000442027883A21003FCC2409883A7F
:201028002008923AA56BC83AD80D883A01404004ADAD883A94BFC0040810D780002B883AE7
:20103000003FEB06100D883A008020B410A44D841109883A0815F30000800044D0A23EC593
:20103000003FEB06100D883A008020B410A46C841109883A0815F30000800044D0A23EC574
:20103800000003060440004400000106044000848805883ADFC04817DDC04717DD80461736
:20104000DD404517DD004417DCC04317DC804217DC404117DC004017DEC04904F800283A48
:20104800DEFFFC04DC000015040020B484041804DC80021504801284DC400115900B883A22
@ -544,12 +544,12 @@
:2010F000DC400115D0A23B05DFC00515DD000415DCC003152025883A2823883A10803FCCEA
:2010F80084043804808000350140C80401000044081427C0D0A23B0310800814D0A23B057C
:2011000010803FCC80800035014004049009883A08160C401029883A10803FCC1000091E24
:20110800014020749009883A01800444295F0904081607009100000301400504081427C033
:20110800014020749009883A01800444295F2804081607009100000301400504081427C014
:20111000000009060027883AA5003FCC94C5883A11000003014005049CC00044081427C0FB
:2011180098803FCC153FF936D0E23B0300BFF7C41884703AD0A23B0510803FCC80800035DF
:201120000140050401003004081427C0D0A23B0310800814D0A23B0510803FCC808000354F
:20112800014004048809883A08160C401027883A10803FCC1000091E014020748809883AAE
:2011300001800444295F0904081607008900000301400504081427C0000009060025883A4D
:2011300001800444295F2804081607008900000301400504081427C0000009060025883A2E
:201138009CC03FCC8C85883A110000030140050494800044081427C090803FCC14FFF93647
:20114000D0A23B0310801014D0A23B0510803FCC80800035DFC00517DD000417DCC0031740
:20114800DC800217DC400117DC000017DEC00604F800283ADEFFFB04DC000015040020B444
@ -623,7 +623,7 @@
:201368002C0AB03A08147FC091403FCC89003FCC0815ED801004D17ADFC00417DCC0031721
:20137000DC800217DC400117DC000017DEC00504F800283ADEFFFE04DFC00115DC0000153B
:20137800081486400009883A0814B50004000044800B883A01000D4408147FC00100207400
:20138000211F0A040814BA00800B883A010004040814D5400009883A0814CB800009883AA5
:20138000211F29040814BA00800B883A010004040814D5400009883A0814CB800009883A86
:201388000814D0000100018408149700014000C401000D0408147FC001001104081497C025
:2013900001402204010006C408147FC00140020401000704DFC00117DC000017DEC002040F
:2013980008147FC1DEFFFD04DC0000152021883ADC40011584003FCC044004048809883AA7
@ -655,12 +655,12 @@
:201468002821883A081477C08C403FCC00C0008488C00A1E81403FCC00C0004428C0031EA2
:201470001080240C10802420000006062800031E1080220C10802220000002061004D07A7D
:201478001080004CDFC00217DC400117DC000017DEC00304F800283ADEFFEC04DC000A15D2
:20148000040020B48424200400800104D880070D8080070302C00204028010045884983AA1
:20148000040020B484243F0400800104D880070D8080070302C00204028010045884983A82
:2014880000C100040188000412C4B03AD880078D8080074383800B03020020B45084983A6F
:20149000DFC01315DF001215D880080D80800783DDC01115DD8010151884983ADD400F1584
:20149800DD000E15D880088D808007C3DCC00D15DC800C153084983A2027883ADC400B1577
:2014A000D880090DD8800984D880001580800844D880011580800884D8800215808008C4EB
:2014A800D880031580800904D8800415D880051580800944D8000985D880061542245304E1
:2014A800D880031580800904D8800415D880051580800944D8000985D880061542247204C2
:2014B0000009883A03C0400407C400040500800404800404054020040580080405C00104A2
:2014B8000700004440C00043024001842023883A19803FCC408000034980C336301290BA05
:2014C000030020746314C5044B13883A4A4000174800683A008153780081537800815378A6
@ -670,16 +670,16 @@
:2014E0001000A1263185883A1085883AD885883A108000174240008B108000031085883A89
:2014E800D885883A1300070B6258703A60BFFFCC100095264A40004C2B403FCC4A40005C55
:2014F0006A40911E427FFE8B4A4007844CC08E3603400044024020B480000385800003C567
:2014F80083400405800004858300050D4A64200413C05E2678800E3614804B2690800336B7
:2014F80083400405800004858300050D4A643F0413C05E2678800E3614804B269080033698
:2015000015C0212612C0272600007F0612804A261540512615807C1E008000448080030542
:2015080000800084808003450080018400005A0617C05D26F8800A3600C1000410C05826ED
:201510000242000412404F2615006F1E0080008480800305808003450080014400004E069D
:2015180003100004130056260360001413405D2600C8000410C0641E008001048080030515
:201520008000034500005D0618C03F4C18C00060480003054800034548C0038548C003C5A5
:2015280000005F06008000448080030580800E03014020B4296420041000081E18BFFFC4CB
:2015280000005F06008000448080030580800E03014020B429643F041000081E18BFFFC4AC
:2015300010803FCC01C00084388004360080008429C0034528800405000001068000034514
:2015380001400084008000443140192618FFFF0418C03FCC10C0162E008001843080081E6E
:20154000014006840815ED8000C020B418E4510410C5883A1080000B10812C3000000C0620
:20154000014006840815ED8000C020B418E4700410C5883A1080000B10812C3000000C0601
:201548000005883A00000A0600800044488003050080008400000F0600800084488003052B
:2015500048000345008000C43080351E008000448080038500003206008000848080030514
:20155800008000448080034500002D060080008448800305488003450080010448800405FA
@ -710,7 +710,7 @@
:201620001884B03A00000306000B883A0009883A0005883A10BFFFCC10C0004421003FCCE2
:2016280028803FCC2085883A10BFFE441884983ADFC00917DCC00817DC800717DC400617DC
:20163000DC000517DEC00A04F800283ADEFFF60401402074DCC00715018002842027883A28
:20163800295FCC04D809883ADD000815DC400515DFC00915DC800615DC000415050000C475
:20163800295FEB04D809883ADD000815DC400515DFC00915DC800615DC000415050000C456
:201640000815F300044000440815C0000815C6400815CA4001400284D809883A0815C1C0C3
:20164800000B883A98000015010010040815654010803FCC1440511E01406A84010012048D
:201650000815654010803FCC1440271E01400104D90002840815C300D88003031440471EE8
@ -762,7 +762,7 @@
:2017C000218D883A218008262080000328C0000310C0022610C5C83AF800283A21000044AE
:2017C80029400044003FF7060005883AF800283A2005883A2007883A218D883A19800526F3
:2017D0002900000318C0004429400044193FFFC5003FFA06F800283ADEFFF504DFC00915BC
:2017D800DC400815DC000715D9C00A15008020B410A1E304144000172800040E008022C411
:2017D800DC400815DC000715D9C00A15008020B410A20204144000172800040E008022C4F1
:2017E0008880001500BFFFC400001C0600C08204D8C0000DD9000415D9000215280002260B
:2017E80028FFFFC4000001060007883AD8C00515D8C003151100001700FFFFC4D8C0008DB6
:2017F00000C0207418D9A0042821883AD9C00A04D80B883AD8C00115D80006150816154085
@ -828,17 +828,17 @@
:2019D00020FFFC2E380B883A30CDC83A1989883A2000052618FFFFC419000003297FFFC49A
:2019D80029000005003FF906F800283A19C005262900000318C0004429400044193FFFC514
:2019E000003FFA06F800283A2005883A10C000071800022610800044003FFC061105C83A23
:2019E800F800283ADEFFFF0401002074014020B4DFC00015211FD7042961E7042140061E32
:2019F000010020740140207421000804294008042140121E00000B0600C020B418E1E704B1
:2019E800F800283ADEFFFF0401002074014020B4DFC00015211FF604296206042140061EF3
:2019F000010020740140207421000804294008042140121E00000B0600C020B418E2060491
:2019F8001907C83A0005883A10FFF526114F883A39C00017110D883A1080010431C000150F
:201A0000003FF9060100207401402074211C8204295C82042140101E00000B0600C020745C
:201A080018C008041907C83A0005883A10FFF526114F883A39C00017110D883A1080010420
:201A100031C00015003FF906081718C0DFC00017DEC0010408171FC100C0207418DFD704FD
:201A100031C00015003FF906081718C0DFC00017DEC0010408171FC100C0207418DFF604DE
:201A18001907C83A0005883A18BFF726114F883A39C00017110D883A1080010431C0001524
:201A2000003FF906DEFFFF040009883ADFC0001508168B4008168D40D1A24617D1624717D4
:201A2800D1224817DFC00017DEC00104081260C1081710C1DEFFFF04DFC0001508172000F5
:201A3000008000441001703ADFC00017DEC00104F800283A008020B410840004D0A24A15A7
:201A3800010020B40080673410BF300421218904D0A249150816D9C1D0E24917D0A24A175F
:201A3800010020B40080673410BF30042121A804D0A249150816D9C1D0E24917D0A24A1740
:201A400018000A2610C001040100020419000035013FFFD4110002351100033500800104EB
:201A4800188000350005883AF800283A00BFFFC4F800283AD0A2491710000926D0E24A1790
:201A500018800404100000351080003718C005371806943A10BFFFCC1884303AF800283AD0
@ -916,7 +916,7 @@
:201C90006C6961660000000053206F4E6163204464206472002E7465252073250063257505
:201C9800252E75256B75322E25207A482E252E757A4875320000000064616F4C0000646550
:201CA00064616F4C696166200064656C00007325746F6C5300752520657661530000006438
:201CA80065766153696166200064656C4353534F776620207525202E322E252E006A6175D8
:201CA80065766153696166200064656C4353534F776620207525202E322E252E0000617542
:201CB00074696E49727265202020726F000064252020202053204F4E00434E59343130322C
:201CB8003130322D6D202037737172610000000074736554746170206E72657400000000F3
:201CC0003A31564142475220000000533A31564173475220000000423A3156416250592017
@ -939,7 +939,7 @@
:201D48004B544F4801005945030F031F3E2903033E693EA93E193EE93E593E993E393ED9FD
:201D50003E4D3EC93EED3E1D3ECD3E2D3E6D3EAD3E013E651C181C481CD01C505E581CC8E3
:201D58003EB95ED800817454008174580081745C0081746000817424008174640081746833
:201D60000081746C0081745000817B2C00817470008174780081747C00817484008174885C
:201D60000081746C0081745000817BB000817470008174780081747C0081748400817488D8
:201D68000081749000817498008174A0008174A8008174B8008174C8008174D8008174E803
:201D7000008174F80081750800817510008175184353534F0000000061766E492064696C35
:201D780067616D690000006561766E492064696C646165680000726561766E492064696C11
@ -948,80 +948,80 @@
:201D900000732573636E6143656C6C650000006461647055676E69740057462061656C7012
:201D9800772065732E74696100002E2E697265566E6979666C662067006873617274655276
:201DA000676E6979647075200065746161647055203F65742C593D314E3D32200000000037
:201DA8002020202000007525C4206425000000DED72075250000DDB2252E75252075322EB4
:201DB000000073756D20642500000056C420752500C4AFDE2525752500000000B3B5B2C032
:201DB800DEBFB2B4202020B3003E2020DFCCDDBBDEB8DDD8BCDFCCB5003EDDAEB7B3DEC4F8
:201DC000BCDFCCB52020DDAE003E2020D8C2ADBCCCB5B8AEDDAEBCDF003E2020AEBCC4B131
:201DC800202020D820202020003E2020DDB6DEBA2020B2BE20202020003E2020DEC3B0B5E6
:201DD000CCB5B5A8DDAEBCDF2020202000003E20DBDFCC3CD9B2A7CCDEC4B0DB20202020A4
:201DD8000000003EDBDFCC3CD9B2A7CCDECCB0BE202020200000003EC3AFBE3CAEBCB5B2DA
:201DE0002020B6B7003E2020B6B7AEBC0000D0BDB0A7CC3CB1AAB3D1DFCCAFB1003E20208D
:201DE8002D204B4FB7B2BB20BCB3DEC4DEC0B8C30000B2BBDFCAAFBC000000B2DDB3DEC025
:201DF000DFCCDDBBDEB8DDD800000000D8DEC0CBDEB7D02FCCAFDCBD000000DF5854D9CC2C
:201DF800B1C4AFBE00DFCCAFB2335641DAB0C0DDADBCBDB000B2BEB3DDACB7BD00DDB2D790
:201E0000DDACB7BDC2DDB2D70000BBD6DDACB7BDD9DDB2D7000000B2DDACB7BDCEDDB2D7ED
:201E0800BCDEBCDF0000DDAEB2CDB2BD00B8BDCFAEC1B2BDB8BDCFB800000000B1B8BDCFF9
:201E100000BBD9B6703034323838322FD8AEBC70000000007034383300D8AEBC6930383489
:201E18003637352FD8AEBC6900000000703038343637352FD8AEBC70000000006930363997
:201E20003830312FAEBC6930000000D8656E694CB0D378320000DEC4656E694CB0D37833F2
:201E28000000DEC4656E694CB0D378340000DEC4656E694CB0D378350000DEC4656E694CBD
:201E3000B2B978350000B7BC78363532B1303432B8DFCDBD000000C4B0D358540000DEC4F5
:201E3800494D44484354492000000000C6B7AEBCAED8B3AD000000B8B8DBC5B1B3DEC4DEA7
:201E4000504C20B700000046B8DBC5B1B3DEC4DE745620B7000000686E797348BBB3BA6357
:201E4800000000006E797356B2B7BC63000000C14C502D487250204C6F432D6500747361B6
:201E50004C502D486F50204C432D74737473616F00000000DFCCDDBBDEB8DDD8BDB0AACCB7
:201E5800000000DEDFCCDDBBDEC3B0D77030383400000000205056544C4C5048AEB77832BC
:201E60000000B3D6BCB8B63CD0B2C0AD3EDEB8DD00000000DEC3DECB504C20B500000046D2
:201E6800B3B8DBB259C6DDB6725062500000000072502F52BECCB5200000C4AF20592F4738
:201E7000AFBECCB5000000C462502F42BECCB5200000C4AF72502F52B2DEB920000000DD22
:201E780020592F47DDB2DEB90000000062502F42B2DEB920000000DDB2CDB2BDDFCCDDBB9B
:201E8000C4B0DAD900000000B2CDB2BDC4DDB2D7BCB7B3DE00DEBADDB2CDB2BDB8AFDECA8D
:201E8800C1B0DFCE00000000B2CDB2BDA8C3B8B10000DECCAEC1B2BDDDB2D7B8B7B3DEC4A8
:201E9000DEBADDBC00000000AEC1B2BDAFDECAB8B0DFCEB8000000C1AEC1B2BDC3B8B1B87C
:201E980000DECCA82820CCB53D2073666B36392000297A4820207832207366283834203D20
:201EA000297A486B000000000000B4B30000C0BC0000BAD60000C3C0DEBAB3BA00000000D1
:201EA8000000CCB500C4B0B5D1C0BDB600000000494D4448000000000049564400373A38BE
:201EB000BDBDDFCA0000B0D9656E694C00007832656E694C2820783229626F62000000005E
:201EB800656E694C282078336563616C00002964656E694C2820783429626F620000000095
:201EC000656E694C00007833656E694C00007834656E694C00007835303239313830317889
:201EC80000000030303036313032317800000030303239313032317800000030C8AADEBCB5
:201ED00020B8AFD800333A347830323320303432B7C3B2BB00002EB6783635322030343299
:201ED800B7C3B2BB00002EB6C8AADEBC20B8AFD8393A363100000000484D3333BB28207A62
:201EE000B3AEBCB200000029484D3031C128207A29B2B3AD000000004D352E3228207A484A
:201EE800DEC0B2BB000029B2205654447030383400000000415345563034362030383478DD
:201EF000003036402E63655231303620000000002E6365523930372000000000484D353922
:201EF8004828207A2056544400294949484D35334828207A2056544400002949484D363174
:201F00004528207A29565444000000007A484D3944532820002956540000DDB544525355D9
:201F0800004154410000002000817BC40000200020002CE5E926F4FD38BC2000000000009E
:201F100000817BD0000020002000323EF113FA043B6120000000000036333531303432789A
:201F18000600000007FE00F00FEA01060112039632312002327830380000303400F0050012
:201F20000106061803480FAA04420112783036390030343203C00000049200F00F80010693
:201F28000112033632330082343278300000003000F00140010601AA031F0E314910011278
:201F3000783635320030343201000000015500F00E2701060112031934329220000070307C
:201F38000000000000F002D00106035A033E0F39000E011236333531303432780600004C8A
:201F400007FE00F029EA013801120396323120023278303800003838012005000138061810
:201F480003480FAA04420112783036390038383203C00000049201200F80013801120336D5
:201F5000323300823432783000424C3000F00140013801AA031F29314910011278363532AC
:201F58004C30343201000042015500F0292701380112031938329220000070380000000082
:201F6000012002D001380360033F1345000E0112703438330000000001F000000280018014
:201F68001D3201A70204033E3436000E38337830000000340180028001EC032002603F3078
:201F7000000E0210693038340000000002D00000035A00F00F39020D0312033E3834044FA1
:201F7800000070300000000001E002D0020D035A063E1E3C000C041478303436003038341A
:201F800002800000032001E02130020D051402603436000C313578300000003202000280A6
:201F88000238032002601C3000040010693637350000000002D000000360012013450271EE
:201F90000312033F3735044F0000703600000000024002D00271036005402744000C0404C7
:201F980078303038003030360320000004200258175802740010048032370004000070305C
:201FA0000000000002D0050002EE0672052814DC00040018343230313836377804000000C1
:201FA800054003001DA003260010068832310004317830380034323004000500042A06986A
:201FB000037026F800040010783034366930363902800000032001E02130041A06140260E1
:201FB8003031000D0069303800000000021C078004650898052C1094000D06183038303153
:201FC000000000700780000008980438249404650018052C363100043178303000303032BE
:201FC80004B0064004E2087003F12EFF00040010FFFFFFFFFFFFFFFF0000FFFF7665642F08
:201FD0006370652F6F635F716F72746E72656C6C615F305F6D5F6C7600006D6500000000A7
:201FD8000000000000000000000000000000000000000000000000000000000000000000E9
:201FE0000000000000000000000000000000000000000000000000000000000000000000E1
:201FE8000000000000000000000000000000000000000000000000000000000000000000D9
:201FF0000000000000000000000000000000000000000000000000000000000000000000D1
:201DA800202020200000752564206425000067656C20752573656E6900000000252E752566
:201DB0002075322E000073756D20642500000056702075256C657869000000732525752597
:201DB80000000000656469566E69206F6F7270203E20206300000000706D6153676E696C90
:201DC00074706F203E20202E00000000636E795374706F202020202E3E20202000000000A8
:201DC8007074754F6F207475202E74703E2020200000000074736F506F72702D20202E6316
:201DD0003E20202000000000706D6F436269746174696C693E2020790000000069647541FA
:201DD800706F206F6E6F69743E20207300000000616F4C3C727020646C69666F003E2065A7
:201DE0007661533C727020656C69666F003E20657365523C73207465697474653E73676E9B
:201DE80000000000657365526F6420740000656E2E77463C64707520206574613E2020208A
:201DF000000000002D204B4F736C70207365722074726174000000006C69616600006465F3
:201DF8006E776F446D61732D6E696C70000000677061775366656C2069722F7400746867F8
:201E00006C6C75462058542075746573000000702033564165746E6963616C72786966652A
:201E0800000000006E616353656E696C000000736E616353656E696C727473200000002E46
:201E10006E616353656E696C70797420000000656E616353656E696C696C61202E6D6E67B0
:201E18000000000069726F48746E6F7A6D206C61006B7361747265566C61636973616D2019
:201E20000000006B6B73614D697262206E74686700737365703034323838322F7270207009
:201E28000000636F703438336F72702000000063693038343637352F727020690000636FD2
:201E3000703038343637352F727020700000636F693036393830312F7020693000636F7234
:201E3800656E694C6D2078320065646F656E694C6D2078330065646F656E694C6D207834DA
:201E40000065646F656E694C6D2078350065646F656E694C66207835616D726F0000007472
:201E4800783635322030343265707361000074636D2058540065646F494D4448435449209C
:201E50000000000074696E49206C616975706E69000000746C616E417320676F20636E7909
:201E58000046504C6C616E417320676F20636E79006874566E7973486F7420636172656C5B
:201E60000065636E6E797356687420636873657200646C6F4C502D487250204C6F432D65A9
:201E6800007473614C502D486F50204C432D74737473616F00000000706D6153676E696C8D
:201E7000616870200000657370303834206E6920706D61730072656C6F6C6C415654207741
:201E78005048205078324C4C000000007664413C6974202E676E696D3E2020200000000035
:201E800065646956504C206F00000046506250596E6920726C6F43200061705372502F52E0
:201E880066666F200074657320592F477366666F0000746562502F4266666F200074657353
:201E900072502F52696167200000006E20592F476E6961670000000062502F42696167202E
:201E98000000006E73202E486C706D61746172650000006573202E486C636E7900006E6566
:201EA00062202E48706B63616863726F0000000061202E48766974630000006573202E56B6
:201EA8006C636E7900006E6562202E56706B63616863726F0000000061202E567669746385
:201EB000000000652066664F207366283639203D297A486B00000000202078322073662824
:201EB8003834203D297A486B0000000000706F5474746F4200006D6F69726F48746E6F7AE6
:201EC00000006C61747265566C6163690000000065746C4174616E7200676E690066664F67
:201EC8006F74754100000000756E614D00006C61494D4448000000000049564400373A3855
:201ED000737361507572687400000000656E694C00007832656E694C2820783229626F6290
:201ED80000000000656E694C282078336563616C00002964656E694C2820783429626F6275
:201EE00000000000656E694C00007833656E694C00007834656E694C00007835303239317A
:201EE800383031780000003030303631303231780000003030323931303231780000003090
:201EF000656E65472063697200333A3478303233203034326974706F00002E6D7836353225
:201EF800203034326974706F00002E6D656E654720636972393A363100000000484D33330B
:201F00006D28207A00296E69484D30316D28207A002964654D352E3228207A482978616D20
:201F08000000000020565444703038340000000041534556303436203038347800303640FC
:201F10002E63655231303620000000002E6365523930372000000000484D35394828207A9D
:201F18002056544400294949484D35334828207A2056544400002949484D36314528207A56
:201F200029565444000000007A484D39445328200029565400006E4F4452535500415441BF
:201F28000000002000817C400000200020002CE5E926F4FD38BC20000000000000817C4C8E
:201F3000000020002000323EF113FA043B6120000000000036333531303432780600000040
:201F380007FE00F00FEA01060112039632312002327830380000303400F0050001060618D3
:201F400003480FAA04420112783036390030343203C00000049200F00F800106011203364C
:201F480032330082343278300000003000F00140010601AA031F0E3149100112783635328F
:201F50000030343201000000015500F00E2701060112031934329220000070300000000071
:201F580000F002D00106035A033E0F39000E011236333531303432780600004C07FE00F075
:201F600029EA013801120396323120023278303800003838012005000138061803480FAAE1
:201F680004420112783036390038383203C00000049201200F8001380112033632330082D2
:201F70003432783000424C3000F00140013801AA031F293149100112783635324C30343291
:201F780001000042015500F02927013801120319383292200000703800000000012002D051
:201F800001380360033F1345000E0112703438330000000001F00000028001801D3201A7F0
:201F88000204033E3436000E38337830000000340180028001EC032002603F30000E02102F
:201F9000693038340000000002D00000035A00F00F39020D0312033E3834044F0000703001
:201F98000000000001E002D0020D035A063E1E3C000C041478303436003038340280000018
:201FA000032001E02130020D051402603436000C31357830000000320200028002380320AB
:201FA80002601C3000040010693637350000000002D0000003600120134502710312033FD4
:201FB0003735044F0000703600000000024002D00271036005402744000C040478303038EE
:201FB80000303036032000000420025817580274001004803237000400007030000000004C
:201FC00002D0050002EE0672052814DC000400183432303138363778040000000540030059
:201FC8001DA003260010068832310004317830380034323004000500042A0698037026F801
:201FD00000040010783034366930363902800000032001E02130041A061402603031000DE4
:201FD8000069303800000000021C078004650898052C1094000D0618303830310000007031
:201FE0000780000008980438249404650018052C36310004317830300030303204B0064014
:201FE80004E2087003F12EFF00040010FFFFFFFFFFFFFFFF0000FFFF7665642F6370652F7B
:201FF0006F635F716F72746E72656C6C615F305F6D5F6C7600006D650000000000000000EE
:201FF8000000000000000000000000000000000000000000000000000000000000000000C9
:202000000000000000000000000000000000000000000000000000000000000000000000C0
:202008000000000000000000000000000000000000000000000000000000000000000000B8
@ -1083,77 +1083,77 @@
:2021C8000000000000000000000000000000000000000000000000000000000000000000F7
:2021D0000000000000000000000000000000000000000000000000000000000000000000EF
:2021D8000000000000000000000000000000000000000000000000000000000000000000E7
:2021E00000000000000000000000000000000000000000000000000000000000017804E57D
:2021E8003CCE00813FAE03833F333D4905B80383009301B404163C493CD93F9F04163F105B
:2021F000020E04093D0E00C83F6E03833ED03DAC04B2038300E9026404163C933D493F56E6
:2021F80004163E9F008187080000000000000000000000000000000000000000008176DCED
:2022000000000003008187400000000000000000008176EC0000000300818738000000004D
:2022080000000000008176FC000000030081873000000000000000000081770C0000000381
:202210000081872800000000000000000081771C000000030081872000000000000000003F
:202218000081772C000000030081871800000000000000000081773C000000030081871010
:2022200000000000000000000081775000000003000000000081208C0000000000817764CA
:2022280000000003000000000081216400000000008177780000000400812AC4008177882A
:20223000008176D8008177900000000400813238008177A0008177B4008177BC0000000050
:20223800008190F70001000100818750008177CC00000000008190F80001000100818780CD
:20224000008177DC00000000008190F50001000100818780008177E800000000008190F633
:202248000001000100818780008177F800000000008190D5000200010081848C0081780089
:2022500000000001008190D7000F0000008138800081780C00000000008190D6000200014E
:20225800008184800081781800000000008190D80001000100818758008178280000000163
:20226000008190E5003F0000008138640081783000000001008190E6003F0000008138648F
:202268000081783C00000001008190E7000F0000008137140081784400000000008190DF20
:2022700000040001008184A80081785400000000008190E000010001008187700081785C8F
:2022780000000000008190E100030001008184980081786C00000000008190E20001000159
:20228000008187700081787C00000000008190E300030001008184980081788C0000000037
:20228800008190DA00020001008184C80081789800000000008190DB00030001008184D421
:20229000008178A400000000008190DC00020001008184C8008178B000000000008190DD3D
:2022980000020001008184C8008178BC00000000008190DE00020001008184BC008178C82D
:2022A00000000000008190E40001000100818768008178D800000000008190E800010001EB
:2022A80000818760008178E000000000008190E90001000100818780008178EC000000006C
:2022B000008190F9000A000100818518008178F800000000008190F100030001008184E4FB
:2022B8000081790800000001008190EE001F0000008138200081791800000001008190EFF9
:2022C00000FF0000008137900081792400000001008190F000C80A00008137900081793053
:2022C80000000001008190F300050000008137740081794000000001008190F4000500007B
:2022D000008137740081795400000001008190EB001F000100813730008179640000000011
:2022D800008190EA00020001008184F40081797400000000008190EC0001000100818780FA
:2022E0000081798400000003008187480081223C000000000081799400000000008190F29D
:2022E8000005000100818500008179A000000000008190ED0001000100818778008179B006
:2022F00000000001008190FA00FF000000813714008179BC00000001008190FB00FF000035
:2022F80000813714008179C800000001008190FC00FF000000813714008179D40000000190
:20230000008190FD00FF000000813714008179E000000001008190FE00FF0000008137142F
:20230800008179EC00000001008190FF00FF000000813714008179F80000000200818FF2FD
:2023100008FC012C0081234800817A080000000200818FFE00FF000A0081234800817A1875
:202318000000000200818FE600FF00010081234800817A280000000200818FF0078000C84D
:202320000081234800817A340000000200818FEA000700010081234800817A48000000024D
:2023280000818FF8003F00010081234800817A580000000200818FEE04B000C800812348A6
:2023300000817A9000817A9400817A9800817AA000817AA400817AA800817AC000817AD07D
:2023380000817AE000817AF000817AC000817AC800817B0000817B0800817B1000817B1821
:2023400000817B2400817B3000817B3C00817B4800817B5800817B6800817B3C00817B4881
:2023480000817B5800817AA000817B7800817B8800817B9800817AA400817BA800817BB407
:2023500000817AA400817AA000817BDC00817BEC00817BFC00817C0C008172F0008173009B
:202358000081730C0081731800817324008173300081733C00817348008173540081736015
:202360000081736C00000000008186040081860C00818614000000000000000000000000C4
:20236800000000000000000000000000000000000000000000000000000000000000000055
:2023700000000000000000000000000000000000000000000000000000000000000000004D
:20237800000000000000000000000000000000000000000000000000000000000000000045
:2023800000000000000000000000000000000000000000000000000000000000000000003D
:2021E0000000000000000000000000000000000000000000000000000000000000000000DF
:2021E8000000000000000000000000000000000000000000000000000000000000000000D7
:2021F0000000000000000000000000000000000000000000000000000000000000000000CF
:2021F8000000000000000000000000000000000000000000000000000000000000000000C7
:20220000000000000000000000000000000000000000000000000000017804E53CCE0081D1
:202208003FAE03833F333D4905B80383009301B404163C493CD93F9F04163F10020E0409A8
:202210003D0E00C83F6E03833ED03DAC04B2038300E9026404163C933D493F5604163E9FEB
:20221800008187840000000000000000000000000000000000000000008176E4000000033C
:20222000008187BC0000000000000000008176F800000003008187B400000000000000002C
:202228000081770C00000003008187AC00000000000000000081772000000003008187A414
:20223000000000000000000000817734000000030081879C0000000000000000008177487B
:20223800000000030081879400000000000000000081775C000000030081878C00000000FC
:20224000000000000081777000000003000000000081208C0000000000817780000000036B
:20224800000000000081216400000000008177900000000400812AC4008177A4008176E002
:20225000008177B00000000400813238008177C4008177D8008177E00000000000819173EE
:2022580000010001008187CC008177F0000000000081917400010001008187FC0081780023
:20226000000000000081917100010001008187FC0081781000000000008191720001000146
:20226800008187FC0081782400000000008191510002000100818508008178300000000197
:2022700000819153000F00000081388000817840000000000081915200020001008184FC00
:2022780000817850000000000081915400010001008187D4008178640000000100819161E8
:20228000003F000000813864008178740000000100819162003F0000008138640081788427
:202288000000000100819163000F00000081371400817894000000000081915B00040001E6
:2022900000818524008178A4000000000081915C00010001008187EC008178B0000000005A
:202298000081915D0003000100818514008178C0000000000081915E00010001008187EC7A
:2022A000008178D0000000000081915F0003000100818514008178E0000000000081915685
:2022A8000002000100818544008178EC00000000008191570003000100818550008178F830
:2022B0000000000000819158000200010081854400817904000000000081915900020001EB
:2022B8000081854400817910000000000081915A00020001008185380081792000000000EB
:2022C0000081916000010001008187E400817930000000000081916400010001008187DC18
:2022C80000817938000000000081916500010001008187FC00817944000000000081917582
:2022D000000A00010081859400817954000000000081916D000300010081856000817964B4
:2022D800000000010081916A001F00000081382000817974000000010081916B00FF000086
:2022E0000081379000817984000000010081916C00C80A00008137900081799400000001F0
:2022E8000081916F0005000000813774008179A400000001008191700005000000813774D2
:2022F000008179B80000000100819167001F000100813730008179C8000000000081916660
:2022F8000002000100818570008179D8000000000081916800010001008187FC008179EC15
:2023000000000003008187C40081223C0000000000817A00000000000081916E000500018E
:202308000081857C00817A0C000000000081916900010001008187F400817A1C000000019B
:202310000081917600FF00000081371400817A28000000010081917700FF000000813714E2
:2023180000817A34000000010081917800FF00000081371400817A40000000010081917959
:2023200000FF00000081371400817A4C000000010081917A00FF00000081371400817A58E0
:20232800000000010081917B00FF00000081371400817A64000000020081906E08FC012C2B
:202330000081234800817A74000000020081907A00FF000A0081234800817A800000000233
:202338000081906200FF00010081234800817A90000000020081906C078000C800812348E1
:2023400000817A9C0000000200819066000700010081234800817AA800000002008190744F
:20234800003F00010081234800817AB8000000020081906A04B000C80081234800817AF8BE
:2023500000817B0400817B1000817B1C00817B2000817B2800817B4000817B5400817B641D
:2023580000817B7400817B4000817B4C00817B8400817B8C00817B9400817B9C00817BA89D
:2023600000817BB400817BC000817BCC00817BDC00817BEC00817BC000817BCC00817BDC0D
:2023680000817B1C00817BFC00817C0800817C1400817B2000817C2400817C3000817B20A9
:2023700000817B1C00817C5800817C6800817C7800817C88008172F0008173000081730CAA
:202378000081731800817324008173300081733C0081734800817354008173600081736C95
:20238000000000000081868000818688008186900000000000000000000000000000000090
:20238800000000000000000000000000000000000000000000000000000000000000000035
:202390000000000000000004000000000001000A000000000002000A000000000000000012
:2023980000000000000000000000000000817F3C000000000000000000816C2400816D4C9E
:2023A00000816A0400816A4000816AD40000000000800000000000000000000000000000C4
:2023900000000000000000000000000000000000000000000000000000000000000000002D
:20239800000000000000000000000000000000000000000000000000000000000000000025
:2023A00000000000000000000000000000000000000000000000000000000000000000001D
:2023A800000000000000000000000000000000000000000000000000000000000000000015
:2023B00000000000000000000000000000000000000000000000000000000000000000000D
:2023B800000000000000000000000000000000000000000000000000000000000000000005
:2023C0000000000000000000000000000000000000000000000000000081697000000000A3
:2023C8000080000000821020008000000000000100000080000100000000010000000000C0
:2023D00000108010000000800000000B00817FBC000000020081809800000002008180C0A8
:2023D80000000007008180E80000000E00818174000000060081828C0000000400818304D0
:2023E000000000080081835400000007008183F400817A6400817A7400817A8800817A8CA6
:2023E80000817AB000817AB800817B4400817ABC00817AC000817AC800817BC400817BD076
:2023F00000817AA000817C18C896554B00818544000000000081879400818794000000009D
:2023F8000000000000000000000000000000000000000000000000000000000000000000C5
:202400000000000000000000000000000000000000000000000000000000000000000000BC
:202408000000000000000000000000000000000000000000000000000000000000000000B4
:202410000000000000000000000000000000000000000000000000000000000000000000AC
:2023B00000000004000000000001000A000000000002000A000000000000000000000000F2
:2023B800000000000000000000817FB8000000000000000000816C2400816D4C00816A0413
:2023C00000816A4000816AD400000000008000000000000000000000000000000000000093
:2023C8000000000000000000000000000000000000000000000000000000000000000000F5
:2023D0000000000000000000000000000000000000000000000000000000000000000000ED
:2023D8000000000000000000000000000000000000000000000000000000000000000000E5
:2023E000000000000000000000000000000000000000000000816970000000000080000003
:2023E800008210200080000000000001000000800001000000000100000000000010801080
:2023F000000000800000000B008180380000000200818114000000020081813C00000007AA
:2023F800008181640000000E008181F00000000600818308000000040081838000000008BD
:20240000008183D0000000070081847000817AC400817AD800817AEC00817AF000817B30DC
:2024080000817B3800817BC800817B3C00817B4000817B4C00817C4000817C4C00817B1C62
:2024100000817C94C896554B008185C0000000000081881000818810000000000000000025
:202418000000000000000000000000000000000000000000000000000000000000000000A4
:2024200000000000000000000000000000000000000000000000000000000000000000009C
:20242800000000000000000000000000000000000000000000000000000000000000000094

View File

@ -128,7 +128,7 @@ inline void TX_enable(tx_mode_t mode)
EnableVideoOutput(PCLK_MEDIUM, COLOR_RGB444, COLOR_RGB444, !mode);
if (mode == TX_HDMI) {
HDMITX_SetAVIInfoFrame(HDMI_Unkown, 0, 0, tc.hdmi_itc, cm.hdmitx_pixr_ifr ? cm.tx_pixelrep : 0);
HDMITX_SetAVIInfoFrame(HDMI_Unkown, 0, 0, tc.hdmi_itc, cm.hdmitx_pixr_ifr);
cm.cc.hdmi_itc = tc.hdmi_itc;
}
@ -282,10 +282,11 @@ status_t get_status(tvp_input_t input, video_format format)
(tc.l5_mode != cm.cc.l5_mode) ||
(tc.l5_fmt != cm.cc.l5_fmt) ||
(tc.tvp_hpll2x != cm.cc.tvp_hpll2x) ||
(tc.upsample2x != cm.cc.upsample2x) ||
(tc.vga_ilace_fix != cm.cc.vga_ilace_fix))
status = (status < MODE_CHANGE) ? MODE_CHANGE : status;
if ((tc.s480p_mode != cm.cc.s480p_mode) && ((video_modes[cm.id].group == GROUP_DTV480P) || (video_modes[cm.id].group == GROUP_VGA480P)))
if ((tc.s480p_mode != cm.cc.s480p_mode) && (video_modes[cm.id].v_total == 525))
status = (status < MODE_CHANGE) ? MODE_CHANGE : status;
if (update_cur_vm) {
@ -369,7 +370,7 @@ status_t get_status(tvp_input_t input, video_format format)
void set_videoinfo()
{
alt_u8 sl_mode_fpga;
alt_u8 h_opt_scale = 1;
alt_u8 h_opt_scale = cm.sample_mult;
alt_u16 h_opt_startoffs = 0;
alt_u16 h_synclen = video_modes[cm.id].h_synclen;
alt_u16 h_border, h_mask;
@ -381,7 +382,7 @@ void set_videoinfo()
} else if (cm.cc.sl_mode == 1) { //auto
if (video_modes[cm.id].flags & MODE_INTERLACED)
sl_mode_fpga = cm.fpga_vmultmode ? FPGA_SCANLINEMODE_ALT : FPGA_SCANLINEMODE_OFF;
else if (cm.fpga_vmultmode)
else if ((cm.fpga_vmultmode) && (video_modes[cm.id].group != GROUP_480P))
sl_mode_fpga = FPGA_SCANLINEMODE_H;
else
sl_mode_fpga = FPGA_SCANLINEMODE_OFF;
@ -390,9 +391,6 @@ void set_videoinfo()
}
switch (cm.target_lm) {
case MODE_L2:
h_opt_scale = cm.sample_mult;
break;
case MODE_L2_320_COL:
h_opt_scale = 4;
break;
@ -522,13 +520,13 @@ void program_mode()
set_videoinfo();
TX_SetPixelRepetition(cm.tx_pixelrep, (cm.cc.tx_mode==TX_HDMI) ? cm.hdmitx_pixr_ifr : 0);
TX_SetPixelRepetition(cm.tx_pixelrep, ((cm.cc.tx_mode==TX_HDMI) && (cm.tx_pixelrep == cm.hdmitx_pixr_ifr)) ? 1 : 0);
// Full TX initialization increases mode switch delay, use only for compatibility
if (cm.cc.full_tx_setup) {
TX_enable(cm.cc.tx_mode);
} else if (cm.cc.tx_mode==TX_HDMI) {
HDMITX_SetAVIInfoFrame(HDMI_Unkown, 0, 0, cm.cc.hdmi_itc, cm.hdmitx_pixr_ifr ? cm.tx_pixelrep : 0);
HDMITX_SetAVIInfoFrame(HDMI_Unkown, 0, 0, cm.cc.hdmi_itc, cm.hdmitx_pixr_ifr);
#ifdef ENABLE_AUDIO
#ifdef MANUAL_CTS
SetupAudio(cm.cc.tx_mode);
@ -875,6 +873,7 @@ int main()
// Check here to enable regardless of av_init
if (tc.tx_mode != cm.cc.tx_mode) {
HDMITX_SetAVIInfoFrame(HDMI_Unkown, 0, 0, 0, 0);
TX_enable(tc.tx_mode);
cm.cc.tx_mode = tc.tx_mode;
cm.clkcnt = 0; //TODO: proper invalidate
@ -882,7 +881,7 @@ int main()
if ((tc.tx_mode == TX_HDMI) && (tc.hdmi_itc != cm.cc.hdmi_itc)) {
//EnableAVIInfoFrame(FALSE, NULL);
printf("setting ITC to %d\n", tc.hdmi_itc);
HDMITX_SetAVIInfoFrame(HDMI_Unkown, 0, 0, tc.hdmi_itc, cm.hdmitx_pixr_ifr ? cm.tx_pixelrep : 0);
HDMITX_SetAVIInfoFrame(HDMI_Unkown, 0, 0, tc.hdmi_itc, cm.hdmitx_pixr_ifr);
cm.cc.hdmi_itc = tc.hdmi_itc;
}

View File

@ -66,6 +66,7 @@ typedef struct {
alt_u8 s480p_mode;
alt_u8 sampler_phase;
alt_u8 tvp_hpll2x;
alt_u8 upsample2x;
alt_u8 ypbpr_cs;
alt_u8 sync_vth;
alt_u8 linelen_tol;

View File

@ -24,7 +24,7 @@
#include "sysconfig.h"
#define FW_VER_MAJOR 0
#define FW_VER_MINOR 77
#define FW_VER_MINOR 78
#ifdef ENABLE_AUDIO
#define FW_SUFFIX1 "a"

View File

@ -54,6 +54,7 @@ static const char *l5_fmt_desc[] = { "1920x1080", "1600x1200", "1920x1200" };
static const char *pm_240p_desc[] = { LNG("Passthru","パススルー"), "Line2x", "Line3x", "Line4x", "Line5x" };
static const char *pm_480i_desc[] = { LNG("Passthru","パススルー"), "Line2x (bob)", "Line3x (laced)", "Line4x (bob)" };
static const char *pm_384p_480p_desc[] = { LNG("Passthru","パススルー"), "Line2x" };
static const char *pm_1080i_desc[] = { LNG("Passthru","パススルー"), "Line2x (bob)" };
static const char *ar_256col_desc[] = { "4:3", "8:7" };
static const char *tx_mode_desc[] = { "HDMI", "DVI" };
static const char *sl_mode_desc[] = { LNG("Off","オフ"), LNG("Auto","オート"), LNG("Manual","カスタム") };
@ -96,6 +97,7 @@ MENU(menu_sampling, P99_PROTECT({ \
{ LNG("Sampling phase","サンプリングフェーズ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sampler_phase, OPT_WRAP, 0, SAMPLER_PHASE_MAX, sampler_phase_disp } } },
{ LNG("480p in sampler","サンプラーデ480p"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.s480p_mode, OPT_WRAP, SETTING_ITEM(s480p_mode_desc) } } },
{ LNG("Allow TVP HPLL2x","TVP HPLL2xキョヨウ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.tvp_hpll2x, OPT_WRAP, SETTING_ITEM(off_on_desc) } } },
{ LNG("Allow upsample2x","TVP HPLL2xキョヨウ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.upsample2x, OPT_WRAP, SETTING_ITEM(off_on_desc) } } },
{ LNG("<Adv. timing >","<カクシュタイミング>"), OPT_SUBMENU, { .sub = { &menu_advtiming, vm_display } } },
}))
@ -113,7 +115,7 @@ MENU(menu_output, P99_PROTECT({ \
{ LNG("384p proc","384pショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_384p, OPT_WRAP, SETTING_ITEM(pm_384p_480p_desc) } } },
{ LNG("480i/576i proc","480i/576iショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_480i, OPT_WRAP, SETTING_ITEM(pm_480i_desc) } } },
{ LNG("480p/576p proc","480p/576pショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_480p, OPT_WRAP, SETTING_ITEM(pm_384p_480p_desc) } } },
{ LNG("960i/1080i proc","960i/1080iショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_1080i, OPT_WRAP, SETTING_ITEM(pm_480i_desc) } } },
{ LNG("960i/1080i proc","960i/1080iショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_1080i, OPT_WRAP, SETTING_ITEM(pm_1080i_desc) } } },
{ LNG("Line2x mode","Line2xモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.l2_mode, OPT_WRAP, SETTING_ITEM(l2l4l5_mode_desc) } } },
{ LNG("Line3x mode","Line3xモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.l3_mode, OPT_WRAP, SETTING_ITEM(l3_mode_desc) } } },
{ LNG("Line4x mode","Line4xモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.l4_mode, OPT_WRAP, SETTING_ITEM(l2l4l5_mode_desc) } } },

View File

@ -41,7 +41,7 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t
alt_u8 pt_only = 0;
// one for each video_group
alt_u8* group_ptr[] = { &pt_only, &cm.cc.pm_240p, &cm.cc.pm_384p, &cm.cc.pm_480i, &cm.cc.pm_480p, &cm.cc.pm_480p, &cm.cc.pm_1080i };
alt_u8* group_ptr[] = { &pt_only, &cm.cc.pm_240p, &cm.cc.pm_384p, &cm.cc.pm_480i, &cm.cc.pm_480p, &cm.cc.pm_1080i };
for (i=0; i<num_modes; i++) {
mode_type = video_modes[i].type;
@ -52,20 +52,24 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t
case GROUP_384P:
break;
case GROUP_480I:
//fixed Line3x/4x mode for 480i
valid_lm[2] = MODE_L3_GEN_16_9;
valid_lm[3] = MODE_L4_GEN_4_3;
break;
case GROUP_DTV480P:
if (cm.cc.s480p_mode == 0) // auto
mode_type &= ~VIDEO_PC;
else if (cm.cc.s480p_mode == 2) // VGA 640x480
continue;
break;
case GROUP_VGA480P:
if (cm.cc.s480p_mode == 0) // auto
mode_type &= ~VIDEO_EDTV;
else if (cm.cc.s480p_mode == 1) // DTV 480P
continue;
case GROUP_480P:
if (video_modes[i].v_total == 525) {
if (video_modes[i-1].group == GROUP_480I) { // hit "480p" on the list
if (cm.cc.s480p_mode == 0) // Auto
mode_type &= ~VIDEO_PC;
else if (cm.cc.s480p_mode == 2) // VESA 640x480@60
continue;
} else { // "640x480" on the list
if (cm.cc.s480p_mode == 0) // Auto
mode_type &= ~VIDEO_EDTV;
else if (cm.cc.s480p_mode == 1) // DTV 480p
continue;
}
}
break;
case GROUP_1080I:
break;
@ -90,21 +94,33 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t
case MODE_PT:
cm.fpga_vmultmode = FPGA_V_MULTMODE_1X;
cm.fpga_hmultmode = FPGA_H_MULTMODE_FULLWIDTH;
cm.tx_pixelrep = ((video_modes[i].group == GROUP_240P) || (video_modes[i].group == GROUP_480I)) ? TX_PIXELREP_2X : TX_PIXELREP_DISABLE;
cm.hdmitx_pixr_ifr = cm.tx_pixelrep;
// Upsample / pixel-repeat horizontal resolution of 240p/480i modes to fulfill min. 25MHz TMDS clock requirement
if ((video_modes[i].group == GROUP_240P) || (video_modes[i].group == GROUP_480I)) {
if (cm.cc.upsample2x)
cm.sample_mult = 2;
else
cm.tx_pixelrep = TX_PIXELREP_2X;
cm.hdmitx_pixr_ifr = TX_PIXELREP_2X;
}
break;
case MODE_L2:
cm.fpga_vmultmode = FPGA_V_MULTMODE_2X;
// Use native 2x sampling with low-res modes when possible to minimize jitter and generate min. 25MHz input pclk for FPGA PLL
if ((!cm.cc.vga_ilace_fix) && ((video_modes[i].group == GROUP_240P) || (video_modes[i].group == GROUP_384P) || (video_modes[i].group == GROUP_480I))) {
cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED;
cm.sample_mult = 2;
} else {
cm.fpga_hmultmode = FPGA_H_MULTMODE_FULLWIDTH;
}
cm.tx_pixelrep = ((video_modes[i].group == GROUP_384P) ||
(video_modes[i].group == GROUP_DTV480P) ||
(video_modes[i].group == GROUP_VGA480P) ||
((video_modes[i].group == GROUP_1080I) && (video_modes[i].h_total < 1200))) ? TX_PIXELREP_2X : TX_PIXELREP_DISABLE;
// Upsample / pixel-repeat horizontal resolution of 384p/480p/960i modes
if ((video_modes[i].group == GROUP_384P) || (video_modes[i].group == GROUP_480P) || ((video_modes[i].group == GROUP_1080I) && (video_modes[i].h_total < 1200))) {
if (cm.cc.upsample2x) {
cm.fpga_hmultmode = FPGA_H_MULTMODE_FULLWIDTH;
cm.sample_mult = 2;
} else {
cm.tx_pixelrep = TX_PIXELREP_2X;
}
}
break;
case MODE_L2_256_COL:
cm.fpga_vmultmode = FPGA_V_MULTMODE_2X;
@ -119,8 +135,13 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t
case MODE_L3_GEN_16_9:
cm.fpga_vmultmode = FPGA_V_MULTMODE_3X;
cm.fpga_hmultmode = FPGA_H_MULTMODE_FULLWIDTH;
if (video_modes[i].group == GROUP_480I)
cm.tx_pixelrep = TX_PIXELREP_2X;
// Upsample / pixel-repeat horizontal resolution of 480i mode
if (video_modes[i].group == GROUP_480I) {
if (cm.cc.upsample2x)
cm.sample_mult = 2;
else
cm.tx_pixelrep = TX_PIXELREP_2X;
}
break;
case MODE_L3_GEN_4_3:
cm.fpga_vmultmode = FPGA_V_MULTMODE_3X;
@ -139,8 +160,13 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t
case MODE_L4_GEN_4_3:
cm.fpga_vmultmode = FPGA_V_MULTMODE_4X;
cm.fpga_hmultmode = FPGA_H_MULTMODE_FULLWIDTH;
if (video_modes[i].group == GROUP_480I)
cm.tx_pixelrep = TX_PIXELREP_2X;
// Upsample / pixel-repeat horizontal resolution of 480i mode
if (video_modes[i].group == GROUP_480I) {
if (cm.cc.upsample2x)
cm.sample_mult = 2;
else
cm.tx_pixelrep = TX_PIXELREP_2X;
}
break;
case MODE_L4_320_COL:
cm.fpga_vmultmode = FPGA_V_MULTMODE_4X;

View File

@ -58,9 +58,8 @@ typedef enum {
GROUP_240P = 1,
GROUP_384P = 2,
GROUP_480I = 3,
GROUP_DTV480P = 4,
GROUP_VGA480P = 5,
GROUP_1080I = 6,
GROUP_480P = 4,
GROUP_1080I = 5,
} video_group;
typedef enum {
@ -120,13 +119,13 @@ typedef struct {
{ "640x384", 640, 384, 800, 492, 48, 63, 96, 2, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \
/* ~525-line modes */ \
{ "480i", 720, 240, 858, 525, 57, 15, 62, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_480I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2 | MODE_INTERLACED) }, \
{ "480p", 720, 480, 858, 525, 60, 30, 62, 6, (VIDEO_EDTV | VIDEO_PC), GROUP_DTV480P, (MODE_PT | MODE_L2) }, \
{ "640x480", 640, 480, 800, 525, 48, 33, 96, 2, (VIDEO_PC | VIDEO_EDTV), GROUP_VGA480P, (MODE_PT | MODE_L2) }, \
{ "480p", 720, 480, 858, 525, 60, 30, 62, 6, (VIDEO_EDTV | VIDEO_PC), GROUP_480P, (MODE_PT | MODE_L2) }, \
{ "640x480", 640, 480, 800, 525, 48, 33, 96, 2, (VIDEO_PC | VIDEO_EDTV), GROUP_480P, (MODE_PT | MODE_L2) }, \
/* X68k @ 31kHz */ \
{ "640x512", 640, 512, 800, 568, 48, 28, 96, 2, VIDEO_PC, GROUP_NONE, MODE_PT }, \
{ "640x512", 640, 512, 800, 568, 48, 28, 96, 2, (VIDEO_PC | VIDEO_EDTV), GROUP_480P, (MODE_PT | MODE_L2) }, \
/* ~625-line modes */ \
{ "576i", 720, 288, 864, 625, 69, 19, 63, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_480I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2 | MODE_INTERLACED) }, \
{ "576p", 720, 576, 864, 625, 68, 39, 64, 5, VIDEO_EDTV, GROUP_DTV480P, (MODE_PT | MODE_L2) }, \
{ "576p", 720, 576, 864, 625, 68, 39, 64, 5, VIDEO_EDTV, GROUP_480P, (MODE_PT | MODE_L2) }, \
{ "800x600", 800, 600, 1056, 628, 88, 23, 128, 4, VIDEO_PC, GROUP_NONE, MODE_PT }, \
/* 720p modes */ \
{ "720p", 1280, 720, 1650, 750, 220, 20, 40, 5, (VIDEO_HDTV | VIDEO_PC), GROUP_NONE, MODE_PT }, \

View File

@ -2,9 +2,9 @@
<sch:Settings xmlns:sch="http://www.altera.com/embeddedsw/bsp/schema">
<BspType>hal</BspType>
<BspVersion>default</BspVersion>
<BspGeneratedTimeStamp>Jun 11, 2017 10:07:19 PM</BspGeneratedTimeStamp>
<BspGeneratedUnixTimeStamp>1497208039536</BspGeneratedUnixTimeStamp>
<BspGeneratedLocation>/home/markus/Code/ossc/software/sys_controller_bsp</BspGeneratedLocation>
<BspGeneratedTimeStamp>Aug 8, 2017 11:42:37 PM</BspGeneratedTimeStamp>
<BspGeneratedUnixTimeStamp>1502224957695</BspGeneratedUnixTimeStamp>
<BspGeneratedLocation>./</BspGeneratedLocation>
<BspSettingsFile>settings.bsp</BspSettingsFile>
<SopcDesignFile>../../sys.sopcinfo</SopcDesignFile>
<JdiFile>default</JdiFile>

View File

@ -1,11 +1,11 @@
<?xml version="1.0" encoding="UTF-8"?>
<EnsembleReport name="sys" kind="sys" version="1.0" fabric="QSYS">
<!-- Format version 17.0 595 (Future versions may contain additional information.) -->
<!-- 2017.06.11.21:19:10 -->
<!-- 2017.08.09.23:56:57 -->
<!-- A collection of modules and connections -->
<parameter name="AUTO_GENERATION_ID">
<type>java.lang.Integer</type>
<value>1497205150</value>
<value>1502312217</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>false</visible>