diff --git a/ip/pll_reconfig/inc/pll_reconfig_regs.h b/ip/pll_reconfig/inc/pll_reconfig_regs.h new file mode 100644 index 0000000..249bbb2 --- /dev/null +++ b/ip/pll_reconfig/inc/pll_reconfig_regs.h @@ -0,0 +1,45 @@ +// +// Copyright (C) 2019 Markus Hiienkari +// +// This file is part of Open Source Scan Converter project. +// +// This program is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . +// + +#ifndef PLL_RECONFIG_REGS_H_ +#define PLL_RECONFIG_REGS_H_ + +#include + +typedef union { + struct { + alt_u8 reset:1; + alt_u8 update:1; + alt_u32 pll_reconfig_rsv:29; + alt_u8 busy:1; + } __attribute__((packed, __may_alias__)); + alt_u32 data; +} pll_config_status_reg; + +// char regs +typedef struct { + char data[160]; +} pll_config_data_regs; + +typedef struct { + pll_config_status_reg pll_config_status; + pll_config_data_regs pll_config_data; +} __attribute__((packed, __may_alias__)) pll_reconfig_regs; + +#endif //OSD_GENERATOR_REGS_H_ diff --git a/ip/pll_reconfig/pll_reconfig_hw.tcl b/ip/pll_reconfig/pll_reconfig_hw.tcl new file mode 100644 index 0000000..0a2255e --- /dev/null +++ b/ip/pll_reconfig/pll_reconfig_hw.tcl @@ -0,0 +1,151 @@ +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + +# +# module +# +set_module_property DESCRIPTION "PLL reconfig" +set_module_property NAME pll_reconfig +#set_module_property VERSION 18.0 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property GROUP "Processors and Peripherals" +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME pll_reconfig +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL pll_reconfig_top +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file pll_reconfig_top.sv VERILOG PATH pll_reconfig_top.sv + +add_fileset SIM_VERILOG SIM_VERILOG "" "" +set_fileset_property SIM_VERILOG ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property SIM_VERILOG ENABLE_FILE_OVERWRITE_MODE false +set_fileset_property SIM_VERILOG TOP_LEVEL pll_reconfig_top +add_fileset_file pll_reconfig_top.sv VERILOG PATH pll_reconfig_top.sv + +# +# parameters +# + + +# +# display items +# + + +# +# connection point clock_sink +# +add_interface clock_sink clock end +set_interface_property clock_sink clockRate 0 +set_interface_property clock_sink ENABLED true +set_interface_property clock_sink EXPORT_OF "" +set_interface_property clock_sink PORT_NAME_MAP "" +set_interface_property clock_sink CMSIS_SVD_VARIABLES "" +set_interface_property clock_sink SVD_ADDRESS_GROUP "" + +add_interface_port clock_sink clk_i clk Input 1 + + +# +# connection point reset_sink +# +add_interface reset_sink reset end +set_interface_property reset_sink associatedClock clock_sink +set_interface_property reset_sink synchronousEdges DEASSERT +set_interface_property reset_sink ENABLED true +set_interface_property reset_sink EXPORT_OF "" +set_interface_property reset_sink PORT_NAME_MAP "" +set_interface_property reset_sink CMSIS_SVD_VARIABLES "" +set_interface_property reset_sink SVD_ADDRESS_GROUP "" + +add_interface_port reset_sink rst_i reset Input 1 + + +# +# connection point avalon_s +# +add_interface avalon_s avalon end +set_interface_property avalon_s addressUnits WORDS +set_interface_property avalon_s associatedClock clock_sink +set_interface_property avalon_s associatedReset reset_sink +set_interface_property avalon_s bitsPerSymbol 8 +set_interface_property avalon_s burstOnBurstBoundariesOnly false +set_interface_property avalon_s burstcountUnits WORDS +set_interface_property avalon_s explicitAddressSpan 0 +set_interface_property avalon_s holdTime 0 +set_interface_property avalon_s linewrapBursts false +set_interface_property avalon_s maximumPendingReadTransactions 0 +set_interface_property avalon_s maximumPendingWriteTransactions 0 +set_interface_property avalon_s readLatency 0 +set_interface_property avalon_s readWaitTime 1 +set_interface_property avalon_s setupTime 0 +set_interface_property avalon_s timingUnits Cycles +set_interface_property avalon_s writeWaitTime 0 +set_interface_property avalon_s ENABLED true +set_interface_property avalon_s EXPORT_OF "" +set_interface_property avalon_s PORT_NAME_MAP "" +set_interface_property avalon_s CMSIS_SVD_VARIABLES "" +set_interface_property avalon_s SVD_ADDRESS_GROUP "" + +add_interface_port avalon_s avalon_s_address address Input 3 +add_interface_port avalon_s avalon_s_writedata writedata Input 32 +add_interface_port avalon_s avalon_s_readdata readdata Output 32 +add_interface_port avalon_s avalon_s_byteenable byteenable Input 4 +add_interface_port avalon_s avalon_s_write write Input 1 +add_interface_port avalon_s avalon_s_read read Input 1 +add_interface_port avalon_s avalon_s_chipselect chipselect Input 1 +add_interface_port avalon_s avalon_s_waitrequest_n waitrequest_n Output 1 +set_interface_assignment avalon_s embeddedsw.configuration.isFlash 0 +set_interface_assignment avalon_s embeddedsw.configuration.isMemoryDevice 0 +set_interface_assignment avalon_s embeddedsw.configuration.isNonVolatileStorage 0 +set_interface_assignment avalon_s embeddedsw.configuration.isPrintableDevice 0 + + +# +# connection point bus +# +#add_sv_interface bus pll_reconfig_if + +# Setting the parameter property to add SV interface parameters +#set_parameter_property my_interface_parameter SV_INTERFACE_PARAMETER bus + +# Setting the port properties to add them to SV interface port set_port_property clk SV_INTERFACE_PORT bus #set_port_property p1 SV_INTERFACE_PORT bus +#set_port_property p2 SV_INTERFACE_PORT bus +#set_port_property p1 SV_INTERFACE_SIGNAL bus +#set_port_property p2 SV_INTERFACE_SIGNAL bus + +#Adding the SV Interface File +#add_fileset_file pll_reconfig_if.sv SYSTEM_VERILOG PATH pll_reconfig_if.sv SYSTEMVERILOG_INTERFACE + + +# +# connection point pll_reconfig_if +# +add_interface pll_reconfig_if conduit end +set_interface_property pll_reconfig_if associatedClock "" +set_interface_property pll_reconfig_if associatedReset "" +set_interface_property pll_reconfig_if ENABLED true +set_interface_property pll_reconfig_if EXPORT_OF "" +set_interface_property pll_reconfig_if PORT_NAME_MAP "" +set_interface_property pll_reconfig_if CMSIS_SVD_VARIABLES "" +set_interface_property pll_reconfig_if SVD_ADDRESS_GROUP "" + +add_interface_port pll_reconfig_if areset areset Output 1 +add_interface_port pll_reconfig_if scanclk scanclk Output 1 +add_interface_port pll_reconfig_if scanclkena scanclkena Output 1 +add_interface_port pll_reconfig_if configupdate configupdate Output 1 +add_interface_port pll_reconfig_if scandata scandata Output 1 +add_interface_port pll_reconfig_if scandone scandone Input 1 diff --git a/ip/pll_reconfig/pll_reconfig_sw.tcl b/ip/pll_reconfig/pll_reconfig_sw.tcl new file mode 100644 index 0000000..f2c1d05 --- /dev/null +++ b/ip/pll_reconfig/pll_reconfig_sw.tcl @@ -0,0 +1,54 @@ +# +# pll_reconfig_sw.tcl +# + +# Create a new driver +create_driver pll_reconfig_driver + +# Associate it with some hardware known as "opencores_i2c" +set_sw_property hw_class_name pll_reconfig + +# The version of this driver +set_sw_property version 1.0 + +# This driver may be incompatible with versions of hardware less +# than specified below. Updates to hardware and device drivers +# rendering the driver incompatible with older versions of +# hardware are noted with this property assignment. +# +# Multiple-Version compatibility was introduced in version 7.1; +# prior versions are therefore excluded. +set_sw_property min_compatible_hw_version 7.1 + +# Initialize the driver in alt_sys_init() +set_sw_property auto_initialize false + +# Location in generated BSP that above sources will be copied into +set_sw_property bsp_subdirectory drivers + + +# Interrupt properties: +# This peripheral has an IRQ output but the driver doesn't currently +# have any interrupt service routine. To ensure that the BSP tools +# do not otherwise limit the BSP functionality for users of the +# Nios II enhanced interrupt port, these settings advertise +# compliance with both legacy and enhanced interrupt APIs, and to state +# that any driver ISR supports preemption. If an interrupt handler +# is added to this driver, these must be re-examined for validity. +set_sw_property isr_preemption_supported true +set_sw_property supported_interrupt_apis "legacy_interrupt_api enhanced_interrupt_api" + +# +# Source file listings... +# + +# C/C++ source files + +# Include files +add_sw_property include_source inc/pll_reconfig_regs.h + +# This driver supports HAL & UCOSII BSP (OS) types +add_sw_property supported_bsp_type HAL +add_sw_property supported_bsp_type UCOSII + +# End of file diff --git a/ip/pll_reconfig/pll_reconfig_top.sv b/ip/pll_reconfig/pll_reconfig_top.sv new file mode 100644 index 0000000..3938a23 --- /dev/null +++ b/ip/pll_reconfig/pll_reconfig_top.sv @@ -0,0 +1,190 @@ +// +// Copyright (C) 2019 Markus Hiienkari +// +// This file is part of Open Source Scan Converter project. +// +// This program is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . +// + +module pll_reconfig_top ( + // common + input clk_i, + input rst_i, + // avalon slave + input [31:0] avalon_s_writedata, + output [31:0] avalon_s_readdata, + input [2:0] avalon_s_address, + input [3:0] avalon_s_byteenable, + input avalon_s_write, + input avalon_s_read, + input avalon_s_chipselect, + output avalon_s_waitrequest_n, + // reconfig interface + output areset, + output scanclk, + output reg scanclkena, + output reg configupdate, + output scandata, + input scandone +); + +localparam PLL_CONFIG_DATA_BITS = 8'd144; +localparam PLL_CONFIG_DATA_REGS = 5; + +localparam PLL_CONFIG_STATUS_REGNUM = 3'h0; +localparam PLL_CONFIG_DATA_STARTREG = 3'h1; + +localparam STATE_IDLE = 2'h0; +localparam STATE_SHIFT = 2'h1; +localparam STATE_WAITRESP = 2'h2; + +reg [31:0] pll_config_status; +reg [31:0] config_data[0:(PLL_CONFIG_DATA_REGS-1)] /* synthesis ramstyle = "logic" */; +reg areset_strobe; +reg [1:0] state; +reg scan_shift; +reg scandone_prev; +reg configupdate_pre; +reg [7:0] shift_ctr; + +wire pll_reset = pll_config_status[0]; +wire start_update = pll_config_status[1]; +wire config_busy = pll_config_status[31]; + +assign areset = pll_reset | areset_strobe; +assign scanclk = clk_i; +assign scandata = config_data[0][16]; + +assign avalon_s_waitrequest_n = 1'b1; + + +// Avalon register interface +always @(posedge clk_i or posedge rst_i) begin + if (rst_i) begin + pll_config_status[7:0] <= 8'h0; + end else begin + if (avalon_s_chipselect && avalon_s_write && (avalon_s_address==PLL_CONFIG_STATUS_REGNUM)) begin + /*if (avalon_s_byteenable[3]) + pll_config_status[31:24] <= avalon_s_writedata[31:24]; + if (avalon_s_byteenable[2]) + pll_config_status[23:16] <= avalon_s_writedata[23:16]; + if (avalon_s_byteenable[1]) + pll_config_status[15:8] <= avalon_s_writedata[15:8];*/ + if (avalon_s_byteenable[0]) + pll_config_status[7:0] <= avalon_s_writedata[7:0]; + end else begin + pll_config_status[1] <= 1'b0; // reset start_update bit + end + end +end + + +genvar i; +generate + for (i = 0; i < PLL_CONFIG_DATA_REGS; i = i + 1) begin : genreg + always @(posedge clk_i or posedge rst_i) begin + if (rst_i) begin + config_data[i] <= 32'h0; + end else begin + if (!scan_shift) begin + if (avalon_s_chipselect && avalon_s_write && (avalon_s_address==(PLL_CONFIG_DATA_STARTREG+PLL_CONFIG_DATA_REGS-1-i))) begin + if (avalon_s_byteenable[3]) + config_data[i][31:24] <= avalon_s_writedata[31:24]; + if (avalon_s_byteenable[2]) + config_data[i][23:16] <= avalon_s_writedata[23:16]; + if (avalon_s_byteenable[1]) + config_data[i][15:8] <= avalon_s_writedata[15:8]; + if (avalon_s_byteenable[0]) + config_data[i][7:0] <= avalon_s_writedata[7:0]; + end + end else begin + if (i==(PLL_CONFIG_DATA_REGS-1)) begin + config_data[i] <= {1'b0, config_data[i][31:1]}; + end else begin + config_data[i] <= {config_data[i+1][0], config_data[i][31:1]}; + end + end + end + end + end +endgenerate + +// Main FSM +always @(posedge clk_i or posedge rst_i) +begin + if (rst_i) begin + state <= STATE_IDLE; + scanclkena <= 1'b0; + configupdate_pre <= 1'b0; + configupdate <= 1'b0; + areset_strobe <= 1'b0; + scan_shift <= 1'b0; + scandone_prev <= 1'b0; + pll_config_status[31] <= 1'b0; + end else begin + case (state) + STATE_IDLE: + begin + areset_strobe <= 1'b0; + + if (start_update) begin + pll_config_status[31] <= 1'b1; + scanclkena <= 1'b1; + shift_ctr <= PLL_CONFIG_DATA_BITS; + state <= STATE_SHIFT; + end else begin + pll_config_status[31] <= 1'b0; + end + end + STATE_SHIFT: + begin + scan_shift <= 1'b1; + if (shift_ctr > 0) begin + shift_ctr <= shift_ctr - 1'b1; + end else begin + scan_shift <= 1'b0; + scanclkena <= 1'b0; + configupdate_pre <= 1'b1; + state <= STATE_WAITRESP; + end + end + STATE_WAITRESP: + begin + configupdate_pre <= 1'b0; + if (scandone_prev) begin + areset_strobe <= 1'b1; + state <= STATE_IDLE; + end + end + default: + state <= STATE_IDLE; + endcase + + scandone_prev <= scandone; + configupdate <= configupdate_pre; + end +end + +always @(*) begin + if (avalon_s_chipselect && avalon_s_read) begin + case (avalon_s_address) + PLL_CONFIG_STATUS_REGNUM: avalon_s_readdata = pll_config_status; + default: avalon_s_readdata = 32'h00000000; + endcase + end else begin + avalon_s_readdata = 32'h00000000; + end +end + +endmodule diff --git a/ossc.qsf b/ossc.qsf index 13a319c..cc59c9f 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -162,12 +162,6 @@ set_location_assignment PIN_129 -to btn[1] set_location_assignment PIN_128 -to btn[0] -set_instance_assignment -name PLL_COMPENSATE ON -to G_in -set_instance_assignment -name PLL_COMPENSATE ON -to FID_in -set_instance_assignment -name PLL_COMPENSATE ON -to HSYNC_in -set_instance_assignment -name PLL_COMPENSATE ON -to R_in -set_instance_assignment -name PLL_COMPENSATE ON -to VSYNC_in -set_instance_assignment -name PLL_COMPENSATE ON -to B_in set_global_assignment -name USE_CONFIGURATION_DEVICE ON @@ -239,11 +233,9 @@ set_global_assignment -name QIP_FILE software/sys_controller/mem_init/meminit.qi set_global_assignment -name QIP_FILE rtl/linebuf.qip set_global_assignment -name QIP_FILE rtl/char_rom.qip set_global_assignment -name QIP_FILE rtl/pll_2x.qip -set_global_assignment -name QIP_FILE rtl/pll_3x.qip set_global_assignment -name QIP_FILE rtl/lpm_mult_4_hybr_ref_pre.qip set_global_assignment -name QIP_FILE rtl/lpm_mult_4_hybr_ref.qip set_global_assignment -name QIP_FILE rtl/lpm_mult_4_sl.qip -set_global_assignment -name QIP_FILE rtl/mux5.qip set_global_assignment -name SDC_FILE ossc.sdc set_global_assignment -name CDF_FILE output_files/Chain1.cdf set_global_assignment -name SIGNALTAP_FILE output_files/ossc_la.stp diff --git a/ossc.sdc b/ossc.sdc index 51373b2..1a9ed02 100644 --- a/ossc.sdc +++ b/ossc.sdc @@ -16,28 +16,31 @@ create_clock -period 33MHz -name pclk_4x_source [get_ports PCLK_in] -add create_clock -period 33MHz -name pclk_5x_source [get_ports PCLK_in] -add #derive_pll_clocks -create_generated_clock -master_clock pclk_2x_source -source {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 2 -duty_cycle 50.00 -name pclk_2x {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|clk[0]} -create_generated_clock -master_clock pclk_3x_source -source {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 3 -duty_cycle 50.00 -name pclk_3x {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|clk[0]} -create_generated_clock -master_clock pclk_4x_source -source {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -duty_cycle 50.00 -name pclk_4x {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|clk[1]} -create_generated_clock -master_clock pclk_5x_source -source {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 5 -duty_cycle 50.00 -name pclk_5x {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|clk[1]} +create_generated_clock -name pclk_2x -master_clock pclk_2x_source -source {scanconverter_inst|pll_pclk|altpll_component|auto_generated|pll1|inclk[1]} -multiply_by 2 -duty_cycle 50.00 {scanconverter_inst|pll_pclk|altpll_component|auto_generated|pll1|clk[0]} -add +create_generated_clock -name pclk_3x -master_clock pclk_3x_source -source {scanconverter_inst|pll_pclk|altpll_component|auto_generated|pll1|inclk[1]} -multiply_by 3 -duty_cycle 50.00 {scanconverter_inst|pll_pclk|altpll_component|auto_generated|pll1|clk[0]} -add +create_generated_clock -name pclk_4x -master_clock pclk_4x_source -source {scanconverter_inst|pll_pclk|altpll_component|auto_generated|pll1|inclk[1]} -multiply_by 4 -duty_cycle 50.00 {scanconverter_inst|pll_pclk|altpll_component|auto_generated|pll1|clk[1]} -add +create_generated_clock -name pclk_5x -master_clock pclk_5x_source -source {scanconverter_inst|pll_pclk|altpll_component|auto_generated|pll1|inclk[1]} -multiply_by 5 -duty_cycle 50.00 {scanconverter_inst|pll_pclk|altpll_component|auto_generated|pll1|clk[1]} -add +create_generated_clock -name pclk_27mhz -master_clock clk27 -source {scanconverter_inst|pll_pclk|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 1 -duty_cycle 50.00 {scanconverter_inst|pll_pclk|altpll_component|auto_generated|pll1|clk[0]} -add # retrieve post-mapping clkmux output pin -set clkmux_output [get_pins scanconverter_inst|mux5_inst|LPM_MUX_component|auto_generated|muxlut_result*|combout] +set clkmux_output [get_pins scanconverter_inst|clkctrl1|outclk] # specify postmux clocks which clock postprocess pipeline -create_generated_clock -master_clock pclk_1x -source [get_ports PCLK_in] -multiply_by 1 -name pclk_1x_postmux $clkmux_output -create_generated_clock -master_clock pclk_2x -source [get_pins scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|clk[0]] -multiply_by 1 -name pclk_2x_postmux $clkmux_output -add -create_generated_clock -master_clock pclk_3x -source [get_pins scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|clk[0]] -multiply_by 1 -name pclk_3x_postmux $clkmux_output -add -create_generated_clock -master_clock pclk_4x -source [get_pins scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|clk[1]] -multiply_by 1 -name pclk_4x_postmux $clkmux_output -add -create_generated_clock -master_clock pclk_5x -source [get_pins scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|clk[1]] -multiply_by 1 -name pclk_5x_postmux $clkmux_output -add +create_generated_clock -name pclk_1x_postmux -master_clock pclk_1x -source [get_pins scanconverter_inst|clkctrl1|inclk[0]] -multiply_by 1 $clkmux_output +create_generated_clock -name pclk_2x_postmux -master_clock pclk_2x -source [get_pins scanconverter_inst|clkctrl1|inclk[2]] -multiply_by 1 $clkmux_output -add +create_generated_clock -name pclk_3x_postmux -master_clock pclk_3x -source [get_pins scanconverter_inst|clkctrl1|inclk[2]] -multiply_by 1 $clkmux_output -add +create_generated_clock -name pclk_4x_postmux -master_clock pclk_4x -source [get_pins scanconverter_inst|clkctrl1|inclk[3]] -multiply_by 1 $clkmux_output -add +create_generated_clock -name pclk_5x_postmux -master_clock pclk_5x -source [get_pins scanconverter_inst|clkctrl1|inclk[3]] -multiply_by 1 $clkmux_output -add +create_generated_clock -name pclk_27mhz_postmux -master_clock pclk_27mhz -source [get_pins scanconverter_inst|clkctrl1|inclk[2]] -multiply_by 1 $clkmux_output -add # specify output clocks that drive PCLK output pin set pclk_out_port [get_ports HDMI_TX_PCLK] -create_generated_clock -master_clock pclk_1x_postmux -source $clkmux_output -multiply_by 1 -name pclk_1x_out $pclk_out_port -create_generated_clock -master_clock pclk_2x_postmux -source $clkmux_output -multiply_by 1 -name pclk_2x_out $pclk_out_port -add -create_generated_clock -master_clock pclk_3x_postmux -source $clkmux_output -multiply_by 1 -name pclk_3x_out $pclk_out_port -add -create_generated_clock -master_clock pclk_4x_postmux -source $clkmux_output -multiply_by 1 -name pclk_4x_out $pclk_out_port -add -create_generated_clock -master_clock pclk_5x_postmux -source $clkmux_output -multiply_by 1 -name pclk_5x_out $pclk_out_port -add +create_generated_clock -name pclk_1x_out -master_clock pclk_1x_postmux -source $clkmux_output -multiply_by 1 $pclk_out_port +create_generated_clock -name pclk_2x_out -master_clock pclk_2x_postmux -source $clkmux_output -multiply_by 1 $pclk_out_port -add +create_generated_clock -name pclk_3x_out -master_clock pclk_3x_postmux -source $clkmux_output -multiply_by 1 $pclk_out_port -add +create_generated_clock -name pclk_4x_out -master_clock pclk_4x_postmux -source $clkmux_output -multiply_by 1 $pclk_out_port -add +create_generated_clock -name pclk_5x_out -master_clock pclk_5x_postmux -source $clkmux_output -multiply_by 1 $pclk_out_port -add +create_generated_clock -name pclk_27mhz_out -master_clock pclk_27mhz_postmux -source $clkmux_output -multiply_by 1 $pclk_out_port -add derive_clock_uncertainty @@ -65,7 +68,7 @@ set_false_path -to [remove_from_collection [all_outputs] $critoutputs_hdmi] # Treat CPU clock asynchronous to pixel clocks set_clock_groups -asynchronous -group \ - {clk27} \ + {clk27 pclk_27mhz pclk_27mhz_postmux pclk_27mhz_out} \ {pclk_1x pclk_1x_postmux pclk_1x_out} \ {pclk_2x_source pclk_2x pclk_2x_postmux pclk_2x_out} \ {pclk_3x_source pclk_3x pclk_3x_postmux pclk_3x_out} \ @@ -73,10 +76,10 @@ set_clock_groups -asynchronous -group \ {pclk_5x_source pclk_5x pclk_5x_postmux pclk_5x_out} # Ignore paths from registers which are updated only at leading edge of vsync -set_false_path -from [get_registers {scanconverter_inst|H_* scanconverter_inst|V_* scanconverter_inst|X_* scanconverter_inst|SL_* scanconverter_inst|LT_POS_* scanconverter_inst|FID_1x}] +set_false_path -from [get_registers {scanconverter_inst|H_* scanconverter_inst|V_* scanconverter_inst|X_* scanconverter_inst|SL_* scanconverter_inst|LT_POS_*}] # Ignore paths from registers which are updated only at leading edge of hsync -set_false_path -from [get_registers {scanconverter:scanconverter_inst|line_idx scanconverter:scanconverter_inst|line_out_idx* scanconverter:scanconverter_inst|hmax*}] +#set_false_path -from [get_registers {scanconverter:scanconverter_inst|line_idx scanconverter:scanconverter_inst|line_out_idx* scanconverter:scanconverter_inst|hmax*}] # Ignore paths to latency tester sync regs set_false_path -to [get_registers {lat_tester:lt0|mode_synced* lat_tester:lt0|VSYNC_in_* lat_tester:lt0|trigger_*}] diff --git a/ossc_sw_bsp.project b/ossc_sw_bsp.project index 6397fd4..195496d 100644 --- a/ossc_sw_bsp.project +++ b/ossc_sw_bsp.project @@ -39,6 +39,7 @@ + diff --git a/rtl/mux5.qip b/rtl/mux5.qip deleted file mode 100644 index 25253e0..0000000 --- a/rtl/mux5.qip +++ /dev/null @@ -1,6 +0,0 @@ -set_global_assignment -name IP_TOOL_NAME "LPM_MUX" -set_global_assignment -name IP_TOOL_VERSION "17.1" -set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" -set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "mux5.v"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "mux5_inst.v"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "mux5_bb.v"] diff --git a/rtl/mux5.v b/rtl/mux5.v deleted file mode 100644 index 3e3d670..0000000 --- a/rtl/mux5.v +++ /dev/null @@ -1,117 +0,0 @@ -// megafunction wizard: %LPM_MUX% -// GENERATION: STANDARD -// VERSION: WM1.0 -// MODULE: LPM_MUX - -// ============================================================ -// File Name: mux5.v -// Megafunction Name(s): -// LPM_MUX -// -// Simulation Library Files(s): -// lpm -// ============================================================ -// ************************************************************ -// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -// -// 17.1.0 Build 590 10/25/2017 SJ Lite Edition -// ************************************************************ - - -//Copyright (C) 2017 Intel Corporation. All rights reserved. -//Your use of Intel Corporation's design tools, logic functions -//and other software and tools, and its AMPP partner logic -//functions, and any output files from any of the foregoing -//(including device programming or simulation files), and any -//associated documentation or information are expressly subject -//to the terms and conditions of the Intel Program License -//Subscription Agreement, the Intel Quartus Prime License Agreement, -//the Intel FPGA IP License Agreement, or other applicable license -//agreement, including, without limitation, that your use is for -//the sole purpose of programming logic devices manufactured by -//Intel and sold by Intel or its authorized distributors. Please -//refer to the applicable agreement for further details. - - -// synopsys translate_off -`timescale 1 ps / 1 ps -// synopsys translate_on -module mux5 ( - data0, - data1, - data2, - data3, - data4, - sel, - result); - - input data0; - input data1; - input data2; - input data3; - input data4; - input [2:0] sel; - output result; - - wire [0:0] sub_wire0; - wire sub_wire7 = data4; - wire sub_wire6 = data3; - wire sub_wire5 = data2; - wire sub_wire4 = data1; - wire [0:0] sub_wire1 = sub_wire0[0:0]; - wire result = sub_wire1; - wire sub_wire2 = data0; - wire [4:0] sub_wire3 = {sub_wire7, sub_wire6, sub_wire5, sub_wire4, sub_wire2}; - - lpm_mux LPM_MUX_component ( - .data (sub_wire3), - .sel (sel), - .result (sub_wire0) - // synopsys translate_off - , - .aclr (), - .clken (), - .clock () - // synopsys translate_on - ); - defparam - LPM_MUX_component.lpm_size = 5, - LPM_MUX_component.lpm_type = "LPM_MUX", - LPM_MUX_component.lpm_width = 1, - LPM_MUX_component.lpm_widths = 3; - - -endmodule - -// ============================================================ -// CNX file retrieval info -// ============================================================ -// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -// Retrieval info: PRIVATE: new_diagram STRING "1" -// Retrieval info: LIBRARY: lpm lpm.lpm_components.all -// Retrieval info: CONSTANT: LPM_SIZE NUMERIC "5" -// Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_MUX" -// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "1" -// Retrieval info: CONSTANT: LPM_WIDTHS NUMERIC "3" -// Retrieval info: USED_PORT: data0 0 0 0 0 INPUT NODEFVAL "data0" -// Retrieval info: USED_PORT: data1 0 0 0 0 INPUT NODEFVAL "data1" -// Retrieval info: USED_PORT: data2 0 0 0 0 INPUT NODEFVAL "data2" -// Retrieval info: USED_PORT: data3 0 0 0 0 INPUT NODEFVAL "data3" -// Retrieval info: USED_PORT: data4 0 0 0 0 INPUT NODEFVAL "data4" -// Retrieval info: USED_PORT: result 0 0 0 0 OUTPUT NODEFVAL "result" -// Retrieval info: USED_PORT: sel 0 0 3 0 INPUT NODEFVAL "sel[2..0]" -// Retrieval info: CONNECT: @data 0 0 1 0 data0 0 0 0 0 -// Retrieval info: CONNECT: @data 0 0 1 1 data1 0 0 0 0 -// Retrieval info: CONNECT: @data 0 0 1 2 data2 0 0 0 0 -// Retrieval info: CONNECT: @data 0 0 1 3 data3 0 0 0 0 -// Retrieval info: CONNECT: @data 0 0 1 4 data4 0 0 0 0 -// Retrieval info: CONNECT: @sel 0 0 3 0 sel 0 0 3 0 -// Retrieval info: CONNECT: result 0 0 0 0 @result 0 0 1 0 -// Retrieval info: GEN_FILE: TYPE_NORMAL mux5.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL mux5.inc FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL mux5.cmp FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL mux5.bsf FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL mux5_inst.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL mux5_bb.v TRUE -// Retrieval info: LIB_FILE: lpm diff --git a/rtl/ossc.v b/rtl/ossc.v index d6890ac..c251f04 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -18,7 +18,6 @@ // //`define DEBUG -`define VIDEOGEN `define PO_RESET_WIDTH 27 //1us module ossc ( @@ -34,13 +33,13 @@ module ossc ( input VSYNC_in, input HSYNC_in, input PCLK_in, - output [7:0] HDMI_TX_RD, - output [7:0] HDMI_TX_GD, - output [7:0] HDMI_TX_BD, - output HDMI_TX_DE, - output HDMI_TX_HS, - output HDMI_TX_VS, output HDMI_TX_PCLK, + output reg [7:0] HDMI_TX_RD, + output reg [7:0] HDMI_TX_GD, + output reg [7:0] HDMI_TX_BD, + output reg HDMI_TX_DE, + output reg HDMI_TX_HS, + output reg HDMI_TX_VS, input HDMI_TX_INT_N, input HDMI_TX_MODE, output hw_reset_n, @@ -56,9 +55,7 @@ module ossc ( wire [15:0] sys_ctrl; -wire h_unstable; -wire [1:0] pclk_lock; -wire [1:0] pll_lock_lost; +wire h_unstable, pll_lock_lost; wire [31:0] h_config, h_config2, v_config, misc_config, sl_config, sl_config2; wire [10:0] vmax, vmax_tvp; wire [1:0] fpga_vsyncgen; @@ -68,17 +65,16 @@ wire [19:0] pcnt_frame; wire [15:0] ir_code; wire [7:0] ir_code_cnt; -wire [7:0] R_out, G_out, B_out; -wire HSYNC_out; -wire VSYNC_out; +wire [7:0] R_out_sc, G_out_sc, B_out_sc; +wire HSYNC_out_sc; +wire VSYNC_out_sc; wire PCLK_out; -wire DE_out; +wire DE_out_sc; -wire [7:0] R_out_videogen, G_out_videogen, B_out_videogen; -wire HSYNC_out_videogen; -wire VSYNC_out_videogen; -wire PCLK_out_videogen; -wire DE_out_videogen; +wire [7:0] R_out_vg, G_out_vg, B_out_vg; +wire HSYNC_out_vg; +wire VSYNC_out_vg; +wire DE_out_vg; reg [7:0] po_reset_ctr = 0; @@ -110,6 +106,8 @@ wire osd_enable = osd_enable_pre & ~lt_active; wire [10:0] xpos, xpos_sc, xpos_vg; wire [10:0] ypos, ypos_sc, ypos_vg; +wire pll_areset, pll_scanclk, pll_scanclkena, pll_configupdate, pll_scandata, pll_scandone; + // Latch inputs from TVP7002 (synchronized to PCLK_in) always @(posedge PCLK_in or negedge hw_reset_n) @@ -171,7 +169,7 @@ assign hw_reset_n = sys_ctrl[0]; //HDMI_TX_RST_N in v1.2 PCB assign LED_R = HSYNC_in_L; assign LED_G = VSYNC_in_L; `else -assign LED_R = videogen_sel ? 1'b0 : ((pll_lock_lost != 2'h0)|h_unstable); +assign LED_R = (pll_lock_lost|h_unstable); assign LED_G = (ir_code == 0); `endif @@ -182,31 +180,19 @@ wire lcd_bl_on = sys_ctrl[4]; //hw_reset_n in v1.2 PCB wire [1:0] lcd_bl_time = sys_ctrl[3:2]; assign LCD_BL = lcd_bl_on ? (~lcd_bl_timeout | lt_active) : 1'b0; -`ifdef VIDEOGEN -wire videogen_sel; -assign videogen_sel = ~sys_ctrl[1]; -assign HDMI_TX_RD = videogen_sel ? R_out_videogen : R_out; -assign HDMI_TX_GD = videogen_sel ? G_out_videogen : G_out; -assign HDMI_TX_BD = videogen_sel ? B_out_videogen : B_out; -assign HDMI_TX_HS = videogen_sel ? HSYNC_out_videogen : HSYNC_out; -assign HDMI_TX_VS = videogen_sel ? VSYNC_out_videogen : VSYNC_out; -assign HDMI_TX_PCLK = videogen_sel ? PCLK_out_videogen : PCLK_out; -assign HDMI_TX_DE = videogen_sel ? DE_out_videogen : DE_out; -assign xpos = videogen_sel ? xpos_vg : xpos_sc; -assign ypos = videogen_sel ? ypos_vg : ypos_sc; -`else -wire videogen_sel; -assign videogen_sel = 1'b0; -assign HDMI_TX_RD = R_out; -assign HDMI_TX_GD = G_out; -assign HDMI_TX_BD = B_out; -assign HDMI_TX_HS = HSYNC_out; -assign HDMI_TX_VS = VSYNC_out; +wire enable_sc = sys_ctrl[1]; +assign xpos = enable_sc ? xpos_sc : xpos_vg; +assign ypos = enable_sc ? ypos_sc : ypos_vg; assign HDMI_TX_PCLK = PCLK_out; -assign HDMI_TX_DE = DE_out; -assign xpos = xpos_sc; -assign ypos = ypos_sc; -`endif + +always @(posedge PCLK_out) begin + HDMI_TX_RD <= enable_sc ? R_out_sc : R_out_vg; + HDMI_TX_GD <= enable_sc ? G_out_sc : G_out_vg; + HDMI_TX_BD <= enable_sc ? B_out_sc : B_out_vg; + HDMI_TX_HS <= enable_sc ? HSYNC_out_sc : HSYNC_out_vg; + HDMI_TX_VS <= enable_sc ? VSYNC_out_sc : VSYNC_out_vg; + HDMI_TX_DE <= enable_sc ? DE_out_sc : DE_out_vg; +end // LCD backlight timeout counters always @(posedge clk27) @@ -260,17 +246,24 @@ sys sys_inst( .sc_config_0_sc_if_misc_config_o (misc_config), .sc_config_0_sc_if_sl_config_o (sl_config), .sc_config_0_sc_if_sl_config2_o (sl_config2), - .osd_generator_0_osd_if_vclk (HDMI_TX_PCLK), + .osd_generator_0_osd_if_vclk (PCLK_out), .osd_generator_0_osd_if_xpos (xpos), .osd_generator_0_osd_if_ypos (ypos), .osd_generator_0_osd_if_osd_enable (osd_enable_pre), - .osd_generator_0_osd_if_osd_color (osd_color) + .osd_generator_0_osd_if_osd_color (osd_color), + .pll_reconfig_0_pll_reconfig_if_areset (pll_areset), + .pll_reconfig_0_pll_reconfig_if_scanclk (pll_scanclk), + .pll_reconfig_0_pll_reconfig_if_scanclkena (pll_scanclkena), + .pll_reconfig_0_pll_reconfig_if_configupdate (pll_configupdate), + .pll_reconfig_0_pll_reconfig_if_scandata (pll_scandata), + .pll_reconfig_0_pll_reconfig_if_scandone (pll_scandone) ); scanconverter scanconverter_inst ( .reset_n (hw_reset_n), .PCLK_in (PCLK_in), .clk27 (clk27), + .enable_sc (enable_sc), .HSYNC_in (HSYNC_in_L), .VSYNC_in (VSYNC_in_L), .FID_in (FID_in_L), @@ -283,16 +276,15 @@ scanconverter scanconverter_inst ( .misc_config (misc_config), .sl_config (sl_config), .sl_config2 (sl_config2), - .R_out (R_out), - .G_out (G_out), - .B_out (B_out), - .HSYNC_out (HSYNC_out), - .VSYNC_out (VSYNC_out), + .R_out (R_out_sc), + .G_out (G_out_sc), + .B_out (B_out_sc), .PCLK_out (PCLK_out), - .DE_out (DE_out), + .HSYNC_out (HSYNC_out_sc), + .VSYNC_out (VSYNC_out_sc), + .DE_out (DE_out_sc), .h_unstable (h_unstable), .fpga_vsyncgen (fpga_vsyncgen), - .pclk_lock (pclk_lock), .pll_lock_lost (pll_lock_lost), .vmax (vmax), .vmax_tvp (vmax_tvp), @@ -304,7 +296,13 @@ scanconverter scanconverter_inst ( .osd_enable (osd_enable), .osd_color (osd_color), .xpos (xpos_sc), - .ypos (ypos_sc) + .ypos (ypos_sc), + .pll_areset (pll_areset), + .pll_scanclk (pll_scanclk), + .pll_scanclkena (pll_scanclkena), + .pll_configupdate (pll_configupdate), + .pll_scandata (pll_scandata), + .pll_scandone (pll_scandone) ); ir_rcv ir0 ( @@ -318,7 +316,7 @@ ir_rcv ir0 ( lat_tester lt0 ( .clk27 (clk27), - .pclk (HDMI_TX_PCLK), + .pclk (PCLK_out), .active (lt_active), .armed (lt_armed), .sensor (btn_LL[1]), @@ -331,24 +329,21 @@ lat_tester lt0 ( .finished (lt_finished) ); -`ifdef VIDEOGEN videogen vg0 ( - .clk27 (clk27), - .reset_n (po_reset_n & videogen_sel), + .clk27 (PCLK_out), + .reset_n (po_reset_n & ~enable_sc), .lt_active (lt_active), .lt_mode (lt_mode_synced), .osd_enable (osd_enable), .osd_color (osd_color), - .R_out (R_out_videogen), - .G_out (G_out_videogen), - .B_out (B_out_videogen), - .HSYNC_out (HSYNC_out_videogen), - .VSYNC_out (VSYNC_out_videogen), - .PCLK_out (PCLK_out_videogen), - .ENABLE_out (DE_out_videogen), + .R_out (R_out_vg), + .G_out (G_out_vg), + .B_out (B_out_vg), + .HSYNC_out (HSYNC_out_vg), + .VSYNC_out (VSYNC_out_vg), + .DE_out (DE_out_vg), .xpos (xpos_vg), .ypos (ypos_vg) ); -`endif endmodule diff --git a/rtl/pll_2x.ppf b/rtl/pll_2x.ppf index b8d8bc6..df82f86 100644 --- a/rtl/pll_2x.ppf +++ b/rtl/pll_2x.ppf @@ -3,10 +3,18 @@ + + + + + + + + diff --git a/rtl/pll_2x.qip b/rtl/pll_2x.qip index cdc9645..152e3d0 100644 --- a/rtl/pll_2x.qip +++ b/rtl/pll_2x.qip @@ -2,5 +2,6 @@ set_global_assignment -name IP_TOOL_NAME "ALTPLL" set_global_assignment -name IP_TOOL_VERSION "17.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pll_2x.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_2x_inst.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_2x_bb.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_2x.ppf"] diff --git a/rtl/pll_2x.v b/rtl/pll_2x.v index cdbd6b5..303d5eb 100644 --- a/rtl/pll_2x.v +++ b/rtl/pll_2x.v @@ -9,7 +9,7 @@ // altpll // // Simulation Library Files(s): -// +// altera_mf // ============================================================ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! @@ -38,46 +38,75 @@ // synopsys translate_on module pll_2x ( areset, + clkswitch, + configupdate, inclk0, + inclk1, + scanclk, + scanclkena, + scandata, c0, c1, - locked); + locked, + scandataout, + scandone); input areset; + input clkswitch; + input configupdate; input inclk0; + input inclk1; + input scanclk; + input scanclkena; + input scandata; output c0; output c1; output locked; + output scandataout; + output scandone; `ifndef ALTERA_RESERVED_QIS // synopsys translate_off `endif tri0 areset; + tri0 clkswitch; + tri0 configupdate; + tri0 scanclkena; + tri0 scandata; `ifndef ALTERA_RESERVED_QIS // synopsys translate_on `endif wire [4:0] sub_wire0; wire sub_wire3; - wire [0:0] sub_wire6 = 1'h0; + wire sub_wire4; + wire sub_wire5; + wire sub_wire8 = inclk1; wire [1:1] sub_wire2 = sub_wire0[1:1]; wire [0:0] sub_wire1 = sub_wire0[0:0]; wire c0 = sub_wire1; wire c1 = sub_wire2; wire locked = sub_wire3; - wire sub_wire4 = inclk0; - wire [1:0] sub_wire5 = {sub_wire6, sub_wire4}; + wire scandataout = sub_wire4; + wire scandone = sub_wire5; + wire sub_wire6 = inclk0; + wire [1:0] sub_wire7 = {sub_wire8, sub_wire6}; altpll altpll_component ( .areset (areset), - .inclk (sub_wire5), + .clkswitch (clkswitch), + .configupdate (configupdate), + .inclk (sub_wire7), + .scanclk (scanclk), + .scanclkena (scanclkena), + .scandata (scandata), .clk (sub_wire0), .locked (sub_wire3), + .scandataout (sub_wire4), + .scandone (sub_wire5), .activeclock (), .clkbad (), .clkena ({6{1'b1}}), .clkloss (), - .clkswitch (1'b0), - .configupdate (1'b0), .enable0 (), .enable1 (), .extclk (), @@ -94,11 +123,6 @@ module pll_2x ( .phaseupdown (1'b1), .pllena (1'b1), .scanaclr (1'b0), - .scanclk (1'b0), - .scanclkena (1'b1), - .scandata (1'b0), - .scandataout (), - .scandone (), .scanread (1'b0), .scanwrite (1'b0), .sclkout0 (), @@ -109,29 +133,30 @@ module pll_2x ( altpll_component.bandwidth_type = "HIGH", altpll_component.clk0_divide_by = 1, altpll_component.clk0_duty_cycle = 50, - altpll_component.clk0_multiply_by = 2, + altpll_component.clk0_multiply_by = 1, altpll_component.clk0_phase_shift = "0", altpll_component.clk1_divide_by = 1, altpll_component.clk1_duty_cycle = 50, - altpll_component.clk1_multiply_by = 5, + altpll_component.clk1_multiply_by = 1, altpll_component.clk1_phase_shift = "0", altpll_component.compensate_clock = "CLK0", altpll_component.inclk0_input_frequency = 37037, + altpll_component.inclk1_input_frequency = 37037, altpll_component.intended_device_family = "Cyclone IV E", altpll_component.lpm_hint = "CBX_MODULE_PREFIX=pll_2x", altpll_component.lpm_type = "altpll", - altpll_component.operation_mode = "SOURCE_SYNCHRONOUS", + altpll_component.operation_mode = "NORMAL", altpll_component.pll_type = "AUTO", altpll_component.port_activeclock = "PORT_UNUSED", altpll_component.port_areset = "PORT_USED", altpll_component.port_clkbad0 = "PORT_UNUSED", altpll_component.port_clkbad1 = "PORT_UNUSED", altpll_component.port_clkloss = "PORT_UNUSED", - altpll_component.port_clkswitch = "PORT_UNUSED", - altpll_component.port_configupdate = "PORT_UNUSED", + altpll_component.port_clkswitch = "PORT_USED", + altpll_component.port_configupdate = "PORT_USED", altpll_component.port_fbin = "PORT_UNUSED", altpll_component.port_inclk0 = "PORT_USED", - altpll_component.port_inclk1 = "PORT_UNUSED", + altpll_component.port_inclk1 = "PORT_USED", altpll_component.port_locked = "PORT_USED", altpll_component.port_pfdena = "PORT_UNUSED", altpll_component.port_phasecounterselect = "PORT_UNUSED", @@ -140,11 +165,11 @@ module pll_2x ( altpll_component.port_phaseupdown = "PORT_UNUSED", altpll_component.port_pllena = "PORT_UNUSED", altpll_component.port_scanaclr = "PORT_UNUSED", - altpll_component.port_scanclk = "PORT_UNUSED", - altpll_component.port_scanclkena = "PORT_UNUSED", - altpll_component.port_scandata = "PORT_UNUSED", - altpll_component.port_scandataout = "PORT_UNUSED", - altpll_component.port_scandone = "PORT_UNUSED", + altpll_component.port_scanclk = "PORT_USED", + altpll_component.port_scanclkena = "PORT_USED", + altpll_component.port_scandata = "PORT_USED", + altpll_component.port_scandataout = "PORT_USED", + altpll_component.port_scandone = "PORT_USED", altpll_component.port_scanread = "PORT_UNUSED", altpll_component.port_scanwrite = "PORT_UNUSED", altpll_component.port_clk0 = "PORT_USED", @@ -163,8 +188,16 @@ module pll_2x ( altpll_component.port_extclk1 = "PORT_UNUSED", altpll_component.port_extclk2 = "PORT_UNUSED", altpll_component.port_extclk3 = "PORT_UNUSED", + altpll_component.primary_clock = "inclk0", altpll_component.self_reset_on_loss_lock = "OFF", - altpll_component.width_clock = 5; + altpll_component.switch_over_type = "MANUAL", + altpll_component.width_clock = 5, +`ifdef NO_PLI + altpll_component.scan_chain_mif_file = "pll_2x.rif" +`else + altpll_component.scan_chain_mif_file = "pll_2x.hex" +`endif +; endmodule @@ -184,16 +217,16 @@ endmodule // Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" // Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" // Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" +// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "1" // Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" // Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" -// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "7" +// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8" // Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" // Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1" // Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" // Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "54.000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "135.000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "27.000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "27.000000" // Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" // Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" // Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" @@ -203,7 +236,7 @@ endmodule // Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" // Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "27.000" // Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "27.000" // Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" // Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" // Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" @@ -218,9 +251,9 @@ endmodule // Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" // Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" // Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" -// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "2" -// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "5" -// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "0" +// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" +// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1" +// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" // Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000" // Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000" // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" @@ -244,8 +277,8 @@ endmodule // Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" // Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" // Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -// Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll_2x.mif" -// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll_2x.hex" +// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "1" // Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" // Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" // Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" @@ -254,7 +287,7 @@ endmodule // Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" // Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" // Retrieval info: PRIVATE: SPREAD_USE STRING "0" -// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "1" +// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" // Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" // Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" // Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" @@ -270,28 +303,29 @@ endmodule // Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "HIGH" // Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1" // Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "2" +// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1" // Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" // Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1" // Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "5" +// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "1" // Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" // Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" // Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "37037" +// Retrieval info: CONSTANT: INCLK1_INPUT_FREQUENCY NUMERIC "37037" // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" // Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" -// Retrieval info: CONSTANT: OPERATION_MODE STRING "SOURCE_SYNCHRONOUS" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" // Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" // Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED" // Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_USED" // Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_USED" // Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" // Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" @@ -300,11 +334,11 @@ endmodule // Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_USED" // Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" @@ -323,25 +357,46 @@ endmodule // Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PRIMARY_CLOCK STRING "inclk0" // Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF" +// Retrieval info: CONSTANT: SWITCH_OVER_TYPE STRING "MANUAL" // Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" +// Retrieval info: CONSTANT: scan_chain_mif_file STRING "pll_2x.hex" // Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" // Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset" // Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" // Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" +// Retrieval info: USED_PORT: clkswitch 0 0 0 0 INPUT GND "clkswitch" +// Retrieval info: USED_PORT: configupdate 0 0 0 0 INPUT GND "configupdate" // Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" +// Retrieval info: USED_PORT: inclk1 0 0 0 0 INPUT_CLK_EXT GND "inclk1" // Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" +// Retrieval info: USED_PORT: scanclk 0 0 0 0 INPUT_CLK_EXT VCC "scanclk" +// Retrieval info: USED_PORT: scanclkena 0 0 0 0 INPUT GND "scanclkena" +// Retrieval info: USED_PORT: scandata 0 0 0 0 INPUT GND "scandata" +// Retrieval info: USED_PORT: scandataout 0 0 0 0 OUTPUT VCC "scandataout" +// Retrieval info: USED_PORT: scandone 0 0 0 0 OUTPUT VCC "scandone" // Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0 -// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 +// Retrieval info: CONNECT: @clkswitch 0 0 0 0 clkswitch 0 0 0 0 +// Retrieval info: CONNECT: @configupdate 0 0 0 0 configupdate 0 0 0 0 // Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 +// Retrieval info: CONNECT: @inclk 0 0 1 1 inclk1 0 0 0 0 +// Retrieval info: CONNECT: @scanclk 0 0 0 0 scanclk 0 0 0 0 +// Retrieval info: CONNECT: @scanclkena 0 0 0 0 scanclkena 0 0 0 0 +// Retrieval info: CONNECT: @scandata 0 0 0 0 scandata 0 0 0 0 // Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 // Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 // Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 +// Retrieval info: CONNECT: scandataout 0 0 0 0 @scandataout 0 0 0 0 +// Retrieval info: CONNECT: scandone 0 0 0 0 @scandone 0 0 0 0 // Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x.v TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x.ppf TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x.inc FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x.cmp FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x.bsf FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x_inst.v TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x_bb.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x.mif TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x.hex TRUE +// Retrieval info: LIB_FILE: altera_mf // Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/rtl/pll_3x.ppf b/rtl/pll_3x.ppf deleted file mode 100644 index 45ca1af..0000000 --- a/rtl/pll_3x.ppf +++ /dev/null @@ -1,12 +0,0 @@ - - - - - - - - - - - - diff --git a/rtl/pll_3x.qip b/rtl/pll_3x.qip deleted file mode 100644 index 11d1d11..0000000 --- a/rtl/pll_3x.qip +++ /dev/null @@ -1,5 +0,0 @@ -set_global_assignment -name IP_TOOL_NAME "ALTPLL" -set_global_assignment -name IP_TOOL_VERSION "17.1" -set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" -set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pll_3x.v"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_3x.ppf"] diff --git a/rtl/pll_3x.v b/rtl/pll_3x.v deleted file mode 100644 index 1d03f01..0000000 --- a/rtl/pll_3x.v +++ /dev/null @@ -1,347 +0,0 @@ -// megafunction wizard: %ALTPLL% -// GENERATION: STANDARD -// VERSION: WM1.0 -// MODULE: altpll - -// ============================================================ -// File Name: pll_3x.v -// Megafunction Name(s): -// altpll -// -// Simulation Library Files(s): -// -// ============================================================ -// ************************************************************ -// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -// -// 17.1.0 Build 590 10/25/2017 SJ Lite Edition -// ************************************************************ - - -//Copyright (C) 2017 Intel Corporation. All rights reserved. -//Your use of Intel Corporation's design tools, logic functions -//and other software and tools, and its AMPP partner logic -//functions, and any output files from any of the foregoing -//(including device programming or simulation files), and any -//associated documentation or information are expressly subject -//to the terms and conditions of the Intel Program License -//Subscription Agreement, the Intel Quartus Prime License Agreement, -//the Intel FPGA IP License Agreement, or other applicable license -//agreement, including, without limitation, that your use is for -//the sole purpose of programming logic devices manufactured by -//Intel and sold by Intel or its authorized distributors. Please -//refer to the applicable agreement for further details. - - -// synopsys translate_off -`timescale 1 ps / 1 ps -// synopsys translate_on -module pll_3x ( - areset, - inclk0, - c0, - c1, - locked); - - input areset; - input inclk0; - output c0; - output c1; - output locked; -`ifndef ALTERA_RESERVED_QIS -// synopsys translate_off -`endif - tri0 areset; -`ifndef ALTERA_RESERVED_QIS -// synopsys translate_on -`endif - - wire [4:0] sub_wire0; - wire sub_wire3; - wire [0:0] sub_wire6 = 1'h0; - wire [1:1] sub_wire2 = sub_wire0[1:1]; - wire [0:0] sub_wire1 = sub_wire0[0:0]; - wire c0 = sub_wire1; - wire c1 = sub_wire2; - wire locked = sub_wire3; - wire sub_wire4 = inclk0; - wire [1:0] sub_wire5 = {sub_wire6, sub_wire4}; - - altpll altpll_component ( - .areset (areset), - .inclk (sub_wire5), - .clk (sub_wire0), - .locked (sub_wire3), - .activeclock (), - .clkbad (), - .clkena ({6{1'b1}}), - .clkloss (), - .clkswitch (1'b0), - .configupdate (1'b0), - .enable0 (), - .enable1 (), - .extclk (), - .extclkena ({4{1'b1}}), - .fbin (1'b1), - .fbmimicbidir (), - .fbout (), - .fref (), - .icdrclk (), - .pfdena (1'b1), - .phasecounterselect ({4{1'b1}}), - .phasedone (), - .phasestep (1'b1), - .phaseupdown (1'b1), - .pllena (1'b1), - .scanaclr (1'b0), - .scanclk (1'b0), - .scanclkena (1'b1), - .scandata (1'b0), - .scandataout (), - .scandone (), - .scanread (1'b0), - .scanwrite (1'b0), - .sclkout0 (), - .sclkout1 (), - .vcooverrange (), - .vcounderrange ()); - defparam - altpll_component.bandwidth_type = "HIGH", - altpll_component.clk0_divide_by = 1, - altpll_component.clk0_duty_cycle = 50, - altpll_component.clk0_multiply_by = 3, - altpll_component.clk0_phase_shift = "0", - altpll_component.clk1_divide_by = 1, - altpll_component.clk1_duty_cycle = 50, - altpll_component.clk1_multiply_by = 4, - altpll_component.clk1_phase_shift = "0", - altpll_component.compensate_clock = "CLK0", - altpll_component.inclk0_input_frequency = 37037, - altpll_component.intended_device_family = "Cyclone IV E", - altpll_component.lpm_hint = "CBX_MODULE_PREFIX=pll_3x", - altpll_component.lpm_type = "altpll", - altpll_component.operation_mode = "SOURCE_SYNCHRONOUS", - altpll_component.pll_type = "AUTO", - altpll_component.port_activeclock = "PORT_UNUSED", - altpll_component.port_areset = "PORT_USED", - altpll_component.port_clkbad0 = "PORT_UNUSED", - altpll_component.port_clkbad1 = "PORT_UNUSED", - altpll_component.port_clkloss = "PORT_UNUSED", - altpll_component.port_clkswitch = "PORT_UNUSED", - altpll_component.port_configupdate = "PORT_UNUSED", - altpll_component.port_fbin = "PORT_UNUSED", - altpll_component.port_inclk0 = "PORT_USED", - altpll_component.port_inclk1 = "PORT_UNUSED", - altpll_component.port_locked = "PORT_USED", - altpll_component.port_pfdena = "PORT_UNUSED", - altpll_component.port_phasecounterselect = "PORT_UNUSED", - altpll_component.port_phasedone = "PORT_UNUSED", - altpll_component.port_phasestep = "PORT_UNUSED", - altpll_component.port_phaseupdown = "PORT_UNUSED", - altpll_component.port_pllena = "PORT_UNUSED", - altpll_component.port_scanaclr = "PORT_UNUSED", - altpll_component.port_scanclk = "PORT_UNUSED", - altpll_component.port_scanclkena = "PORT_UNUSED", - altpll_component.port_scandata = "PORT_UNUSED", - altpll_component.port_scandataout = "PORT_UNUSED", - altpll_component.port_scandone = "PORT_UNUSED", - altpll_component.port_scanread = "PORT_UNUSED", - altpll_component.port_scanwrite = "PORT_UNUSED", - altpll_component.port_clk0 = "PORT_USED", - altpll_component.port_clk1 = "PORT_USED", - altpll_component.port_clk2 = "PORT_UNUSED", - altpll_component.port_clk3 = "PORT_UNUSED", - altpll_component.port_clk4 = "PORT_UNUSED", - altpll_component.port_clk5 = "PORT_UNUSED", - altpll_component.port_clkena0 = "PORT_UNUSED", - altpll_component.port_clkena1 = "PORT_UNUSED", - altpll_component.port_clkena2 = "PORT_UNUSED", - altpll_component.port_clkena3 = "PORT_UNUSED", - altpll_component.port_clkena4 = "PORT_UNUSED", - altpll_component.port_clkena5 = "PORT_UNUSED", - altpll_component.port_extclk0 = "PORT_UNUSED", - altpll_component.port_extclk1 = "PORT_UNUSED", - altpll_component.port_extclk2 = "PORT_UNUSED", - altpll_component.port_extclk3 = "PORT_UNUSED", - altpll_component.self_reset_on_loss_lock = "OFF", - altpll_component.width_clock = 5; - - -endmodule - -// ============================================================ -// CNX file retrieval info -// ============================================================ -// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" -// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" -// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" -// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "High" -// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "0" -// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "1" -// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" -// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" -// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" -// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" -// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" -// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" -// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" -// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "7" -// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" -// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1" -// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" -// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "81.000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "108.000000" -// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" -// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" -// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" -// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" -// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "27.000" -// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" -// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" -// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" -// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" -// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" -// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" -// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" -// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg" -// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" -// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" -// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "3" -// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "4" -// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "0" -// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" -// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0" -// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" -// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" -// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" -// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000" -// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" -// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg" -// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1" -// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" -// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -// Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll_2x.mif" -// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" -// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" -// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" -// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" -// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" -// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" -// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" -// Retrieval info: PRIVATE: SPREAD_USE STRING "0" -// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "1" -// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" -// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" -// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -// Retrieval info: PRIVATE: USE_CLK0 STRING "1" -// Retrieval info: PRIVATE: USE_CLK1 STRING "1" -// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" -// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" -// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" -// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "HIGH" -// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "3" -// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" -// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "4" -// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" -// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "37037" -// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" -// Retrieval info: CONSTANT: OPERATION_MODE STRING "SOURCE_SYNCHRONOUS" -// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" -// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF" -// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" -// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" -// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset" -// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" -// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" -// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" -// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0 -// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 -// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 -// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 -// Retrieval info: GEN_FILE: TYPE_NORMAL pll_3x.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL pll_3x.ppf TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL pll_3x.inc FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL pll_3x.cmp FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL pll_3x.bsf FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL pll_3x_inst.v FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL pll_3x_bb.v FALSE -// Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/rtl/pll_config_2x_5x_data.mif b/rtl/pll_config_2x_5x_data.mif new file mode 100644 index 0000000..9981157 --- /dev/null +++ b/rtl/pll_config_2x_5x_data.mif @@ -0,0 +1,174 @@ +-- Copyright (C) 2017 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details. + +-- MIF file representing initial state of PLL Scan Chain +-- Device Family: Cyclone IV E +-- Device Part: - +-- Device Speed Grade: 8 +-- PLL Scan Chain: Fast PLL (144 bits) +-- File Name: /home/markus/Code/ossc/rtl/pll_config_2x_5x_data.mif +-- Generated: Sat Oct 5 23:56:40 2019 + +WIDTH=1; +DEPTH=144; + +ADDRESS_RADIX=UNS; +DATA_RADIX=UNS; + +CONTENT BEGIN + 0 : 0; -- Reserved Bits = 0 (1 bit(s)) + 1 : 0; -- Reserved Bits = 0 (1 bit(s)) + 2 : 0; -- Loop Filter Capacitance = 0 (2 bit(s)) (Setting 0) + 3 : 0; + 4 : 1; -- Loop Filter Resistance = 27 (5 bit(s)) (Setting 27) + 5 : 1; + 6 : 0; + 7 : 1; + 8 : 1; + 9 : 1; -- VCO Post Scale = 1 (1 bit(s)) (VCO post-scale divider counter value = 1) + 10 : 0; -- Reserved Bits = 0 (5 bit(s)) + 11 : 0; + 12 : 0; + 13 : 0; + 14 : 0; + 15 : 0; -- Charge Pump Current = 1 (3 bit(s)) (Setting 1) + 16 : 0; + 17 : 1; + 18 : 1; -- N counter: Bypass = 1 (1 bit(s)) + 19 : 0; -- N counter: High Count = 0 (8 bit(s)) + 20 : 0; + 21 : 0; + 22 : 0; + 23 : 0; + 24 : 0; + 25 : 0; + 26 : 0; + 27 : 0; -- N counter: Odd Division = 0 (1 bit(s)) + 28 : 0; -- N counter: Low Count = 0 (8 bit(s)) + 29 : 0; + 30 : 0; + 31 : 0; + 32 : 0; + 33 : 0; + 34 : 0; + 35 : 0; + 36 : 0; -- M counter: Bypass = 0 (1 bit(s)) + 37 : 0; -- M counter: High Count = 15 (8 bit(s)) + 38 : 0; + 39 : 0; + 40 : 0; + 41 : 1; + 42 : 1; + 43 : 1; + 44 : 1; + 45 : 0; -- M counter: Odd Division = 0 (1 bit(s)) + 46 : 0; -- M counter: Low Count = 15 (8 bit(s)) + 47 : 0; + 48 : 0; + 49 : 0; + 50 : 1; + 51 : 1; + 52 : 1; + 53 : 1; + 54 : 0; -- clk0 counter: Bypass = 0 (1 bit(s)) + 55 : 0; -- clk0 counter: High Count = 8 (8 bit(s)) + 56 : 0; + 57 : 0; + 58 : 0; + 59 : 1; + 60 : 0; + 61 : 0; + 62 : 0; + 63 : 1; -- clk0 counter: Odd Division = 1 (1 bit(s)) + 64 : 0; -- clk0 counter: Low Count = 7 (8 bit(s)) + 65 : 0; + 66 : 0; + 67 : 0; + 68 : 0; + 69 : 1; + 70 : 1; + 71 : 1; + 72 : 0; -- clk1 counter: Bypass = 0 (1 bit(s)) + 73 : 0; -- clk1 counter: High Count = 3 (8 bit(s)) + 74 : 0; + 75 : 0; + 76 : 0; + 77 : 0; + 78 : 0; + 79 : 1; + 80 : 1; + 81 : 0; -- clk1 counter: Odd Division = 0 (1 bit(s)) + 82 : 0; -- clk1 counter: Low Count = 3 (8 bit(s)) + 83 : 0; + 84 : 0; + 85 : 0; + 86 : 0; + 87 : 0; + 88 : 1; + 89 : 1; + 90 : 1; -- clk2 counter: Bypass = 1 (1 bit(s)) + 91 : 0; -- clk2 counter: High Count = 0 (8 bit(s)) + 92 : 0; + 93 : 0; + 94 : 0; + 95 : 0; + 96 : 0; + 97 : 0; + 98 : 0; + 99 : 0; -- clk2 counter: Odd Division = 0 (1 bit(s)) + 100 : 0; -- clk2 counter: Low Count = 0 (8 bit(s)) + 101 : 0; + 102 : 0; + 103 : 0; + 104 : 0; + 105 : 0; + 106 : 0; + 107 : 0; + 108 : 1; -- clk3 counter: Bypass = 1 (1 bit(s)) + 109 : 0; -- clk3 counter: High Count = 0 (8 bit(s)) + 110 : 0; + 111 : 0; + 112 : 0; + 113 : 0; + 114 : 0; + 115 : 0; + 116 : 0; + 117 : 0; -- clk3 counter: Odd Division = 0 (1 bit(s)) + 118 : 0; -- clk3 counter: Low Count = 0 (8 bit(s)) + 119 : 0; + 120 : 0; + 121 : 0; + 122 : 0; + 123 : 0; + 124 : 0; + 125 : 0; + 126 : 1; -- clk4 counter: Bypass = 1 (1 bit(s)) + 127 : 0; -- clk4 counter: High Count = 0 (8 bit(s)) + 128 : 0; + 129 : 0; + 130 : 0; + 131 : 0; + 132 : 0; + 133 : 0; + 134 : 0; + 135 : 0; -- clk4 counter: Odd Division = 0 (1 bit(s)) + 136 : 0; -- clk4 counter: Low Count = 0 (8 bit(s)) + 137 : 0; + 138 : 0; + 139 : 0; + 140 : 0; + 141 : 0; + 142 : 0; + 143 : 0; +END; diff --git a/rtl/pll_config_3x_4x_data.mif b/rtl/pll_config_3x_4x_data.mif new file mode 100644 index 0000000..fce40ac --- /dev/null +++ b/rtl/pll_config_3x_4x_data.mif @@ -0,0 +1,174 @@ +-- Copyright (C) 2017 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details. + +-- MIF file representing initial state of PLL Scan Chain +-- Device Family: Cyclone IV E +-- Device Part: - +-- Device Speed Grade: 8 +-- PLL Scan Chain: Fast PLL (144 bits) +-- File Name: /home/markus/Code/ossc/rtl/pll_config_3x_4x_data.mif +-- Generated: Sat Oct 5 23:58:13 2019 + +WIDTH=1; +DEPTH=144; + +ADDRESS_RADIX=UNS; +DATA_RADIX=UNS; + +CONTENT BEGIN + 0 : 0; -- Reserved Bits = 0 (1 bit(s)) + 1 : 0; -- Reserved Bits = 0 (1 bit(s)) + 2 : 0; -- Loop Filter Capacitance = 0 (2 bit(s)) (Setting 0) + 3 : 0; + 4 : 1; -- Loop Filter Resistance = 27 (5 bit(s)) (Setting 27) + 5 : 1; + 6 : 0; + 7 : 1; + 8 : 1; + 9 : 0; -- VCO Post Scale = 0 (1 bit(s)) (VCO post-scale divider counter value = 2) + 10 : 0; -- Reserved Bits = 0 (5 bit(s)) + 11 : 0; + 12 : 0; + 13 : 0; + 14 : 0; + 15 : 0; -- Charge Pump Current = 1 (3 bit(s)) (Setting 1) + 16 : 0; + 17 : 1; + 18 : 1; -- N counter: Bypass = 1 (1 bit(s)) + 19 : 0; -- N counter: High Count = 0 (8 bit(s)) + 20 : 0; + 21 : 0; + 22 : 0; + 23 : 0; + 24 : 0; + 25 : 0; + 26 : 0; + 27 : 0; -- N counter: Odd Division = 0 (1 bit(s)) + 28 : 0; -- N counter: Low Count = 0 (8 bit(s)) + 29 : 0; + 30 : 0; + 31 : 0; + 32 : 0; + 33 : 0; + 34 : 0; + 35 : 0; + 36 : 0; -- M counter: Bypass = 0 (1 bit(s)) + 37 : 0; -- M counter: High Count = 6 (8 bit(s)) + 38 : 0; + 39 : 0; + 40 : 0; + 41 : 0; + 42 : 1; + 43 : 1; + 44 : 0; + 45 : 0; -- M counter: Odd Division = 0 (1 bit(s)) + 46 : 0; -- M counter: Low Count = 6 (8 bit(s)) + 47 : 0; + 48 : 0; + 49 : 0; + 50 : 0; + 51 : 1; + 52 : 1; + 53 : 0; + 54 : 0; -- clk0 counter: Bypass = 0 (1 bit(s)) + 55 : 0; -- clk0 counter: High Count = 2 (8 bit(s)) + 56 : 0; + 57 : 0; + 58 : 0; + 59 : 0; + 60 : 0; + 61 : 1; + 62 : 0; + 63 : 0; -- clk0 counter: Odd Division = 0 (1 bit(s)) + 64 : 0; -- clk0 counter: Low Count = 2 (8 bit(s)) + 65 : 0; + 66 : 0; + 67 : 0; + 68 : 0; + 69 : 0; + 70 : 1; + 71 : 0; + 72 : 0; -- clk1 counter: Bypass = 0 (1 bit(s)) + 73 : 0; -- clk1 counter: High Count = 2 (8 bit(s)) + 74 : 0; + 75 : 0; + 76 : 0; + 77 : 0; + 78 : 0; + 79 : 1; + 80 : 0; + 81 : 1; -- clk1 counter: Odd Division = 1 (1 bit(s)) + 82 : 0; -- clk1 counter: Low Count = 1 (8 bit(s)) + 83 : 0; + 84 : 0; + 85 : 0; + 86 : 0; + 87 : 0; + 88 : 0; + 89 : 1; + 90 : 1; -- clk2 counter: Bypass = 1 (1 bit(s)) + 91 : 0; -- clk2 counter: High Count = 0 (8 bit(s)) + 92 : 0; + 93 : 0; + 94 : 0; + 95 : 0; + 96 : 0; + 97 : 0; + 98 : 0; + 99 : 0; -- clk2 counter: Odd Division = 0 (1 bit(s)) + 100 : 0; -- clk2 counter: Low Count = 0 (8 bit(s)) + 101 : 0; + 102 : 0; + 103 : 0; + 104 : 0; + 105 : 0; + 106 : 0; + 107 : 0; + 108 : 1; -- clk3 counter: Bypass = 1 (1 bit(s)) + 109 : 0; -- clk3 counter: High Count = 0 (8 bit(s)) + 110 : 0; + 111 : 0; + 112 : 0; + 113 : 0; + 114 : 0; + 115 : 0; + 116 : 0; + 117 : 0; -- clk3 counter: Odd Division = 0 (1 bit(s)) + 118 : 0; -- clk3 counter: Low Count = 0 (8 bit(s)) + 119 : 0; + 120 : 0; + 121 : 0; + 122 : 0; + 123 : 0; + 124 : 0; + 125 : 0; + 126 : 1; -- clk4 counter: Bypass = 1 (1 bit(s)) + 127 : 0; -- clk4 counter: High Count = 0 (8 bit(s)) + 128 : 0; + 129 : 0; + 130 : 0; + 131 : 0; + 132 : 0; + 133 : 0; + 134 : 0; + 135 : 0; -- clk4 counter: Odd Division = 0 (1 bit(s)) + 136 : 0; -- clk4 counter: Low Count = 0 (8 bit(s)) + 137 : 0; + 138 : 0; + 139 : 0; + 140 : 0; + 141 : 0; + 142 : 0; + 143 : 0; +END; diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index f845962..998328c 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -33,11 +33,11 @@ `define V_MULTMODE_4X 3'd3 `define V_MULTMODE_5X 3'd4 -`define PCLK_MUX_1X 3'd0 -`define PCLK_MUX_2X 3'd1 -`define PCLK_MUX_3X 3'd2 -`define PCLK_MUX_4X 3'd3 -`define PCLK_MUX_5X 3'd4 +`define PCLK_MUX_1X 2'd0 +`define PCLK_MUX_2X 2'd2 +`define PCLK_MUX_3X 2'd2 +`define PCLK_MUX_4X 2'd3 +`define PCLK_MUX_5X 2'd3 `define H_MULTMODE_FULLWIDTH 2'h0 `define H_MULTMODE_ASPECTFIX 2'h1 @@ -82,23 +82,23 @@ module scanconverter ( input HSYNC_in, input PCLK_in, input clk27, + input enable_sc, input [31:0] h_config, input [31:0] h_config2, input [31:0] v_config, input [31:0] misc_config, input [31:0] sl_config, input [31:0] sl_config2, + output PCLK_out, output reg [7:0] R_out, output reg [7:0] G_out, output reg [7:0] B_out, output reg HSYNC_out, output reg VSYNC_out, - output PCLK_out, output reg DE_out, output h_unstable, output reg [1:0] fpga_vsyncgen, - output [1:0] pclk_lock, - output [1:0] pll_lock_lost, + output pll_lock_lost, output reg [10:0] vmax, output reg [10:0] vmax_tvp, output reg [19:0] pcnt_frame, @@ -109,14 +109,20 @@ module scanconverter ( input osd_enable, input osd_color, output reg [10:0] xpos, - output reg [10:0] ypos + output reg [10:0] ypos, + input pll_areset, + input pll_scanclk, + input pll_scanclkena, + input pll_configupdate, + input pll_scandata, + output pll_scandone ); //clock-related signals wire pclk_act; wire pclk_1x, pclk_2x, pclk_3x, pclk_4x, pclk_5x; -wire pclk_2x_lock, pclk_3x_lock; -wire [2:0] pclk_mux_sel; +wire [1:0] pclk_mux_sel; +wire pll_lock; //RGB signals®isters: 8 bits per component -> 16.7M colors wire [7:0] R_act, G_act, B_act; @@ -148,7 +154,7 @@ reg [11:0] hmax[0:1]; reg line_idx; reg [1:0] line_out_idx_2x, line_out_idx_3x, line_out_idx_4x; reg [2:0] line_out_idx_5x; -reg [23:0] warn_h_unstable, warn_pll_lock_lost, warn_pll_lock_lost_3x; +reg [23:0] warn_h_unstable, warn_pll_lock_lost; // post-processing pipeline reg HSYNC_pp[1:`PP_PIPELINE_LENGTH] /* synthesis ramstyle = "logic" */; @@ -234,7 +240,6 @@ reg [19:0] pcnt_ctr; assign pclk_1x = PCLK_in; assign PCLK_out = pclk_act; -assign pclk_lock = {pclk_2x_lock, pclk_3x_lock}; assign ilace_flag = (FID_cur != FID_last); //Scanline generation @@ -481,22 +486,41 @@ case (V_MULTMODE) end endcase -//TODO: use single PLL and ALTPLL_RECONFIG -pll_2x pll_linedouble ( - .areset ( (V_MULTMODE != `V_MULTMODE_2X) & (V_MULTMODE != `V_MULTMODE_5X) ), - .inclk0 ( PCLK_in ), - .c0 ( pclk_2x ), - .c1 ( pclk_5x ), - .locked ( pclk_2x_lock ) +pll_2x pll_pclk ( + .areset(pll_areset), + .clkswitch(enable_sc), + .configupdate(pll_configupdate), + .inclk0(clk27), // set videogen clock to primary (power-on default) since both reference clocks must be running during switchover + .inclk1(PCLK_in), // is the secondary input clock fully compensated? + .scanclk(pll_scanclk), + .scanclkena(pll_scanclkena), + .scandata(pll_scandata), + .c0(pclk_2x), // pclk_3x in secondary config + .c1(pclk_5x), // pclk_4x in secondary config + .locked(pll_lock), + .scandataout(), + .scandone(pll_scandone) ); -pll_3x pll_linetriple ( - .areset ( (V_MULTMODE != `V_MULTMODE_3X) & (V_MULTMODE != `V_MULTMODE_4X) ), - .inclk0 ( PCLK_in ), - .c0 ( pclk_3x ), - .c1 ( pclk_4x ), - .locked ( pclk_3x_lock ) +assign pclk_3x = pclk_2x; +assign pclk_4x = pclk_5x; + +cycloneive_clkctrl clkctrl1 ( + .clkselect(enable_sc ? pclk_mux_sel : 2'h2), + .ena(1'b1), + .inclk({pclk_5x, pclk_2x, 1'b0, pclk_1x}), // fitter forbids using both clk27 and pclk_1x here since they're on opposite sides + .outclk(pclk_act) +// synopsys translate_off + , + .devclrn(1'b1), + .devpor(1'b1) +// synopsys translate_on ); +defparam + clkctrl1.clock_type = "Global Clock", + clkctrl1.ena_register_mode = "falling edge", + clkctrl1.lpm_type = "cycloneive_clkctrl"; + wire [11:0] linebuf_rdaddr = linebuf_hoffset-H_AVIDSTART; wire [11:0] linebuf_wraddr = hcnt_1x-H_AVIDSTART; @@ -512,15 +536,6 @@ linebuf linebuf_rgb ( .q ( {R_lbuf, G_lbuf, B_lbuf} ) ); -mux5 mux5_inst ( - .data0 ( pclk_1x ), - .data1 ( pclk_2x ), - .data2 ( pclk_3x ), - .data3 ( pclk_4x ), - .data4 ( pclk_5x ), - .sel ( pclk_mux_sel ), - .result ( pclk_act ) -); //Postprocess pipeline // @@ -714,27 +729,21 @@ begin if (!reset_n) begin warn_h_unstable <= 1'b0; warn_pll_lock_lost <= 1'b0; - warn_pll_lock_lost_3x <= 1'b0; end else begin if (hmax[0] != hmax[1]) warn_h_unstable <= 1; else if (warn_h_unstable != 0) warn_h_unstable <= warn_h_unstable + 1'b1; - if (((V_MULTMODE == `V_MULTMODE_2X) | (V_MULTMODE == `V_MULTMODE_5X)) & ~pclk_2x_lock) + if ((V_MULTMODE > `V_MULTMODE_1X) & ~pll_lock) warn_pll_lock_lost <= 1; else if (warn_pll_lock_lost != 0) warn_pll_lock_lost <= warn_pll_lock_lost + 1'b1; - - if (((V_MULTMODE == `V_MULTMODE_3X) | (V_MULTMODE == `V_MULTMODE_4X)) & ~pclk_3x_lock) - warn_pll_lock_lost_3x <= 1; - else if (warn_pll_lock_lost_3x != 0) - warn_pll_lock_lost_3x <= warn_pll_lock_lost_3x + 1'b1; end end assign h_unstable = (warn_h_unstable != 0); -assign pll_lock_lost = {(warn_pll_lock_lost != 0), (warn_pll_lock_lost_3x != 0)}; +assign pll_lock_lost = (warn_pll_lock_lost != 0); //Detect if TVP7002 is skipping VSYNCs. This occurs for interlaced signals fed via digital sync inputs, //causing TVP7002 not to regenerate VSYNC for field 1. Moreover, if leading edges of HSYNC and VSYNC are diff --git a/rtl/videogen.v b/rtl/videogen.v index 12cbfcc..1568e73 100644 --- a/rtl/videogen.v +++ b/rtl/videogen.v @@ -31,8 +31,7 @@ module videogen ( output reg [7:0] B_out, output reg HSYNC_out, output reg VSYNC_out, - output PCLK_out, - output reg ENABLE_out, + output reg DE_out, output reg [9:0] xpos, output reg [9:0] ypos ); @@ -67,8 +66,6 @@ parameter Y_START = V_SYNCLEN + V_BACKPORCH; reg [9:0] h_cnt; //max. 1024 reg [9:0] v_cnt; //max. 1024 -assign PCLK_out = clk27; - //HSYNC gen (negative polarity) always @(posedge clk27 or negedge reset_n) begin @@ -124,7 +121,7 @@ begin R_out <= 8'h00; G_out <= 8'h00; B_out <= 8'h00; - ENABLE_out <= 1'b0; + DE_out <= 1'b0; end else begin if (osd_enable) begin R_out <= {8{osd_color}}; @@ -156,7 +153,7 @@ begin {R_out, G_out, B_out} <= {3{8'((xpos - (H_OVERSCAN+H_BORDER)) >> 1)}}; end - ENABLE_out <= (h_cnt >= X_START && h_cnt < X_START + H_ACTIVE && v_cnt >= Y_START && v_cnt < Y_START + V_ACTIVE); + DE_out <= (h_cnt >= X_START && h_cnt < X_START + H_ACTIVE && v_cnt >= Y_START && v_cnt < Y_START + V_ACTIVE); end end diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index ebfcac2..b638b50 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000911728 :04002D00F5010113C5 :04002E000000871730 -:04002F003A870713F2 +:04002F0045870713E7 :04003000000097979E -:040031009807879312 +:04003100A307879307 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,41 +56,41 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367E1D2 -:04003A0067E146072D -:04003B004647A5830C +:04003A0067E1510722 +:04003B005147A58301 :04003C0040A267E196 -:04003D004687A5034A +:04003D005187A5033F :04003E00206F0131FD -:04003F00A3A158E041 +:04003F00A3A1610018 :0400400067E1808272 :04004100000207377B -:0400420046E7A823C2 +:0400420052E7A023BE :0400430007B7656135 :040044006761019C53 :04004500CC078793CA -:040046008CC505134D -:0400470046F726232F +:040046009785051382 +:0400470050F72E231D :040048006761AE49F5 -:0400490046C727037C +:0400490051C7270371 :04004A00A78367E140 -:04004B00557D470791 +:04004B00557D520786 :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067E1808261 -:0400520046C7A78373 +:0400520051C7A78368 :04005300CF91557D77 :04005400A70367E1B6 -:04005500282347070E +:040055002823520703 :040056004B1C000738 :0400570007C24B4849 :0400580083C1054219 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367E1B1 -:04005C00808246C791 +:04005C00808251C786 :04005D002703C93973 :04005E0011510C052B :04005F0000859793EE @@ -174,7 +174,7 @@ :0400AD00953E8626D0 :0400AE00CC3ACE1A60 :0400AF00C836CA166F -:0400B000474050EF86 +:0400B0004F6050EF5E :0400B10007B3101071 :0400B200C03E0096B6 :0400B3008793678543 @@ -273,7 +273,7 @@ :04011000C222853A48 :040111008436C40666 :0401120050EFC026C4 -:04011300872A2EA069 +:04011300872A36C041 :0401140025B76605A0 :04011500652100025E :040116000613468105 @@ -308,7 +308,7 @@ :04013300E963FEB7C7 :0401340066D902F690 :040135008693078A1C -:0401360097B636C67C +:0401360097B63F0633 :040137008782439CDC :040138002000079309 :040139000D05268307 @@ -341,7 +341,7 @@ :04015400D918DD5C7D :0401550002052A2352 :04015600C134DD10C3 -:04015700394585930E +:040157004405859343 :0401580040A222019E :04015900853E478117 :04015A00808201316D @@ -408,7 +408,7 @@ :04019700BFD5078544 :04019800451CC11928 :0401990067E1EF919A -:04019A003907A783F7 +:04019A0043C7A7832D :04019B001151C395A6 :04019C009782C4067C :04019D0047D940A25C @@ -419,7 +419,7 @@ :0401A200C188C3C885 :0401A3008082450110 :0401A4000513656575 -:0401A50047D9A3C5CE +:0401A50047D9AEC5C3 :0401A6005529C11CFA :0401A70067338082B8 :0401A80053FD00B54E @@ -601,7 +601,7 @@ :04025800BF59013158 :04025900C22211515B :04025A0004136461C4 -:04025B00458348444B +:04025B004583534440 :04025C004515002420 :04025D003749C40653 :04025E0000244783AE @@ -677,9 +677,9 @@ :0402A400359D0C5028 :0402A50064E14702C7 :0402A6008693479262 -:0402A700C698484469 +:0402A700C69853445E :0402A80084934705EF -:0402A9004409484478 +:0402A900440953446D :0402AA008363462202 :0402AB00442900E7FB :0402AC00822347055D @@ -859,12 +859,12 @@ :04035A008432C036F3 :04035B003669CA062F :04035C00676146820D -:04035D0048470613F4 +:04035D0053470613E9 :04035E0097938A8562 :04035F0046830016BB :040360009AF500C644 :0403610007938EDD93 -:0403620006234847DF +:0403620006235347D4 :04036300C03E00D6C2 :04036400C2998A8927 :040365003F494505C2 @@ -905,11 +905,11 @@ :0403880047C104F471 :040389009F6365E128 :04038A00460D14F414 -:04038B0039C5859358 +:04038B00448585938D :04038C00073005131E :04038D0065E13CC921 :04038E0085934649C4 -:04038F00A8359D05EB +:04038F00A835A7C521 :040390000405E593E8 :04039100E593BFA58C :04039200BF8D08050E @@ -920,11 +920,11 @@ :040397007413FA04DD :04039800B7750FF432 :04039900460D65E1C7 -:04039A003A05859308 +:04039A0044C585933E :04039B00073005130F :04039C0065E13C5982 :04039D0085934649B5 -:04039E0005139E4560 +:04039E000513A90595 :04039F00F0EF076014 :0403A0004489A89F45 :0403A1000720051319 @@ -986,17 +986,17 @@ :0403D9000161450574 :0403DA0065E18082D7 :0403DB008593460DB3 -:0403DC0005133A05C6 +:0403DC00051344C5FC :0403DD00F0EF073006 :0403DE0065E1991F1D :0403DF008593464973 -:0403E000BDE59BC517 +:0403E000BDE5A6854C :0403E1008593460DAD -:0403E200051339C501 +:0403E2000513448536 :0403E300F0EF073000 :0403E40065E1979F99 :0403E500859346496D -:0403E600B5C59A857A +:0403E600B5C5A545AF :0403E7004481440108 :0403E80045E1B5D561 :0403E90006200513D2 @@ -1021,8 +1021,8 @@ :0403FC000131059036 :0403FD00905FF06FAE :0403FE00879367E199 -:0403FF0067618CC7DF -:0404000048F72A236C +:0403FF006761978714 +:0404000054F7222368 :040401000C87A703BA :04040200F38005136B :04040300A703CB017F @@ -1031,7 +1031,7 @@ :04040600450100F7B5 :0404070067E18082A7 :0404080085AA86AE8D -:040409004947A503B7 +:040409005447A503AC :04040A00C4061151C2 :04040B00BF0FF0EF40 :04040C000513C119FA @@ -1043,7 +1043,7 @@ :0404120086AA0FF4B3 :040413000622872E08 :04041400C38564E157 -:040415004944A503AE +:040415005444A503A3 :04041600F0047593E6 :04041700F0EF05A25B :04041800C1199D8FDA @@ -1051,7 +1051,7 @@ :04041A00444240D246 :04041B00016144B285 :04041C00C22A8082EE -:04041D004944A503A6 +:04041D005444A5039B :04041E0085B2C42EB1 :04041F00F0EFC03208 :04042000460296CF2B @@ -1097,19 +1097,19 @@ :040448000014B613D3 :04044900853685BAB5 :04044A0030EFC23697 -:04044B00872A1D805F +:04044B00872A25A037 :04044C001004849381 :04044D00B77D46929F :04044E00C422114172 :04044F00C02A6461FA -:0404500049840513C3 +:0404500054840513B8 :0404510040EFC606AC -:0404520045823100AE +:040452004582392086 :040453000713E90999 :0404540046812000BD :040455000513460144 -:0404560040EF4984A6 -:0404570040B244402B +:0404560040EF54849B +:0404570040B24C6003 :0404580001414422F8 :04045900112180826B :04045A00C626C822C8 @@ -1124,9 +1124,9 @@ :040463000103171367 :04046400834167E188 :040465008622468124 -:04046600498785132A +:04046600548785131F :04046700C21AC41ED3 -:040468003FE040EF42 +:04046800480040EF19 :0404690043A2431255 :04046A000533C9018C :04046B0040D240A09B @@ -1141,40 +1141,40 @@ :0404740084B393BA00 :04047500B7454064E3 :04047600A78367E110 -:0404770011413A47AE +:0404770011414507E3 :040478006461C422D5 -:040479004B04059398 +:04047900560405938D :04047A00851346415F :04047B00C60600476A :04047C00C03EC22696 -:04047D006B2040EFC1 +:04047D00734040EF99 :04047E0064E147826C -:04047F004E8485938F +:04047F005984859384 :040480008513464159 :0404810040EF014700 -:0404820005136A00F4 -:0404830044224B04C0 +:0404820005137220CC +:0404830044225604B5 :04048400859340B26A -:0404850044924E84CB +:0404850044925984C0 :04048600306F014191 -:0404870067E13520D4 -:040488003A47A783C5 +:0404870067E13D40AC +:040488004507A783FA :04048900C422114137 :04048A000593646111 -:04048B0046414C4456 +:04048B00464157444B :04048C00004785138D :04048D00C226C606B7 :04048E0040EFC03E3D -:04048F00478266C07A +:04048F0047826EE052 :04049000859364E10B -:040491004641560486 +:04049100464161047B :040492000147851386 -:0404930065A040EF31 -:040494004C440513BC +:040493006DC040EF09 +:0404940057440513B1 :0404950040B244220B -:0404960056048593F0 +:0404960061048593E5 :040497000141449249 -:0404980030C0306FD1 +:0404980038E0306FA9 :04049900C406115133 :04049A00842AC222CC :04049B00949FF0EF4B @@ -1182,11 +1182,11 @@ :04049D00A31FF0EFBA :04049E000563478922 :04049F00646102F49E -:0404A0005784041366 +:0404A000628404135B :0404A100460367E1C6 :0404A2004583037417 :0404A300A503036446 -:0404A400F0EF4A87A4 +:0404A400F0EF558799 :0404A5004503F86FA4 :0404A6004412036495 :0404A700013140A23D @@ -1201,9 +1201,9 @@ :0404B000450145813C :0404B100909FF0EF39 :0404B20007936461E7 -:0404B300C5034FC46A +:0404B300C5035AC45F :0404B4004785010770 -:0404B5004FC4041319 +:0404B5005AC404130E :0404B60000A035333A :0404B70046010505F0 :0404B80000F4936356 @@ -1213,7 +1213,7 @@ :0404BC00A6BFF0EFF8 :0404BD00896347897F :0404BE00636102F480 -:0404BF005783031349 +:0404BF00628303133E :0404C00000F447837A :0404C10002434703A8 :0404C20001144503D9 @@ -1221,9 +1221,9 @@ :0404C4004601468126 :0404C500F0EF0586C9 :0404C60067E1CA6FB1 -:0404C70057878313BD +:0404C70062878313B2 :0404C8000243478321 -:0404C90004F400A394 +:0404C90004F4012313 :0404CA003F2D852617 :0404CB0040A24412F5 :0404CC004501448220 @@ -1231,48 +1231,48 @@ :0404CE0011519F3FEA :0404CF00C222C4067B :0404D00067E1E559A2 -:0404D1004FC78793F7 +:0404D1005AC78793EC :0404D200043743D4D4 :0404D3000413019C71 :0404D4005433CC04CD :0404D500868302D444 :0404D600467100A7C4 :0404D700879367E1BF -:0404D80086B362C7BE +:0404D80086B36DC7B3 :0404D90097B602C60A :0404DA0000E7D783DD :0404DB00043346A1FF :0404DC0067E102F4DE -:0404DD004D77C7830D +:0404DD005877C78302 :0404DE0002D78D6351 :0404DF00896346C126 :0404E000471100D7E9 :0404E10004E7916338 -:0404E2007FE030EF98 +:0404E200081030EFDF :0404E300A8294505FA :0404E40001C9C7B7CC :0404E50037F78793CB :0404E600E363872A1B :0404E700473D008706 :0404E80030EF853A32 -:0404E900450D7E40FF +:0404E900450D067047 :0404EA0040A24412D6 :0404EB00306F01313C -:0404EC0030EF3A00B3 -:0404ED00B5377D4062 +:0404EC0030EF42208B +:0404ED00B5370570AA :0404EE00051304C42A :0404EF0035333FF56D :0404F0000509008575 :0404F10030EFB7D55C -:0404F20045017C0044 +:0404F200450104308C :0404F30067E1BFF10D -:0404F400578787138C +:0404F4006287871381 :0404F50002E7468351 :0404F600841347091B -:0404F700453D5787A1 +:0404F700453D628796 :0404F80000E6836334 :0404F90030EF45019A -:0404FA0047837A00BA +:0404FA004783023002 :0404FB00470902E4C7 :0404FC007BE3450D4C :0404FD004515FAF7B0 @@ -1280,37 +1280,37 @@ :0404FF00B76D0FF5D1 :04050000F8634785D0 :0405010067E100A707 -:040502004D77C50369 +:040502005877C5035E :040503003513156136 :0405040047E10015B6 :0405050002F50533C3 :04050600879367DD93 -:04050700953E404796 -:0405080066E0306F0A +:04050700953E4B07CB +:040508006F00306FE1 :0405090026036761FD -:04050A0011013AC7DA +:04050A00110145C7CF :04050B00CE0667E1D0 :04050C00CA26CC220D :04050D006A0787935F :04050E0000364683EA :04050F00E299829D4E :04051000FBFD17FDDB -:040511001B7030EF3C +:04051100239030EF14 :04051200869367E184 -:04051300C5834FC786 +:04051300C5835AC77B :04051400C23E00B62D -:040515004FC78493B5 +:040515005AC78493AA :0405160082AA67E16D -:040517003AC7871345 +:0405170045C787133A :040518004314C59132 :040519000026C7836E :04051A000037F5931E :04051B000370051351 :04051C00C62EC81609 -:04051D00358030EF06 +:04051D003DA030EFDE :04051E000513C02AD7 :04051F0030EF038036 -:04052000430234E07E +:0405200043023D0055 :0405210016936605C2 :040522000613008537 :040523008EF1F0065F @@ -1320,11 +1320,11 @@ :040527000390051325 :0405280084A3C036B2 :0405290030EF00C4EB -:04052A00C42A32604D +:04052A00C42A3A8025 :04052B0003A0051311 -:04052C0031C030EFBB +:04052C0039E030EF93 :04052D00871367E1E8 -:04052E0043103AC775 +:04052E00431045C76A :04052F00468245B209 :040530000026470357 :04053100531342C25C @@ -1349,8 +1349,8 @@ :04054400C303478521 :04054500666100B437 :0405460006136761D0 -:04054700041347460C -:040548001D63578751 +:040547000413524601 +:040548001D63628746 :0405490082632403A2 :04054A008063460282 :04054B0005834605D9 @@ -1366,7 +1366,7 @@ :04055500633300A369 :04055600471200E365 :04055700260365E131 -:0405580005134FC771 +:0405580005135AC766 :040559006D630016B8 :04055A00851300D530 :04055B0069630016BA @@ -1374,48 +1374,48 @@ :04055D0000661663BB :04055E000084C6034C :04055F0040F60A63F5 -:0405600054058E238D +:040560006005862389 :040561000194458339 -:040562000364C60365 +:040562000374C60355 :040563000AC59063D2 :0405640001A4458326 -:040565000374C60352 +:040565000384C60342 :0405660008C59A63C7 :0405670001B4458313 -:040568000384C6033F +:040568000394C6032F :0405690008C59463CA :04056A0001C4458300 -:04056B000394C6032C +:04056B0003A4C6031C :04056C0006C59E63BF :04056D0001D44583ED -:04056E0003A4C60319 +:04056E0003B4C60309 :04056F0006C59863C2 :04057000014445837A -:040571000314C603A6 +:040571000324C60396 :0405720006C59263C5 :040573000154458367 -:040574000324C60393 +:040574000334C60383 :0405750004C59C63BA :040576000164458354 -:040577000334C60380 +:040577000344C60370 :0405780004C59663BD :040579000174458341 -:04057A000344C6036D +:04057A000354C6035D :04057B0004C59063C0 :04057C00018445832E -:04057D000354C6035A +:04057D000364C6034A :04057E0002C59A63B5 :04057F00027445833A -:040580000444C60366 +:040580000454C60356 :0405810002C59463B8 :040582000284458327 -:040583000454C60353 +:040583000464C60343 :0405840000C59E63AD :040585000324458383 -:0405860004F4C603B0 +:040586000504C6039F :0405870000C59863B0 :040588000394458310 -:040589000564C6033C +:040589000574C6032C :04058A0000C58C63B9 :04058B004589470255 :04058C000001460321 @@ -1423,12 +1423,12 @@ :04058E007713460990 :04058F00C03A0FF669 :040590000254458349 -:040591000424C60375 +:040591000434C60365 :0405920002C58D63AE :0405930000A4860337 :04059400063345F1F4 :0405950065E102B664 -:0405960062C5859322 +:040596006DC5859317 :040597004A10962E42 :0405980020D00593D7 :04059900761382153E @@ -1440,12 +1440,12 @@ :04059F000FF67713C9 :0405A0004583C03A95 :0405A100C603026427 -:0405A2008D6304342D +:0405A2008D6304441D :0405A300860302C504 :0405A40045F100A479 :0405A50002B6063361 :0405A600859365E1F3 -:0405A700962E62C565 +:0405A700962E6DC55A :0405A80005934A105D :0405A90082151C108B :0405AA007FF676134F @@ -1456,14 +1456,14 @@ :0405AF00771346096F :0405B000C03A0FF648 :0405B1004603676135 -:0405B200CE415727B8 +:0405B200CE416227AD :0405B30000A483839A :0405B40062E1467149 :0405B50002C383B347 -:0405B60062C2861384 +:0405B6006DC2861379 :0405B7000124C583D3 :0405B800C0834515A2 -:0405B90093B20444B1 +:0405B90093B20454A1 :0405BA000103A60390 :0405BB0006338A7DFC :0405BC00063302B64A @@ -1482,14 +1482,14 @@ :0405C9008A05820518 :0405CA00C436C61A53 :0405CB0030EFC83E07 -:0405CC00860325601D +:0405CC0086032D80F5 :0405CD0045F100A450 :0405CE00063367E1A8 :0405CF00829302B65B -:0405D000C58362C7B6 +:0405D000C5836DC7AB :0405D10092B20124BD :0405D2000162C503FA -:0405D3004DE030EFD8 +:0405D300560030EFAF :0405D40089A34702AE :0405D50046A200A496 :0405D60047C24332A3 @@ -1497,7 +1497,7 @@ :0405D8004712C03ACC :0405D9000064A223F5 :0405DA0000F4842382 -:0405DB004ED72E23A6 +:0405DB005AD72623A2 :0405DC000585A03DB4 :0405DD0000B6002341 :0405DE00B3F1C002B3 @@ -1510,135 +1510,135 @@ :0405E500000485A3E6 :0405E60096E3C03A9E :0405E70067E1DA05E9 -:0405E8005787C60368 -:0405E90001D4C683F0 +:0405E8006287C6035D +:0405E90001E4C683E0 :0405EA000CD61A63AE :0405EB0000144603AF -:0405EC0001E4C683DD +:0405EC0001F4C683CD :0405ED000CD61463B1 :0405EE00002446039C -:0405EF0001F4C683CA +:0405EF000204C683B9 :0405F0000AD61E63A6 :0405F1000034460389 -:0405F2000204C683B6 +:0405F2000214C683A6 :0405F3000AD61863A9 :0405F4000064460356 -:0405F5000234C68383 +:0405F5000244C68373 :0405F6000AD61263AC :0405F700656165E1F4 :0405F800859346158C -:0405F900051352157F -:0405FA0030EF580581 -:0405FB00E5597250FC +:0405F90005135D2564 +:0405FA0030EF630576 +:0405FB00E5597A70D4 :0405FC00656165E1EF :0405FD008593461983 -:0405FE00051352652A -:0405FF0030EF58552C -:04060000ED2D71105B +:0405FE0005135D750F +:0405FF0030EF635521 +:04060000ED2D793033 :040601000044460368 -:040602000214C68395 +:040602000224C68385 :0406030006D618639C :040604000054460355 -:040605000224C68382 +:040605000234C68372 :0406060006D612639F :040607000074460332 -:040608000244C6835F +:040608000254C6834F :0406090004D61C6394 :04060A0001F44603AE -:04060B0003C4C683DB +:04060B0003D4C683CB :04060C0004D6166397 :04060D00020446039A -:04060E0003D4C683C8 +:04060E0003E4C683B8 :04060F0004D610639A :040610000214460387 -:0406110003E4C683B5 +:0406110003F4C683A5 :0406120002D61A638F :040613000224460374 -:0406140003F4C683A2 +:040614000404C68391 :0406150002D6146392 :0406160001E44603B2 -:0406170003B4C683DF +:0406170003C4C683CF :0406180000D61E6387 :04061900035446033D -:04061A000524C6836A +:04061A000534C6835A :04061B0000D618638A :04061C00034446034A -:04061D000514C68377 +:04061D000524C68367 :04061E0000D6066399 :04061F00E399478292 :04062000C03E47850C :0406210002A44503E7 -:040622000474C68313 +:040622000484C68303 :0406230000A6846346 -:040624003D2030EF56 +:04062400454030EF2E :0406250002B44503D3 -:040626000484C683FF +:040626000494C683EF :0406270000A6846342 -:0406280006A030EF09 +:040628000EC030EFE1 :0406290002C44503BF -:04062A000494C683EB +:04062A0004A4C683DB :04062B0000A684633E -:04062C00064030EF65 +:04062C000E6030EF3D :04062D0002F445038B -:04062E0004C4C683B7 +:04062E0004D4C683A7 :04062F0003044583F8 :0406300000A6966327 -:0406310004D4C683A4 +:0406310004E4C68394 :0406320000B6846327 -:04063300020030EFA2 +:040633000A2030EF7A :0406340002944503E4 -:040635000464C68310 +:040635000474C68300 :0406360000A6846333 :04063700B25FF0EFCF :0406380002E4450390 -:0406390004B4C683BC +:0406390004C4C683AC :04063A0000A684632F :04063B00A4FFF0EF39 :04063C0002D445039C -:04063D0004A4C683C8 +:04063D0004B4C683B8 :04063E0000A684632B -:04063F002AE030EF8E +:04063F00330030EF65 :0406400065E166E129 -:040641005B2685139C +:040641006626851391 :040642008593461D39 -:0406430030EF55350A -:0406440066E16010FB +:0406430030EF6045EF +:0406440066E16830D3 :040645008513C5094B -:0406460030EF5B2610 -:040647004603002046 +:0406460030EF662605 +:04064700460308401E :04064800C6830364FE -:0406490018630534F9 +:0406490018630544E9 :04064A00460300D68D :04064B00C6830374EB -:04064C0006630544F8 +:04064C0006630554E8 :04064D00450300D68B :04064E00F0EF023493 :04064F0066E192BF0F -:040650005736C683D0 +:040650006236C683C5 :040651004503CE810E :04065200C703038453 -:0406530007630554E0 +:0406530007630564D0 :04065400155100A795 :040655008561056254 -:040656002C9020EFD5 +:0406560034B020EFAD :04065700859367E13F -:040658008513578728 -:04065900061301D4AF +:04065800851362871D +:04065900061301E49F :04065A0030EF042059 -:04065B0040F25CB05D +:04065B0040F264D035 :04065C0067E14462AC -:04065D005607892390 +:04065D00620781238C :04065E0044D245023B :04065F00808261052F :040660000023137DE3 :04066100C00200666D :040662000023BD09AB :04066300BFE50006E9 -:0406640055C5C603AF +:0406640060C5C603A4 :0406650007E345055D :040666000605BEA621 :040667000FF6761301 -:0406680054C58E23C4 +:0406680060C58623C0 :04066900BEA610E336 :04066A004589470275 :04066B000001460341 @@ -1648,26 +1648,26 @@ :04066F000113B6E1DC :0406700067E1FC81C1 :04067100D826DA228B -:040672004FC78713D4 +:040672005AC78713C9 :0406730000A7048355 :040674006561467105 :0406750002C4863302 -:0406760062C50693C0 -:0406770001E74583CF +:040676006DC50693B5 +:0406770001F74583BF :040678000127428391 -:040679004FC787934D -:04067A0062C503133F +:040679005AC7879342 +:04067A006DC5031334 :04067B00D60396B25A :04067C00C40300C6ED :04067D00CC32014634 :04067E000136C683F8 :04067F00CE36C002B1 -:040680000227468384 +:040680000237468374 :0406810000C7470364 :04068200C43AD4366C :040683000FD5F71385 :04068400C703EB15A8 -:04068500C2990247CD +:04068500C2990257BD :04068600A005468500 :04068700468D462234 :0406880000D60B632A @@ -1684,19 +1684,19 @@ :04069300C202470553 :0406940002D76063C6 :04069500C6034691C1 -:04069600E3630247D1 +:04069600E3630257C1 :0406970086930E56E2 :0406980086B3FFF234 :0406990016B302C6CC :04069A00F71300D77B :04069B00C23A0FF65A -:04069C000237C70357 +:04069C000247C70347 :04069D00C6024681CA :04069E00438DCA3A84 :04069F000026951389 :0406A0000C759A63D8 :0406A10000D78733C4 -:0406A20002574703B1 +:0406A20002674703A1 :0406A300FFF7061344 :0406A40016338A3D42 :0406A500453200A634 @@ -1712,7 +1712,7 @@ :0406AF000026951379 :0406B0000A759163D3 :0406B10000D78733B4 -:0406B20002A7470351 +:0406B20002B7470341 :0406B300FFF7061334 :0406B40016338A3D32 :0406B500454200A614 @@ -1729,9 +1729,9 @@ :0406C0008B05D002D4 :0406C1004722CB0100 :0406C200C703C71192 -:0406C30037130217D0 +:0406C30037130227C0 :0406C400D03A001711 -:0406C50001D7C7038F +:0406C50001E7C7037F :0406C6001B634685E7 :0406C700472204D7EB :0406C8004771CB119A @@ -1764,7 +1764,7 @@ :0406E30002D5896350 :0406E4000800069371 :0406E50032D59B630C -:0406E60003B7C6830D +:0406E60003C7C683FD :0406E70007068F155E :0406E8000FF777137E :0406E9000737A829FE @@ -1774,7 +1774,7 @@ :0406ED0066A12EE5EF :0406EE0098634719AD :0406EF0066E130D5BB -:0406F0004D76C6037A +:0406F0005876C6036F :0406F100156346A1A6 :0406F200040600D624 :0406F30080410442FC @@ -1787,7 +1787,7 @@ :0406FA0002A485339E :0406FB0040E286B3A0 :0406FC004609D6369F -:0406FD000357C38359 +:0406FD000367C38349 :0406FE0095A674E168 :0406FF0013FD44D2D1 :04070000007033B39F @@ -1802,7 +1802,7 @@ :0407090002C6C6B3AB :04070A0082C106C2E0 :04070B00C683D23699 -:04070C00561203C7B7 +:04070C00561203D7A7 :04070D00012544037B :04070E0002D706B355 :04070F0007428B1DF5 @@ -1819,7 +1819,7 @@ :04071A008A1D7FF3C2 :04071B008ED106363F :04071C00015546033A -:04071D000527C503E4 +:04071D000537C503D4 :04071E0006468A1DE4 :04071F001FF3F3933E :04072000E5B303AE8C @@ -1832,30 +1832,30 @@ :04072700897D57125F :0407280092BA051E5E :040729003FF2F29316 -:04072A0003D7C70327 +:04072A0003E7C70317 :04072B000056E2B3DF :04072C00771346A257 :04072D00075203F775 :04072E008F5506F6E7 :04072F008F5146F2AE -:0407300003E7C60312 +:0407300003F7C60302 :0407310003F6F69342 :0407320000B69593E5 :040733008F4D46E2BE :04073400F6938A3D71 :0407350064337FF6B4 :04073600C68300D79F -:040737008D5103F7E6 -:0407380001F7C5837D +:040737008D510407D5 +:040738000207C5836C :0407390006928A9DFD :04073A0046B28D55E1 -:04073B000517C703D4 +:04073B000527C703C4 :04073C00961389FD8A :04073D00468200C62A :04073E0005E6823119 :04073F0006D28AFD57 :04074000C6038ED18D -:040741008B0502071B +:040741008B0502170B :040742008A050732EB :0407430001E6179321 :0407440000B6E633E2 @@ -1872,7 +1872,7 @@ :04074F0057A28CDD44 :0407500007FE8CE92B :0407510067E18CDDF3 -:040752003AC7A78378 +:0407520045C7A7836D :0407530000C7C58393 :0407540000D7862321 :040755000083569334 @@ -1954,14 +1954,14 @@ :0407A10054C2545298 :0407A20003810113BB :0407A300C683808207 -:0407A400471103B73F +:0407A400471103C72F :0407A500B3298F15D0 -:0407A60003B7C6834C +:0407A60003C7C6833C :0407A700BFDD471556 -:0407A80003B7C6834A +:0407A80003C7C6833A :0407A9008F15471948 :0407AA000FF77713BB -:0407AB000357C683A7 +:0407AB000367C68397 :0407AC00D00697E3F9 :0407AD0016A146E269 :0407AE0082C106C23C @@ -1974,14 +1974,14 @@ :0407B5001111B1ED80 :0407B600CA2267E10B :0407B700646147052D -:0407B80054E78E2351 -:0407B9004FC407938F +:0407B80060E786234D +:0407B9005AC4079384 :0407BA000047A283CF :0407BB00CC06C8267A -:0407BC004FC404938F -:0407BD002A028C631D -:0407BE004FC42703FA -:0407BF002A0708639A +:0407BC005AC4049384 +:0407BD001C028D632A +:0407BE005AC42703EF +:0407BF001C070963A7 :0407C000A0EEC7B729 :0407C100B007879363 :0407C20002E7D7B3C0 @@ -1989,6485 +1989,6529 @@ :0407C400019C03B7DA :0407C500CC0383934B :0407C6000253D3B354 -:0407C7002807066396 +:0407C7001A070763A3 :0407C8000257D2B34F :0407C90003B0051361 :0407CA00C21EC6166F -:0407CB000A1020EF01 +:0407CB00123020EFD9 :0407CC000513C42A23 :0407CD0020EF03C056 -:0407CE00C783097064 +:0407CE00C78311903C :0407CF00C60301C498 :0407D00043920084CC :0407D1000027971353 :0407D200879367D9C9 -:0407D30097BA3F474B +:0407D30097BA478703 :0407D4002703439420 -:0407D50007934FC473 +:0407D50007935AC468 :0407D60042B2070024 :0407D7000793E21989 :0407D80066370690EA :0407D9006561000155 -:0407DA004A86061332 +:0407DA005546061367 :0407DB00051345C5F8 -:0407DC00C2164C45B0 +:0407DC00C2165745A5 :0407DD0030EFC61E15 -:0407DE0042920970CA +:0407DE0042921190A2 :0407DF00079343B287 :0407E0000693064036 :0407E10065613E8090 :0407E20002F2D73315 :0407E300051345C5F0 -:0407E400F63356058D +:0407E400F633610582 :0407E500C23A02D33F :0407E60002F2F2B376 :0407E700472987BA5D :0407E80002E657339B :0407E900000166376E :0407EA000613C0161C -:0407EB00D6B34B0630 +:0407EB00D6B355C666 :0407EC0030EF02D315 -:0407ED0067E105B00B -:0407EE0060B7C783A6 -:0407EF00829362E1AE -:0407F000EB993A4205 -:0407F1000002A70358 -:0407F2000007478332 -:0407F3000027E79361 -:0407F40000F70023E7 -:0407F500A4AFF0EFCE -:0407F600468367616E -:0407F7004612571738 -:0407F8000084C58331 -:0407F9004FC42503C1 -:0407FA00657020EF17 -:0407FB00676157FDDE -:0407FC001CF5056380 -:0407FD0000A48523AC -:0407FE0000A4878349 -:0407FF00467166E1F8 -:0408000060F68523F6 -:0408010002C787B3F0 -:040802000613646114 -:04080300C68362C482 -:040804004703012481 -:04080500C38357173B -:04080600041304448F -:0408070097B262C47E -:0408080046154B8CBA -:0408090085B389FD2D -:04080A0085B302D5DB -:04080B00061302C509 -:04080C00859306408A -:04080D00C5B3032547 -:04080E00D60302C546 -:04080F0086B300E7C5 -:0408100040D002C60C -:0408110005C295B6D1 -:040812009B2381C1E2 -:04081300C50300B465 -:0408140046810177A1 -:040815008D79897DD3 -:040816000BA3676168 -:0408170047224CA781 -:040818000FF777134C -:0408190002B70733E8 -:04081A0002C7573387 -:04081B0082410642CE -:04081C0000038563ED -:04081D0082854F94ED -:04081E0077138A853D -:04081F0020EF0FF7C0 -:04082000C503459037 -:04082100F0EF04B43C -:04082200C503AB4F10 -:04082300F0EF04648A -:040824008783B72FE0 -:04082500477100A473 -:040826000124C58361 -:0408270002E787B3AA -:04082800C50397A2CB +:0407ED0067E10DD0E3 +:0407EE006BB7C7839B +:0407EF0067E1EF814E +:0407F0004507A7030F +:0407F1000007478333 +:0407F2000027E79362 +:0407F30000F70023E8 +:0407F400A4EFF0EF8F +:0407F500468367616F +:0407F600461262172E +:0407F7000084C58332 +:0407F8005AC42503B7 +:0407F9006DD020EFB0 +:0407FA00676157FDDF +:0407FB000EF508638C +:0407FC0000A48523AD +:0407FD0000A487834A +:0407FE00467166E1F9 +:0407FF006AF68D23E6 +:0408000002C787B3F1 +:040801000613646115 +:04080200C6836DC478 +:040803004703012482 +:04080400C283621732 +:0408050097B204544E +:0408060046154B8CBC +:0408070085B389FD2F +:0408080085B302D5DD +:04080900061302C50B +:04080A00859306408C +:04080B00C5B3032549 +:04080C00D60302C548 +:04080D0086B300E7C7 +:04080E0040D002C60E +:04080F0005C295B6D3 +:040810009B2381C1E4 +:04081100C50300B467 +:0408120006930177D1 +:04081300C2366DC4B8 +:040814008D79897DD4 +:0408150003A3676171 +:04081600472258A776 +:04081700771346818C +:0408180007330FF79C +:04081900573302B798 +:04081A00064202C7C9 +:04081B00856382412E +:04081C004F940002F3 +:04081D008A858285C1 +:04081E000FF7771346 +:04081F004DD020EFA9 +:0408200004C4C50344 +:04082100AB6FF0EFDA +:040822000474C50392 +:04082300B74FF0EFEC +:0408240000A4878322 +:04082500C5834771CF +:0408260087B301246F +:04082700471202E78B +:04082800C50397BAB3 :0408290020EF016754 -:04082A00C7833850F8 -:04082B00676100C43D -:04082C0000A489A3F8 -:04082D003A470293B1 -:04082E0010079563B7 -:04082F0000A4878317 -:0408300087B34771D2 -:04083100943E02E708 -:0408320000C4578324 -:040833002BD7B79375 -:040834000017C7934F -:04083500A60386BED2 -:040836008B8D0002A4 -:040837004703078EDE -:04083800069600160A -:040839008FD99B1D9B -:04083A0000F600A321 -:04083B000002A7030D -:04083C0000174783D7 -:04083D00F9F7F7933D -:04083E0000A38FD5AF -:04083F00F0EF00F7DF -:04084000C7038C1F3F -:0408410047890404DB -:0408420000E4C50306 -:040843000763458181 -:04084400C58300F771 -:040845008D8900F4A5 -:040846000015B59351 -:04084700E9FFE0EFF6 -:0408480007B740D8D6 -:040849008793019CF4 -:04084A00D7B3CC074D -:04084B00D70302E7E6 -:04084C00C60301647A -:04084D00468D00D400 -:04084E0002E787B383 -:04084F0000C4C70317 -:0408500087B307055E -:04085100C70302E7F0 -:04085200070500E4B2 -:0408530002E787B37E -:040854002423676191 -:040855001E634AF7DD -:04085600838506D6BA -:040857004AF7242315 -:040858004A87268322 -:040859000511073747 -:04085A00F407071385 -:04085B006B634785FF -:04085C00773700D713 -:04085D000713047801 -:04085E0047818BF74C -:04085F0000D77463E7 -:040860000104C78345 -:0408610004E4C703E1 -:040862000404C58342 -:04086300C703E709D7 -:040864000763010421 -:04086500445204F7FE -:0408660000F48823EF -:0408670044C240E265 -:040868000171852E67 -:04086900908FF06F0D -:04086A00BB9D0786A5 -:04086B0063916285AE -:04086C0077028293FA -:04086D00D543839359 -:04086E004791B3B546 -:04086F0000F48523E9 -:04087000C703BD25D8 -:04087100478500E4D3 -:040872008F99468193 -:040873000FF7F793F1 -:040874004585B719E6 -:04087500F8B616E3D8 -:04087600D7B3078A63 -:04087700BFBD02D728 -:0408780082634789C7 -:04087900C50302F5BC -:04087A00C191011413 -:04087B004452458915 -:04087C0000F4C7833A -:04087D000414C70395 -:04087E0044C240E24E -:04087F004601468167 -:04088000E06F0171B3 -:0408810040E2DBAFC7 -:0408820044C24452D6 -:0408830080820171FD -:04088400C026115128 -:04088500C50364E162 -:0408860045814A64FA -:04088700C406C222BF -:040888004F5010EFCE -:04088900E121842ABB -:04088A004A64C68373 -:04088B00472967E1B1 -:04088C004ED78CA314 -:04088D00C78367E1D5 -:04088E0085635B978C -:04088F00676100E7B6 -:040890004AF706A37A -:04089100C70367E151 -:0408920047814FA7A4 -:0408930067E1C70151 -:040894004AD7C783F5 -:04089500071367617D -:0408960097BA4DC7F9 -:040897008023453D38 -:0408980010EF00D786 -:0408990085223390F1 -:04089A00441240A222 -:04089B000131448261 -:04089C0011518082F4 -:04089D0064E1C0262C -:04089E004A64C503E0 -:04089F00C406C222A7 -:0408A00031B010EF74 -:0408A100E51D842AA3 -:0408A2004A64C6835B -:0408A3008CA367E1DA -:0408A40067E14ED7E3 -:0408A5004FA7C7038F -:0408A600C7014781BE -:0408A700C78367E1BB -:0408A80067615187AC -:0408A9004DC707131D -:0408AA00453D97BA77 -:0408AB0000D78023CF -:0408AC002EB010EF6B -:0408AD0040A28522BE -:0408AE00448244122A -:0408AF008082013111 -:0408B000C406115118 -:0408B100C026C22279 -:0408B20000021437F5 -:0408B300202347C5F2 -:0408B40067E10EF4F6 -:0408B5003AC7A78314 -:0408B6000E042023E9 -:0408B700C703650905 -:0408B800862300C7CC -:0408B900C70300076A -:0408BA0086A300D73A -:0408BB00C703000768 -:0408BC00872300E7A7 -:0408BD00C703000766 -:0408BE0087A300F715 -:0408BF00C703000764 -:0408C0008A2301473F -:0408C100C703000762 -:0408C2008AA30157AD -:0408C300C703000760 -:0408C4008B2301671A -:0408C500C70300075E -:0408C600051301779E -:0408C7008BA3710589 -:0408C800D0EF000766 -:0408C9000713DDDF55 -:0408CA0067E11D10B5 -:0408CB004CE79C2337 -:0408CC0000031537D9 -:0408CD001D10079360 -:0408CE000EF42023E1 -:0408CF00D405051334 -:0408D000DBFFD0EF8B -:0408D10000062637C0 -:0408D200019C05B7C9 -:0408D3000404051301 -:0408D400A806061359 -:0408D500CC05859336 -:0408D600A1AFE0EFFF -:0408D700170020EFF7 -:0408D8003AA020EF33 -:0408D90007635479E4 -:0408DA0045011205BD -:0408DB00460020EFC4 -:0408DC000FF007937F -:0408DD000F635475DC -:0408DE0020EF10F502 -:0408DF004509059032 -:0408E000D68FE0EFE0 -:0408E100547147CD3A -:0408E20010F5166394 -:0408E300DD8FE0EFD6 -:0408E4000B2020EFD6 -:0408E50067E1C509F9 -:0408E60089A3470596 -:0408E700E0EF56E701 -:0408E800842AC5BFDA -:0408E9000E051E6377 -:0408EA0003F000EF28 -:0408EB00851364E12C -:0408EC0065D94FC4B7 -:0408ED0004200613CA -:0408EE005505859394 -:0408EF0030EF05756C -:0408F00065D937602F -:0408F1000613656124 -:0408F2008593036087 -:0408F30005136E0576 -:0408F40030EF5BC5C1 -:0408F50045813620E3 -:0408F60010EF453D7D -:0408F70067E133B0D2 -:0408F8004F97C5034E -:0408F900849345811E -:0408FA0010EF4FC4E8 -:0408FB0067E132B0CF -:0408FC003A87C783ED -:0408FD0066E16661E9 -:0408FE004CF60AA307 -:0408FF00C78367E163 -:040900008DA34D670F -:0409010067E14EF666 -:040902003A47879356 -:04090300C703438C57 -:040904009B1D001522 -:0409050000E580A3E6 -:04090600C703438C54 -:04090700771300154D -:0409080080A3F9F7D8 -:04090900438C00E536 -:04090A000005C7031A -:04090B0067138B7D66 -:04090C008023060737 -:04090D00438C00E532 -:04090E000015C70306 -:04090F0067139B616E -:0409100080A3003789 -:04091100438C00E52E -:040912004D564703F4 -:040913000017761340 -:040914000005C70310 -:040915008F519B79EA -:0409160000E5802355 -:04091700C7834390BF -:040918008B8D4FB6BE -:0409190000379713F9 -:04091A000006478309 -:04091B008FD99B9D38 -:04091C0000F60023BE -:04091D00000217B706 -:04091E000D07A78397 -:04091F0000E7971343 -:040920000007446325 -:0409210079E000EF8A -:0409220045014789BB -:0409230000F488A3B1 -:04092400E1DFE0EF40 -:0409250040A2852245 -:0409260044824412B1 -:040927008082013198 -:04092800BFCD547D6E -:04092900C78367E138 -:04092A0001134A4724 -:04092B00CE22FDC11A -:04092C00F7930785B1 -:04092D0007B20FF707 -:04092E0083C107C2B8 -:04092F006461C83EF9 -:040930004D84578318 -:04093100D006474263 -:040932008FD9CC2667 -:040933008FD96721D0 -:040934004CF41C2340 -:04093500000217376E -:04093600C402C20233 -:04093700000166371E -:04093800202364E133 -:0409390006130EF79C -:04093A0045C5420667 -:04093B004E8485134E -:04093C0031C030EFA7 -:04093D00CE5FE0EFBA -:04093E00177D674179 -:04093F00CA3A4785E4 -:040940000002173763 -:040941000D072683F5 -:040942008EF146529A -:04094300E7F9C636D4 -:0409440066E1C6F1B1 -:040945005BC6869374 -:040946000166D6036D -:04094700578345B2DB -:0409480014634D8463 -:0409490076F512B677 -:04094A008FF516FD12 -:04094B004CF41C2329 -:04094C000EF720235F -:04094D004E048423AD -:04094E00CA1FE0EFED -:04094F000006253742 -:04095000A8050513DE -:04095100BBBFD0EF69 -:040952004D845783F6 -:040953006691474220 -:040954003E800513C9 -:040955008FD58FD9D2 -:040956004CF41C231E -:04095700000217374C -:040958000EF7202353 -:04095900160030EF65 -:04095A00186030EF02 -:04095B0066E1478585 -:04095C0002F51C6321 -:04095D003AC6A603ED -:04095E000086478345 -:04095F0000964703B4 -:040960008F5D07227E -:0409610000A6478322 -:040962008F5D07C2DC -:0409630000B6478310 -:040964008FD907E23E -:0409650001079713DC -:04096600C23A8341CD -:04096700004797139B -:04096800C43A8351B9 -:04096900FC07D2E3D2 -:04096A0015E030EF75 -:04096B00EF9547922B -:04096C0000016637E9 -:04096D0042C6061365 -:04096E00851345C5E3 -:04096F0030EF4E8493 -:04097000E0EF24E0B0 -:040971005703C17FE8 -:0409720077F14D8448 -:040973008FF917FDE4 -:040974004CF41C2300 -:04097500000217372E -:040976000EF7202335 -:0409770005136509F6 -:04097800D0EF710546 -:0409790047B2B1DFF1 -:04097A004792BF21C0 -:04097B0097634752E5 -:04097C00663700E7F3 -:04097D00061300015C -:04097E00BF7D43C630 -:04097F0045A2471234 -:04098000079366056E -:04098100167D064099 -:0409820002F756B36F -:0409830002F77733CD -:0409840000C59C63AB -:0409850000016637D0 -:0409860044460613CA -:04098700851345C5CA -:0409880030EF4E847A -:04098900BF711EA07C -:04098A0045C54622F7 -:04098B004E848513FE -:04098C0002F67633C6 -:04098D004622C0320C -:04098E0002F657B363 -:04098F0000016637C6 -:0409900045060613FF -:040991001C8030EFA7 -:04099200D683BFAD9C -:040993004632018661 -:04099400F6C69BE325 -:0409950083D107D231 -:040996004CF41C23DE -:0409970044725082D4 -:040998000EF7202313 -:04099900450144E2EE -:04099A000241011302 -:04099B0011518082F4 -:04099C00F0EFC406AE -:04099D0020EF865F62 -:04099E0067E12400E9 -:04099F00C50340A2AA -:0409A000013159B711 -:0409A100C29FE06FA2 -:0409A200FCC1011380 -:0409A300D622D8067A -:0409A400D0EFD42696 -:0409A500842AADBF34 -:0409A600C29FF0EF0D -:0409A70007936761EA -:0409A80086AA3A479A -:0409A9006561C03E86 -:0409AA00CD6364E1D4 -:0409AB006637120693 -:0409AC00468100017F -:0409AD0005500713D7 -:0409AE0046460613A0 -:0409AF00051345C522 -:0409B00030EF4C4593 -:0409B10065B714A072 -:0409B20046450001B5 -:0409B300494585939A -:0409B400560485134D -:0409B500060030EF19 -:0409B60080294782CB -:0409B7004783439897 -:0409B800E7930007BA -:0409B90000230027F0 -:0409BA00E0EF00F773 -:0409BB00A537B35F4A -:0409BC000513000718 -:0409BD00D0EF120560 -:0409BE00D0EFA09F37 -:0409BF000793A29F59 -:0409C00007B312C0A7 -:0409C100646102F477 -:0409C200C602C8029F -:0409C300C202C402A6 -:0409C4004FC4041305 -:0409C5004799CE3E42 -:0409C60017B7CA3E57 -:0409C700A783000200 -:0409C80066610D0750 -:0409C90097136561BA -:0409CA00834101075D -:0409CB00FFF7C693D9 -:0409CC005EE62E2392 -:0409CD00073783E184 -:0409CE008F7500031E -:0409CF000FF7F59396 -:0409D000A02366E119 -:0409D100022360E6B7 -:0409D200853260B555 -:0409D30066E186361D -:0409D4008793CF81B5 -:0409D500F793FFE5B0 -:0409D600430D0FF7C7 -:0409D70000F3766350 -:0409D8005F86C783EC -:0409D90000B794636C -:0409DA005E052E2365 -:0409DB008C2367E121 -:0409DC00A6835EB6DA -:0409DD00AA235F47A3 -:0409DE00E2D55EE719 -:0409DF004783676182 -:0409E00064E1574730 -:0409E1004783CBF18C -:0409E200C7F901C48C -:0409E300478366E1FF -:0409E400C68300B412 -:0409E5008FD560B694 -:0409E600D0EFE3E18A -:0409E70047F29ADF5A -:0409E8000AF56C633D -:0409E90007934742E7 -:0409EA00E763063089 -:0409EB0067610AE74F -:0409EC0057474683A0 -:0409ED0047054785EE -:0409EE0000F6876325 -:0409EF00871347D251 -:0409F0003733FFA7F3 -:0409F100478300E058 -:0409F20046A101C455 -:0409F300F79317FD62 -:0409F400E1630FF7B5 -:0409F50066D906F6C3 -:0409F6008693078A53 -:0409F70097B63A066F -:0409F8008782439C13 -:0409F900000166375C -:0409FA004786061313 -:0409FB00051345C5D6 -:0409FC0030EF4C4547 -:0409FD0075B701A029 -:0409FE004645000169 -:0409FF00A1458593F6 -:040A00005604851300 -:040A01000A2030EFA8 -:040A0200439847824C -:040A0300000747831E -:040A04000027E7934D -:040A050000F70023D3 -:040A0600A07FE0EFFE -:040A07002023A00107 -:040A0800BFA960061C -:040A0900C78367E157 -:040A0A0037B34DA70A -:040A0B00078900F067 -:040A0C004AF486A37F -:040A0D00475247C243 -:040A0E00F7930785CE -:040A0F00C83E0FF7D7 -:040A10000763479998 -:040A1100079300F750 -:040A1200F79300173F -:040A1300CA3E0FF7D1 -:040A14008D3FD0EF53 -:040A1500C63E47850D -:040A160067E1232150 -:040A170060B7C7837A -:040A1800C781CC2A9C -:040A190010EF450194 -:040A1A00C78326C0A8 -:040A1B0047034AD46F -:040A1C00046301C4AA -:040A1D00476200F735 -:040A1E004732E71163 -:040A1F004703CB15A9 -:040A2000C71D00B43A -:040A210047036761BF -:040A2200C63A4FA7DA -:040A23006761C3152F -:040A24004DC70713A0 -:040A2500C50397BAB4 -:040A260067E100077D -:040A27004F97C7031B -:040A28000763C60298 -:040A2900458100A75C -:040A2A004EA78CA3A4 -:040A2B00668010EFE2 -:040A2C004AD4C7835E -:040A2D0001C44703B6 -:040A2E00849364E168 -:040A2F001C63578469 -:040A3000469200F7F3 -:040A31007C63470992 -:040A3200C68316D78A -:040A3300470303343E -:040A340086630504CC -:040A3500473D16E63D -:040A360008A363614D -:040A3700470D56E32E -:040A380006F77E63DC -:040A39000334C703B8 -:040A3A008713CB0152 -:040A3B007713FFA787 -:040A3C0046850FF7E5 -:040A3D0006E6F46372 -:040A3E007563471580 -:040A3F00460506F76B -:040A40004689450995 -:040A4100FFF78593A3 -:040A42000FF5F713A2 -:040A4300EF6342A17A -:040A440062D904E28D -:040A45008293070A87 -:040A460097163C4281 -:040A470087024318C7 -:040A480017E34785E4 -:040A490067E1F0076A -:040A4A003A37C783ED -:040A4B000017B79346 -:040A4C00BDFD079154 -:040A4D000DE34799D5 -:040A4E00C783EE0765 -:040A4F00BDCD4AD4FB -:040A5000B5FD479D0C -:040A5100C78367E10F -:040A520037B34AC7A5 -:040A530007A100F007 -:040A54004799B5C544 -:040A5500EC071EE3A9 -:040A5600BDD947853A -:040A57004505460DFE -:040A5800B74D4681CF -:040A59004501460904 -:040A5A00C402BFE52E -:040A5B000FF5F5930B -:040A5C00C20247216A -:040A5D0000B7696312 -:040A5E0007136759BA -:040A5F00972E3E8709 -:040A60000007470341 -:040A61000E23C23A64 -:040A6200478300F4D2 -:040A630005A304B42F -:040A6400470500043E -:040A65007663458DE2 -:040A6600459500F7BB -:040A6700F5938D9DD9 -:040A6800D2320FF582 -:040A690010EFD03684 -:040A6A0010EF5DF03C -:040A6B00E0EF6AF05E -:040A6C0067E1A06F2F -:040A6D005737C783AD -:040A6E005612568244 -:040A6F008532C7897C -:040A700023B010EFB0 -:040A71004622568241 -:040A720085364592EE -:040A7300392020EF17 -:040A740001C44783EF -:040A7500464565612C -:040A760000279713AB -:040A7700879367D921 -:040A780097BA3F47A3 -:040A79000513438C92 -:040A7A0022234C45A2 -:040A7B0020EF000464 -:040A7C0065B76B905F -:040A7D0065610001AE -:040A7E0085934645D1 -:040A7F00051348858E -:040A800020EF560508 -:040A810067E16A506F -:040A820060B7C7830F -:040A83004782EB9922 -:040A840047834398C9 -:040A8500E7930007EC -:040A86000023002722 -:040A8700E0EF00F7A5 -:040A880047E2801FA2 -:040A890067E1CF89C9 -:040A8A004A57C703FD -:040A8B00156347A9FF -:040A8C00453D00F7ED -:040A8D00366010EFD0 -:040A8E00EEAFD0EF08 -:040A8F00C703C802CF -:040A90004783023462 -:040A910005630404F1 -:040A9200450302F71F -:040A93004781011482 -:040A9400468147014F -:040A95004581460150 -:040A9600D65FD0EF68 -:040A97000234C5035D -:040A980084DFE0EF28 -:040A99000234C783D9 -:040A9A00000422230F -:040A9B0004F400233C -:040A9C000234C583D8 -:040A9D00866347899C -:040A9E00C70302F593 -:040A9F004783024443 -:040AA0008063041457 -:040AA100450302E720 -:040AA200C1910114E9 -:040AA30047834589B7 -:040AA400468100F493 -:040AA500D0EF460147 -:040AA600C783D27FB1 -:040AA70000A3024462 -:040AA800C78304F408 -:040AA90047030334C8 -:040AAA0004630504D8 -:040AAB00082300F725 -:040AAC00676104F486 -:040AAD00468367E134 -:040AAE0067614D67C8 -:040AAF003A87C78338 -:040AB0004D574583D6 -:040AB1009663666181 -:040AB200458300F583 -:040AB3008D634FB64A -:040AB4000AA302D5BA -:040AB50047024CF7B1 -:040AB6004ED60DA368 -:040AB700F7134314DA -:040AB800C7830017D9 -:040AB9009BF900069F -:040ABA0080238FD92D -:040ABB00478200F678 -:040ABC004783439495 -:040ABD008B8D4FB618 -:040ABE000037971353 -:040ABF000006C783E3 -:040AC0008FD99B9D92 -:040AC10000F6802398 -:040AC20001C44783A1 -:040AC3004512C3B560 -:040AC400915FE0EF6F -:040AC50002634789F8 -:040AC60047910CF553 -:040AC70002F50A63C7 -:040AC80017634785E4 -:040AC900478304F566 -:040ACA00C3B900B4F8 -:040ACB00E93FE0EF30 -:040ACC004709A081B5 -:040ACD00BD1DC43A4D -:040ACE00BFED470D24 -:040ACF000334C70322 -:040AD000C416428581 -:040AD100E20714E341 -:040AD20008A34741ED -:040AD300BD3956E3F0 -:040AD40000B44783A0 -:040AD5006761C39DF5 -:040AD6004D8757836E -:040AD7000027E7937A -:040AD8004CF71C2398 -:040AD90000021737C9 -:040ADA000EF72023D0 -:040ADB00B03FF0EF49 -:040ADC0012C005132C -:040ADD00D8AFD0EFCF -:040ADE002223B64DCC -:040ADF0010EF000410 -:040AE00047834DB04B -:040AE100656101C486 -:040AE20097134645DB -:040AE30067D90027A8 -:040AE4003F4787936E -:040AE500438C97BAED -:040AE6004C45051363 -:040AE70050B020EFFC -:040AE800000165B7ED -:040AE90046456561B8 -:040AEA004885859323 -:040AEB005605051394 -:040AEC004F7020EF38 -:040AED00C78367E173 -:040AEE00EB9960B769 -:040AEF00439847825F -:040AF0000007478331 -:040AF1000027E79360 -:040AF20000F70023E6 -:040AF300E52FE0EF1C -:040AF400D52FD0EF3B -:040AF500C802CA0267 -:040AF6004783BF6112 -:040AF700DBC900B4A3 -:040AF800AF6FF0EFFD -:040AF90065D9B77193 -:040AFA0011516561D0 -:040AFB0004200613BA -:040AFC005505859384 -:040AFD005785051301 -:040AFE0020EFC4061B -:040AFF0065DD33B0CE -:040B00000613656112 -:040B0100859340C0D8 -:040B0200051345C5CD -:040B030020EF62C5B8 -:040B040040A2327069 -:040B0500470567E158 -:040B060056E7892302 -:040B07000131450172 -:040B08006759808227 -:040B0900079311112C -:040B0A00C82667474B -:040B0B00CA22CC0628 -:040B0C004481C0025E -:040B0D006461C43E1D -:040B0E00000165B7C6 -:040B0F00859346453F -:040B100005137185D3 -:040B110020EF4B0482 -:040B120047A24610A0 -:040B13000024971310 -:040B1400973E656142 -:040B15004645430C02 -:040B16004E850513F0 -:040B170044B020EFD7 -:040B1800D78FE0EFA4 -:040B1900C22246812D -:040B1A000002173787 -:040B1B000D0725831A -:040B1C0017FD67C199 -:040B1D0000F5F633B6 -:040B1E002E236461BD -:040B1F0027035EC486 -:040B200005370D0781 -:040B210067E1000385 -:040B2200FFF747137F -:040B2300A0238F6913 -:040B2400C63E60E782 -:040B25004782CA1524 -:040B260002F6086368 -:040B2700951367615A -:040B2800071300149B -:040B2900972A5BC7E5 -:040B2A004792EABD47 -:040B2B0000B71023DC -:040B2C00000165B7A8 -:040B2D008593464521 -:040B2E0085137205B4 -:040B2F0020EF4B0761 -:040B3000E0EF3E9024 -:040B31004685D16FB5 -:040B320047B26761FE -:040B33005F472603EF -:040B34006007A303B0 -:040B3500E60587BA90 -:040B3600126367419E -:040B3700E0BD02E338 -:040B3800656165D9B5 -:040B3900036006133C -:040B3A006E0585932C -:040B3B005BC505137E -:040B3C0020EFC01ACC -:040B3D00430224301B -:040B3E0044ED4689B3 -:040B3F00270367E140 -:040B4000AA235FC4C1 -:040B4100C6365E67EF -:040B42004709C03A65 -:040B430002E68F63D4 -:040B44000513650927 -:040B4500D0EF710577 -:040B460046B2BE8F66 -:040B47005703B7B1E8 -:040B480046890007D3 -:040B4900FAE602E3E3 -:040B4A0065B74792B2 -:040B4B00464500011A -:040B4C007285859396 -:040B4D004B078513BA -:040B4E0036F020EF6E -:040B4F00C9CFE0EF3B -:040B5000B7594681CA -:040B5100468914F9C4 -:040B52000485BF5DFA -:040B530054E34769B7 -:040B54004452EE9782 -:040B550044C240E274 -:040B56000171453DA7 -:040B570003E0106F38 -:040B5800FBC10113C9 -:040B590001310793CC -:040B5A0067E1CE3E43 -:040B5B00591787930C -:040B5C0067E1D03E3F -:040B5D0059278793FA -:040B5E0067E1D23E3B -:040B5F0059378793E8 -:040B600067E1D43E37 -:040B610059478793D6 -:040B6200D83ED63E65 -:040B6300879367E12C -:040B6400DA3E5957C5 -:040B65000F0327B79C -:040B6600F01787936A -:040B67000793CA3EE8 -:040B68006361303065 -:040B690000F11C2358 -:040B6A000D23478D83 -:040B6B00079300F1FB -:040B6C00C7834FC329 -:040B6D00DE2201C7BC -:040B6E00DC26C0863B -:040B6F00000109A3D5 -:040B700003134725FF -:040B710044054FC325 -:040B720000E78663AF -:040B730000178413D0 -:040B74000FF47413F3 -:040B7500676167E16C -:040B76005FC7A603AC -:040B77005BC707133E -:040B780045E9478183 -:040B79000007568398 -:040B7A0000D61C6322 -:040B7B006263476901 -:040B7C00675910F7AE -:040B7D000713078AC9 -:040B7E0097BA594782 -:040B7F008782439C8A -:040B80008C63070972 -:040B8100078550B7DD -:040B82004785BFF1F3 -:040B8300D70366E14D -:040B840047134D8640 -:040B85009C23100796 -:040B860067614CE671 -:040B870060072703D9 -:040B880000F71693C9 -:040B89000006D3632C -:040B8A00169387A295 -:040B8B00DE6300E73E -:040B8C006761000697 -:040B8D0057874683BD -:040B8E004601458552 -:040B8F0000D5E56345 -:040B9000F6130685CD -:040B91000C230FF62C -:040B9200472956C7D2 -:040B9300866345012F -:040B9400676100E7AE -:040B95004AF706A372 -:040B960067E14505C9 -:040B97004D87D703AC -:040B9800C68366E1C9 -:040B99009B4D60B65A -:040B9A00834107424A -:040B9B004CE79C2364 -:040B9C0066E1EA81A3 -:040B9D004E66C68357 -:040B9E008F55068ADF -:040B9F004CE79C2360 -:040BA0004D87D703A3 -:040BA10054724086C4 -:040BA200000217B77F -:040BA3000EE7A02396 -:040BA400011354E203 -:040BA5008082044105 -:040BA600BF8D47892F -:040BA700B7BD478D02 -:040BA800B7AD47910D -:040BA900B79D479518 -:040BAA00B78D479923 -:040BAB00BFB9479DEA -:040BAC00BFA947A1F5 -:040BAD00BF9947A500 -:040BAE00C78366E1B2 -:040BAF00676160B664 -:040BB0003A47260397 -:040BB1000017B793DF -:040BB20060F685A3C1 -:040BB30000064703EE -:040BB4009B6D078AA4 -:040BB50000238FD9B1 -:040BB60067E100F6FD -:040BB7004F97C7038A -:040BB800832367E14B -:040BB900C7834AE7BD -:040BBA00C79160B6C9 -:040BBB0000EF4505FD -:040BBC0047A93E50B7 -:040BBD00E0EFBF2185 -:040BBE00BFE5B28F4E -:040BBF00A70367E140 -:040BC00066373AC793 -:040BC1006561000169 -:040BC2000007448361 -:040BC300001747834D -:040BC40061060613AD -:040BC50007A245C579 -:040BC60044838FC510 -:040BC70005130027EB -:040BC80004C24B0513 -:040BC90044838FC50D -:040BCA0004E200370A -:040BCB00D6938CDD54 -:040BCC00F79300B4E7 -:040BCD00F393001688 -:040BCE00C43E7FF4AE -:040BCF004683C61E75 -:040BD0004283004715 -:040BD10002A2005725 -:040BD20000D2E6B3B4 -:040BD30000674283F2 -:040BD400007747035C -:040BD500E2B302C2C3 -:040BD600068300D2C0 -:040BD700477100A3BF -:040BD80086B302B22C -:040BD900676102E668 -:040BDA0062C70713D4 -:040BDB0000C2D293EF -:040BDC009736C21670 -:040BDD00C68366E184 -:040BDE0020EF4F961F -:040BDF0067E1093091 -:040BE0004FC7831365 -:040BE10000B3470313 -:040BE20043B2C72132 -:040BE300071347A20B -:040BE400869306905E -:040BE50096B30013B0 -:040BE60096BE00F6C1 -:040BE700014497939B -:040BE800C46342920E -:040BE90007130007E7 -:040BEA0007B7070042 -:040BEB008CFD00037A -:040BEC0002A00793C9 -:040BED000793E099F1 -:040BEE006637020064 -:040BEF00656100013B -:040BF0000613C01612 -:040BF10045C561C6CF -:040BF2004E85051314 -:040BF300041020EFDB -:040BF400A70367E10B -:040BF50047833A47B1 -:040BF600E79300077A -:040BF7000023004790 -:040BF800E0EF00F733 -:040BF900B7319F6F02 -:040BFA005783676155 -:040BFB00C7934D87C8 -:040BFC001C230107AE -:040BFD00BDF54CF7FF -:040BFE00C70367E1E1 -:040BFF0046055787C9 -:040C00006563468161 -:040C0100070500E6FD -:040C02000FF77693DF -:040C030056D78C2311 -:040C040067E1B5CD22 -:040C05005787871373 -:040C06000017470389 -:040C07008793460584 -:040C08004681578743 -:040C090000E6656339 -:040C0A0076930705D1 -:040C0B0080A30FF7BC -:040C0C00B5C100D797 -:040C0D000793676181 -:040C0E00C7835787BA -:040C0F000713006760 -:040C1000C7815787BA -:040C1100F79317FD41 -:040C120003230FF7B2 -:040C1300B55500F7DC -:040C140007136761FA -:040C15004783578733 -:040C160046B9006774 -:040C170000F6F3638D -:040C1800078547B94C -:040C190065B7B7DD27 -:040C1A00656100010F -:040C1B008593464532 -:040C1C00051362C595 -:040C1D0020EF4B0574 -:040C1E0062E103107C -:040C1F00000165B7B4 -:040C20004E82851368 -:040C2100859346452C -:040C220020EF63C597 -:040C230064E101D0B7 -:040C24003A44A68325 -:040C25000006C7837B -:040C26000047E79309 -:040C270000F6802330 -:040C2800938FE0EFD7 -:040C290067E16761B7 -:040C2A00071362E169 -:040C2B00831362C706 -:040C2C0017B74FC7E0 -:040C2D00A603000218 -:040C2E0067E10D0766 -:040C2F005BC7879385 -:040C300082410642B5 -:040C310045ED4681C6 -:040C32000007D503DF -:040C330000C506638F -:040C340007890685A1 -:040C3500FEB69AE38A -:040C360000A307838D -:040C370087B34671C8 -:040C3800461502C794 -:040C39004BDC97BA3F -:040C3A00646383F577 -:040C3B00461102F666 -:040C3C0006D6416334 -:040C3D00973E18388E -:040C3E00FDC74703A4 -:040C3F0040D7573310 -:040C4000C70D8B054C -:040C41001838078ACE -:040C4200A78397BA33 -:040C43008023FE47C5 -:040C4400A70300D72B -:040C450047833A4463 -:040C46009BED00071B -:040C470000F700238F -:040C48008FEFE0EF5B -:040C490085A367E137 -:040C4A00B3E16007AB -:040C4B000001663707 -:040C4C000613068500 -:040C4D0045C56486AF -:040C4E004E8285133A -:040C4F006D0020EF25 -:040C5000898FE0EFB9 -:040C51000007A537BC -:040C5200120505136F -:040C5300FB3FC0EFB4 -:040C540047B1B7C924 -:040C5500FAF68FE339 -:040C56000513650914 -:040C5700C0EF710574 -:040C580067E1FA1F37 -:040C590062C78713D4 -:040C5A00831367E1B8 -:040C5B0062E14FC73C -:040C5C000683B789CB -:040C5D00467100A339 -:040C5E00863367E191 -:040C5F00871302C62F -:040C6000458162C7A1 -:040C610062C787934C -:040C6200470397327B -:040C63004679016766 -:040C640000E66563DE -:040C65007593070577 -:040C660046710FF7CD -:040C670002C6863308 -:040C680097B2676177 -:040C690000B78B2322 -:040C6A00092346050F -:040C6B00676156C7A0 -:040C6C006147470392 -:040C6D00D2E69FE349 -:040C6E000167C70350 -:040C6F00942367E182 -:040C7000BB0560E779 -:040C710000A3068353 -:040C720067E146717F -:040C730002C68633FC -:040C740062C78713B9 -:040C7500879345FD1F -:040C7600973262C788 -:040C770001674703C7 -:040C7800177DDF4DB8 -:040C79004785BF4D9F -:040C7A00C23E4481B1 -:040C7B0067B7656191 -:040C7C0046450001E8 -:040C7D00658785936F -:040C7E004B0505130A -:040C7F006AA020EF58 -:040C800086B346A948 -:040C8100461502D43E -:040C820087364792D8 -:040C830000D65363E1 -:040C840062E14715CD -:040C850000016637CD -:040C86004E82851302 -:040C87000613072524 -:040C880045C5668672 -:040C890020EFC63E54 -:040C8A0066E15E6061 -:040C8B003A46A6033C -:040C8C000006470314 -:040C8D0000476713A2 -:040C8E0000E6002359 -:040C8F00F9DFD0EFCA -:040C900047B266E120 -:040C910062E1C23624 -:040C9200C41666C15D -:040C9300173716FDFC -:040C94002703000230 -:040C95008F750D0743 -:040C9600CF11EF99F2 -:040C9700861367E178 -:040C980045ED5BC704 -:040C99005503478137 -:040C9A0002630006EB -:040C9B00078502E5E2 -:040C9C009AE30609C8 -:040C9D006509FEB730 -:040C9E0071050513C4 -:040C9F00C0EFC63AA2 -:040CA0004732E81FD0 -:040CA100674187BA66 -:040CA200FFF70693BF -:040CA3004625B7C962 -:040CA40000C787639B -:040CA500E091461183 -:040CA600DE6346259E -:040CA700472904C70E -:040CA800E7B3078522 -:040CA900833302E7A8 -:040CAA00676102E498 -:040CAB000323979AEE -:040CAC00E0EF4AF734 -:040CAD00C91DF5FF69 -:040CAE00000166B724 -:040CAF006086869342 -:040CB000763747A2AA -:040CB1000613000125 -:040CB20045C5A0C6CE -:040CB3004E878513D0 -:040CB40053C020EF1A -:040CB500F05FD0EF2D -:040CB6000007A53757 -:040CB700120505130A -:040CB800E1FFC0EFA9 -:040CB900A7034792B4 -:040CBA00B5353A47CB -:040CBB00000166B717 -:040CBC0060068693B5 -:040CBD004669B7F1DC -:040CBE0000C7966372 -:040CBF000014C493C6 -:040CC000B5E587BA55 -:040CC10098E346313D -:040CC200BFE9F6C7C9 -:040CC300C78367E19B -:040CC40090E360B7A2 -:040CC50087A2BE073D -:040CC60047A9BCD5A9 -:040CC7000113BCFD5C -:040CC8001828DBC14C -:040CC90024112023AF -:040CCA0022812E2332 -:040CCB0022912C2323 -:040CCC00E09FD0EFE6 -:040CCD0020EFC22A28 -:040CCE0047923560B4 -:040CCF00EBC5440D20 -:040CD000182C461185 -:040CD10020EF0828E0 -:040CD20075B756009C -:040CD30046110001C5 -:040CD4008C45859333 -:040CD50020EF0828DC -:040CD60007935240EE -:040CD700E9410640A9 -:040CD80003C157837A -:040CD90003E105939B -:040CDA000513462197 -:040CDB001E2301E1F2 -:040CDC0020EF00F114 -:040CDD0057835340A6 -:040CDE0002A3046108 -:040CDF001A230201D1 -:040CE000578300F145 -:040CE1001B2304814C -:040CE200455200F186 -:040CE300753000EF79 -:040CE40004A157838D -:040CE5001A23D42AD0 -:040CE600578300F13F -:040CE7001B2304C106 -:040CE800455200F180 -:040CE90073B000EFF5 -:040CEA0004E1578347 -:040CEB001A23D62AC8 -:040CEC00578300F139 -:040CED001B230501BF -:040CEE00455200F17A -:040CEF00723000EF70 -:040CF0002503D82AD6 -:040CF100CA2A2341A7 -:040CF200717000EF2E -:040CF300DA2A55A202 -:040CF4001E20079324 -:040CF500FE658713FE -:040CF60014E7E063BC -:040CF700182846056E -:040CF800723000EF67 -:040CF9000B6357D260 -:040CFA00079306F561 -:040CFB00C23E06608F -:040CFC0029C020EFFC -:040CFD0047054792CE -:040CFE002AE78963F5 -:040CFF0026F747632A -:040D0000F350071392 -:040D01002AE78863F2 -:040D020024F744632B -:040D0300F34007139F -:040D04002AE78763F0 -:040D0500000175B7BD -:040D0600810585934B -:040D070067E1C23EA0 -:040D08004E8785137A -:040D090020EF46454C -:040D0A00D0EF4800DE -:040D0B004537DAFF8F -:040D0C000513000FBC -:040D0D00C0EF24050A -:040D0E004792CC9F9D -:040D0F002A07DA6372 -:040D10002A8058637A -:040D11000001763730 -:040D120006136561FE -:040D130045C5930639 -:040D14004B05051373 -:040D15003B8020EF10 -:040D1600A2B9147DED -:040D17007637646166 -:040D180006130001BD -:040D190045C58CC67A -:040D1A004B0405136E -:040D1B003A0020EF8B -:040D1C0067E156B283 -:040D1D000001763724 -:040D1E004E87851364 -:040D1F008DC6061364 -:040D200020EF45C5B6 -:040D2100D0EF38A037 -:040D220057C2D53FA0 -:040D2300430154B282 -:040D24004501C23E85 -:040D25006463C4221D -:040D26004792089355 -:040D27001AA7926312 -:040D280001E147831B -:040D290001C146833B -:040D2A0001D14703A9 -:040D2B0067B7CFD502 -:040D2C0087930001A8 -:040D2D0045227DC717 -:040D2E0001E10613C6 -:040D2F007637C03221 -:040D300006130001A5 -:040D310045C58E86A0 -:040D32004B05051355 -:040D3300340020EF79 -:040D340075B767E147 -:040D3500464500012E -:040D36009405859308 -:040D37004E8785134B -:040D3800254020EF43 -:040D3900D0EF644152 -:040D3A00147DCF3F16 -:040D3B00000214B7E7 -:040D3C000D04A78378 -:040D3D005683676111 -:040D3E008FE15BC71F -:040D3F0006F68B63C6 -:040D40005BC7071373 -:040D4100002757032D -:040D420014F700633F -:040D43000513650926 -:040D4400C0EF710586 -:040D4500BFD9BEDF75 -:040D460006500793B9 -:040D470082B3BDC9ED -:040D48000793406469 -:040D4900F46320002F -:040D4A0002930057B9 -:040D4B0067E120003C -:040D4C002003041369 -:040D4D0001029713F5 -:040D4E004987851339 -:040D4F004681834115 -:040D500000945613A2 -:040D5100C81A182C78 -:040D520020EFC616B2 -:040D530087AA054026 -:040D54000E0516630F -:040D550042B2434221 -:040D56003613182810 -:040D5700859600136A -:040D58005A3000EF1E -:040D5900BF0583222D -:040D5A00000177B766 -:040D5B00A147879392 -:040D5C0010EFB79944 -:040D5D0067612E603C -:040D5E004D875783E3 -:040D5F00051365090A -:040D6000E79371059F -:040D61001C23002728 -:040D6200A0234CF787 -:040D6300C0EF0EF4DB -:040D640075B7B71F89 -:040D650065610001C3 -:040D660085934645E6 -:040D670005138F459C -:040D680020EF4B0528 -:040D6900440D3040C5 -:040D6A0074B767E112 -:040D6B0085130001EB -:040D6C0046454E8723 -:040D6D0090048593D6 -:040D6E002EE020EF64 -:040D6F00C1DFD0EF21 -:040D700018345632AB -:040D7100450545816E -:040D7200B9FFD0EF06 -:040D730010E387AA58 -:040D74006761E205CC -:040D7500000175B74D -:040D76004B0705130F -:040D770085934645D5 -:040D780020EF9105D2 -:040D790085932C40F2 -:040D7A0064E190049C -:040D7B008513464551 -:040D7C0020EF4E8492 -:040D7D00D0EF2B4048 -:040D7E005642BE3FDC -:040D7F00183455B21D -:040D8000D0EF45016A -:040D810087AAAD3F51 -:040D8200DE0513E394 -:040D8300080020EF55 -:040D840075B7676177 -:040D850046450001DE -:040D86009545859377 -:040D87004B070513FE -:040D8800114020EF07 -:040D8900000175B739 -:040D8A0085934645C2 -:040D8B008513920535 -:040D8C0020EF4E8482 -:040D8D00D0EF27403C -:040D8E00A001BA3FC7 -:040D8F00BB45440D0F -:040D90000793440D74 -:040D9100B3650670D0 -:040D92000793440D72 -:040D9300B3450680DE -:040D9400F3600713EE -:040D950006E78A6380 -:040D9600F3700713DC -:040D9700DAE79CE318 -:040D9800000175B72A -:040D990087058593B2 -:040D9A000713BB552B -:040D9B008263066009 -:040D9C004F6306E7B4 -:040D9D00071300F741 -:040D9E008163064027 -:040D9F00071306E749 -:040DA00099E306507D -:040DA10075B7D8E763 -:040DA2008593000134 -:040DA300B379828519 -:040DA40006700713BB -:040DA50004E7896373 -:040DA60006800713A9 -:040DA700D6E79CE30C -:040DA800000175B71A -:040DA90085C58593E4 -:040DAA0075B7BB95C9 -:040DAB00859300012B -:040DAC00B3AD8185DD -:040DAD00000175B715 -:040DAE00890585939B -:040DAF0075B7B385DC -:040DB0008593000126 -:040DB100BB998A055B -:040DB200000175B710 -:040DB3008805859397 -:040DB40075B7B3B1AB -:040DB5008593000121 -:040DB600B3898385F5 -:040DB700000175B70B -:040DB8008B4585934F -:040DB90075B7BB252A -:040DBA00859300011C -:040DBB00B33D84853B -:040DBC00240120836B -:040DBD0023C1240327 -:040DBE0023812483E6 -:040DBF000113557D4A -:040DC00080822441C8 -:040DC100C70367E11C -:040DC20046F160A7EF -:040DC3008A2367E137 -:040DC400073360E7AA -:040DC50067E102D709 -:040DC60062C78793E6 -:040DC700D68397BA7E -:040DC800676100E778 -:040DC90060D71923B3 -:040DCA0066E14B98FB -:040DCB009C238B7D5D -:040DCC00C68360E694 -:040DCD006761014712 -:040DCE0060D71D23AA -:040DCF000127C683AF -:040DD0001323676121 -:040DD100479860D708 -:040DD200835566E1FE -:040DD30060E698231B -:040DD4000157C6837A -:040DD5001623676119 -:040DD600C68360D799 -:040DD7006761013718 -:040DD80060D71B23A2 -:040DD90000C7D683F6 -:040DDA001723676113 -:040DDB00C70360D713 -:040DDC0067E1016763 -:040DDD0060E7942314 -:040DDE0067E18082C7 -:040DDF006147C58320 -:040DE000D68367E16E -:040DE10067E161273E -:040DE2006187D283D0 -:040DE300D78367E16A -:040DE400111161A7E1 -:040DE500C03ECA261C -:040DE600C78367E177 -:040DE700CC22606753 -:040DE800C23E67613F -:040DE900D78367E164 -:040DEA000713610783 -:040DEB00C43E62C7D9 -:040DEC00D78367E161 -:040DED00C63E60C7D7 -:040DEE00C78367E16F -:040DEF00C83E616732 -:040DF000D48367E160 -:040DF10067E160E76F -:040DF2006087D30340 -:040DF300879367E19A -:040DF400C6034FC71C -:040DF500C24100B740 -:040DF60000A78603C9 -:040DF70006B61D63BC -:040DF80007B347F105 -:040DF90097BA02F6AD -:040DFA0000E7D383B8 -:040DFB0006D3916327 -:040DFC000107A383C5 -:040DFD000FF2F413EA -:040DFE0001F3F39377 -:040DFF00048399636D -:040E00000147C38360 -:040E010094634402B0 -:040E0200C38304831F -:040E0300441201276D -:040E040002839F6363 -:040E05000087A3833C -:040E0600D39344221C -:040E07009863015398 -:040E0800C38302831B -:040E09004432015717 -:040E0A000283936369 -:040E0B000137C38365 -:040E0C009E6344425B -:040E0D00D783008304 -:040E0E009A6300C71C -:040E0F0047F1009710 -:040E100002F60633AD -:040E11004783963A43 -:040E1200866301668C -:040E130067E100672C -:040E140089234605E3 -:040E150047F156C784 -:040E160002F587B3A7 -:040E170001F2F2935F -:040E1800D60397BAAC -:040E19009723010713 -:040E1A009A0100D762 -:040E1B00005662B368 -:040E1C0000579823C0 -:040E1D008A234602DC -:040E1E00461200C7B1 -:040E1F0000C789235C -:040E200012934622C1 -:040E2100D60300569E -:040E22008A7D00A71E -:040E230000566633DC -:040E240000C795234B -:040E25008AA3463224 -:040E2600464200C779 -:040E27000097962377 -:040E280000678B23B1 -:040E290000C789A3D2 -:040E2A0006136661E4 -:040E2B0067E1608695 -:040E2C0002C5166382 -:040E2D004650069392 -:040E2E0002D30333B5 -:040E2F0006400693E0 -:040E30000001763710 -:040E31003A46061324 -:040E320002D346B3EE -:040E330044D24462FF -:040E3400851345C518 -:040E350001714E8772 -:040E36007350106F76 -:040E370006136661D7 -:040E380007636126C5 -:040E3900666100C529 -:040E3A0061860613B4 -:040E3B0002C5176372 -:040E3C0085B34671C3 -:040E3D00461502C58F -:040E3E0044D24462F4 -:040E3F004E87851342 -:040E40004B18972E86 -:040E41008B7D45C59B -:040E420002C70733A9 -:040E430000017637FD -:040E44003AC6061391 -:040E4500106F0171B8 -:040E460076376F701C -:040E470056830001CD -:040E48000613000588 -:040E4900B75D394612 -:040E4A0027100693D4 -:040E4B0002D506B313 -:040E4C0006400793C2 -:040E4D0000017637F3 -:040E4E0006136561C1 -:040E4F0045C5A106EE -:040E50004E850513B3 -:040E510002F6C6B32C -:040E52006C50106F61 -:040E5300FDDFF06F60 -:040E5400763786AABD -:040E550065610001D2 -:040E56003906061340 -:040E5700051345C575 -:040E5800106F4E8544 -:040E590086AA6AB04B -:040E5A0000017637E6 -:040E5B0006136561B4 -:040E5C0045C59BC627 -:040E5D004E850513A6 -:040E5E006950106F58 -:040E5F00000F46B783 -:040E6000240686934B -:040E610002D505337E -:040E62008793678982 -:040E63000713964794 -:040E640036B73E80DF -:040E6500869300630D -:040E66007637EA06EB -:040E6700061300016D -:040E680045C59B06DB -:040E690002F557B384 -:040E6A0002E7F7B3F1 -:040E6B0056B347290A -:040E6C00656102D5E5 -:040E6D004E85051396 -:040E6E0002E7D7338D -:040E6F006510106F8B -:040E7000467006932F -:040E710002D506B3ED -:040E7200064007939C -:040E730000017637CD -:040E7400061365619B -:040E750045C53886B1 -:040E76004E8505138D -:040E770002F6C6B306 -:040E780062D0106FC5 -:040E790027100693A5 -:040E7A000533050532 -:040E7B00069302D503 -:040E7C00763706407F -:040E7D000613000157 -:040E7E0045C5A106BF -:040E7F0002D546B39F -:040E80000513656190 -:040E8100106F4E851B -:040E820086AA60706C -:040E830000017637BD -:040E8400061365618B -:040E850045C59E863B -:040E86004E8505137D -:040E87005F10106F79 -:040E88000185169337 -:040E8900763786E151 -:040E8A00656100019D -:040E8B00061316D163 -:040E8C0045C59A8638 -:040E8D004E85051376 -:040E8E005D50106F34 -:040E8F00053345F1F1 -:040E900065E102B561 -:040E910062C585931E -:040E920095AA464592 -:040E9300051365617D -:040E9400106F4E8508 -:040E950017936550FA -:040E9600655D002571 -:040E97009DC50513DD -:040E9800410C953E36 -:040E99004645656104 -:040E9A004E85051369 -:040E9B0063B0106FC1 -:040E9C000F6347A9F0 -:040E9D00179300F5B2 -:040E9E006561002565 -:040E9F00A045051352 -:040EA000410C953E2E -:040EA10046456561FC -:040EA2004E85051361 -:040EA30061B0106FBB -:040EA400000175B71D -:040EA5009C85859310 -:040EA6001141B7F54A -:040EA700C6064585B1 -:040EA800298DC02AA6 -:040EA90047836761B3 -:040EAA00468261C754 -:040EAB0061C7071301 -:040EAC007737E78924 -:040EAD000713000126 -:040EAE0040B2A007A7 -:040EAF000001763791 -:040EB000061365615F -:040EB10045C5A0860D -:040EB2004E85051351 -:040EB300106F01417A -:040EB40067E153F0AF -:040EB5005FC7A70369 -:040EB600879367E1D6 -:040EB70046AD5BC722 -:040EB800D583464553 -:040EB90092630167D8 -:040EBA00F69306E5C0 -:040EBB00E1190FF634 -:040EBC002A0704639A -:040EBD00C426113105 -:040EBE00C28364E1A6 -:040EBF00C6224754AC -:040EC00007936461CF -:040EC100959326845B -:040EC20097AE0032B5 -:040EC300A303C806B7 -:040EC400C7830007D9 -:040EC500465100474B -:040EC600FF5685133B -:040EC70002C78733A4 -:040EC80000432603BA -:040EC9000FF5751399 -:040ECA008493439535 -:040ECB000413475471 -:040ECC00963A2684A8 -:040ECD000046470391 -:040ECE0002A3E86330 -:040ECF00050A63DDD0 -:040ED00096838393EF -:040ED1004108951E21 -:040ED200068585020A -:040ED3009AE307890E -:040ED4004681F8C695 -:040ED500E399BF6975 -:040ED600000347834B -:040ED700F79317FD79 -:040ED80095A20FF7D9 -:040ED90000F582237B -:040EDA004681470105 -:040EDB004703A89190 -:040EDC000785000383 -:040EDD00E7B395A240 -:040EDE00B7ED02E783 -:040EDF000002876323 -:040EE000FFF2879303 -:040EE10000F4802376 -:040EE20067E1B7C548 -:040EE300600785A37C -:040EE400A70367E118 -:040EE50047833A47BE -:040EE6009BED000779 -:040EE70000F70023ED -:040EE80040C244328E -:040EE900015144A2CD -:040EEA00E76FD06F6F -:040EEB000E63478DBE -:040EEC00479104F72F -:040EED00FAF71AE313 -:040EEE009782461C85 -:040EEF004685872A83 -:040EF0000004C783B0 -:040EF10046456561AC -:040EF200943E078E95 -:040EF30000444783ED -:040EF4004451400421 -:040EF50002878433B9 -:040EF600051340DCC4 -:040EF700C23A4B05AB -:040EF80097A2C036C7 -:040EF90010EF438C27 -:040EFA0040DC4C107C -:040EFB0097A24591E4 -:040EFC000047C603E2 -:040EFD0014C5E363D2 -:040EFE00060A65DD9E -:040EFF00980585933A -:040F00004210962ED7 -:040F010047124682CB -:040F02004A1C8602FD -:040F03009782C3917D -:040F04000004C7839B -:040F0500859343513C -:040F0600078E00173B -:040F070000F40733B8 -:040F08004703431444 -:040F090042D000478B -:040F0A000267073340 -:040F0B000035969384 -:040F0C0000D40533D5 -:040F0D0000052303B5 -:040F0E0047189732B7 -:040F0F0000E3046394 -:040F100000050223B3 -:040F1100C78397A259 -:040F120047510047FC -:040F130087B396A268 -:040F1400802302E74D -:040F150097B200B4DB -:040F1600C29C479C96 -:040F17004791B73116 -:040F1800F0E7E4E337 -:040F1900879367DD76 -:040F1A00070A9947E2 -:040F1B00431C973E9E -:040F1C00460C878276 -:040F1D004503433D08 -:040F1E00470300C6BF -:040F1F00C78300D6AE -:040F2000460300057F -:040F21009D6300E6E6 -:040F2200786300668A -:040F230017FD00F7BF -:040F24000FF7F613BA -:040F250000C5802360 -:040F2600FD6DBDC1DF -:040F2700BFDD863A6A -:040F280000C7F863A3 -:040F2900F71307852E -:040F2A0080230FF71A -:040F2B00BD6D00E5B3 -:040F2C008732FD6D9E -:040F2D004608BFDDD6 -:040F2E0000C6558321 -:040F2F0000E657037E -:040F30005783463D60 -:040F31009E630005B6 -:040F3200F76300C69B -:040F330017FD00F5B1 -:040F34000107971307 -:040F3500A01983413B -:040F3600872EC191B0 -:040F370000E510239E -:040F3800F463B56148 -:040F3900078500E741 -:040F3A00F9EDB7E531 -:040F3B00B7FD4701B6 -:040F3C0045BD465019 -:040F3D00478342188C -:040F3E0099630007AC -:040F3F00C78100B6B0 -:040F4000F79317FD0F -:040F410000230FF783 -:040F4200BDB900F73E -:040F4300004646839B -:040F440000D7F5637A -:040F4500F693078593 -:040F460000230FF77E -:040F4700B5A900D771 -:040F48004B9C4798DF -:040F49004703656194 -:040F4A004645000711 -:040F4B004E850513B7 -:040F4C0097BA070A3F -:040F4D0010EF438CD2 -:040F4E0044323710E2 -:040F4F0044A240C2B6 -:040F5000D06F01510C -:040F51004798C96F85 -:040F520045034B9C6C -:040F5300978200077A -:040F54004B98B7ED12 -:040F55009702478830 -:040F560067E1B7CDCB -:040F57004E0784239A -:040F5800C695BFE992 -:040F590066B7C305AF -:040F5A008693000179 -:040F5B0076376086FF -:040F5C0065610001CA -:040F5D00A0C6061311 -:040F5E00051345C56D -:040F5F0010EF4E85BC -:040F6000BF6528F051 -:040F6100000166B76E -:040F6200600686930C -:040F630047DCB7CDE3 -:040F64004398D7E9EE -:040F6500BF55479C91 -:040F660047BD808281 -:040F670016A7EA637C -:040F6800445257B7E1 -:040F6900EF41011340 -:040F6A0035578793DD -:040F6B0057B7C03E76 -:040F6C008793004126 -:040F6D00C23E441725 -:040F6E0000F53793C0 -:040F6F0000F1052365 -:040F70008793679567 -:040F710022235007E0 -:040F720024231081A3 -:040F73000413101142 -:040F74002023010530 -:040F75004739109157 -:040F760000F114234F -:040F77007E6304226F -:040F780065E10AA77E -:040F790004A0079336 -:040F7A0085934629EC -:040F7B0005134DC548 -:040F7C0005A300D1F8 -:040F7D00062300F156 -:040F7E0010EF00016F -:040F7F0067E113B063 -:040F80004AD7C78302 -:040F8100061365E10D -:040F82000C230360D9 -:040F830067E100F131 -:040F84004A57C7837E -:040F85005BC5859330 -:040F8600021105133C -:040F870000F10CA3C6 -:040F8800C78367E1D3 -:040F89000BA34FA7C0 -:040F8A0067E100F12A -:040F8B004E67C78363 -:040F8C0000F10D2340 -:040F8D00C78367E1CE -:040F8E000DA3574711 -:040F8F0067E100F125 -:040F90004DA7C7831F -:040F910000F10E233A -:040F9200C78367E1C9 -:040F93000EA33A3738 -:040F940067E100F120 -:040F95004AC7C783FD -:040F960000F10F2334 -:040F9700C78367E1C4 -:040F98000FA33A87E2 -:040F990067E100F11B -:040F9A004D67C78355 -:040F9B0002F100233C -:040F9C000C5010EFF6 -:040F9D000593862210 -:040F9E00850A05704B -:040F9F009C0FD0EFE4 -:040FA00000A0353345 -:040FA10040A0053334 -:040FA2001081208317 -:040FA30010412403D2 -:040FA4001001248391 -:040FA50010C1011363 -:040FA60007B7808287 -:040FA7008793040C1C -:040FA80064E10427D5 -:040FA900C783CC3EF0 -:040FAA00EB9161C4A2 -:040FAB000001763794 -:040FAC003FC6061323 -:040FAD00851345B5AE -:040FAE0010EF61C41B -:040FAF00463515307E -:040FB00061C4859300 -:040FB10000B1051373 -:040FB2001DF010EF2F -:040FB300061365E1DB -:040FB40085930420FD -:040FB50008685785EC -:040FB60005D010EF63 -:040FB700061365E1D7 -:040FB80085930A20F3 -:040FB900051362C5F5 -:040FBA0010EF05E14E -:040FBB00862204B0D6 -:040FBC001000059389 -:040FBD00D0EF850AE2 -:040FBE006561946F66 -:040FBF000014061301 -:040FC00036A00593BF -:040FC1006CE50513C3 -:040FC200988FD0EF45 -:040FC300BFAD450178 -:040FC4008082557D55 -:040FC5008E2367E12F -:040FC60047BD6007BC -:040FC70000A7F56327 -:040FC800853E57FD0E -:040FC900011380820E -:040FCA000793EE811A -:040FCB00C22E01052C -:040FCC000107951371 -:040FCD000593007018 -:040FCE00C03E100011 -:040FCF0010112A23B0 -:040FD0001081282341 -:040FD1001091262332 -:040FD2008D6FD0EF60 -:040FD300E10D57FDD8 -:040FD400000175B7EC -:040FD5003F4585937C -:040FD600C0EF006800 -:040FD70087AAF44FA2 -:040FD8001C051D6374 -:040FD9000161470368 -:040FDA004685CF1168 -:040FDB000ED70E63BC -:040FDC00114120831C -:040FDD0011012403D7 -:040FDE0010C1248397 -:040FDF000113853E37 -:040FE0008082118179 -:040FE1000141470380 -:040FE2001A071B636C -:040FE30001514683EF -:040FE400055007139A -:040FE5001AE6956310 -:040FE600018147033B -:040FE70001714683CB -:040FE8008F550722F8 -:040FE90004A00693C7 -:040FEA00FCD714E339 -:040FEB00F36947124D -:040FEC00007866E142 -:040FED0045394781BA -:040FEE004DC68693D3 -:040FEF00460345A9C7 -:040FF000666300D75D -:040FF100833300C581 -:040FF200002300D701 -:040FF300078500C3AB -:040FF40096E3070574 -:040FF5004783FEB779 -:040FF60067610251DC -:040FF7004AF702A310 -:040FF80066634725C0 -:040FF900676100F735 -:040FFA004AF706A309 -:040FFB004783A0295F -:040FFC007AE3024151 -:040FFD004703FEF7B1 -:040FFE0067E1027134 -:040FFF008A2365617B -:04100000470356E765 -:0410010067E1028120 -:04100200036006136E -:041003004CE78D2306 -:04100400029147030B -:04100500059367E107 -:0410060081A302D1EF -:0410070047033AE77A -:0410080067E102A1F9 -:041009005BC50513AB -:04100A004AE7862308 -:04100B0002B14703E4 -:04100C00842367E1F1 -:04100D0047033AE774 -:04100E0067E102C1D3 -:04100F004CE78B23FC -:04101000023147035F -:041011008D2367E1E3 -:0410120067E14EE75D -:041013004DC7C703FB -:041014008CA367E161 -:0410150047034EE758 -:0410160067E102612B -:041017004EE78323FA -:041018006D4010EF28 -:04101900B72947812B -:04101A0001414483C9 -:04101B004683E8E937 -:04101C000713015164 -:04101D009463055083 -:04101E0056830CE603 -:04101F000713024170 -:0410200097E304202E -:041021005403EEE6A0 -:04102200071302614D -:0410230011E340C0D5 -:0410240067E1EEE4AE -:041025004635C42A5E -:0410260061C7851306 -:0410270001710593BB -:04102800007010EF55 -:0410290047A2471281 -:04102A00EC0714E3D8 -:04102B0006136561E2 -:04102C00102C042060 -:04102D0057850513CB -:04102E0067C010EF98 -:04102F0066E14782AD -:0410300007A24701CB -:041031008693C03EA4 -:04103200079362C6F8 -:04103300061305E0BB -:041034000533100070 -:04103500C43A00D7E2 -:0410360000788E1D93 -:0410370005B3C23EFD -:04103800486300F712 -:0410390010EF04C4EC -:04103A00479264E095 -:04103B0004854722BF -:04103C008F1D943E32 -:04103D0007134782CC -:04103E00F493100710 -:04103F0085330FF4F2 -:04104000074200F46F -:0410410004138341D0 -:041042000070F00446 -:041043001000059301 -:04104400C23A052285 -:04104500C0EF0442B2 -:0410460066E1F09FD0 -:04104700478180411C -:041048008693471232 -:04104900F44562C642 -:04104A00470567E10E -:04104B0056E78923B8 -:04104C008622BF1524 -:04104D00600010EF40 -:04104E004785BFC54E -:04104F004789BD15FB -:041050000113BD05C6 -:041051000868DD81CD -:041052002211222322 -:0410530022812023B3 -:0410540020912E2396 -:04105500FE5FC0EF8B -:0410560010EFC02AAD -:041057004782532059 -:0410580016079A637A -:0410590075B76461A2 -:04105A004645000106 -:04105B003CC5859378 -:04105C004B04051329 -:04105D0010EF64E14B -:04105E0084235BE0AC -:04105F00D0EF4E047C -:04106000C62685AF6C -:04106100C42264C180 -:0410620017B714FDAB -:04106300A78300025D -:0410640067610D07AC -:041065005BC756838C -:041066008E638FE521 -:04106700071300F675 -:0410680057035BC708 -:0410690007630027F2 -:04106A00650912F70B -:04106B0071050513F3 -:04106C00F4FFB0EFEE -:04106D0047A2BFD9FE -:04106E00000175B751 -:04106F0085934645DA -:0410700085133E05A1 -:0410710010EF4B072A -:0410720047B256E04B -:04107300000175B74C -:0410740085934645D5 -:04107500851390054A -:0410760010EF4E87A2 -:04107700C0EF6CC09A -:041078004481FFBFF1 -:041079001713440104 -:04107A000713010453 -:04107B005793200760 -:04107C00C23E409799 -:04107D0067E1863E63 -:04107E004681472D33 -:04107F008513080CC1 -:0410800010EF49879D -:04108100E57939C014 -:04108200000175B73D -:041083003F458593CD -:04108400C0EF0808A9 -:04108500ED1DC8CFC6 -:04108600018147039A -:041087004683EF05A8 -:0410880007130191B8 -:041089009763055014 -:04108A00468302E6B1 -:04108B00470501A173 -:04108C0002D76263C2 -:04108D00159366054C -:04108E0095B2008493 -:04108F0046A006135E -:0410900000E684638F -:0410910005700613CD -:041092000874451287 -:04109300F1BFC0EFFA -:041094000485E1519D -:0410950047410405C6 -:04109600F8E417E380 -:04109700430010EF13 -:04109800453D45810C -:04109900CB1FF0EF8A -:04109A00C78367E1C0 -:04109B0067614AD768 -:04109C004DC7071322 -:04109D00C50397BA36 -:04109E004581000781 -:04109F008CA367E1D6 -:0410A000F0EF4EA778 -:0410A10047A2C93F5A -:0410A200000176379C -:0410A300061386A604 -:0410A40045C53B46BD -:0410A5004B0785135D -:0410A600574010EFB0 -:0410A70075B747B220 -:0410A80046450001B8 -:0410A9003C058593EA -:0410AA004E878513D5 -:0410AB005FA010EF43 -:0410AC00F29FC0EF00 -:0410AD00000F4537B4 -:0410AE0024050513FD -:0410AF00E43FB0EF7B -:0410B0002241208336 -:0410B10022012403F1 -:0410B200248345024C -:0410B300011321C143 -:0410B4008082228193 -:0410B5003B8010EF7D -:0410B600C03E57FDE4 -:0410B7005713B7D53F -:0410B8001793018504 -:0410B9008FD9018545 -:0410BA0000FF06B776 -:0410BB000085171382 -:0410BC008FD98F75C4 -:0410BD00071367416D -:0410BE008121F00795 -:0410BF008D5D8D793D -:0410C000C60980825B -:0410C100000217B75B -:0410C2000007A02360 -:0410C300F693470158 -:0410C4001637FFC517 -:0410C50007B300026B -:0410C600646300E57A -:0410C700898D02D736 -:0410C8009463470DD9 -:0410C900D68302E5E3 -:0410CA0017370007CD -:0410CB0012230002EA -:0410CC00C78300D7FF -:0410CD0002230027D3 -:0410CE0017B700F759 -:0410CF004B88000248 -:0410D000439C80823B -:0410D100C25C0711E5 -:0410D2004709B7F91A -:0410D30000E5996338 -:0410D4000007D70337 -:0410D500000217B747 -:0410D60000E792237A -:0410D7004705BFF911 -:0410D800FCE59DE3B3 -:0410D9000007C70342 -:0410DA00000217B742 -:0410DB0000E7822385 -:0410DC001151B7E90E -:0410DD001437C222E0 -:0410DE00C026000226 -:0410DF0084AA460198 -:0410E00004A00593D0 -:0410E10004040513EB -:0410E200C0EFC40691 -:0410E30085A6A12F0E -:0410E40005134601A9 -:0410E500C0EF040450 -:0410E6000593A40FBB -:0410E700051304A049 -:0410E80046050404B1 -:0410E9009F8FC0EF26 -:0410EA0004040513E2 -:0410EB0040A24412C9 -:0410EC004585448270 -:0410ED00C06F01319E -:0410EE001141A06F9D -:0410EF001437C422CC -:0410F000C226000212 -:0410F10084AEC02ADF -:0410F200059346011B -:0410F300051304A03D -:0410F400C606040424 -:0410F5009C8FC0EF1D -:0410F60046014782E6 -:0410F70004040513D5 -:0410F800C0EF85BE02 -:0410F90005139F4FED -:0410FA004422040484 -:0410FB0085A640B2D4 -:0410FC0046054492CF -:0410FD00C06F01417E -:0410FE0011519E0FDF -:0410FF004405C222C0 -:0411000000A4143300 -:041101000FF4741360 -:04110200451985A264 -:04110300F0EFC4063F -:0411040085A2FADFE7 -:0411050040A24412AE -:041106000131451D51 -:04110700F9FFF06F8D -:041108000506115176 -:041109007413C22277 -:04110A0085A20FF5B6 -:04110B00C4064505CC -:04110C00F8BFF0EF49 -:04110D00441285A261 -:04110E00450940A2AD -:04110F00F06F01314B -:041110001151F7DFA3 -:04111100C4064515B6 -:04111200F2BFF0EF49 -:041113000860071356 -:041114001363478199 -:04111500059304E555 -:0411160005130900B4 -:04111700F0EF0200F3 -:041118004581F5DF39 -:0411190002100513A8 -:04111A00F53FF0EFBE -:04111B0005134581F2 -:04111C00F0EF0220CE -:04111D00458DF49F69 -:04111E000230051383 -:04111F00F3FFF0EFFB -:0411200005134581ED -:04112100F0EF028069 -:041122000593F35FDF -:0411230005130300AD -:04112400F0EF0710D1 -:041125004785F29F69 -:04112600853E40A220 -:041127008082013190 -:0411280001A31141CD -:04112900153700A1D5 -:04112A00C4220002D9 -:04112B00842E4605C3 -:04112C00040505139E -:04112D0000310593F5 -:04112E00C0EFC60642 -:04112F008522966F10 -:04113000C3FFB0EF5A -:04113100442240B262 -:041132008082014175 -:04113300C222115172 -:041134005783646118 -:04113500C4064D841B -:04113600F793C02645 -:0411370007C2F9F7FB -:041138001C2383C130 -:0411390014B74CF4A7 -:04113A00A0230002EC -:04113B0045510EF418 -:04113C00C0FFB0EF51 -:04113D00051345D180 -:04113E00F0EF03804B -:04113F0045D1FA7F1D -:041140000390051300 -:04114100F9DFF0EFF3 -:04114200455145D1FD -:04114300F95FF0EF71 -:04114400051345D179 -:04114500F0EF0710B0 -:0411460045D1F8BFD8 -:0411470005E00513A7 -:04114800F81FF0EFAD -:04114900051345D174 -:04114A00F0EF06D0EC -:04114B0045D1F77F14 -:04114C00F0EF45314A -:04114D000593F6FF11 -:04114E004505320021 -:04114F00F65FF0EF68 -:04115000451945D127 -:04115100F5DFF0EFE7 -:0411520032000593CF -:04115300F0EF45096B -:041154005783F53F89 -:0411550040A24D84E3 -:041156000407E79310 -:041157004CF41C2315 -:04115800A02344127A -:0411590044820EF4CA -:04115A00808201315D -:04115B00C822112174 -:04115C0057836461F0 -:04115D00CA064D84ED -:04115E00F793C62617 -:04115F0007C2F9F7D3 -:04116000C02E83C159 -:041161004CF41C230B -:041162000002173739 -:041163000EF7202340 -:04116400059384AAC1 -:04116500450532000A -:04116600F09FF0EF17 -:041167004D845783D9 -:041168000002173733 -:04116900E79345C102 -:04116A001C23020739 -:04116B0020234CF4FD -:04116C0085260EF7CF -:04116D00316010EFEE -:04116E000FF57713EF -:04116F0085B7EB1144 -:0411700046450001EF -:0411710088C5859315 -:0411720010EF8526CF -:0411730047052DC03F -:0411740086B3478176 -:04117500C50300F4BA -:0411760045D1000659 -:04117700C23EC43A76 -:04117800EC1FF0EF89 -:041179004722479230 -:04117A00F69307855C -:04117B00E2E30FF7A5 -:04117C005783FEE6B1 -:04117D0014B74D84D2 -:04117E0045D1000255 -:04117F00FDF7F793EE +:04082A00C7834070D0 +:04082B0089A300C4D9 +:04082C00470D00A4D0 +:04082D0002F7686303 +:04082E00F96344091D +:04082F0044050287F3 +:040830000287866352 +:0408310001D4C40327 +:040832000786A01580 +:040833006285BD918C +:0408340082936391B7 +:040835008393770230 +:04083600B5A9D54348 +:04083700852347913D +:04083800BF0900F400 +:04083900440547111A +:04083A00FCE79EE356 +:04083B002683676148 +:04083C0006134587D3 +:04083D00C703458721 +:04083E00831D0036E0 +:04083F00C703FF6D7F +:04084000B793000664 +:040841009B79001788 +:0408420080238FD9A7 +:04084300C78300F671 +:04084400886301D4F0 +:0408450042180287CC +:04084600465147854B +:040847000513C43A97 +:040848001D630047E5 +:0408490065D910F469 +:04084A0052C585937B +:04084B0068A030EF82 +:04084C004783472275 +:04084D00E793000726 +:04084E00002300275C +:04084F008EA300F77D +:04085000C7830084D6 +:040851009F6300C4DD +:0408520087830E0783 +:04085300477100A445 +:0408540002E787B37D +:04085500043347120F +:04085600578300F7CD +:04085700B79300C48F +:04085800C7932BD740 +:0408590086BE001740 +:04085A0006136661BA +:04085B00420C450600 +:04085C00078E8B8DEB +:04085D000015C703B8 +:04085E009B1D069642 +:04085F0080A38FD90A +:04086000421800F545 +:0408610000174783B2 +:04086200F9F7F79318 +:0408630000A38FD58A +:04086400F0EF00F7BA +:04086500C70382DF64 +:0408660047890414A6 +:0408670000E4C503E1 +:04086800076345815C +:04086900C58300F74C +:04086A008D8900F480 +:04086B000015B5932C +:04086C00E0BFE0EF1A +:04086D0007B740D8B1 +:04086E008793019CCF +:04086F00D7B3CC0728 +:04087000D70302E7C1 +:04087100C603016455 +:04087200468D00D4DB +:0408730002E787B35E +:0408740000C4C703F2 +:0408750087B3070539 +:04087600C70302E7CB +:04087700070500E48D +:0408780002E787B359 +:040879002C23676164 +:04087A00156354F7B7 +:04087B00838506D695 +:04087C0054F72C23DE +:04087D0055872683F2 +:04087E000511073722 +:04087F00F407071360 +:040880006B634785DA +:04088100773700D7EE +:0408820007130478DC +:0408830047818BF727 +:0408840000D77463C2 +:040885000104C78320 +:0408860004F4C703AC +:040887000414C5830D +:04088800C703E709B2 +:040889000E630104F5 +:04088A00445202F7DB +:04088B0000F48823CA +:04088C0044C240E240 +:04088D000171852E42 +:04088E00874FF06F31 +:04088F00859365D90F +:04089000B5ED540569 +:0408910000E4C703B5 +:0408920046814785CF +:04089300F7938F99AF +:04089400BF190FF782 +:040895001FE3458593 +:04089600078AF8B61F +:0408970002D7D7B3FA +:040898004789BF418C +:0408990002F582637F +:04089A000114C5037D +:04089B004589C19139 +:04089C00C783445278 +:04089D00C70300F499 +:04089E0040E204240C +:04089F00468144C288 +:0408A000017146019B +:0408A100D38FE06FA2 +:0408A200445240E29A +:0408A300017144C2D9 +:0408A40011518082EC +:0408A50064E1C02624 +:0408A6005564C503CD +:0408A700C2224581A3 +:0408A80010EFC40683 +:0408A900842A4F50FE +:0408AA00C683E121FF +:0408AB0067E1556448 +:0408AC0084A34729B1 +:0408AD0067E15AD7CE +:0408AE006697C783FF +:0408AF0000E7856376 +:0408B0000EA36761CB +:0408B10067E154F7B0 +:0408B2005AA7C70377 +:0408B300C7014781B1 +:0408B400C78367E1AE +:0408B500676155D74B +:0408B60058C7071305 +:0408B700453D97BA6A +:0408B80000D78023C2 +:0408B900339010EF79 +:0408BA0040A28522B1 +:0408BB00448244121D +:0408BC008082013104 +:0408BD00C0261151EF +:0408BE00C50364E129 +:0408BF00C222556498 +:0408C00010EFC4066B +:0408C100842A31B0A4 +:0408C200C683E51DE7 +:0408C30067E1556430 +:0408C4005AD784A3D8 +:0408C500C70367E11D +:0408C60047815AA765 +:0408C70067E1C7011D +:0408C8005C87C783FF +:0408C9000713676149 +:0408CA0097BA58C7BA +:0408CB008023453D04 +:0408CC0010EF00D752 +:0408CD0085222EB0A2 +:0408CE00441240A2EE +:0408CF00013144822D +:0408D00011518082C0 +:0408D100C222C40675 +:0408D2001437C026F1 +:0408D30047C5000213 +:0408D4000EF42023DB +:0408D500A78367E1AD +:0408D600202345C7CF +:0408D70065090E049D +:0408D80000C7C7038B +:0408D900000786236B +:0408DA0000D7C70379 +:0408DB00000786A3E9 +:0408DC0000E7C70367 +:0408DD000007872366 +:0408DE0000F7C70355 +:0408DF00000787A3E4 +:0408E0000147C70302 +:0408E10000078A235F +:0408E2000157C703F0 +:0408E30000078AA3DD +:0408E4000167C703DE +:0408E50000078B235A +:0408E6000177C703CC +:0408E700710505137F +:0408E80000078BA3D7 +:0408E900D5BFD0EFB8 +:0408EA001D100713C3 +:0408EB00942367E10A +:0408EC00153758E77D +:0408ED00079300036A +:0408EE0020231D1096 +:0408EF0005130EF4EB +:0408F000D0EFD4056C +:0408F1002637D3DFF4 +:0408F20005B7000640 +:0408F3000513019C4C +:0408F40006130404DF +:0408F5008593A80639 +:0408F600E0EFCC055E +:0408F70020EF998FC6 +:0408F80020EF1700D6 +:0408F90054793AA054 +:0408FA001205076379 +:0408FB0020EF4501A4 +:0408FC000793460018 +:0408FD0054750FF02F +:0408FE0010F50F637F +:0408FF00059020EF51 +:04090000E0EF4509D6 +:0409010047CDCE6FA1 +:0409020016635471B3 +:04090300E0EF10F51C +:0409040020EFD56F9C +:04090500C5090B20F5 +:04090600470567E159 +:0409070062E781A37F +:04090800BD9FE0EFC0 +:040909001E63842ABB +:04090A0000EF0E05E7 +:04090B0064E103F0B0 +:04090C005AC4851331 +:04090D00061365D98F +:04090E0085930420A9 +:04090F0005795FC542 +:04091000376030EF2D +:04091100656165D9DE +:040912000360061365 +:0409130078C585938B +:0409140066C505139C +:04091500362030EF69 +:04091600453D458195 +:0409170033B010EFFA +:04091800C50367E1CB +:0409190045815A9723 +:04091A005AC48493A4 +:04091B0032B010EFF7 +:04091C00C78367E145 +:04091D006661454783 +:04091E0002A366E1E9 +:04091F0067E158F63E +:040920005867C783CA +:040921005AF685A35A +:04092200879367E16F +:04092300438C4507B5 +:040924000015C703F0 +:0409250080A39B1DF3 +:04092600438C00E519 +:040927000015C703ED +:04092800F9F7771351 +:0409290000E580A3C2 +:04092A00C703438C30 +:04092B008B7D0005BB +:04092C000607671340 +:04092D0000E580233E +:04092E00C703438C2C +:04092F009B610015B3 +:040930000037671312 +:0409310000E580A3BA +:040932004703438CA8 +:040933007613585689 +:04093400C7030017DE +:040935009B790005A5 +:0409360080238F513A +:04093700439000E504 +:040938005AB6C78361 +:0409390097138B8DF8 +:04093A0047830037B8 +:04093B009B9D00067A +:04093C0000238FD92C +:04093D0017B700F6F2 +:04093E00A783000289 +:04093F0097130D07F6 +:04094000446300E725 +:0409410000EF0007BC +:04094200478979E088 +:0409430088A345013F +:04094400E0EF00F4EC +:040945008522D9BF6F +:04094600441240A275 +:0409470001314482B4 +:04094800547D8082D8 +:0409490067E1BFCDD6 +:04094A005547C783C3 +:04094B00FDC10113D6 +:04094C000785CE222B +:04094D000FF7F79316 +:04094E0007C207B223 +:04094F00C83E83C15A +:040950005783646104 +:04095100474258843D +:04095200CC26D006D9 +:0409530067218FD9B0 +:0409540014238FD900 +:04095500173758F404 +:04095600C2020002D7 +:040957006637C40239 +:0409580064E1000155 +:040959000EF7202352 +:04095A004A460613F0 +:04095B00851345C5F6 +:04095C0030EF59849B +:04095D00E0EF31C0D6 +:04095E006741C63FE8 +:04095F004785177D34 +:040960001737CA3A41 +:0409610026830002E7 +:0409620046520D07E5 +:04096300C6368EF115 +:04096400C6F1E7F9F8 +:04096500869366E12E +:04096600D60366C688 +:0409670045B201662E +:0409680058845783D5 +:0409690012B614634B +:04096A0016FD76F50B +:04096B0014238FF5CD +:04096C00202358F4F8 +:04096D008C230EF7D2 +:04096E00E0EF58045A +:04096F002537C1FF68 +:040970000513000665 +:04097100D0EFA80516 +:040972005783B39F55 +:04097300474258841B +:040974000513669170 +:040975008FD93E8058 +:0409760014238FD5E2 +:04097700173758F4E2 +:040978002023000236 +:0409790030EF0EF756 +:04097A0030EF160044 +:04097B004785186034 +:04097C001C6366E1B1 +:04097D00A60302F5D6 +:04097E00478345C6A0 +:04097F0047030086A4 +:0409800007220096B4 +:0409810047838F5DBC +:0409820007C200A602 +:0409830047838F5DBA +:0409840007E200B6D0 +:0409850097138FD95C +:0409860083410107A1 +:040987009713C23AC6 +:040988008351004750 +:04098900D2E3C43AB7 +:04098A0030EFFC0747 +:04098B00479215E09A +:04098C006637EF9546 +:04098D00061300014C +:04098E0045C54B060A +:04098F0059848513EF +:0409900024E030EF40 +:04099100B95FE0EF7B +:04099200588457032B +:0409930017FD77F1E4 +:0409940014238FF9A0 +:04099500173758F4C4 +:040996002023000218 +:0409970065090EF7E9 +:0409980071050513CD +:04099900A9BFD0EF33 +:04099A00BF2147B280 +:04099B0047524792E6 +:04099C0000E7976376 +:04099D0000016637B8 +:04099E004C060613EA +:04099F004712BF7DBF +:0409A000660545A201 +:0409A1000640079372 +:0409A20056B3167DB5 +:0409A300773302F7AD +:0409A4009C6302F757 +:0409A500663700C5EC +:0409A6000613000133 +:0409A70045C54C8670 +:0409A80059848513D6 +:0409A9001EA030EF6D +:0409AA004622BF71B1 +:0409AB00851345C5A6 +:0409AC0076335984C1 +:0409AD00C03202F65C +:0409AE0057B34622D3 +:0409AF00663702F6AF +:0409B0000613000129 +:0409B10030EF4D4690 +:0409B200BFAD1C8039 +:0409B3000186D68360 +:0409B4009BE3463249 +:0409B50007D2F6C6A9 +:0409B600142383D1B2 +:0409B700508258F41E +:0409B8002023447242 +:0409B90044E20EF70F +:0409BA0001134501DF +:0409BB0080820241F3 +:0409BC00C40611510B +:0409BD00FE2FF0EF2A +:0409BE00240020EF02 +:0409BF0040A267E10A +:0409C00064B7C50350 +:0409C100E06F0131B1 +:0409C2000113BA7FE4 +:0409C300D806FCC195 +:0409C400D426D6223D +:0409C500A59FD0EF2B +:0409C600F0EF842AA0 +:0409C7006761C29F03 +:0409C8004507079345 +:0409C900C03E86AAFC +:0409CA0064E165611E +:0409CB001206CD63E0 +:0409CC000001663789 +:0409CD000713468145 +:0409CE0006130550B7 +:0409CF0045C54E8646 +:0409D000574505136F +:0409D10014A030EF4F +:0409D200000165B704 +:0409D300859346457D +:0409D40085135185B1 +:0409D50030EF61049A +:0409D600478206004E +:0409D7004398802998 +:0409D800000747834A +:0409D9000027E79379 +:0409DA0000F70023FF +:0409DB00AB3FE0EF5F +:0409DC000007A53734 +:0409DD0012050513E7 +:0409DE00987FD0EF3F +:0409DF009A7FD0EF3C +:0409E00012C00793A7 +:0409E10002F407B362 +:0409E200C802646182 +:0409E300C402C60282 +:0409E4000413C20234 +:0409E500CE3E5AC4E4 +:0409E600CA3E479925 +:0409E700000217B73C +:0409E8000D07A783CD +:0409E900656166617D +:0409EA000107971357 +:0409EB00C6938341EB +:0409EC002623FFF7C8 +:0409ED0083E16AE652 +:0409EE0000030737C4 +:0409EF00F5938F7578 +:0409F00066E10FF7B6 +:0409F1006AE6A823E7 +:0409F2006AB50A23B5 +:0409F300863685328D +:0409F400CF8166E168 +:0409F500FFE5879300 +:0409F6000FF7F7936D +:0409F7007663430DD3 +:0409F800C78300F3BE +:0409F90094636A8613 +:0409FA00262300B7F9 +:0409FB0067E16A0541 +:0409FC006AB6842330 +:0409FD006A47A6831C +:0409FE006AE7A223DF +:0409FF006761E2D575 +:040A0000624747837F +:040A0100CBF164E1F0 +:040A020001C4478361 +:040A030066E1C7F9E8 +:040A040000B4478370 +:040A05006BB6C68383 +:040A0600E3E18FD5C4 +:040A070092BFD0EFDB +:040A08006C6347F2E2 +:040A090047420AF561 +:040A0A000630079318 +:040A0B000AE7E763AC +:040A0C004683676155 +:040A0D004785624770 +:040A0E0087634705AE +:040A0F0047D200F6D4 +:040A1000FFA78713A2 +:040A110000E0373397 +:040A120001C4478351 +:040A130017FD46A1E4 +:040A14000FF7F7934E +:040A150006F6E1639D +:040A1600078A66D90C +:040A1700424686933A +:040A1800439C97B6AE +:040A19006637878233 +:040A1A0006130001BE +:040A1B0045C54FC6B8 +:040A1C005745051322 +:040A1D0001A030EF15 +:040A1E00000175B7A7 +:040A1F008593464530 +:040A20008513AC0589 +:040A210030EF61044D +:040A220047820A20DD +:040A2300478343982A +:040A2400E79300074D +:040A25000023002783 +:040A2600E0EF00F706 +:040A2700A001985F33 +:040A28006A0628230F +:040A290067E1BFA919 +:040A2A0058A7C7837F +:040A2B0000F037B3ED +:040A2C008EA3078905 +:040A2D0047C254F474 +:040A2E00078547529F +:040A2F000FF7F79333 +:040A30004799C83EDC +:040A310000F7076360 +:040A3200001707930F +:040A33000FF7F7932F +:040A3400D0EFCA3EF7 +:040A35004785851F4D +:040A36002321C63E74 +:040A3700C78367E129 +:040A3800CC2A6BB7A2 +:040A39004501C7812B +:040A3A0026C010EFD3 +:040A3B0055D4C78344 +:040A3C0001C44703A7 +:040A3D0000F7046357 +:040A3E00E711476213 +:040A3F00CB1547325A +:040A400000B44703B4 +:040A41006761C71D05 +:040A42005AA7470365 +:040A4300C315C63AD7 +:040A440007136761CC +:040A450097BA58C73D +:040A46000007C503DD +:040A4700C70367E199 +:040A4800C6025A97F1 +:040A490000A7076398 +:040A4A0084A34581BB +:040A4B0010EF5AA7A7 +:040A4C00C783668076 +:040A4D00470355D432 +:040A4E0064E101C49A +:040A4F0062848493A6 +:040A500000F71C632C +:040A51004709469279 +:040A520016D77C63D4 +:040A53000334C6831F +:040A5400051447033B +:040A550016E68663B8 +:040A56006361473D54 +:040A570062E300A3B3 +:040A58007E63470D65 +:040A5900C70306F7D2 +:040A5A00CB01033495 +:040A5B00FFA7871357 +:040A5C000FF7771306 +:040A5D00F463468573 +:040A5E00471506E64C +:040A5F0006F77563BE +:040A600045094605F9 +:040A610085934689AA +:040A6200F713FFF790 +:040A630042A10FF5A8 +:040A640004E2EF6356 +:040A6500070A62D941 +:040A660044828293B1 +:040A67004318971683 +:040A68004785870235 +:040A6900F00717E398 +:040A6A00C78367E1F6 +:040A6B00B79344F702 +:040A6C0007910017D7 +:040A6D004799BDFDEB +:040A6E00EE070DE39F +:040A6F0055D4C78310 +:040A7000479DBDCD14 +:040A710067E1B5FD87 +:040A720055C7C7831A +:040A730000F037B3A5 +:040A7400B5C507A15C +:040A75001EE347999C +:040A76004785EC07BD +:040A7700460DBDD992 +:040A78004681450569 +:040A79004609B74D26 +:040A7A00BFE545018E +:040A7B00F593C40229 +:040A7C0047210FF50A +:040A7D006963C202E5 +:040A7E00675900B7FD +:040A7F0046C707134C +:040A80004703972E63 +:040A8100C23A00076E +:040A820000F40E234B +:040A830004C44783DD +:040A8400000405A3C2 +:040A8500458D47054F +:040A860000F776639C +:040A87008D9D459567 +:040A88000FF5F593DE +:040A8900D036D2325F +:040A8A005DF010EF1C +:040A8B006AF010EF0E +:040A8C00984FE0EFB0 +:040A8D00C78367E1D3 +:040A8E0056826237F3 +:040A8F00C7895612AB +:040A900010EF8532AC +:040A9100568223B0B6 +:040A92004592462221 +:040A930020EF853695 +:040A9400478339203B +:040A9500656101C4D2 +:040A96009713464527 +:040A970067D90027F4 +:040A98004787879372 +:040A9900438C97BA39 +:040A9A0057450513A4 +:040A9B00000422230E +:040A9C006B9020EF4C +:040A9D00000165B738 +:040A9E004645656103 +:040A9F0050C5859326 +:040AA00061050513D4 +:040AA1006A5020EF88 +:040AA200C78367E1BE +:040AA300EB996BB7A9 +:040AA40043984782AA +:040AA500000747837C +:040AA6000027E793AB +:040AA70000F7002331 +:040AA800F7EFE0EF95 +:040AA900CF8947E2C8 +:040AAA00C70367E136 +:040AAB0047A95557AB +:040AAC0000F71563D7 +:040AAD0010EF453DC4 +:040AAE00D0EF3660EF +:040AAF00C802E68F04 +:040AB0000234C70342 +:040AB100041447835F +:040AB20002F70563DF +:040AB30001144503E2 +:040AB400470147812E +:040AB500460146812F +:040AB600D0EF4581B7 +:040AB700C503CE3F66 +:040AB800E0EF023435 +:040AB900C783FCAF44 +:040ABA0022230234BD +:040ABB0000A3000490 +:040ABC00C58304F4F6 +:040ABD00478902342F +:040ABE0002F5866354 +:040ABF000244C70323 +:040AC0000424478340 +:040AC10002E7806365 +:040AC20001144503D3 +:040AC3004589C1910F +:040AC40000F4478370 +:040AC500460146811F +:040AC600CA5FD0EF44 +:040AC7000244C7839B +:040AC80004F401230E +:040AC9000334C783A8 +:040ACA0005144703C5 +:040ACB0000F70463C9 +:040ACC0004F408A383 +:040ACD0067E1676115 +:040ACE00586746839C +:040ACF00C783676111 +:040AD00045834547CE +:040AD10066615857AB +:040AD20000F5966332 +:040AD3005AB6458347 +:040AD40002D58D6357 +:040AD50058F702A329 +:040AD60005A347022B +:040AD70043145AD694 +:040AD8000017F713F9 +:040AD9000006C783C9 +:040ADA008FD99BF91C +:040ADB0000F680237E +:040ADC004394478276 +:040ADD005AB647833B +:040ADE0097138B8D52 +:040ADF00C783003792 +:040AE0009B9D0006D4 +:040AE10080238FD906 +:040AE200478300F650 +:040AE300C3B501C4D2 +:040AE400E0EF4512E8 +:040AE5004789893F75 +:040AE6000CF50263A6 +:040AE7000A634791C6 +:040AE800478502F547 +:040AE90004F5176396 +:040AEA0000B447838A +:040AEB00E0EFC3B9BC +:040AEC00A081E11FE5 +:040AED00C43A4709B7 +:040AEE00470DBD1DD6 +:040AEF00C703BFED8D +:040AF0004285033404 +:040AF10014E3C41630 +:040AF2004741E2078F +:040AF30062E300A317 +:040AF4004783BD393E +:040AF500C39D00B4E9 +:040AF600578367615A +:040AF700E7935887A2 +:040AF800142300279C +:040AF900173758F75C +:040AFA0020230002B3 +:040AFB00F0EF0EF713 +:040AFC000513B03FEF +:040AFD00D0EF12C064 +:040AFE00B64DD08F92 +:040AFF0000042223AA +:040B00004DB010EFF5 +:040B010001C4478361 +:040B0200464565619E +:040B0300002797131D +:040B0400879367D993 +:040B050097BA4787CD +:040B06000513438C04 +:040B070020EF57453F +:040B080065B750B0CD +:040B09006561000121 +:040B0A008593464544 +:040B0B00051350C5B9 +:040B0C0020EF610570 +:040B0D0067E14F70DD +:040B0E006BB7C78377 +:040B0F004782EB9995 +:040B1000478343983C +:040B1100E79300075F +:040B12000023002795 +:040B1300E0EF00F718 +:040B1400D0EFDD0F32 +:040B1500CA02CD0F34 +:040B1600BF61C802F1 +:040B170000B447835C +:040B1800F0EFDBC956 +:040B1900B771A74FBA +:040B1A00656165D9D3 +:040B1B00061311515B +:040B1C008593042099 +:040B1D0005135FC598 +:040B1E00C406628522 +:040B1F0033B020EFE0 +:040B2000656165DDC9 +:040B210040C00613B7 +:040B220050858593E2 +:040B23006DC5051384 +:040B2400327020EF1C +:040B250067E140A2A2 +:040B260081234705DB +:040B2700450162E73B +:040B28008082013195 +:040B290011116759E6 +:040B2A0072070793B4 +:040B2B00CC06C82606 +:040B2C00C002CA2217 +:040B2D00C43E4481FD +:040B2E0065B76461E2 +:040B2F004645000136 +:040B30007C458593E8 +:040B3100560405134E +:040B3200461020EF5A +:040B3300971347A22B +:040B340065610024D3 +:040B3500430C973E98 +:040B36000513464518 +:040B370020EF5985CD +:040B3800E0EF44B0F6 +:040B39004681CF6FB3 +:040B3A001737C22285 +:040B3B00258300020C +:040B3C0067C10D0779 +:040B3D00F63317FD77 +:040B3E00646100F5F9 +:040B3F006AC426233B +:040B40000D07270373 +:040B41000003053771 +:040B4200471367E10D +:040B43008F69FFF7C0 +:040B44006AE7A82391 +:040B4500CA15C63EC9 +:040B46000863478277 +:040B4700676102F6EA +:040B480000149513ED +:040B490066C7071361 +:040B4A00EABD972A3F +:040B4B00102347929A +:040B4C0065B700B7D2 +:040B4D004645000118 +:040B4E007CC585934A +:040B4F0056078513AD +:040B50003E9020EFC4 +:040B5100C94FE0EFB9 +:040B5200676146850C +:040B5300260347B27C +:040B5400A3036A4746 +:040B550087BA6B07E9 +:040B56006741E60508 +:040B570002E3126340 +:040B580065D9E0BDBE +:040B590006136561B9 +:040B5A00859303601C +:040B5B00051378C541 +:040B5C00C01A66C590 +:040B5D00243020EF31 +:040B5E00468943027F +:040B5F0067E144ED19 +:040B60006AC4270339 +:040B61006A67A223FA +:040B6200C03AC63699 +:040B63008F6347094C +:040B6400650902E637 +:040B650071050513FE +:040B6600B66FD0EFA7 +:040B6700B7B146B22A +:040B68000007570328 +:040B690002E34689D4 +:040B6A004792FAE6CE +:040B6B00000165B769 +:040B6C0085934645E2 +:040B6D0085137D452A +:040B6E0020EF560717 +:040B6F00E0EF36F08D +:040B70004681C1AF4A +:040B710014F9B75963 +:040B7200BF5D468994 +:040B73004769048545 +:040B7400EE9754E3C1 +:040B750040E24452C4 +:040B7600453D44C2F3 +:040B7700106F017189 +:040B7800011303E082 +:040B79000793FBC122 +:040B7A00CE3E013139 +:040B7B00879367E114 +:040B7C00D03E6417EC +:040B7D00879367E112 +:040B7E00D23E6427D8 +:040B7F00879367E110 +:040B8000D43E6437C4 +:040B8100879367E10E +:040B8200D63E6447B0 +:040B830067E1D83E10 +:040B84006457879398 +:040B850027B7DA3E76 +:040B860087930F033F +:040B8700CA3EF0175B +:040B8800303007936F +:040B89001C23636165 +:040B8A00478D00F1A2 +:040B8B0000F10D2345 +:040B8C005AC30793AE +:040B8D0001C7C78352 +:040B8E00C086DE221D +:040B8F0009A3DC26B4 +:040B900047250001F4 +:040B91005AC303132D +:040B9200866344052D +:040B9300841300E7E0 +:040B940074130017BF +:040B950067E10FF411 +:040B9600A6036761EA +:040B970007136AC70F +:040B9800478166C764 +:040B9900568345E951 +:040B9A001C630007D1 +:040B9B00476900D6D0 +:040B9C0010F7626389 +:040B9D00078A675903 +:040B9E0064070713CE +:040B9F00439C97BA22 +:040BA0000709878238 +:040BA10050B78C635A +:040BA200BFF1078513 +:040BA30066E147853B +:040BA4005886D70395 +:040BA50010074713DB +:040BA60058E6942356 +:040BA7002703676158 +:040BA80016936B072E +:040BA900D36300F71B +:040BAA0087A2000618 +:040BAB0000E71693B6 +:040BAC000006DE63FE +:040BAD0046836761B3 +:040BAE004585628790 +:040BAF00E5634601B3 +:040BB000068500D5E1 +:040BB1000FF6F61332 +:040BB20062C70423EF +:040BB3004501472988 +:040BB40000E786636D +:040BB5000EA36761C3 +:040BB600450554F7A6 +:040BB700D70367E118 +:040BB80066E1588713 +:040BB9006BB6C683CE +:040BBA0007429B4D06 +:040BBB0094238341BB +:040BBC00EA8158E78B +:040BBD00C68366E1A4 +:040BBE00068A5966E4 +:040BBF0094238F5597 +:040BC000D70358E718 +:040BC100408658878B +:040BC20017B754729B +:040BC300A023000269 +:040BC40054E20EE702 +:040BC50004410113D3 +:040BC6004789808259 +:040BC700478DBF8D0A +:040BC8004791B7BDDD +:040BC9004795B7ADE8 +:040BCA004799B79DF3 +:040BCB00479DB78DFE +:040BCC0047A1BFB9C5 +:040BCD0047A5BFA9D0 +:040BCE0066E1BF9984 +:040BCF006BB6C783B7 +:040BD0002603676130 +:040BD100B79345078A +:040BD2008DA30017D8 +:040BD30047036AF674 +:040BD400078A000686 +:040BD5008FD99B6DAC +:040BD60000F6002302 +:040BD700C70367E108 +:040BD80067E15A97E0 +:040BD90054E78B232F +:040BDA006BB6C783AC +:040BDB004505C79174 +:040BDC003E5000EF98 +:040BDD00BF2147A944 +:040BDE00AA6FE0EF2B +:040BDF0067E1BFE526 +:040BE00045C7A7035B +:040BE1000001663772 +:040BE2004483656182 +:040BE300478300073D +:040BE40006130017DD +:040BE50045C56BC6D1 +:040BE6008FC507A20E +:040BE700002744831C +:040BE8005605051396 +:040BE9008FC504C2EE +:040BEA000037448309 +:040BEB008CDD04E2B7 +:040BEC0000B4D693E8 +:040BED000016F79364 +:040BEE007FF4F3930A +:040BEF00C61EC43E1C +:040BF00000474683F1 +:040BF10000574283E4 +:040BF200E6B302A2C2 +:040BF300428300D267 +:040BF400470300674C +:040BF50002C20077C1 +:040BF60000D2E2B394 +:040BF70000A30683CE +:040BF80002B247718D +:040BF90002E686B3D7 +:040BFA000713676115 +:040BFB00D2936DC75D +:040BFC00C21600C25B +:040BFD0066E19736E0 +:040BFE005A96C683BA +:040BFF00093020EFAA +:040C0000831367E112 +:040C010047035AC784 +:040C0200C72100B353 +:040C030047A243B20F +:040C0400069007133C +:040C050000138693BF +:040C060000F696B3AB +:040C0700979396BE6B +:040C080042920144CF +:040C09000007C463B9 +:040C0A0007000713C5 +:040C0B00000307B724 +:040C0C0007938CFDC1 +:040C0D00E09902A0C8 +:040C0E000200079346 +:040C0F000001663743 +:040C1000C016656144 +:040C11006C860613D4 +:040C1200051345C5BC +:040C130020EF5985F0 +:040C140067E1041080 +:040C15004507A703E5 +:040C16000007478309 +:040C17000047E79318 +:040C180000F70023BE +:040C1900974FE0EF22 +:040C1A006761B73126 +:040C1B00588757831C +:040C1C000107C79372 +:040C1D0058F714234D +:040C1E0067E1BDF5D8 +:040C1F006287C7031E +:040C200046814605BE +:040C210000E6656321 +:040C220076930705B9 +:040C230084230FF720 +:040C2400B5CD62D711 +:040C2500871367E1E9 +:040C26004703628797 +:040C27004605001767 +:040C280062878793C5 +:040C29006563468138 +:040C2A00070500E6D4 +:040C2B000FF77693B6 +:040C2C0000D780A3CA +:040C2D006761B5C185 +:040C2E00628707933F +:040C2F000067C78310 +:040C300062870713BD +:040C310017FDC78163 +:040C32000FF7F7932E +:040C330000F70323A0 +:040C34006761B555EA +:040C350062870713B8 +:040C36000067478389 +:040C3700F36346B964 +:040C380047B900F6C2 +:040C3900B7DD078597 +:040C3A00000165B799 +:040C3B004645656164 +:040C3C006D858593AA +:040C3D005605051340 +:040C3E00031020EF90 +:040C3F0065B762E152 +:040C40008513000117 +:040C41004645598249 +:040C42006E858593A3 +:040C430001D020EFCD +:040C4400A68364E13E +:040C4500C783450418 +:040C4600E79300062A +:040C470080230047BF +:040C4800E0EF00F6E3 +:040C490067618B6FE5 +:040C4A0062E167E11B +:040C4B006DC7071357 +:040C4C005AC78313ED +:040C4D00000217B7D3 +:040C4E000D07A603E5 +:040C4F00879367E13F +:040C5000064266C72B +:040C51004681824115 +:040C5200D50345ED94 +:040C5300066300072D +:040C5400068500C54C +:040C55009AE307898E +:040C56000783FEB65C +:040C5700467100A33F +:040C580002C787B395 +:040C590097BA4615EB +:040C5A0083F54BDCF7 +:040C5B0002F66463D6 +:040C5C004163461199 +:040C5D00183806D667 +:040C5E004703973E73 +:040C5F005733FDC743 +:040C60008B0540D7E9 +:040C6100078AC70D2A +:040C620097BA1838ED +:040C6300FE47A7831E +:040C640000D7802312 +:040C65004504A70398 +:040C660000074783B9 +:040C670000239BEDDE +:040C6800E0EF00F7C2 +:040C690067E187CFE9 +:040C6A006A078DA3E5 +:040C6B006637B3E154 +:040C6C0006850001F8 +:040C6D006F460613B5 +:040C6E00851345C5E0 +:040C6F0020EF598297 +:040C7000E0EF6D0044 +:040C7100A537816FB3 +:040C7200051300075F +:040C7300C0EF1205B7 +:040C7400B7C9F31FEA +:040C75008FE347B111 +:040C76006509FAF61C +:040C770071050513EB +:040C7800F1FFC0EFD9 +:040C7900871367E195 +:040C7A0067E16DC7FA +:040C7B005AC78313BE +:040C7C00B78962E1F1 +:040C7D0000A3068347 +:040C7E0067E1467173 +:040C7F0002C68633F0 +:040C80006DC78713A2 +:040C8100879345818F +:040C820097326DC771 +:040C830001674703BB +:040C840065634679E5 +:040C8500070500E679 +:040C86000FF775935C +:040C870086334671F9 +:040C8800676102C6D8 +:040C89008B2397B270 +:040C8A00460500B764 +:040C8B0062C7012318 +:040C8C004703676152 +:040C8D009FE36C472E +:040C8E00C703D2E6E0 +:040C8F0067E10167B1 +:040C90006AE79C2350 +:040C91000683BB0516 +:040C9200467100A304 +:040C9300863367E15C +:040C9400871302C6FA +:040C950045FD6DC7E5 +:040C96006DC787930C +:040C97004703973246 +:040C9800DF4D0167C4 +:040C9900BF4D177DB7 +:040C9A0044814785C5 +:040C9B006561C23E8F +:040C9C00000167B735 +:040C9D0085934645B0 +:040C9E000513704783 +:040C9F0020EF5605E7 +:040CA00046A96AA057 +:040CA10002D486B340 +:040CA200479246151A +:040CA30053638736DA +:040CA400471500D61A +:040CA500663762E16B +:040CA60085130001B1 +:040CA7000725598242 +:040CA8007146061378 +:040CA900C63E45C539 +:040CAA005E6020EF79 +:040CAB00A60366E155 +:040CAC0047034506AF +:040CAD0067130006C3 +:040CAE0000230047D8 +:040CAF00D0EF00E69C +:040CB00066E1F1BF49 +:040CB100C23647B24E +:040CB20066C162E1D4 +:040CB30016FDC41650 +:040CB40000021737EC +:040CB5000D072703FD +:040CB600EF998F75AE +:040CB70067E1CF1111 +:040CB80066C7861372 +:040CB900478145ED3D +:040CBA0000065503D8 +:040CBB0002E50263E9 +:040CBC000609078599 +:040CBD00FEB79AE301 +:040CBE0005136509AC +:040CBF00C63A7105BB +:040CC000DFFFC0EFA3 +:040CC10087BA473275 +:040CC20006936741ED +:040CC300B7C9FFF7B7 +:040CC40087634625D7 +:040CC500461100C70D +:040CC6004625E0914E +:040CC70004C7DE631D +:040CC800078547292C +:040CC90002E7E7B3A4 +:040CCA0002E483338A +:040CCB00979A67612C +:040CCC0054F70B23AB +:040CCD00F5FFE0EF60 +:040CCE0066B7C91D1F +:040CCF008693000107 +:040CD00047A26B4686 +:040CD1000001763771 +:040CD200AB860613D4 +:040CD300851345C57B +:040CD40020EF59872D +:040CD500D0EF53C049 +:040CD600A537E83F17 +:040CD70005130007FA +:040CD800C0EF120552 +:040CD9004792D9DF86 +:040CDA004507A70320 +:040CDB0066B7B5350E +:040CDC0086930001FA +:040CDD00B7F16AC63B +:040CDE00966346696A +:040CDF00C49300C7F3 +:040CE00087BA0014BB +:040CE1004631B5E5FE +:040CE200F6C798E3D6 +:040CE30067E1BFE91D +:040CE4006BB7C783A0 +:040CE500BE0790E3D3 +:040CE600BCD587A250 +:040CE700BCFD47A960 +:040CE800DBC1011358 +:040CE9002023182884 +:040CEA002E23241180 +:040CEB002C23228113 +:040CEC00D0EF229192 +:040CED00C22AD87FC0 +:040CEE00356020EF5E +:040CEF00440D4792D7 +:040CF0004611EBC5F9 +:040CF1000828182C8B +:040CF200560020EF99 +:040CF300000175B7D0 +:040CF400859346118D +:040CF500082897052F +:040CF600524020EF59 +:040CF7000640079319 +:040CF8005783E941F4 +:040CF900059303C19B +:040CFA00462103E1AB +:040CFB0001E10513FB +:040CFC0000F11E23C2 +:040CFD00534020EF51 +:040CFE0004615783B3 +:040CFF00020102A349 +:040D000000F11A23C1 +:040D0100048157838F +:040D020000F11B23BE +:040D030000EF455266 +:040D0400578375306C +:040D0500D42A04A147 +:040D060000F11A23BB +:040D070004C1578349 +:040D080000F11B23B8 +:040D090000EF455260 +:040D0A00578373B0E8 +:040D0B00D62A04E1FF +:040D0C0000F11A23B5 +:040D0D000501578302 +:040D0E0000F11B23B2 +:040D0F0000EF45525A +:040D1000D82A72303B +:040D11002341250352 +:040D120000EFCA2AFA +:040D130055A2717004 +:040D14000793DA2A3D +:040D150087131E2002 +:040D1600E063FE6533 +:040D1700460514E792 +:040D180000EF1828A8 +:040D190057D272300B +:040D1A0006F50B636C +:040D1B0006600793D4 +:040D1C0020EFC23EC4 +:040D1D00479229C010 +:040D1E008963470599 +:040D1F0047632AE715 +:040D2000071326F798 +:040D21008863F350A0 +:040D220044632AE715 +:040D2300071324F797 +:040D24008763F340AE +:040D250075B72AE78D +:040D260085930001B0 +:040D2700C23E8BC578 +:040D2800851367E1E7 +:040D2900464559875B +:040D2A00480020EF6E +:040D2B00D2DFD0EF54 +:040D2C00000F453738 +:040D2D002405051381 +:040D2E00C47FC0EFCF +:040D2F00DA634792AA +:040D300058632A07D3 +:040D310076372A8067 +:040D320065610001F6 +:040D33009DC6061340 +:040D3400051345C599 +:040D350020EF560550 +:040D3600147D3B806D +:040D37006461A2B998 +:040D38000001763709 +:040D39009786061380 +:040D3A00051345C593 +:040D3B0020EF56044B +:040D3C0056B23A0071 +:040D3D00763767E1BD +:040D3E008513000118 +:040D3F0006135987B7 +:040D400045C5988687 +:040D410038A020EFC7 +:040D4200CD1FD0EF02 +:040D430054B257C28D +:040D4400C23E430167 +:040D4500C42245017E +:040D46000893646347 +:040D470092634792DA +:040D480047831AA71C +:040D4900468301E1FB +:040D4A00470301C199 +:040D4B00CFD501D12E +:040D4C00000177B774 +:040D4D008887879379 +:040D4E000613452221 +:040D4F00C03201E1CC +:040D500000017637F1 +:040D510099460613A6 +:040D5200051345C57B +:040D530020EF560532 +:040D540067E134001F +:040D5500000175B76D +:040D560085934645F6 +:040D570085139EC59D +:040D580020EF5987A8 +:040D5900644125408C +:040D5A00C71FD0EFF0 +:040D5B0014B7147D38 +:040D5C00A783000267 +:040D5D0067610D04B9 +:040D5E0066C756838B +:040D5F008B638FE132 +:040D6000071306F679 +:040D6100570366C707 +:040D62000063002703 +:040D6300650914F713 +:040D640071050513FD +:040D6500B6BFC0EF66 +:040D66000793BFD957 +:040D6700BDC90650AC +:040D6800406482B3AE +:040D690020000793CC +:040D6A000057F463D7 +:040D6B0020000293CF +:040D6C00041367E124 +:040D6D0097132003B5 +:040D6E0085130102E6 +:040D6F0083415487E1 +:040D7000561346814F +:040D7100182C0094A6 +:040D7200C616C81ABF +:040D7300054020EF28 +:040D7400166387AAD1 +:040D750043420E05E2 +:040D7600182842B245 +:040D7700001336131C +:040D780000EF85966D +:040D790083225A3047 +:040D7A0077B7BF0583 +:040D7B008793000159 +:040D7C00B799AC0770 +:040D7D002E6010EFE5 +:040D7E0057836761CF +:040D7F006509588723 +:040D800071050513E1 +:040D81000027E793CD +:040D820058F71423E7 +:040D83000EF4A023A7 +:040D8400AEFFC0EF0F +:040D8500000175B73D +:040D86004645656118 +:040D87009A058593B1 +:040D880056050513F4 +:040D8900304020EFE7 +:040D8A0067E1440DCC +:040D8B00000174B738 +:040D8C0059878513EB +:040D8D0085934645BF +:040D8E0020EF9AC4F4 +:040D8F00D0EF2EE093 +:040D90005632B9BF5F +:040D9100458118344C +:040D9200D0EF450554 +:040D930087AAB1DF9B +:040D9400E20510E381 +:040D950075B7676166 +:040D96000513000140 +:040D97004645560770 +:040D98009BC58593DF +:040D99002C4020EFDB +:040D9A009AC48593DF +:040D9B00464564E184 +:040D9C0059848513DE +:040D9D002B4020EFD8 +:040D9E00B61FD0EFBD +:040D9F0055B25642B1 +:040DA00045011834BD +:040DA100A51FD0EFCB +:040DA20013E387AA26 +:040DA30020EFDE055A +:040DA400676108007B +:040DA500000175B71D +:040DA60085934645A6 +:040DA7000513A0058B +:040DA80020EF5607DB +:040DA90075B71140C9 +:040DAA0046450001B9 +:040DAB009CC58593CB +:040DAC0059848513CE +:040DAD00274020EFCC +:040DAE00B21FD0EFB1 +:040DAF00440DA0014E +:040DB000440DBB45EE +:040DB100067007932E +:040DB200440DB365D4 +:040DB300068007931C +:040DB4000713B34529 +:040DB5008A63F360FA +:040DB600071306E732 +:040DB7009CE3F37056 +:040DB80075B7DAE74A +:040DB900859300011D +:040DBA00BB5591C5CF +:040DBB0006600713B4 +:040DBC0006E7826361 +:040DBD0000F74F6389 +:040DBE0006400713D1 +:040DBF0006E781635F +:040DC00006500713BF +:040DC100D8E799E3F3 +:040DC200000175B700 +:040DC3008D45859342 +:040DC4000713B379E5 +:040DC50089630670C8 +:040DC600071304E724 +:040DC7009CE3068023 +:040DC80075B7D6E73E +:040DC900859300010D +:040DCA00BB959085C0 +:040DCB00000175B7F7 +:040DCC008C4585933A +:040DCD0075B7B3AD96 +:040DCE008593000108 +:040DCF00B38593C590 +:040DD000000175B7F2 +:040DD10094C58593AD +:040DD20075B7BB999D +:040DD3008593000103 +:040DD400B3B192C560 +:040DD500000175B7ED +:040DD6008E4585932E +:040DD70075B7B389B0 +:040DD80085930001FE +:040DD900BB2596059B +:040DDA00000175B7E8 +:040DDB008F45859328 +:040DDC002083B33D80 +:040DDD0024032401C6 +:040DDE00248323C186 +:040DDF00557D23819A +:040DE0002441011396 +:040DE10067E18082C4 +:040DE2006BA7C70331 +:040DE30067E146F18D +:040DE4006CE7822313 +:040DE50002D70733F7 +:040DE600879367E1A7 +:040DE70097BA6DC783 +:040DE80000E7D683C7 +:040DE900112367610A +:040DEA004B986CD7DF +:040DEB008B7D66E1B5 +:040DEC006CE69423FA +:040DED000147C68371 +:040DEE001523676101 +:040DEF00C6836CD774 +:040DF000676101270F +:040DF1006AD71B237F +:040DF20066E14798D7 +:040DF3009023835571 +:040DF400C6836CE660 +:040DF50067610157DA +:040DF6006AD71E2377 +:040DF7000137C68377 +:040DF80013236761F9 +:040DF900D6836CD75A +:040DFA00676100C766 +:040DFB006AD71F2371 +:040DFC000167C703C1 +:040DFD009C2367E1EB +:040DFE0080826AE79E +:040DFF00C58367E160 +:040E000067E16C47F3 +:040E01006C27D68301 +:040E0200D28367E14F +:040E030067E16C87B0 +:040E04006CA7D7837D +:040E0500CA261111D7 +:040E060067E1C03EA2 +:040E07006B67C783CB +:040E08006761CC2230 +:040E090067E1C23E9D +:040E0A006C07D78317 +:040E0B006DC7071395 +:040E0C0067E1C43E98 +:040E0D006BC7D78355 +:040E0E0067E1C63E94 +:040E0F006C67C783C2 +:040E100067E1C83E90 +:040E11006BE7D48334 +:040E1200D30367E1BE +:040E130067E16B87A1 +:040E14005AC787939F +:040E150000B7C60359 +:040E16008603C2414C +:040E17001D6300A7B0 +:040E180047F106B6E2 +:040E190002F607B323 +:040E1A00D38397BA2D +:040E1B00916300E7F8 +:040E1C00A38306D3D3 +:040E1D00F4130107C2 +:040E1E00F3930FF249 +:040E1F00996301F3DF +:040E2000C383048301 +:040E2100440201473F +:040E2200048394634E +:040E23000127C3835D +:040E24009F63441272 +:040E2500A38302831E +:040E260044220087DB +:040E27000153D3930D +:040E28000283986346 +:040E29000157C38327 +:040E2A009363443258 +:040E2B00C3830283F8 +:040E2C004442013704 +:040E2D0000839E633D +:040E2E0000C7D7839F +:040E2F0000979A632B +:040E3000063347F14D +:040E3100963A02F6F5 +:040E3200016647838B +:040E3300006786636B +:040E3400460567E127 +:040E350062C78123EC +:040E360087B347F146 +:040E3700F29302F53B +:040E380097BA01F272 +:040E39000107D603D4 +:040E3A0000D7972323 +:040E3B0062B39A0103 +:040E3C0098230056A1 +:040E3D004602005712 +:040E3E0000C78A233C +:040E3F0089234612AB +:040E4000462200C77F +:040E410000561293B2 +:040E420000A7D6032C +:040E430066338A7D0B +:040E4400952300569C +:040E4500463200C76A +:040E460000C78AA3B4 +:040E47009623464266 +:040E48008B23009761 +:040E490089A3006712 +:040E4A00666100C716 +:040E4B006B86061399 +:040E4C00166367E1E1 +:040E4D00069302C541 +:040E4E0003334650D4 +:040E4F00069302D331 +:040E500076370640AB +:040E51000613000183 +:040E520046B3450658 +:040E5300446202D320 +:040E540045C544D27A +:040E55005987851321 +:040E5600106F0171A7 +:040E5700666173500D +:040E58006C260613EB +:040E590000C5076366 +:040E5A0006136661B4 +:040E5B0017636C8627 +:040E5C00467102C514 +:040E5D0002C585B392 +:040E5E00446246158F +:040E5F00851344D2E1 +:040E6000972E5987E9 +:040E610045C54B1820 +:040E620007338B7D4A +:040E6300763702C715 +:040E64000613000170 +:040E6500017145864C +:040E66006F70106F2A +:040E670000017637D9 +:040E680000055683A8 +:040E69004406061322 +:040E6A000693B75DD7 +:040E6B0006B3271093 +:040E6C00079302D511 +:040E6D00763706408E +:040E6E0065610001B9 +:040E6F00ABC60613F5 +:040E7000051345C55C +:040E7100C6B3598526 +:040E7200106F02F605 +:040E7300F06F6C5060 +:040E740086AAFDDF6E +:040E750000017637CB +:040E76000613656199 +:040E770045C543C664 +:040E78005985051380 +:040E79006AB0106FDC +:040E7A00763786AA97 +:040E7B0065610001AC +:040E7C00A68606132D +:040E7D00051345C54F +:040E7E00106F598513 +:040E7F0046B76950B9 +:040E80008693000F46 +:040E8100053324060B +:040E8200678902D5A5 +:040E83009647879374 +:040E84003E80071392 +:040E8500006336B719 +:040E8600EA0686935F +:040E870000017637B9 +:040E8800A5C60613E2 +:040E890057B345C551 +:040E8A00F7B302F5C3 +:040E8B00472902E70A +:040E8C0002D556B382 +:040E8D000513656183 +:040E8E00D733598578 +:040E8F00106F02E7F7 +:040E90000693651050 +:040E910006B34670EE +:040E9200079302D5EB +:040E93007637064068 +:040E94006561000193 +:040E950043460613B7 +:040E9600051345C536 +:040E9700C6B3598500 +:040E9800106F02F6DF +:040E9900069362D08A +:040E9A000505271013 +:040E9B0002D5053344 +:040E9C000640069373 +:040E9D0000017637A3 +:040E9E00ABC60613C6 +:040E9F0046B345C54C +:040EA000656102D5B1 +:040EA1005985051357 +:040EA2006070106FFD +:040EA300763786AA6E +:040EA4006561000183 +:040EA500A946061341 +:040EA600051345C526 +:040EA700106F5985EA +:040EA80016935F102E +:040EA90086E1018558 +:040EAA000001763796 +:040EAB0016D1656196 +:040EAC00A54606133E +:040EAD00051345C51F +:040EAE00106F5985E3 +:040EAF0045F15D505C +:040EB00002B505334F +:040EB100859365E1DF +:040EB20046456DC57F +:040EB300656195AA36 +:040EB4005985051344 +:040EB5006550106F05 +:040EB6000025179369 +:040EB7000513655D5D +:040EB800953EA88536 +:040EB9006561410C22 +:040EBA000513464591 +:040EBB00106F5985D6 +:040EBC0047A963B02F +:040EBD0000F50F63CA +:040EBE000025179361 +:040EBF000513656151 +:040EC000953EAB05AB +:040EC1006561410C1A +:040EC2000513464589 +:040EC300106F5985CE +:040EC40075B761B0ED +:040EC5008593000110 +:040EC600B7F5A74590 +:040EC700458511410B +:040EC800C02AC60670 +:040EC9006761298DA7 +:040ECA006CC7478327 +:040ECB000713468241 +:040ECC00E7896CC77F +:040ECD000001773772 +:040ECE00AAC7071395 +:040ECF00763740B280 +:040ED0006561000157 +:040ED100AB46061313 +:040ED200051345C5FA +:040ED30001415985FB +:040ED40053F0106F58 +:040ED500A70367E127 +:040ED60067E16AC79F +:040ED70066C78793D0 +:040ED800464546AD98 +:040ED9000167D58355 +:040EDA0006E5926334 +:040EDB000FF6F69385 +:040EDC000463E119B1 +:040EDD0011312A079E +:040EDE0064E1C426E1 +:040EDF005254C28324 +:040EE0006461C62261 +:040EE10031440793FE +:040EE20000329593B2 +:040EE300C80697AEF8 +:040EE4000007A3035D +:040EE5000047C78378 +:040EE60085134651D9 +:040EE7008733FF56F8 +:040EE800260302C714 +:040EE900751300433A +:040EEA0043950FF528 +:040EEB005254849346 +:040EEC003144041376 +:040EED004703963AE7 +:040EEE00E86300466F +:040EEF0063DD02A31A +:040EF0008393050AD9 +:040EF100951EA14366 +:040EF200850241082C +:040EF30007890685E0 +:040EF400F8C69AE3BF +:040EF500BF6946810A +:040EF6004783E399B2 +:040EF70017FD0003E0 +:040EF8000FF7F79366 +:040EF900822395A219 +:040EFA00470100F5B7 +:040EFB00A8914681F3 +:040EFC0000034703A5 +:040EFD0095A207852E +:040EFE0002E7E7B36D +:040EFF008763B7ED61 +:040F000087930002D1 +:040F01008023FFF258 +:040F0200B7C500F47B +:040F03008DA367E172 +:040F040067E16A0730 +:040F05004507A703F2 +:040F06000007478316 +:040F070000239BED3B +:040F0800443200F778 +:040F090044A240C2FC +:040F0A00D06F015152 +:040F0B00478DDF4FE0 +:040F0C0004F70E6375 +:040F0D001AE347910B +:040F0E00461CFAF78C +:040F0F00872A978214 +:040F1000C7834685C8 +:040F11006561000412 +:040F1200078E4645BB +:040F13004783943E3E +:040F14004004004451 +:040F1500843344518C +:040F160040DC028732 +:040F17005605051363 +:040F1800C036C23AE3 +:040F1900438C97A2CC +:040F1A004C1010EF78 +:040F1B00459140DCE0 +:040F1C00C60397A2CF +:040F1D00E363004743 +:040F1E0065DD14C5B4 +:040F1F008593060AA6 +:040F2000962EA2C5A2 +:040F210046824210B2 +:040F220086024712EA +:040F2300C3914A1C10 +:040F2400C783978266 +:040F25004351000430 +:040F26000017859398 +:040F27000733078EF7 +:040F2800431400F47A +:040F29000047470333 +:040F2A00073342D077 +:040F2B009693026730 +:040F2C000533003554 +:040F2D00230300D4C6 +:040F2E0097320005F1 +:040F2F0004634718F8 +:040F3000022300E3B5 +:040F310097A200057E +:040F32000047C7832A +:040F330096A24751EA +:040F340002E787B396 +:040F350000B4802361 +:040F3600479C97B28B +:040F3700B731C29C70 +:040F3800E4E3479116 +:040F390067DDF0E799 +:040F3A00A4078793EE +:040F3B00973E070ACC +:040F3C008782431C49 +:040F3D00433D460CDE +:040F3E0000C64503A1 +:040F3F0000D647038E +:040F40000005C7835E +:040F410000E646037D +:040F420000669D6345 +:040F430000F77863D8 +:040F4400F61317FD8C +:040F450080230FF7FF +:040F4600BDC100C564 +:040F4700863AFD6D7C +:040F4800F863BFDDAE +:040F4900078500C751 +:040F4A000FF7F71393 +:040F4B0000E580231A +:040F4C00FD6DBD6D0D +:040F4D00BFDD87324B +:040F4E005583460879 +:040F4F00570300C67E +:040F5000463D00E634 +:040F510000055783BD +:040F520000C69E63D4 +:040F530000F5F7634B +:040F5400971317FDDB +:040F550083410107CC +:040F5600C191A0198C +:040F57001023872EAE +:040F5800B56100E59A +:040F590000E7F46356 +:040F5A00B7E507856B +:040F5B004701F9ED64 +:040F5C004650B7FD47 +:040F5D00421845BD34 +:040F5E0000074783BE +:040F5F0000B69963DC +:040F600017FDC78131 +:040F61000FF7F793FC +:040F620000F7002371 +:040F63004683BDB94B +:040F6400F5630046EB +:040F6500078500D725 +:040F66000FF7F693F8 +:040F670000D700238C +:040F68004798B5A948 +:040F690065614B9CD7 +:040F6A000007470332 +:040F6B0005134645DF +:040F6C00070A598592 +:040F6D00438C97BA60 +:040F6E00371010EF39 +:040F6F0040C2443206 +:040F7000015144A245 +:040F7100C14FD06F2D +:040F72004B9C4798B5 +:040F7300000745032B +:040F7400B7ED9782BC +:040F750047884B98C6 +:040F7600B7CD97025A +:040F77008C2367E17F +:040F7800BFE958076E +:040F7900C305C69551 +:040F7A00000166B755 +:040F7B006B468693A8 +:040F7C0000017637C3 +:040F7D000613656191 +:040F7E0045C5AB8634 +:040F7F005985051378 +:040F800028F010EF56 +:040F810066B7BF652B +:040F82008693000151 +:040F8300B7CD6AC6B6 +:040F8400D7E947DC86 +:040F8500479C4398AA +:040F86008082BF5551 +:040F8700EA6347BD15 +:040F880057B716A79A +:040F890001134452BA +:040F8A008793EF4119 +:040F8B00C03E3557D8 +:040F8C00004157B712 +:040F8D0044178793EB +:040F8E003793C23E95 +:040F8F00052300F541 +:040F9000679500F170 +:040F910050078793EB +:040F92001081222385 +:040F930010112423F2 +:040F9400010504133C +:040F95001091202374 +:040F960014234739A0 +:040F9700042200F13F +:040F98000AA77E63C3 +:040F9900079365E174 +:040F9A00462904A040 +:040F9B0058C585931D +:040F9C0000D1051368 +:040F9D0000F105A3B7 +:040F9E000001062325 +:040F9F0013B010EF8C +:040FA000C78367E1BB +:040FA10065E155D7DA +:040FA20003600613CF +:040FA30000F10C232A +:040FA400C78367E1B7 +:040FA5008593555784 +:040FA600051366C504 +:040FA7000CA3021184 +:040FA80067E100F10C +:040FA9005AA7C783F9 +:040FAA0000F10BA3A4 +:040FAB00C78367E1B0 +:040FAC000D23596751 +:040FAD0067E100F107 +:040FAE006247C7834C +:040FAF0000F10DA39D +:040FB000C78367E1AB +:040FB1000E2358A70C +:040FB20067E100F102 +:040FB30044F7C783B5 +:040FB40000F10EA397 +:040FB500C78367E1A6 +:040FB6000F2355C7E9 +:040FB70067E100F1FD +:040FB8004547C7835F +:040FB90000F10FA391 +:040FBA00C78367E1A1 +:040FBB000023586750 +:040FBC0010EF02F13F +:040FBD0086220C502C +:040FBE000570059322 +:040FBF00D0EF850AE0 +:040FC000353393EF43 +:040FC100053300A054 +:040FC200208340A0A8 +:040FC3002403108172 +:040FC4002483104131 +:040FC5000113100103 +:040FC600808210C154 +:040FC700040C07B758 +:040FC80004278793E0 +:040FC900CC3E64E1D5 +:040FCA006CC4C783A9 +:040FCB007637EB91F9 +:040FCC000613000107 +:040FCD0045B54A8656 +:040FCE006CC4851357 +:040FCF00153010EFDA +:040FD000859346358A +:040FD10005136CC4D4 +:040FD20010EF00B16B +:040FD30065E11DF0C7 +:040FD40004200613DC +:040FD5006285859319 +:040FD60010EF0868A8 +:040FD70065E105D0FB +:040FD8000A200613D2 +:040FD9006DC58593CA +:040FDA0005E1051315 +:040FDB0004B010EF5F +:040FDC0005938622D1 +:040FDD00850A100071 +:040FDE008C4FD0EF75 +:040FDF00061365612F +:040FE0000593001461 +:040FE100051336A01E +:040FE200D0EF77E5F0 +:040FE3004501906FC5 +:040FE400557DBFADCB +:040FE50067E18082BE +:040FE6006C078623EB +:040FE700F56347BDAA +:040FE80057FD00A70A +:040FE9008082853E3F +:040FEA00EE81011380 +:040FEB000105079362 +:040FEC009513C22E69 +:040FED000070010788 +:040FEE001000059357 +:040FEF002A23C03EB3 +:040FF0002823101191 +:040FF1002623108122 +:040FF200D0EF10919B +:040FF30057FD854FD2 +:040FF40075B7E10DDF +:040FF50085930001DF +:040FF60000684A0540 +:040FF700EC2FC0EF2C +:040FF8001D6387AA44 +:040FF90047031C0589 +:040FFA00CF110161B1 +:040FFB000E634685B6 +:040FFC0020830ED769 +:040FFD002403114177 +:040FFE002483110136 +:040FFF00853E10C15A +:041000001181011346 +:04100100470380829F +:041002001B6301412A +:0410030046831A07FF +:04100400071301517C +:04100500956305509A +:0410060047031AE69C +:04100700468301819A +:041008000722017149 +:0410090006938F5566 +:04100A0014E304A047 +:04100B004712FCD7B5 +:04100C0066E1F3693D +:04100D00478100789F +:04100E008693453947 +:04100F0045A958C6D1 +:0410100000D74603BC +:0410110000C566634D +:0410120000D783334D +:0410130000C30023F3 +:041014000705078540 +:04101500FEB796E3A9 +:0410160002514783B9 +:041017000AA3676160 +:04101800472554F71D +:0410190000F7666313 +:04101A000EA3676159 +:04101B00A02954F7BD +:04101C0002414783C3 +:04101D00FEF77AE37D +:04101E000271470311 +:04101F00656167E1BF +:0410200062E78223DE +:0410210002814703FE +:04102200061367E169 +:0410230085230360BE +:04102400470358E73F +:0410250067E10291EC +:0410260002D105935B +:0410270044E787A370 +:0410280002A14703D7 +:04102900051367E163 +:04102A008E2366C5E6 +:04102B00470354E73C +:04102C0067E102B1C5 +:04102D0044E78A23E7 +:04102E0002C14703B1 +:04102F00832367E1CF +:04103000470358E733 +:0410310067E1023140 +:041032005AE78523D1 +:04103300C70367E1A7 +:0410340067E158C751 +:041035005AE784A34F +:041036000261470309 +:041037008B2367E1BF +:0410380010EF58E776 +:0410390047816D403E +:04103A004483B7290B +:04103B00E8E901419E +:04103C000151468395 +:04103D000550071340 +:04103E000CE69463C5 +:04103F000241568391 +:04104000042007136E +:04104100EEE697E35D +:0410420002615403F0 +:0410430040C007138F +:04104400EEE411E3E2 +:04104500C42A67E171 +:041046008513463593 +:0410470005936CC7DA +:0410480010EF017133 +:0410490047120070DA +:04104A0014E347A2C2 +:04104B006561EC07E8 +:04104C000420061363 +:04104D000513102C4B +:04104E0010EF6285B8 +:04104F00478267C0AD +:04105000470166E10D +:04105100C03E07A2F4 +:041052006DC686934E +:0410530005E007931A +:04105400100006136F +:0410550000D7053388 +:041056008E1DC43AED +:04105700C23E00781D +:0410580000F705B3E5 +:0410590004C4486320 +:04105A0064E010EF4F +:04105B00472247924F +:04105C00943E048535 +:04105D0047828F1D1A +:04105E00100707135D +:04105F000FF4F49303 +:0410600000F48533E0 +:04106100834107427E +:04106200F00404137F +:041063000593007081 +:041064000522100051 +:041065000442C23A45 +:04106600E87FC0EF70 +:04106700804166E17D +:041068004712478163 +:041069006DC6869337 +:04106A0067E1F44501 +:04106B008123470591 +:04106C00BF1562E763 +:04106D0010EF8622D8 +:04106E00BFC560009A +:04106F00BD154785DF +:04107000BD054789EA +:04107100DD81011309 +:0410720022230868C5 +:041073002023221103 +:041074002E23228184 +:04107500C0EF209117 +:04107600C02AF63F57 +:04107700532010EF03 +:041078009A634782AE +:041079006461160791 +:04107A00000175B745 +:04107B0085934645CE +:04107C00051347858C +:04107D0064E15604D0 +:04107E005BE010EF34 +:04107F0058048C2362 +:04108000FD9FC0EF21 +:0410810064C1C6265A +:0410820014FDC42273 +:04108300000217B799 +:041084000D07A7832A +:0410850056836761C6 +:041086008FE566C7C5 +:0410870000F68E637E +:0410880066C707131D +:0410890000275703E2 +:04108A0012F70763EF +:04108B0005136509DB +:04108C00B0EF71054B +:04108D00BFD9ECDFFC +:04108E0075B747A249 +:04108F0046450001D1 +:0410900048C5859337 +:041091005607851366 +:0410920056E010EF25 +:0410930075B747B234 +:0410940046450001CC +:041095009AC58593E0 +:0410960059878513DE +:041097006CC010EF2A +:04109800F79FC0EF0F +:041099004401448149 +:04109A000104171323 +:04109B002007071310 +:04109C00409757938F +:04109D00863EC23E8B +:04109E00472D67E192 +:04109F00080C468172 +:0410A00054878513D9 +:0410A10039C010EF53 +:0410A20075B7E579C0 +:0410A3008593000130 +:0410A40008084A05E9 +:0410A500C0AFC0EF29 +:0410A6004703ED1DF2 +:0410A700EF050181CF +:0410A80001914683E9 +:0410A90005500713D4 +:0410AA0002E6976360 +:0410AB0001A14683D6 +:0410AC00626347052F +:0410AD00660502D7FB +:0410AE000084159312 +:0410AF00061395B2DD +:0410B000846346A06F +:0410B100061300E63C +:0410B200451205706E +:0410B300C0EF08740E +:0410B400E151E99F7E +:0410B50004050485A5 +:0410B60017E34741B4 +:0410B70010EFF8E45A +:0410B800458143002B +:0410B900F0EF453DD2 +:0410BA0067E1CB1F00 +:0410BB0055D7C783BB +:0410BC00071367614E +:0410BD0097BA58C7BF +:0410BE000007C5035F +:0410BF0067E145811F +:0410C0005AA784A304 +:0410C100C93FF0EF44 +:0410C200763747A294 +:0410C30086A60001FC +:0410C40046060613C3 +:0410C500851345C585 +:0410C60010EF5607CA +:0410C70047B2574095 +:0410C800000175B7F7 +:0410C9008593464580 +:0410CA00851346C57F +:0410CB0010EF598742 +:0410CC00C0EF5FA072 +:0410CD004537EA7F3A +:0410CE000513000FF7 +:0410CF00B0EF240555 +:0410D0002083DC1F7E +:0410D1002403224191 +:0410D20045022201B0 +:0410D30021C1248390 +:0410D4002281011361 +:0410D50010EF808216 +:0410D60057FD3B8007 +:0410D700B7D5C03E8B +:0410D8000185571324 +:0410D90001851793E3 +:0410DA0006B78FD9ED +:0410DB00171300FFE8 +:0410DC008F75008587 +:0410DD0067418FD9FF +:0410DE00F0070713FD +:0410DF008D79812165 +:0410E00080828D5D20 +:0410E10017B7C6096E +:0410E200A023000245 +:0410E30047010007BA +:0410E400FFC5F693BB +:0410E50000021637B8 +:0410E60000E507B367 +:0410E70002D7646365 +:0410E800470D898D9A +:0410E90002E5946325 +:0410EA000007D683A2 +:0410EB0000021737B1 +:0410EC0000D71223F4 +:0410ED000027C7838E +:0410EE0000F70223E2 +:0410EF00000217B72D +:0410F00080824B8827 +:0410F1000711439C04 +:0410F200B7F9C25C2C +:0410F30099634709AD +:0410F400D70300E539 +:0410F50017B7000722 +:0410F600922300023F +:0410F700BFF900E756 +:0410F8009DE3470528 +:0410F900C703FCE548 +:0410FA0017B700071D +:0410FB00822300024A +:0410FC00B7E900E769 +:0410FD00C2221151A9 +:0410FE0000021437A1 +:0410FF004601C026C0 +:04110000059384AA25 +:04110100051304A02E +:04110200C406040417 +:04110300990FC0EF91 +:04110400460185A675 +:0411050004040513C6 +:041106009BEFC0EFAC +:0411070004A00593A8 +:0411080004040513C3 +:04110900C0EF4605E8 +:04110A000513976FC3 +:04110B004412040482 +:04110C00448240A237 +:04110D0001314585E2 +:04110E00984FC06FC7 +:04110F00C4221141A4 +:04111000000214378E +:04111100C02AC22608 +:04111200460184AE60 +:0411130004A005939C +:0411140004040513B7 +:04111500C0EFC6065B +:041116004782946F09 +:041117000513460175 +:0411180085BE040488 +:04111900972FC0EF5D +:04111A0004040513B1 +:04111B0040B2442278 +:04111C00449285A6CE +:04111D000141460541 +:04111E0095EFC06F1A +:04111F00C222115186 +:04112000143344053B +:04112100741300A49F +:0411220085A20FF49F +:04112300C4064519A0 +:04112400FADFF0EF0F +:04112500441285A249 +:04112600451D40A281 +:04112700F06F013133 +:041128001151F9FF69 +:04112900C2220506D3 +:04112A000FF5741336 +:04112B00450585A24F +:04112C00F0EFC40616 +:04112D0085A2F8BFE0 +:04112E0040A2441285 +:04112F00013145093C +:04113000F7DFF06F86 +:0411310045151151FE +:04113200F0EFC40610 +:041133000713F2BFED +:041134004781086087 +:0411350004E5136357 +:041136000900059314 +:04113700020005139A +:04113800F5DFF0EF00 +:0411390005134581D4 +:04113A00F0EF0210C0 +:04113B004581F53FB6 +:04113C000220051375 +:04113D00F49FF0EF3C +:04113E000513458DC3 +:04113F00F0EF02309B +:041140004581F3FFF3 +:041141000280051310 +:04114200F35FF0EF78 +:04114300030005930D +:041144000710051378 +:04114500F29FF0EF36 +:0411460040A24785F7 +:041147000131853EAF +:04114800114180824F +:0411490000A101A35D +:04114A000002153753 +:04114B004605C4226F +:04114C000513842ED5 +:04114D0005930405FD +:04114E00C6060031A0 +:04114F008E4FC0EF10 +:04115000B0EF852255 +:0411510040B2BBDF0E +:0411520001414422F1 +:041153001151808234 +:041154006461C222EE +:0411550058845783E0 +:04115600C026C406E5 +:04115700F9F7F7931A +:0411580083C107C286 +:0411590058F414230F +:04115A00000214B7C4 +:04115B000EF4A023CB +:04115C00B0EF45515A +:04115D0045D1B8DFE1 +:04115E0003800513F2 +:04115F00FA7FF0EF34 +:04116000051345D15D +:04116100F0EF039018 +:0411620045D1F9DF9B +:04116300F0EF455113 +:0411640045D1F95F19 +:041165000710051357 +:04116600F8BFF0EFEF +:04116700051345D156 +:04116800F0EF05E0BF +:0411690045D1F81F55 +:04116A0006D0051393 +:04116B00F77FF0EF2B +:04116C00453145D1F3 +:04116D00F6FFF0EFAA +:04116E0032000593B3 +:04116F00F0EF450553 +:0411700045D1F65F10 +:04117100F0EF45193D +:041172000593F5DF0D +:0411730045093200F8 +:04117400F53FF0EF64 +:0411750058845783C0 +:04117600E79340A219 +:041177001423040732 +:04117800441258F4D1 +:041179000EF4A023AD +:04117A000131448279 +:04117B00112180823C +:04117C006461C822C0 +:04117D0058845783B8 +:04117E00C626CA06B1 +:04117F00F9F7F793F2 :0411800083C107C25E -:041181004CF41C23EB -:041182000EF4A023A4 -:041183000C00051344 -:04118400E91FF0EF80 -:041185004D845783BB -:0411860045C1450218 -:041187000207E793E1 -:041188004CF41C23E4 -:041189000EF4A0239D -:04118A002A2010EF18 -:04118B000FF5779352 -:04118C004502EB919C -:04118D00000185B721 -:04118E0085934645BA -:04118F0010EF88C510 -:0411900047852680E9 -:04119100C23E448195 -:0411920045D147827A -:041193000097873307 -:041194000007450308 -:04119500F0EF0485EE -:041196004792E4BFD9 -:041197000FF4F71347 -:04119800FEF763E318 -:041199004D845783A7 -:04119A00173740D2F1 -:04119B00E7930002D4 -:04119C001C23040705 -:04119D0044424CF488 -:04119E000EF7202305 -:04119F00016144B2F4 -:0411A00011518082E7 -:0411A1001437C2221B -:0411A200C026000261 -:0411A30084AA4601D3 -:0411A40002C00593ED -:0411A5000404051326 -:0411A600B0EFC406DC -:0411A70085A6F03FEA -:0411A80005134605E0 -:0411A900B0EF04049B -:0411AA000593F31F97 -:0411AB00051302C066 -:0411AC0046050404EC -:0411AD00EE9FB0EF12 -:0411AE00040405131D -:0411AF0040A2441204 -:0411B00045854482AB -:0411B100B06F0131E9 -:0411B2001141EF7F79 -:0411B3001437C42207 -:0411B400C22600024D -:0411B50084AEC02A1A -:0411B6000593460156 -:0411B700051302C05A -:0411B800C60604045F -:0411B900EB9FB0EF09 -:0411BA004601478221 -:0411BB000404051310 -:0411BC00B0EF85BE4D -:0411BD000513EE5FC9 -:0411BE0044220404BF -:0411BF0085A640B20F -:0411C000460544920A -:0411C100B06F0141C9 -:0411C2001537ED1FD1 -:0411C30011510002C4 -:0411C400458146011A -:0411C5000405051305 -:0411C600B0EFC406BC -:0411C7004529EBDFEC -:0411C8009DFFB0EFE8 -:0411C900450545E1B2 -:0411CA00FA3FF0EF09 -:0411CB00450945E1AC -:0411CC00F9BFF0EF88 -:0411CD00450D45E1A6 -:0411CE00F93FF0EF06 -:0411CF00F0EF4505F3 -:0411D00040A2F45FE6 -:0411D100351315219C -:0411D20001310015D2 -:0411D30011518082B4 -:0411D400842AC22285 -:0411D500C406450502 -:0411D600F2BFF0EF85 -:0411D700040E991D4C -:0411D80074138C49B7 -:0411D90085A20FF4E8 -:0411DA00F0EF4505E8 -:0411DB0085A2F61FD4 -:0411DC00F0EF4509E2 -:0411DD0085A2F59F53 -:0411DE0040A24412D5 -:0411DF000131450D88 -:0411E000F4BFF06FF9 -:0411E100C2221151C4 -:0411E2004505842A11 -:0411E300F0EFC4065F -:0411E4004789EF5FE9 -:0411E5000D857513EC -:0411E60002F4136399 -:0411E7000015641378 -:0411E800450585A292 -:0411E900F27FF0EFB2 -:0411EA00450985A28C -:0411EB00F1FFF0EF31 -:0411EC00441285A282 -:0411ED00450D40A2CA -:0411EE00F06F01316C -:0411EF000416F11FD2 -:0411F00074138C499F -:0411F10064130FF480 -:0411F200BFD900441D -:0411F300C2221151B2 -:0411F40000021437AA -:0411F5004601C026C9 -:0411F600059384AA2F -:0411F700051305C017 -:0411F800C406040421 -:0411F900DB9FB0EFD9 -:0411FA000FF4F59366 -:0411FB00051346058D -:0411FC00B0EF040448 -:0411FD000593DE5F19 -:0411FE00051305C010 -:0411FF004605040499 -:04120000D9DFB0EF93 -:0412010004040513C9 -:0412020040A24412B0 -:041203004585448257 -:04120400B06F013195 -:041205001141DABFFA -:041206001437C422B3 -:04120700C2260002F9 -:0412080084AEC02AC6 -:041209000593460102 -:04120A00051305C003 -:04120B00C60604040B -:04120C00D6DFB0EF8A -:04120D0046014782CD -:04120E0004040513BC -:04120F000FF7F5934D -:04121000D97FB0EFE3 -:0412110004040513B9 -:0412120040B2442280 -:04121300449285A6D6 -:041214000141460549 -:04121500D83FB06F9F -:04121600051311515A -:04121700C4060220E7 -:04121800C026C22208 -:04121900F69FF0EF5D -:04121A000FF5741345 -:04121B00455945CD1F -:04121C00FA7FF0EF76 -:04121D008513648948 -:04121E00B0EF7104B8 -:04121F00458D885F12 -:04122000F0EF455D49 -:041221008513F95FD9 -:04122200B0EF7104B4 -:041223006593875FE9 -:0412240005130804A2 -:04122500F0EF0220C4 -:041226000513F81F95 -:04122700B0EF3E8066 -:041228007593861F15 -:04122900441207F470 -:04122A00448240A218 -:04122B000220051385 -:04122C00F06F01312D -:04122D001151F65F06 -:04122E006409C2226B -:04122F00710405132E -:04123000B0EFC40651 -:0412310045C583DF4D -:04123200F0EF45593B -:041233000513F4DFCC -:04123400B0EF7104A2 -:04123500455D82DFB2 -:04123600F0EF458907 -:041237000513F3DFC9 -:0412380044127104E7 -:04123900013140A29D -:04123A00817FB06F91 -:04123B00C222115169 -:04123C0085AA842ECD -:04123D00C406454955 -:04123E00F1FFF0EFDD -:04123F00441285A22E -:04124000454D40A236 -:04124100F06F013118 -:0412420085AAF11F69 -:0412430003D00513BC -:04124400F07FF06FD8 -:04124500454585AAEC -:04124600EFFFF06F57 -:04124700C406115177 -:041248004783C222F4 -:04124900842A00658E -:04124A009593456DC6 -:04124B008DDD0047EE -:04124C000FF5F59312 -:04124D00EE3FF0EF91 -:04124E000064458370 -:04124F00F0EF457106 -:041250004583ED9F46 -:0412510045290034F7 -:04125200ECFFF0EFCE -:04125300004445838B -:04125400F0EF45254D -:041255004583EC5F82 -:0412560045210054DA -:04125700EBBFF0EF0A -:0412580000044583C6 -:04125900F0EF453538 -:04125A004583EB1FBE -:04125B004531001405 -:04125C00EA7FF0EF46 -:04125D0000244583A1 -:04125E0040A2441254 -:04125F000131452DE7 -:04126000E97FF06FC3 -:04126100C422114151 -:041262004511842A84 -:04126300C032C226AD -:04126400C60684AE88 -:04126500E39FF0EF24 -:041266007593460234 -:04126700CE4D0F85D4 -:041268007FF0079379 -:041269000A87EA63A3 -:04126A00E5934511B2 -:04126B00F0EF00158B -:04126C001513E69FD1 -:04126D001413001442 -:04126E0080410105B5 -:04126F00004455934F -:041270000FF5F593EE -:04127100F0EF450550 -:041272001593E51FCC -:04127300F5930044AB -:0412740045090F0514 -:04127500E43FF0EF73 -:04127600019C073799 -:04127700CC07071386 -:04127800029757334F -:04127900022556B73D -:04127A000FF6869352 -:04127B00073347816D -:04127C00F063028792 -:04127D0026B702E6A8 -:04127E008693042C23 -:04127F004785D7F6D2 -:0412800000E6F96328 -:04128100080BF7B7A8 -:04128200FBF787935C -:0412830000E7B7B316 -:04128400676107890E -:0412850045C707133F -:041286004503973E47 -:041287000713000742 -:0412880005330280A8 -:04128900571302E510 -:04128A00953A00147D -:04128B000285453360 -:04128C007513471D72 -:04128D0075930FF551 -:04128E0073630FF582 -:04128F00459D00A7D2 -:0412900040B2442202 -:04129100058E4492F0 -:041292008DDD079A4D -:041293000F85F5933B -:041294000141450DC2 -:04129500DC3FF06FDB -:04129600F0EF45111F -:04129700BFB9DBDF21 -:04129800C22211510C -:041299004569842AF5 -:04129A00F0EFC406A7 -:04129B004785D63F6E -:04129C000FA5759392 -:04129D0000F41A63DC -:04129E000025E593AF -:04129F0040A2441213 -:0412A000013145696A -:0412A100D93FF06FD2 -:0412A20000A5E5932B -:0412A3005583BFC5EB -:0412A400115100A53F -:0412A50081A1C2223F -:0412A6000513842A7E -:0412A700C40604B0C5 -:0412A800D77FF0EF0D -:0412A90000A44583D5 -:0412AA0004A0051384 -:0412AB00D6BFF0EFCB -:0412AC0000C45583A2 -:0412AD0004D0051351 -:0412AE00F0EF81A13B -:0412AF004583D5DFBF -:0412B000051300C45E -:0412B100F0EF04C096 -:0412B2005583D51F6C -:0412B300051300E43B -:0412B40081A104F020 -:0412B500D43FF0EF43 -:0412B60000E4458388 -:0412B70004E0051337 -:0412B800D37FF0EF01 -:0412B9000044558315 -:0412BA000510051303 -:0412BB00F0EF81A12E -:0412BC004583D29FF5 -:0412BD0005130044D1 -:0412BE00F0EF050048 -:0412BF005583D1DFA3 -:0412C00005130064AE -:0412C10081A10530D2 -:0412C200D0FFF0EF7A -:0412C30000644583FB -:0412C40005200513E9 -:0412C500D03FF0EF37 -:0412C60000845583C8 -:0412C70005500513B6 -:0412C800F0EF81A121 -:0412C9004583CF5F2B -:0412CA000513008484 -:0412CB00F0EF0540FB -:0412CC005583CE9FD9 -:0412CD000513010400 -:0412CE0081A1057085 -:0412CF00CDBFF0EFB0 -:0412D000010445834D -:0412D100056005139C -:0412D200CCFFF0EF6E -:0412D300012455831A -:0412D4000590051369 +:041181001423C02E45 +:04118200173758F4CF +:041183002023000223 +:0411840084AA0EF734 +:04118500320005939C +:04118600F0EF45053C +:041187005783F09FFB +:041188001737588439 +:0411890045C100025A +:04118A000207E793DE +:04118B0058F41423DD +:04118C000EF7202317 +:04118D0010EF8526B4 +:04118E007713316042 +:04118F00EB110FF55C +:04119000000185B71E +:0411910085934645B7 +:041192008526938596 +:041193002DC010EF6C +:041194004781470543 +:0411950000F486B329 +:041196000006C50387 +:04119700C43A45D140 +:04119800F0EFC23E74 +:041199004792EC1F6E +:04119A00078547225C +:04119B000FF7F693C1 +:04119C00FEE6E2E3A6 +:04119D005884578398 +:04119E00000214B780 +:04119F00F79345D1AC +:0411A00007C2FDF78E +:0411A100142383C1CF +:0411A200A02358F43A +:0411A30005130EF42E +:0411A400F0EF0C005C +:0411A5005783E91F64 +:0411A6004502588422 +:0411A700E79345C1C4 +:0411A8001423020703 +:0411A900A02358F433 +:0411AA0010EF0EF440 +:0411AB0077932A20EC +:0411AC00EB910FF5BF +:0411AD0085B74502BB +:0411AE0046450001B1 +:0411AF00938585930C +:0411B000268010EF96 +:0411B10044814785A9 +:0411B2004782C23E70 +:0411B300873345D168 +:0411B4004503009758 +:0411B50004850007A6 +:0411B600E4BFF0EFB3 +:0411B700F713479251 +:0411B80063E30FF4EA +:0411B9005783FEF763 +:0411BA0040D2588443 +:0411BB0000021737E0 +:0411BC000407E793AA +:0411BD0058F41423AB +:0411BE002023444264 +:0411BF0044B20EF731 +:0411C00080820161C7 +:0411C100C2221151E4 +:0411C20000021437DC +:0411C3004601C026FB +:0411C400059384AA61 +:0411C500051302C04C +:0411C600C406040453 +:0411C700E81FB0EF7E +:0411C800460585A6AD +:0411C9000404051302 +:0411CA00EAFFB0EF99 +:0411CB0002C00593C6 +:0411CC0004040513FF +:0411CD00B0EF460534 +:0411CE000513E67FA0 +:0411CF0044120404BE +:0411D000448240A273 +:0411D100013145851E +:0411D200E75FB06FB4 +:0411D300C4221141E0 +:0411D40000021437CA +:0411D500C02AC22644 +:0411D600460184AE9C +:0411D70002C00593BA +:0411D80004040513F3 +:0411D900B0EFC606A7 +:0411DA004782E37FE6 +:0411DB0005134601B1 +:0411DC0085BE0404C4 +:0411DD00E63FB0EF4A +:0411DE0004040513ED +:0411DF0040B24422B4 +:0411E000449285A60A +:0411E100014146057D +:0411E200E4FFB06F07 +:0411E30000021537BA +:0411E400460111515E +:0411E5000513458128 +:0411E600C406040532 +:0411E700E3BFB0EFC3 +:0411E800B0EF4529F6 +:0411E90045E195DF68 +:0411EA00F0EF4505D8 +:0411EB0045E1FA3FA1 +:0411EC00F0EF4509D2 +:0411ED0045E1F9BF20 +:0411EE00F0EF450DCC +:0411EF004505F93F7A +:0411F000F45FF0EFC9 +:0411F100152140A2E2 +:0411F200001535139C +:0411F30080820131C4 +:0411F400C2221151B1 +:0411F5004505842AFE +:0411F600F0EFC4064C +:0411F700991DF2BF8D +:0411F8008C49040E0C +:0411F9000FF4741368 +:0411FA00450585A280 +:0411FB00F61FF0EFFC +:0411FC00450985A27A +:0411FD00F59FF0EF7B +:0411FE00441285A270 +:0411FF00450D40A2B8 +:04120000F06F013159 +:041201001151F4BFD4 +:04120200842AC22256 +:04120300C4064505D3 +:04120400EF5FF0EFB9 +:04120500751347898D +:0412060013630D85DC +:04120700641302F476 +:0412080085A20015A6 +:04120900F0EF4505B8 +:04120A0085A2F27F48 +:04120B00F0EF4509B2 +:04120C0085A2F1FFC7 +:04120D0040A24412A5 +:04120E000131450D58 +:04120F00F11FF06F6C +:041210008C490416EB +:041211000FF474134F +:04121200004464131D +:041213001151BFD9DD +:041214001437C222A7 +:04121500C0260002ED +:0412160084AA46015F +:0412170005C0059376 +:0412180004040513B2 +:04121900B0EFC40668 +:04121A00F593D37FF6 +:04121B0046050FF481 +:04121C0004040513AE +:04121D00D63FB0EF19 +:04121E0005C005936F +:04121F0004040513AB +:04122000B0EF4605E0 +:041221000513D1BF21 +:04122200441204046A +:04122300448240A21F +:0412240001314585CA +:04122500D29FB06F35 +:04122600C42211418C +:041227000002143776 +:04122800C02AC226F0 +:04122900460184AE48 +:04122A0005C0059363 +:04122B00040405139F +:04122C00B0EFC60653 +:04122D004782CEBF67 +:04122E00051346015D +:04122F00F59304042B +:04123000B0EF0FF715 +:041231000513D15F71 +:04123200442204044A +:0412330085A640B29A +:041234004605449295 +:04123500B06F014154 +:041236001151D01F63 +:041237000220051379 +:04123800C222C40604 +:04123900F0EFC026EC +:04123A007413F69F94 +:04123B0045CD0FF599 +:04123C00F0EF455931 +:04123D006489FA7F47 +:04123E00710485139F +:04123F00803FB0EF4D +:04124000455D458D36 +:04124100F95FF0EF72 +:04124200710485139B +:04124300FF2FB0EFDA +:0412440008046593A2 +:04124500022005136B +:04124600F81FF0EFAE +:041247003E800513CD +:04124800FDEFB0EF17 +:0412490007F475939E +:04124A0040A2441268 +:04124B0005134482C1 +:04124C00013102204A +:04124D00F65FF06FE9 +:04124E00C222115156 +:04124F000513640916 +:04125000C40671045B +:04125100FBAFB0EF50 +:04125200455945C5F0 +:04125300F4DFF0EFE5 +:041254007104051309 +:04125500FAAFB0EF4D +:041256004589455D24 +:04125700F3DFF0EFE2 +:041258007104051305 +:0412590040A2441259 +:04125A00B06F01313F +:04125B001151F94FE5 +:04125C00842EC222F8 +:04125D00454985AAD0 +:04125E00F0EFC406E3 +:04125F0085A2F1FF74 +:0412600040A2441252 +:041261000131454DC5 +:04126200F11FF06F19 +:04126300051385AA40 +:04126400F06F03D054 +:0412650085AAF07FE7 +:04126600F06F45459B +:041267001151EFFF33 +:04126800C222C406D4 +:041269000065478352 +:04126A00456D842A20 +:04126B000047959310 +:04126C00F5938DDD8C +:04126D00F0EF0FF59A +:04126E004583EE3F87 +:04126F004571006461 +:04127000ED9FF0EF0F +:04127100003445837D +:04127200F0EF45292B +:041273004583ECFFC4 +:0412740045250044C8 +:04127500EC5FF0EF4B +:041276000054458358 +:04127700F0EF45212E +:041278004583EBBF00 +:0412790045350004F3 +:04127A00EB1FF0EF87 +:04127B000014458393 +:04127C00F0EF453119 +:04127D004583EA7F3C +:04127E0044120024F2 +:04127F00452D40A217 +:04128000F06F0131D9 +:041281001141E97FAF +:04128200842AC422D4 +:04128300C226451129 +:0412840084AEC03242 +:04128500F0EFC606BA +:041286004602E39F9A +:041287000F857593C7 +:041288000793CE4DAD +:04128900EA637FF0A5 +:04128A0045110A8779 +:04128B000015E593D2 +:04128C00E69FF0EFFA +:04128D000014151321 +:04128E00010514132F +:04128F0055938041B2 +:04129000F59300448E +:0412910045050FF50B +:04129200E51FF0EF75 +:04129300004415936B +:041294000F05F593BA +:04129500F0EF450928 +:041296000737E43FF3 +:041297000713019C9C +:041298005733CC07F5 +:0412990056B70297AB +:04129A008693022510 +:04129B0047810FF682 +:04129C00028707338B +:04129D0002E6F06312 +:04129E00042C26B73F +:04129F00D7F6869365 +:0412A000F963478522 +:0412A100F7B700E6B5 +:0412A2008793080B1B +:0412A300B7B3FBF7EB +:0412A400078900E7CF +:0412A5000713676163 +:0412A600973E50C758 +:0412A70000074503F4 +:0412A80002800713A6 +:0412A90002E5053322 +:0412AA0000145713C2 +:0412AB004533953AF8 +:0412AC00471D028553 +:0412AD000FF57513B1 +:0412AE000FF5759330 +:0412AF0000A77363BE +:0412B0004422459DF2 +:0412B100449240B271 +:0412B200079A058E04 +:0412B300F5938DDD45 +:0412B400450D0F8550 +:0412B500F06F014194 +:0412B6004511DC3FC3 +:0412B700DBDFF0EF9A +:0412B8001151BFB958 +:0412B900842AC2229F +:0412BA00C4064569B8 +:0412BB00D63FF0EF3B +:0412BC00759347855A +:0412BD001A630FA5FC +:0412BE00E59300F4C0 +:0412BF0044120025B0 +:0412C000456940A29A +:0412C100F06F013198 +:0412C200E593D93F98 +:0412C300BFC500A5FE +:0412C40000A55583A9 +:0412C500C2221151DF +:0412C600842A81A154 +:0412C70004B0051357 +:0412C800F0EFC40679 +:0412C9004583D77F03 +:0412CA00051300A464 +:0412CB00F0EF04A09C +:0412CC005583D6BFB1 +:0412CD00051300C441 +:0412CE0081A104D026 +:0412CF00D5DFF0EF88 +:0412D00000C445838E +:0412D10004C005133D +:0412D200D51FF0EF45 +:0412D30000E455835B +:0412D40004F005130A :0412D500F0EF81A114 -:0412D6004583CC1F61 -:0412D70005130124D6 -:0412D800F0EF0580AE -:0412D9005583CB5F0F -:0412DA0005130144B3 -:0412DB0081A105B038 -:0412DC00CA7FF0EFE6 -:0412DD000144458300 -:0412DE0040A24412D4 -:0412DF0005A005134E -:0412E000F06F013179 -:0412E1001151C95F7F -:0412E200842AC22276 -:0412E30003F00513FC -:0412E400F0EFC4065D -:0412E5007593C3BF7B -:0412E6008DC10F05A2 -:0412E70040A24412CB -:0412E80003F00513F7 -:0412E900F06F013170 -:0412EA001151C71FB8 -:0412EB00842AC2226D -:0412EC00C406456986 -:0412ED00C19FF0EFBE -:0412EE0000641593F0 -:0412EF00751344121D -:0412F00040A203F520 -:0412F100F5938DC91B -:0412F20045690FF546 -:0412F300F06F013166 -:0412F4001131C49F51 -:0412F500461D65E14C -:0412F6008C4585930B -:0412F700C806850A96 -:0412F800355000EF7E -:0412F900C75FF0EFEC -:0412FA00F0EF4501CB -:0412FB004585E77FBF -:0412FC000350051383 -:0412FD00C23FF0EF0D -:0412FE000513655D12 -:0412FF00F0EF404587 -:041300004501E91F9B -:04130100FA7FF0EF90 -:04130200F0EF4519AA -:041303000513D01FDF -:04130400F0EF0440C2 -:041305004581D03F0F -:04130600F0EF4505BA -:04130700850ACD3F47 -:04130800CFDFF0EF54 -:04130900015140C28C -:04130A00114180828B -:04130B00842AC4224A -:04130C00C6064511BB -:04130D00F0EFC02E0F -:04130E004582B97FDC -:04130F0002B40433ED -:04131000007575935C -:041311007793451178 -:04131200078E01F44D -:04131300F0EF8DDD8D -:041314005513BC9F12 -:0413150040B240544E -:0413160075134422E5 -:0413170001410FF58C -:04131800115180826D -:04131900842AC2223E -:04131A00C40645417F -:04131B00B61FF0EF1A -:04131C0000341593F1 -:04131D00891D4412D0 -:04131E008DC940A293 -:04131F000FF5F5933E -:041320000131454111 -:04132100B93FF06F71 -:041322001151C1396B -:041323000513C026C8 -:0413240084AE026031 -:041325000800059324 -:04132600C406C22215 -:04132700F0EF84322D -:041328004785B79F9F -:041329000094059394 -:04132A0000F48963DF -:04132B00059347A13E -:04132C00846305A42D -:04132D00059300F430 -:04132E0044120184E0 -:04132F00448240A212 -:041330000FF5F5932D -:04133100031005138D -:04133200F06F013126 -:041333004581B4DF5D -:04133400026005133B -:041335001121BFDDE6 -:04133600C626C822DD -:04133700C02ECA06F4 -:04133800C436C232C3 -:04133900842A478536 -:04133A000E6384BA00 -:04133B0047A100F5D1 -:04133C0004F50D6344 -:04133D0000670593AD -:04133E000FF5F5931F -:04133F00F0EF451571 -:0413400045C1B19F53 -:041341000593A8095F -:04134200F5930027F8 -:0413430045150FF548 -:04134400B07FF0EF97 -:041345004519459968 -:04134600AFFFF0EF16 -:04134700862685A2CF -:04134800F0EF450578 -:0413490047C1F67F23 -:04134A00036345B143 -:04134B0045A100F4C4 -:04134C000220051363 -:04134D00AE3FF0EFD0 -:04134E0046224442AD -:04134F00450245927C -:0413500044B240D291 -:04135100F06F0161D7 -:041352000593C3FF3D -:04135300F5930327E4 -:0413540045150FF537 -:04135500AC3FF0EFCA -:0413560002000593F9 -:041357001151BF6D04 -:04135800C026C222C7 -:0413590084AEC40694 -:04135A008432478909 -:04135B00E66345817F -:04135C0095930097CE -:04135D0005E2006441 -:04135E00171385E1FB -:04135F0017930025BB -:041360008FD90045DC -:041361008DDD8FC9C6 -:041362000FF5F593FB -:04136300F0EF4565FD -:041364004541A89FB8 -:04136500A39FF0EF63 -:041366007593478DA7 -:0413670014630F8577 -:04136800E59300F415 -:0413690045410055A5 -:04136A00A6FFF0EFFB -:04136B000593478916 -:04136C00F96305B06C -:04136D00479100970D -:04136E0005200593BE -:04136F000097F4638C -:0413700005300593AC -:04137100F0EF45391B -:041372000513A51F9B -:04137300B0EF3E8019 -:041374004551B30F1D -:041375009F9FF0EF57 -:0413760045C1478D99 -:0413770000F4036318 -:041378004561458105 -:04137900A33FF0EFAF -:04137A0040A2441237 -:04137B0045814482E2 -:04137C0003600513F2 -:04137D00F06F0131DB -:04137E001151A21F48 -:04137F00842AC222D8 -:04138000C406455109 -:041381009C9FF0EF4E -:041382007C63471130 -:041383007513008757 -:0413840005130885C0 -:041385003513F785A0 -:0413860040A200156C -:0413870001314412DA -:04138800470980820F -:0413890000877763FF -:04138A0009057513C9 -:04138B00F70505134A -:04138C007793B7DDBF -:04138D00D5130FF570 -:04138E0089050017B6 -:04138F000113BFF98E -:04139000D2A2F941AB -:0413910064614791BB -:04139200D0A6D48687 -:041393000793DA3EA4 -:04139400CC364FC440 -:041395000317C683F1 -:04139600C82A4721F9 -:0413970000D7173331 -:041398000327C683DE -:04139900008767134F -:04139A000713DC3A1F -:04139B0017332000E4 -:04139C00C68300D72D -:04139D00DE3A0337FA -:04139E001733674159 -:04139F00C68300D72A -:0413A000C0BA034785 -:0413A10000200737EA -:0413A20000D7173326 -:0413A3000713C2BAB0 -:0413A400C4BA033193 -:0413A5000713676162 -:0413A600C6BA532749 -:0413A7000713676160 -:0413A800C8BA533735 -:0413A900071367615E -:0413AA00CABA534721 -:0413AB00071367615C -:0413AC00CCBA53570D -:0413AD00071367615A -:0413AE00CEBA5367F9 -:0413AF000427C70345 -:0413B0000437C783B4 -:0413B100C03A66E1F7 -:0413B2008793C43E1B -:0413B300C23E62C60E -:0413B400879367DDD7 -:0413B500CA2E4347B2 -:0413B600020109A384 -:0413B70004134701D3 -:0413B80086934FC405 -:0413B90042F162C6D5 -:0413BA001793D03E77 -:0413BB0087E101873E -:0413BC000793C63E8F -:0413BD001563025062 -:0413BE0057FD00F7E0 -:0413BF00A691C63EEF -:0413C000025707B316 -:0413C10097B6461580 -:0413C200D3134BDC1A -:0413C30083F5018726 -:0413C40001F374932A -:0413C50006F666635F -:0413C60096135582A3 -:0413C700CE320027FB -:0413C8004210962E0B -:0413C90003B38602E2 -:0413CA0006130257AD -:0413CB00DE321000FE -:0413CC00C0B2662124 -:0413CD0020000613E3 -:0413CE000593C2B20F -:0413CF0086331C1035 -:0413D0004A10007649 -:0413D10076138215F8 -:0413D2001B637FF624 -:0413D300D43E06B648 -:0413D400879367E1B3 -:0413D50093BE62C79A -:0413D600000177B7E4 -:0413D70044C78593EF -:0413D800461D851E0B -:0413D900D21ED63A10 -:0413DA00113000EFDF -:0413DB00539266E1E2 -:0413DC00573257A28B -:0413DD0062C68693CB -:0413DE00E90142F1EE -:0413DF00E229462297 -:0413E0000705479224 -:0413E100C23E07F110 -:0413E200D23EB78DB3 -:0413E300000177B7D7 -:0413E4004547859361 -:0413E500851E461DFE -:0413E60000EFD43A06 -:0413E70066E10E109D -:0413E800572257929F -:0413E90062C68693BF -:0413EA00E91942F1CA -:0413EB00460545A2CC -:0413EC0000C598633D -:0413ED000613B7F13B -:0413EE00DE322000CB -:0413EF00C0B26641E1 -:0413F000F33346622B -:0413F1000DE300C444 -:0413F2004612FA03A2 -:0413F3004E0C4572E5 -:0413F40004B310909E -:0413F500A60300A6A5 -:0413F6001088FE84D9 -:0413F70000064603A3 -:0413F800962A060A21 -:0413F900FD46260384 -:0413FA00DA598E6DC1 -:0413FB00C5934552FF -:0413FC008985FFF5EB -:0413FD00F8A596E3D6 -:0413FE00025705B3DA -:0413FF0095B6454218 -:041400008195498CFD -:041401007FF5F593EB -:04140200EBE305F91A -:041403004585F6A580 -:0414040000B4092304 -:0414050000041723A5 -:04140600000408A333 -:0414070000040A23B0 -:041408006589CC1016 -:0414090026B6076399 -:04140A0014C5E463BE -:04140B00080005933D -:04140C0022B60B6396 -:04140D000EC5E263C3 -:04140E00016345C170 -:04140F00EF6322B6AF -:0414100045910AC533 -:041411001CB606639C -:041412001BE345A1F2 -:041413004605F2B6E2 -:0414140000C40623E7 -:0414150004F4460392 -:041416001E061F632C -:0414170006334671E1 -:04141800963602C73B -:0414190000E6558311 -:04141A0057700613EE -:04141B001EB6656331 -:04141C0001D796134B -:04141D001EC0516339 -:04141E0006A3460DCE -:04141F00460900C4B6 -:0414200000C40923D8 -:0414210000678613C7 -:04142200CE118A1548 -:041423009F63461568 -:0414240047F10AC7BB -:0414250002F7073390 -:041426004AF00793EE -:04142700D70396BA97 -:04142800E56300E692 -:0414290047830AE704 -:04142A00CFD10454C6 -:04142B00000406A310 -:04142C00AA7D4789C5 -:04142D000257063329 -:04142E0020D0059332 -:04142F004A10963693 -:041430007613821598 -:041431001DE37FF642 -:041432000613EEB6F9 -:041433000633FFF786 -:04143400458D02568A -:041435004A5096364D -:041436001A6382753E -:04143700460200B6B3 -:04143800F493E60142 -:04143900BDE90EF407 -:04143A004582460998 -:04143B004602B5D1DF -:04143C00F493E6013E -:04143D00B5E90FB44A -:04143E00BFC54605DB -:04143F00020007930D -:0414400000F6066349 -:041441000400079309 -:04144200E6F61CE3CB -:0414430030100793CB -:0414440000F4162377 -:04144500AAA9479178 -:0414460040000593CA -:0414470016B603636F -:0414480002C5EB638B -:0414490010000593F7 -:04144A0014B607636A -:04144B0020000593E5 -:04144C00E4B618E307 -:04144D001623470912 -:04144E00470D00E462 -:04144F0000E79863B7 -:041450000454478376 -:041451004785F7B51F -:0414520000F4072378 -:041453000564478362 -:0414540000F408A3F5 -:041455000793A0FD5C -:041456008963800620 -:04145700678512078C -:04145800E2F610E3C5 -:0414590020200793B5 -:04145A0000F4162361 -:04145B00A209478D0E -:04145C00000805B7C8 -:04145D0012B60A6356 -:04145E0004C5E3637B -:04145F00006365C100 -:04146000E36312B67A -:04146100679102C5C8 -:0414620010F6086315 -:0414630019E367A181 -:041464000793DEF616 -:04146500162320200A -:04146600479D00F4AA -:0414670000F4092361 -:041468000A2347B557 -:04146900B75D00F477 -:04146A00000207B7BE -:04146B000EF60B630B -:04146C00000407B7BA -:04146D00DCF616E3B0 -:04146E002030079390 -:04146F0007B7B7758F -:0414700009630040CC -:04147100E0630EF630 -:0414720007B702C7EF -:041473000063001002 -:0414740007B70EF6B2 -:0414750015E300205B -:041476004791DAF6CA -:0414770000F4162344 -:04147800078007934F -:0414790007B7BF7D75 -:04147A000F630100FB -:04147B0007B70CF6AD -:04147C000463020003 -:04147D0007B70EF6A9 -:04147E0013E30080F4 -:04147F000793D8F601 -:0414800016232040CF -:04148100479100F49B -:0414820000F4092346 -:04148300BF5147F915 -:041484000733467173 -:04148500162302C761 -:041486008B9500043E -:04148700D70396BA37 -:04148800468500A6EF -:0414890008A38B7DAC -:04148A009B6300E47C -:04148B00468300D7BD -:04148C00CE9904549D -:04148D00092347895F -:04148E00478500F49A -:04148F0000F407A3BB -:0414900050A6D7117A -:041491004532541676 -:041492000113548668 -:04149300808206C18C -:0414940000F4072336 -:0414950006A3B7DD16 -:04149600B52D00046C -:041497002010079387 -:0414980000F4162323 -:041499000793B5B14F -:04149A0016233010D5 -:04149B00479900F479 -:04149C0000F409232C -:04149D000793BDE113 -:04149E0016232010E1 -:04149F00479500F479 -:0414A0000793BFC52A -:0414A10016231020DE -:0414A200B5C900F4D4 -:0414A300202007936B -:0414A4000793BFC12A -:0414A500BDAD202099 -:0414A6002020079368 -:0414A700470DBFF935 -:0414A8000793BD5990 -:0414A900BF6D2030C3 -:0414AA002030079354 -:0414AB000793B59559 -:0414AC00B7E1203054 -:0414AD002040079341 -:0414AE0000F416230D -:0414AF000923478D39 -:0414B000079300F4AA -:0414B100BDF1028007 -:0414B200204007933C -:0414B30000F4162308 -:0414B400092347952C -:0414B50047E100F417 -:0414B6000793B5E9FA -:0414B7001623204098 -:0414B800479900F45C -:0414B90000F409230F -:0414BA00BD6547D1F4 -:0414BB001793111161 -:0414BC00CA220185BA -:0414BD00CC06C8266B -:0414BE00842A87E114 -:0414BF00DD6384AEB7 -:0414C000458100075B -:0414C1000770051398 -:0414C20001A337D576 -:0414C300478500A1B8 -:0414C40006A7EE6326 -:0414C50007F47413A1 -:0414C60045912E9589 -:0414C7002681450134 -:0414C800D7932E8107 -:0414C90002A30184F5 -:0414CA00D79300F1C3 -:0414CB0003230104F2 -:0414CC00D79300F1C1 -:0414CD0003A30084F1 -:0414CE00022300F104 -:0414CF000423008171 -:0414D000071300916D -:0414D1000793040079 -:0414D2000963095051 -:0414D300071300E417 -:0414D40047850480C4 -:0414D50000E41463B8 -:0414D6000870079300 -:0414D70000484599EB -:0414D80000F104A378 -:0414D900451524EDA4 -:0414DA00842A2EB181 -:0414DB00051345852B -:0414DC0024F50031C2 -:0414DD000031078350 -:0414DE000007D663CA -:0414DF0047852E8D82 -:0414E000FEF506E32C -:0414E1002641E011AF -:0414E200003145038D -:0414E300445240E24D -:0414E400017144C28C -:0414E50001138082ED -:0414E600C02AFD819A -:0414E7000513458123 -:0414E800D206049094 -:0414E900CE26D02219 -:0414EA00F45FF0EFCC -:0414EB004501C901ED -:0414EC0054025092C4 -:0414ED00011344F2B1 -:0414EE008082028175 -:0414EF004515842AF1 -:0414F00084AA261193 -:0414F1000513458515 -:0414F2002C51007108 -:0414F300007147033A -:0414F4000FF007935B -:0414F50000F7166383 -:0414F60047852E19DF -:0414F700FEF504E317 -:0414F8002615E09144 -:0414F9000071470334 -:0414FA000FE0079365 -:0414FB00FCF711E306 -:0414FC00002845C9B6 -:0414FD00478224AD51 -:0414FE000047C78359 -:0414FF000027F713B8 -:041500004503CF15BB -:04150100478300E13B -:04150200470300F1AA -:04150300890D01212C -:041504008D5D0522D2 -:041505000101478316 -:041506004403050A8B -:04150700839900D1F3 -:0415080047838D5D2B -:04150900831D01112C -:04150A000786883D8B -:04150B008FD98B9950 -:04150C000505943EFF -:04150D001533146519 -:04150E00BF9D0085F8 -:04150F00CB898B9168 -:04151000010145038D -:0415110001114783FA -:041512008D5D0522C4 -:04151300B7CD47C544 -:0415140045014781C5 -:041515000113BFF10E -:0415160065D9FDC1D5 -:041517004629CC266F -:04151800859384AA89 -:04151900006836052B -:04151A00CE22D00607 -:04151B00478D24E1F3 -:04151C0022C1C03EEA -:04151D0024A92C21B0 -:04151E00006845A973 -:04151F0045812AC90F -:041520000004A02300 -:0415210004000513AA -:04152200E65FF0EFA1 -:04152300842A47854A -:041524000EF51B6342 -:041525001AA0059370 -:041526000480051325 -:04152700E51FF0EFDD -:041528001763C22A59 -:04152900459108855B -:04152A002A55002816 -:04152B0000A14703D1 -:04152C001A63479265 -:04152D0047030CF76D -:04152E00079300B16E -:04152F0014630AA097 -:0415300005130CF79C -:041531002AFD3E80D1 -:041532004785241DA8 -:0415330000F5196343 -:04153400400005B7B7 -:041535000E900513FC -:04153600E15FF0EF92 -:041537002C01F57519 -:04153800066347857A -:04153900440102F572 -:04153A0047822C397F -:04153B00F79317FD0E -:04153C00C03E0FF7A7 -:04153D00DC35CBD9F5 -:04153E008223478538 -:04153F00C09C0084C8 -:04154000F0EF85261D -:04154100157DE95FCC -:041542002A69C488C6 -:041543004581A041FD -:0415440007A00513E4 -:04154500DD9FF0EF47 -:041546004591F5795D -:0415470022810028D5 -:041548000081478354 -:04154900F79344319F -:04154A00FFDD0407B6 -:04154B00BF6D44111B -:04154C0005134581BD -:04154D00F0EF0E901D -:04154E000793DB7FA5 -:04154F0065630410BC -:04155000440900A4A6 -:041551000E9007935E -:041552000FA00513CE -:041553002A9DC23ECD -:0415540047852A7924 -:0415550000F5176323 -:041556004581451274 -:04155700D91FF0EFB9 -:041558002271F9659E -:041559004401E11157 -:04155A0045812A7924 -:04155B0007B00513BD -:04155C00D7DFF0EFF6 -:04155D004401C11173 -:04155E0020000593D1 -:04155F00050005136B -:04156000D6DFF0EFF3 -:041561004401D13D33 -:04156200F43DB78D10 -:04156300351320CD4F -:04156400508200149D -:0415650044E24472A6 -:04156600024101132A -:04156700451C80821D -:04156800C822112163 -:04156900C626CA06C2 -:04156A00C03AC22E93 -:04156B00E163440DE7 -:04156C00CF1902C7CA -:04156D00004547836B -:04156E008BA184B613 -:04156F000626E391D8 -:04157000051385B228 -:04157100F0EF051082 -:04157200C909D27F52 -:0415730020454409C2 -:0415740040D28522BA -:0415750044B24442F6 -:04157600808201610D -:041577000640051312 -:04157800458520D5B0 -:0415790000B10513A5 -:04157A004703289D5E -:04157B00079300B121 -:04157C0016630FF0F3 -:04157D0028E500F766 -:04157E0004E34785B6 -:04157F002221FEF532 -:0415800000B147036C -:041581000FE00793DD -:04158200FCF712E37D -:041583000413478284 -:041584008C05202092 -:0415850004428C1D73 -:04158600C48180415B -:04158700450185A6EF -:041588004582283D33 -:0415890028254512BA -:04158A00450185A2F0 -:04158B004401280DE2 -:04158C002637BF79C6 -:04158D0005B7000698 -:04158E001537019C70 -:04158F00061300023D -:041590008593A80691 -:041591000513CC056D -:04159200A06F02053F -:04159300862EF29F0F -:04159400153785AAD8 -:041595000513000238 -:04159600A06F02053B -:04159700862EFC7F21 -:04159800153785AAD4 -:041599000513000234 -:04159A00A06F020537 -:04159B008082F8BF93 -:04159C0057836761A9 -:04159D00F7934D87EC -:04159E0007C2F7F792 -:04159F001C2383C1C5 -:0415A00017374CF7B6 -:0415A1002023000201 -:0415A20080820EF73E -:0415A30057836761A2 -:0415A400E7934D87F5 -:0415A5001C230807F4 -:0415A60017374CF7B0 -:0415A70020230002FB -:0415A80080820EF738 -:0415A90000293637A8 -:0415AA00019C05B7E4 -:0415AB0000021537EE -:0415AC002E060613EE -:0415AD00CC05859351 -:0415AE00020505131A -:0415AF00EB7FA06FBF -:0415B000F73FF06FA2 -:0415B10027836765C0 -:0415B200E385A387A3 -:0415B3008793679D16 -:0415B40005339787DD -:0415B500115102F5D9 -:0415B6002C23C40618 -:0415B700A0EFA2A758 -:0415B80040A2A45F4A -:0415B90001314501B6 -:0415BA0045058082E1 -:0415BB0011518082C8 -:0415BC00A0EFC406D2 -:0415BD0067E5A55FDA -:0415BE00A387A783D5 -:0415BF00353340A2DE -:0415C000013100F500 -:0415C10067E58082D8 -:0415C200A207AC23AD -:0415C30047018082DA -:0415C40000E61463C6 -:0415C50080824501DA -:0415C60000E507B382 -:0415C70086B30705DB -:0415C800C78300E5F0 -:0415C900C6830007CE -:0415CA0083E3FFF6C2 -:0415CB008533FED78F -:0415CC00808240D702 -:0415CD0000A5C7B3FB -:0415CE0007338B8DC7 -:0415CF00E78100C5EB -:0415D000E963478DF7 -:0415D10087AA02C71C -:0415D2000CE5716350 -:0415D3000005C683C6 -:0415D40005850785FD -:0415D500FED78FA30B -:0415D600FEE7EAE35F -:0415D700C6838082C5 -:0415D800078500057E -:0415D9008FA3058552 -:0415DA00EAE3FED76B -:0415DB004402FEE7E1 -:0415DC0080820111F7 -:0415DD0000357693CC -:0415DE00CA9187AA7D -:0415DF000005C683BA -:0415E00005850785F1 -:0415E100FED78FA3FF -:0415E2000037F69345 -:0415E3007693B7FD47 -:0415E4008613FFC7A4 -:0415E500F563FE06A6 -:0415E600117106C7B2 -:0415E70049C0C02215 -:0415E8000005A30354 -:0415E9000085A38353 -:0415EA004D80CBC0A5 -:0415EB000067A023D2 -:0415EC000045A30310 -:0415ED004DC0CF809E -:0415EE000067A223CD -:0415EF0000C5A2830E -:0415F0000105A3034B -:0415F1000245859397 -:0415F200A403CFC0BF -:0415F300A423FFC569 -:0415F400A6230077B3 -:0415F500A8230057D0 -:0415F6008793006770 -:0415F700AE230247D6 -:0415F800EEE3FE8799 -:0415F900F2E3FAC758 -:0415FA004190F8D74D -:0415FB0005910791BE -:0415FC00FEC7AE2355 -:0415FD004190BFCD8D -:0415FE0005910791BB -:0415FF00FEC7AE2352 -:04160000FED7EBE343 -:04160100F4E7E4E343 -:0416020080828082E0 -:04160300F7C1011317 -:04160400C13ED6A667 -:0416050067E1DA8639 -:04160600DCB6D8A2D4 -:04160700A483DEBA20 -:04160800DC633F87D9 -:04160900079300053E -:04160A00C09C08B0C8 -:04160B0050D6557DE3 -:04160C0054B6544636 -:04160D00084101137C -:04160E00079380823C -:04160F0018232080FC -:04161000C22A00F1F9 -:041611004781CA2A19 -:041612008793C19960 -:04161300C63EFFF5DB -:0416140018B4CC3EFC -:04161500842E57FDCB -:04161600004C8526D9 -:0416170000F11923A2 -:041618002601C036B1 -:04161900556357FDC1 -:04161A00079300F53D -:04161B00C09C08B0B7 -:04161C004792DC5DB8 -:04161D00000780231F -:04161E00C605BF5DE1 -:04161F004701167DEC -:0416200000E507B327 -:0416210000E586B3A7 -:041622000007C78373 -:041623000006C68374 -:0416240000D79663F2 -:0416250000C7046393 -:04162600F3FD0705C4 -:0416270040D78533F0 -:041628004501808276 -:0416290087AA80828A -:04162A000585CA095F -:04162B00FFF5C703FD -:04162C00167D07859B -:04162D00FEE78FA3A2 -:04162E00963EFB6584 -:04162F0000C79363FA -:041630000785808228 -:04163100FE078FA37E -:0416320095AABFD5E1 -:04163300856387AA9A -:04163400C70300B731 -:04163500E7010007C2 -:0416360040A7853311 -:041637000785808221 -:04163800C5D5B7FD60 -:04163900FFC5A783BF -:04163A00C222115166 -:04163B00C026C406FB -:04163C00FFC584134F -:04163D000007D3636C -:04163E0084AA943EA8 -:04163F0015F000EFB3 -:041640002783676134 -:04164100863A478717 -:041642002223EF81EF -:041643002C23000450 -:04164400441246877F -:04164500852640A214 -:0416460001314482A8 -:041647001410006F0C -:0416480002F47063D5 -:04164900073340140F -:04164A00966300D4CF -:04164B00439800E7D9 -:04164C00973643DCAE -:04164D00C05CC018A5 -:04164E0046862C237D -:04164F0087BABFD9BE -:04165000C31943D89F -:04165100FEE47DE353 -:041652008633439404 -:041653001F6300D73A -:0416540040100086BC -:04165500C39496B2F2 -:0416560000D7863300 -:04165700FAC71BE3D0 -:0416580043584310A0 -:04165900C39496B2EE -:04165A00B765C3D8D5 -:04165B0000C47563EF -:04165C00C09C47B136 -:04165D004010BF7901 -:04165E0000C406B30B -:04165F0000D7166337 -:041660004358431494 -:04166100C01496B269 -:04166200C3C0C058E9 -:041663008082B75971 -:04166400C226114148 -:041665000035849335 -:04166600C60698F12B -:0416670004A1C422F4 -:04166800FB6347B128 -:0416690044B104F490 -:04166A0004B4EA6377 -:04166B0000EFC02AA2 -:04166C0067610AD0D8 -:04166D004787268302 -:04166E000613478296 -:04166F0084364787EF -:041670006461E4319C -:0416710047C4041353 -:04167200EB01401830 -:041673004581853EEA -:0416740000EFC03E85 -:0416750047827F80A9 -:04167600853EC008E5 -:04167700C03E85A646 -:041678007EA000EF61 -:041679004782577DD0 -:04167A0006E5156309 -:04167B00C398473198 -:04167C0000EF853EB8 -:04167D00A02906B0EA -:04167E00FA04D8E3AF -:04167F00C11C47B192 -:0416800040B245012E -:041681004492442229 -:041682008082014120 -:041683008F05401877 -:0416840002074E63A8 -:04168500F66345AD16 -:04168600C01800E5A3 -:04168700C004943ACD -:041688004058A029FD -:0416890002869263E0 -:04168A00853EC218BF -:04168B00031000EF59 -:04168C0000B405138E -:04168D0000440713FB -:04168E0007B39961A4 -:04168F00D3F140E56E -:041690008F09943EEC -:04169100BF75C01849 -:04169200B7C5C2D83E -:04169300404086A2AB -:041694000413BF85F7 -:041695009871003513 -:04169600FC8503E3E9 -:0416970040A405B3B3 -:04169800C03E853E8D -:04169900577D279DB5 -:04169A001AE3478286 -:04169B00BFBDFAE5F0 -:04169C000085A3031F -:04169D00C826111139 -:04169E00CA22CC068A -:04169F0084AEC23221 -:0416A0000866E36392 -:0416A10000C5D603A7 -:0416A200480677136C -:0416A30082AACB3D0F -:0416A400470D48C8DE -:0416A5000733408047 -:0416A600498C02A7C2 -:0416A70007B3450937 -:0416A800C03E40B44C -:0416A90002A744331D -:0416AA00001685138E -:0416AB007363953E92 -:0416AC00842A00A4E8 -:0416AD00400676136A -:0416AE00C63DC63639 -:0416AF0085A2851675 -:0416B000F0EFC4167D -:0416B10042A2ECFF66 -:0416B200473146B2C4 -:0416B3004602CD2DF1 -:0416B400C636488C62 -:0416B500F0EFC42A64 -:0416B600D603C5FF93 -:0416B700432200C406 -:0416B800761346B2AD -:0416B9006613B7F607 -:0416BA009623080665 -:0416BB00478200C49E -:0416BC000064A823FB -:0416BD00933EC8C0D0 -:0416BE00A0238C1DBC -:0416BF00C48000647F -:0416C000F363833617 -:0416C1008336006606 -:0416C2004088459285 -:0416C300C01A861AA9 -:0416C400449827011E -:0416C5004501430296 -:0416C600406707333F -:0416C7004098C498EB -:0416C800A023933A8E -:0416C900A80D006404 -:0416CA0086228516D9 -:0416CB002F05C4160D -:0416CC0042A2832A89 -:0416CD00FD4546B2DF -:0416CE008516488CA9 -:0416CF00F0EFC01662 -:0416D0004282DA5F19 -:0416D100A0234731DA -:0416D200D70300E258 -:0416D300557D00C47D -:0416D400040767138D -:0416D50000E4962374 -:0416D600445240E258 -:0416D700017144C297 -:0416D800D703808232 -:0416D900011300C534 -:0416DA00C122F781B1 -:0416DB000793DEA6ED -:0416DC00C30601F14F -:0416DD00C02EC22A2F -:0416DE00080777136F -:0416DF00F41384B2CA -:0416E000CB1DFF8798 -:0416E100EB0D49982C -:0416E2000400059368 -:0416E300F0EFC63628 -:0416E4004782E03F1A -:0416E500C38846B2BE -:0416E600E919CB88AB -:0416E70047B14712AE -:0416E800C31C557D4D -:0416E900440A409AD5 -:0416EA00011354F69E -:0416EB008082088170 -:0416EC000713478217 -:0416ED00CBD8040052 -:0416EE0002000713DC -:0416EF0000E40CA364 -:0416F00003000713D9 -:0416F10000042A23A4 -:0416F20000E40D23E0 -:0416F3008726CA3646 -:0416F4000250061387 -:0416F5000007468321 -:0416F6009863C2999A -:0416F70003330AC6E9 -:0416F80002634097B2 -:0416F9004582020321 -:0416FA00869A451275 -:0416FB00C83A86263D -:0416FC00F0EFC61A2B -:0416FD0056FDE7FFB0 -:0416FE001CD5026392 -:0416FF0043324854D6 -:04170000969A47422C -:041701004683C854FF -:0417020089630007F0 -:0417030004931A062B -:04170400577D0017F6 -:041705000004202399 -:041706000004262392 -:041707002423C0587F -:0417080001A3000435 -:041709002C23040485 -:04170A00C58304048B -:04170B0087B7000498 -:04170C00461500017D -:04170D008887851331 -:04170E0087132B7D95 -:04170F00401400146E -:04171000F613E539AE -:04171100C6090106FE -:0417120002000613B8 -:0417130004C401A366 -:041714000086F61342 -:041715000613C609E8 -:0417160001A302B079 -:04171700C58304C4BE -:0417180006130004B0 -:04171900816302A046 -:04171A00445404C56A -:04171B004581872657 -:04171C0042A9452574 -:04171D000007460378 -:04171E00001703139A -:04171F00FD060613AA -:0417200006C579631E -:04172100C454C9954E -:041722000705A8050A -:0417230087B7B7A12C -:041724008613000127 -:041725000633888778 -:04172600450540C570 -:0417270000C51533B1 -:04172800C0148EC992 -:04172900B75184BA76 -:04172A00059346528B -:04172B004210004622 -:04172C004963CA2E15 -:04172D00C45002069C -:04172E000007460367 -:04172F0002E006933B -:0417300006D6116365 -:041731000017460354 -:0417320002A0069378 -:0417330002D61B635C -:04173400070946D289 -:0417350000468613D1 -:04173600CA324294DD -:041737000206C16382 -:04173800A081C05478 -:0417390040C0063373 -:04173A000026E6930C -:04173B00C014C450C2 -:04173C0086B3B7E1D8 -:04173D004585025686 -:04173E0096B2871ABE -:04173F0056FDBFA5EF -:041740000705B7C51D -:04174100000422235B -:041742004681458116 -:0417430042A945254D -:041744000007460351 -:041745000017031373 -:04174600FD06061383 -:0417470006C57763F9 -:041748004583F1E103 -:0417490084B700075A -:04174A00460D000147 -:04174B008904851375 -:04174C0021D1C63AA7 -:04174D00CD01473251 -:04174E0089048493F3 -:04174F0006938D056B -:0417500096B3040048 -:04175100400800A6A6 -:041752008D550705A5 -:041753004583C00802 -:0417540085370007CE -:041755004619000130 -:0417560089450513A9 -:0417570000170493E0 -:0417580000B40C23AA -:04175900C52D294928 -:04175A00000007374D -:04175B000007071369 -:04175C004014E70D41 -:04175D00F693475266 -:04175E00CE91100612 -:04175F00CA3A07116A -:0417600047A24858FC -:04176100C858973E8F -:0417620086B3B599FC -:041763004585025660 -:0417640096B2871A98 -:041765000721BFB5E4 -:041766004602B7DDA3 -:0417670066B745120A -:04176800085800011C -:04176900A7068693B6 -:04176A00009785A2BD -:04176B0000E7000093 -:04176C00C42A00008B -:04176D00577D47A2BB -:04176E00FCE794E31D -:04176F00557D4782DB -:0417700000C7D703D4 -:0417710004077713DF -:04177200DC071EE38F -:04177300BBD948484E -:0417740045124602D2 -:04177500000166B752 -:0417760086930858F6 -:0417770085A2A7069A -:04177800BFC12A05BE -:04177900CA2611016A -:04177A0084B683327C -:04177B0049944590B8 -:04177C00CE06CC22A7 -:04177D0087AE842A85 -:04177E0000C6D3636B -:04177F00202386B2EB -:04178000C60300D3C9 -:04178100C601043762 -:041782002023068595 -:04178300439400D3B8 -:041784000206F693D0 -:041785002683C69160 -:0417860006890003CD -:0417870000D3202348 -:041788000007A28331 -:0417890001978393AE -:04178A000062F29374 -:04178B00060283636C -:04178C00C68343903D -:04178D007613043794 -:04178E0036B3020666 -:04178F00EA4100D05B -:041790000437861381 -:04179100852285A682 -:04179200C23EC41A75 -:041793009702C03ABF -:04179400086356FD93 -:04179500479206D59C -:041796004511432294 -:04179700260343944E -:0417980047CC000337 -:0417990043018A99E5 -:04179A009763470208 -:04179B00833300A6EE -:04179C00536340C58E -:04179D004301000301 -:04179E004B90479491 -:04179F0000D65463B9 -:0417A00093368E915D -:0417A10007E9428191 -:0417A2000653126375 -:0417A300A81D450137 -:0417A40047D402859F -:0417A5000003260314 -:0417A600DBE38E9162 -:0417A7004685F8D2A9 -:0417A80085A6861E6E -:0417A900C81A8522B3 -:0417AA00C416C63E5D -:0417AB00C03AC21E60 -:0417AC0056FD97024D -:0417AD00439247021A -:0417AE0047B242A25A -:0417AF0019E34342B5 -:0417B000557DFCD592 -:0417B100446240F25C -:0417B200610544D2B7 -:0417B30085B38082F8 -:0417B400051300D742 -:0417B50081A3030009 -:0417B600C58304A53E -:0417B700861304573A -:0417B800963E001643 -:0417B90001A30689F9 -:0417BA00BF9904B619 -:0417BB00863E46859B -:0417BC00852285A657 -:0417BD00C41AC6166E -:0417BE00C03AC23E2D -:0417BF0056FD97023A -:0417C000FCD501E370 -:0417C100432242B2CB -:0417C20002854792C3 -:0417C300BFAD47026D -:0417C400CC22110121 -:0417C500C232842E7A -:0417C6000184460351 -:0417C700CE06CA265A -:0417C800C02A84B6F9 -:0417C90006E006939D -:0417CA0004358593CA -:0417CB001ED60863BB -:0417CC0006C6E46306 -:0417CD000630069349 -:0417CE000AD60463D0 -:0417CF0000C6ED6300 -:0417D0002006046388 -:0417D10005800693F6 -:0417D20016D60C63B8 -:0417D30004240313D4 -:0417D40004C4012325 -:0417D5000693A87956 -:0417D6000663064060 -:0417D700069300D69F -:0417D80015E306907F -:0417D9004014FED6E4 -:0417DA0000072303DE -:0417DB000806F613F3 -:0417DC0000430513AE -:0417DD002683C24954 -:0417DE00C308000339 -:0417DF000001863748 -:0417E0000006D863C4 -:0417E10002D0071318 -:0417E20040D006B33A -:0417E30004E401A376 -:0417E40089C6061399 -:0417E500A07D472973 -:0417E600073006932F -:0417E7001AD60A63A1 -:0417E80000C6EF63E5 -:0417E90006F006936D -:0417EA0006D60063BC -:0417EB00070006935A -:0417EC00F8D61EE32A -:0417ED00E69340142B -:0417EE00C01402061B -:0417EF000693A809AC -:0417F0000363075038 -:0417F100069304D681 -:0417F20011E3078078 -:0417F3000693F8D68B -:0417F40086370780AD -:0417F50002A300014A -:0417F600061304D4FE -:0417F700A0FD8B06C0 -:0417F8000313431480 -:0417F900861304242B -:0417FA00C3100046D2 -:0417FB0001234298EC -:0417FC00470504E4B5 -:0417FD00F613AABD78 -:0417FE002683040634 -:0417FF00C308000318 -:0418000006C2DE3509 -:04180100BF9D86C140 -:041802004314400843 -:0418030008057293CF -:041804000046831304 -:0418050000028663F4 -:041806000067202334 -:04180700A80142945E -:04180800040575134B -:041809000067202331 -:04180A00D683D97533 -:04180B0005130006BB -:04180C00873706F024 -:04180D000D63000166 -:04180E0006130CA60B -:04180F00472989C715 -:04181000040401A328 -:04181100C40840487F -:041812000005486322 -:0418130000042303A7 -:04181400FFB3731398 -:041815000064202328 -:04181600832EE299A2 -:04181700832ECD113E -:0418180002E6F533BC -:041819009532137D74 -:04181A00000545037D -:04181B0000A3002303 -:04181C0002E6D533D8 -:04181D000AE6F26382 -:04181E001E6346A15E -:04181F00401800D796 -:04182000CB118B0558 -:0418210048184054CF -:0418220000D7476341 -:0418230003000713A4 -:04182400FEE30FA32D -:0418250085B3137DF7 -:04182600C80C406545 -:04182700450246929E -:0418280008108726F7 -:04182900C41A85A2B6 -:04182A00D3DFF0EF29 -:04182B004322577D80 -:04182C000CE515634F -:04182D0040F2557DB3 -:04182E0044D24462FA -:04182F00808261054D -:0418300004C402A347 -:0418310000018637F5 -:0418320089C606134A -:041833002303400843 -:0418340072930007A4 -:0418350026830805F9 -:041836000311000397 -:0418370002028163C5 -:041838000067202302 -:04183900001577130C -:04183A006513C7016A -:04183B00C0080205DA -:04183C00F6B9474171 -:04183D0075134008D7 -:04183E00C008FDF5EC -:04183F007293B79158 -:041840002023040558 -:041841008FE30067CA -:0418420006C2FC02DC -:04184300BFD982C1C6 -:0418440089C7061337 -:04184500B72D472153 -:04184600B79986AA1E -:0418470043144010F6 -:041848007293484807 -:0418490083130806F7 -:04184A00876300466A -:04184B002023000254 -:04184C004298006757 -:04184D00A809C3081B -:04184E0000672023EC -:04184F000406761302 -:04185000DA6D429873 -:0418510000A71023B9 -:041852000004282343 -:04185300B7B9832E70 -:041854004581431473 -:0418550000468613B0 -:04185600A303C31015 -:0418570040500006F7 -:04185800C41A851A0F -:0418590043222849B5 -:04185A000533C5018C -:04185B00C0484065DC -:04185C00C818405810 -:04185D00040401A3DB -:04185E004814B7155E -:04185F004502459267 -:041860009482861ACE -:0418610007E3577DC5 -:041862004018F2E553 -:04186300EB158B09ED -:04186400444847426B -:04186500F2E551E374 -:04186600BF31853ACF -:04186700450245925F -:04186800861A468511 -:04186900C41AC63A9D -:04186A0056FD948211 -:04186B00F0D504E3CD -:04186C00432247329A -:04186D0044540705D3 -:04186E008E914642CF -:04186F00FED740E37D -:041870004701BFC1AC -:0418710001940313C8 -:041872001151B7FD5C -:04187300C026C222A7 -:0418740084AA646579 -:04187500C406852EF2 -:04187600A2042E2377 -:0418770057FD20FDFC -:0418780000F51663FE -:04187900A3C427835A -:04187A00C09CC391BA -:04187B00441240A231 -:04187C000131448270 -:04187D00F5938082DD -:04187E00962A0FF5A2 -:04187F0000C5146329 -:04188000808245011C -:041881000005478394 -:04188200FEB78DE33D -:04188300B7FD0505A3 -:0418840004A5F36361 -:0418850000C586B361 -:0418860002D57F63A5 -:04188700FFF6459390 -:0418880017FD478180 -:0418890000F5936370 -:04188A00873380829E -:04188B00430300F61D -:04188C008733000797 -:04188D00972A00C7CF -:04188E0000670023CC -:04188F008733B7DD07 -:04189000468300F596 -:041891000733000712 -:04189200078500F5D1 -:0418930000D7002357 -:04189400FEF617E362 -:041895004781808285 -:041896008082BFE5A8 -:04189700E5818082E5 -:04189800F06F85B2B6 -:041899001131F2EF28 -:04189A00C622C80694 -:04189B00EA11C42664 -:04189C00E72FF0EF53 -:04189D0040C247817D -:04189E0044A24432EA -:04189F000151853E30 -:0418A000842E808290 -:0418A10084AAC03223 -:0418A2004602203D9D -:0418A30074E387A2C1 -:0418A40085B2FEC546 -:0418A500C2328526A0 -:0418A600EF8FF0EFE1 -:0418A700DD6187AACE -:0418A80085A24612BD -:0418A900F0EFC02A72 -:0418AA0085A2C8EF5C -:0418AB00F0EF8526AF -:0418AC004782E34F3D -:0418AD00A783B7C98D -:0418AE008513FFC5DA -:0418AF00D563FFC737 -:0418B00095AA0007EE -:0418B100953E419C83 -:0418B20011418082DE -:0418B3006461C42286 -:0418B400480427833A -:0418B500C226C6067B -:0418B6000413832A6A -:0418B700EB85480471 -:0418B8004581450120 -:0418B900468146011D -:0418BA00029347014D -:0418BB0000730D6049 -:0418BC0084AA0000FA -:0418BD0000055C6363 -:0418BE0004B32881C6 -:0418BF00C104409090 -:0418C00040B2557D60 -:0418C10044924422E7 -:0418C20080820141DE -:0418C3004008C00811 -:0418C4004601458113 -:0418C5004681951AA9 -:0418C600478147010E -:0418C7000D6002931B -:0418C80000000073A9 -:0418C900596384AA31 -:0418CA00C01A00053B -:0418CB00430228317B -:0418CC00409004B391 -:0418CD0054FDC10401 -:0418CE00557D401CE8 -:0418CF0092E3933ECF -:0418D000C004FC64F0 -:0418D100BF75853E1C -:0418D200A50367E122 -:0418D30080823F8749 -:0418D4000000000010 -:0418D500000000000F -:0418D600000000000E -:0418D700000000000D -:0418D800FFFFFFFF10 -:0418D900FFFFFFFF0F -:0418DA000000FFFF0C -:0418DB000001052CD7 -:0418DC0000010532D0 -:0418DD0000010538C9 -:0418DE000001053EC2 -:0418DF00000104E020 -:0418E00000010500FE -:0418E10000010500FD -:0418E20000010500FC -:0418E30000010500FB -:0418E40000010500FA -:0418E50000010500F9 -:0418E60000010504F4 -:0418E7000001050AED -:0418E80000012824AF -:0418E90000012920B1 -:0418EA0000012920B0 -:0418EB00000129349B -:0418EC00000129349A -:0418ED00000129408D -:0418EE000001294488 -:0418EF000001295279 -:0418F0000001295278 -:0418F1000001296A5F -:0418F20000012B3294 -:0418F30000012B388D -:0418F40000012B388C -:0418F50000012B3291 -:0418F60000012B3C86 -:0418F7000001296A59 -:0418F80000012B328E -:0418F90000012B3887 -:0418FA0000000001E9 -:0418FB0002050300DF -:0418FC0000000002E6 -:0418FD00000164C8BA -:0418FE00000164D8A9 -:0418FF00000164E49C -:04190000000164F08E -:04190100000164FC81 -:041902000001650873 -:041903000001651466 -:041904000001652059 -:041905000001652C4C -:04190600000165383F -:041907000001654432 -:0419080074204B4FAD -:041909006E69206F74 -:04190A0000007469FC -:04190B00736C614652 -:04190C00727420656C -:04190D00656767693A -:04190E000000007263 -:04190F00656D695445 -:041910000074756F7B -:04191100252E7525E5 -:041912006D75322E8F -:04191300000000735D -:04191400252E7525E2 -:041915006D75322E8C -:0419160075252F7391 -:04191700322E252E19 -:0419180000736D7576 -:041919004353534F92 -:04191A0077662020AC -:04191B007525202EE0 -:04191C00322E252E14 -:04191D0000006175F0 -:04191E0074696E4931 -:04191F00727265205B -:041920002020726FA2 -:041921000000642539 -:041922002020202041 -:0419230053204F4EB0 -:0419240000434E59D5 -:0419250034313032F7 -:041926003130322DFD -:041927006D202039D6 -:041928007371726104 -:0419290000000000BA -:04192A0025207325DC -:04192B0000632575BB -:04192C00252E7525CA -:04192D006B75322E76 -:04192E0025207A48AE -:04192F002E252E75BE -:041930007A4875324A -:0419310000000000B2 -:041932007473655411 -:04193300746170204B -:041934006E726574F6 -:0419350000000000AE -:041936003A315641AB -:0419370042475220B1 -:041938000000005358 -:041939003A315641A8 -:04193A00734752207D -:04193B000000004266 -:04193C003A315641A5 -:04193D00625059207B -:04193E0000007250E3 -:04193F003A325641A1 -:041940006250592078 -:0419410000007250E0 -:041942003A3256419E -:041943007347522074 -:04194400000000425D -:041945003A3356419A -:0419460042475220A2 -:0419470000005648FE -:041948003A33564197 -:04194900424752209F -:04194A000000005346 -:04194B003A33564194 -:04194C00734752206B -:04194D000000004254 -:04194E003A33564191 -:04194F006250592069 -:0419500000007250D1 -:041951007473614CFE -:041952006573752024 -:04195300000000642C +:0412D6004583D43F39 +:0412D700051300E417 +:0412D800F0EF04E04F +:0412D9005583D37FE7 +:0412DA0005130044B4 +:0412DB0081A10510D8 +:0412DC00D29FF0EFBE +:0412DD000044458301 +:0412DE0005000513EF +:0412DF00D1DFF0EF7C +:0412E00000645583CE +:0412E10005300513BC +:0412E200F0EF81A107 +:0412E3004583D0FF70 +:0412E400051300648A +:0412E500F0EF052001 +:0412E6005583D03F1D +:0412E7000513008467 +:0412E80081A105508B +:0412E900CF5FF0EFF4 +:0412EA0000844583B4 +:0412EB0005400513A2 +:0412EC00CE9FF0EFB2 +:0412ED000104558320 +:0412EE00057005136F +:0412EF00F0EF81A1FA +:0412F0004583CDBFA6 +:0412F10005130104DC +:0412F200F0EF0560B4 +:0412F3005583CCFF54 +:0412F40005130124B9 +:0412F50081A105903E +:0412F600CC1FF0EF2A +:0412F7000124458306 +:0412F8000580051355 +:0412F900CB5FF0EFE8 +:0412FA0001445583D3 +:0412FB0005B0051322 +:0412FC00F0EF81A1ED +:0412FD004583CA7FDC +:0412FE004412014451 +:0412FF00051340A2F1 +:04130000013105A012 +:04130100C95FF06F61 +:04130200C2221151A1 +:041303000513842A20 +:04130400C40603F028 +:04130500C3BFF0EF83 +:041306000F057593C7 +:0413070044128DC13E +:04130800051340A2E7 +:04130900013103F0BB +:04130A00C71FF06F9A +:04130B00C222115198 +:04130C004569842A81 +:04130D00F0EFC40633 +:04130E001593C19FD3 +:04130F004412006420 +:0413100003F5751359 +:041311008DC940A2A0 +:041312000FF5F5934B +:0413130001314569F6 +:04131400C49FF06F13 +:0413150065E111314C +:041316008593461D58 +:04131700850A9705A7 +:0413180000EFC80614 +:04131900F0EF35506C +:04131A004501C75F63 +:04131B00E77FF0EF89 +:04131C0005134585EB +:04131D00F0EF03509A +:04131E00655DC23F08 +:04131F004B05051362 +:04132000E91FF0EFE2 +:04132100F0EF4501A3 +:041322004519FA7FF0 +:04132300D01FF0EFF8 +:041324000440051369 +:04132500D03FF0EFD6 +:0413260045054581B3 +:04132700CD3FF0EFD7 +:04132800F0EF850A53 +:0413290040C2CFDF10 +:04132A00808201516B +:04132B00C422114186 +:04132C004511842AB9 +:04132D00C02EC60602 +:04132E00B97FF0EFA4 +:04132F0004334582BC +:04133000759302B4FB +:0413310045110075ED +:0413320001F47793B8 +:041333008DDD078EB7 +:04133400BC9FF0EF7B +:0413350040545513B8 +:04133600442240B25B +:041337000FF5751326 +:04133800808201416D +:04133900C22211516A +:04133A004541842A7B +:04133B00F0EFC40605 +:04133C001593B61F30 +:04133D004412003422 +:04133E0040A2891D23 +:04133F00F5938DC9CC +:0413400045410FF51F +:04134100F06F013117 +:04134200C139B93FB5 +:04134300C02611515E +:04134400026005132B +:04134500059384AEDA +:04134600C2220800B7 +:041347008432C40622 +:04134800B79FF0EF6C +:04134900059347853C +:04134A00896300941F +:04134B0047A100F4C2 +:04134C0005A405935C +:04134D0000F48463C1 +:04134E00018405937E +:04134F0040A2441262 +:04135000F59344824B +:0413510005130FF57C +:041352000131031052 +:04135300B4DFF06FA4 +:0413540005134581B7 +:04135500BFDD026096 +:04135600C822112177 +:04135700CA06C626D6 +:04135800C232C02EAF +:041359004785C436CA +:04135A0084BA842AA3 +:04135B0000F50E6328 +:04135C000D6347A135 +:04135D00059304F5FB +:04135E00F59300679C +:04135F0045150FF52C +:04136000B19FF0EF5A +:04136100A80945C1D1 +:0413620000270593C8 +:041363000FF5F593FA +:04136400F0EF45154C +:041365004599B07F77 +:04136600F0EF451946 +:0413670085A2AFFFAD +:04136800450586268B +:04136900F67FF0EF2C +:04136A0045B147C181 +:04136B0000F4036324 +:04136C00051345A17F +:04136D00F0EF02207B +:04136E004442AE3F08 +:04136F00459246223B +:0413700040D2450220 +:04137100016144B220 +:04137200C3FFF06F56 +:0413730003270593B4 +:041374000FF5F593E9 +:04137500F0EF45153B +:041376000593AC3FF0 +:04137700BF6D020044 +:04137800C22211512B +:04137900C406C026C0 +:04137A00478984AE6D +:04137B0045818432F2 +:04137C000097E6638D +:04137D0000649593E0 +:04137E0085E105E21E +:04137F00002517131B +:04138000004517937A +:041381008FC98FD9A8 +:04138200F5938DDD75 +:0413830045650FF5B8 +:04138400A89FF0EF3F +:04138500F0EF4541FF +:04138600478DA39F4D +:041387000F857593C6 +:0413880000F41463F6 +:041389000055E59393 +:04138A00F0EF4541FA +:04138B004789A6FFE9 +:04138C0005B0059310 +:04138D000097F96369 +:04138E0005934791EB +:04138F00F4630520DE +:04139000059300972A +:0413910045390530A5 +:04139200A51FF0EFB4 +:041393003E80051380 +:04139400AAEFB0EF1D +:04139500F0EF4551DF +:04139600478D9F9F41 +:04139700036345C1E6 +:04139800458100F497 +:04139900F0EF4561CB +:04139A004412A33F17 +:04139B00448240A2A6 +:04139C00051345816F +:04139D0001310360B7 +:04139E00A21FF06F2B +:04139F00C222115104 +:0413A0004551842A05 +:0413A100F0EFC4069F +:0413A20047119C9FB4 +:0413A30000877C63E0 +:0413A4000885751330 +:0413A500F7850513B0 +:0413A60000153513E6 +:0413A700441240A20A +:0413A800808201310D +:0413A9007763470916 +:0413AA007513008730 +:0413AB000513090518 +:0413AC00B7DDF705AD +:0413AD000FF577932E +:0413AE000017D5133C +:0413AF00BFF98905F4 +:0413B000F9410113EB +:0413B1004791D2A2EC +:0413B200D486646118 +:0413B300DA3ED0A6A8 +:0413B4005AC407937D +:0413B500C683CC36E9 +:0413B60047210327A1 +:0413B7001733C82AF6 +:0413B800C68300D711 +:0413B900671303377C +:0413BA00DC3A008792 +:0413BB0020000713F4 +:0413BC0000D717330C +:0413BD000347C68399 +:0413BE006741DE3A6B +:0413BF0000D7173309 +:0413C0000357C68386 +:0413C1000737C0BA70 +:0413C20017330020BD +:0413C300C2BA00D7D3 +:0413C40003310713D7 +:0413C5006761C4BADE +:0413C6005E37071374 +:0413C7006761C6BADA +:0413C8005E47071362 +:0413C9006761C8BAD6 +:0413CA005E57071350 +:0413CB006761CABAD2 +:0413CC005E6707133E +:0413CD006761CCBACE +:0413CE005E7707132C +:0413CF00C703CEBAC8 +:0413D000C783043794 +:0413D10066E1044786 +:0413D200C43EC03A1B +:0413D3006DC68793C9 +:0413D40067DDC23ED1 +:0413D5004E078793A5 +:0413D60009A3CA2E6F +:0413D70047010201C7 +:0413D8005AC40413DC +:0413D9006DC68693C4 +:0413DA00D03E42F1CE +:0413DB0001871793DC +:0413DC00C63E87E1A1 +:0413DD000250079320 +:0413DE0000F715639C +:0413DF00C63E57FDB2 +:0413E00007B3A69118 +:0413E1004615025754 +:0413E2004BDC97B693 +:0413E3000187D31398 +:0413E400749383F586 +:0413E500666301F347 +:0413E600558206F630 +:0413E7000027961332 +:0413E800962ECE323D +:0413E9008602421026 +:0413EA00025703B3F0 +:0413EB0010000613D5 +:0413EC006621DE3266 +:0413ED000613C0B271 +:0413EE00C2B2200067 +:0413EF001C10059336 +:0413F00000768633CA +:0413F10082154A1007 +:0413F2007FF67613F9 +:0413F30006B61B63BC +:0413F40067E1D43E9B +:0413F5006DC78793A6 +:0413F60077B793BE74 +:0413F70085930001D9 +:0413F800851E4F8778 +:0413F900D63A461D7D +:0413FA0000EFD21E10 +:0413FB0066E1113066 +:0413FC0057A253920F +:0413FD00869357324A +:0413FE0042F16DC685 +:0413FF004622E90198 +:041400004792E22904 +:0414010007F10705E3 +:04140200B78DC23EA2 +:0414030077B7D23EA7 +:0414040085930001CB +:04140500461D500729 +:04140600D43A851E31 +:041407000E1000EFD4 +:04140800579266E1B0 +:04140900869357224D +:04140A0042F16DC678 +:04140B0045A2E919F4 +:04140C009863460596 +:04140D00B7F100C56E +:04140E0020000613A1 +:04140F006641DE3222 +:041410004662C0B2BE +:0414110000C4F333ED +:04141200FA030DE3E9 +:0414130045724612C6 +:0414140010904E0CDA +:0414150000A604B376 +:04141600FE84A603A7 +:0414170046031088F0 +:04141800060A0006BA +:041419002603962AE6 +:04141A008E6DFD4690 +:04141B004552DA5903 +:04141C00FFF5C59380 +:04141D0096E3898544 +:04141E0005B3F8A575 +:04141F0045420257E9 +:04142000498C95B6A8 +:04142100F593819529 +:0414220005F97FF554 +:04142300F6A5EBE35C +:0414240009234585CE +:04142500172300B4D5 +:0414260008A3000413 +:041427000A23000490 +:04142800CC100004E0 +:041429000763658967 +:04142A00E46326B69B +:04142B00059314C54C +:04142C000B63080046 +:04142D00E26322B69E +:04142E0045C10EC5E1 +:04142F0022B601637D +:041430000AC5EF6397 +:041431000663459178 +:0414320045A11CB6FE +:04143300F2B61BE30F +:041434000623460540 +:04143500460300C4A6 +:041436001F63050427 +:0414370046711E06D6 +:0414380002C70633AE +:04143900558396360B +:04143A00061300E6AF +:04143B00656357701E +:04143C0096131EB62F +:04143D00516301D71F +:04143E00460D1EC079 +:04143F0000C406A33C +:04144000092346092D +:04144100861300C44A +:041442008A150067A0 +:041443004615CE116B +:041444000AC79F63D1 +:04144500073347F131 +:04144600079302F70F +:0414470096BA4AF017 +:0414480000E6D703E0 +:041449000AE7E56366 +:04144A00046447836C +:04144B0006A3CFD154 +:04144C0047890004C8 +:04144D000633AA7D3B +:04144E0005930257A9 +:04144F00963620D0DD +:0414500082154A10A7 +:041451007FF6761399 +:04145200EEB61DE3F2 +:04145300FFF7061386 +:041454000256063303 +:041455009636458DF5 +:0414560082754A5001 +:0414570000B61A635E +:04145800E601460261 +:041459000EF4F49306 +:04145A004609BDE999 +:04145B00B5D1458240 +:04145C00E60146025D +:04145D000FB4F49341 +:04145E004605B5E9A1 +:04145F000793BFC56B +:04146000066302001D +:04146100079300F6F7 +:041462001CE3040083 +:041463000793E6F60F +:04146400162330100B +:04146500479100F4B7 +:041466000593AAA997 +:0414670003634000DB +:04146800EB6316B666 +:04146900059302C520 +:04146A000763100004 +:04146B00059314B61B +:04146C0018E3200061 +:04146D004709E4B691 +:04146E0000E416235D +:04146F009863470D2A +:04147000478300E7C7 +:04147100F7B5046463 +:041472000723478580 +:04147300478300F4B7 +:0414740008A3057450 +:04147500A0FD00F4E2 +:041476008006079352 +:04147700120789636C +:0414780010E3678591 +:041479000793E2F6FD +:04147A0016232020F5 +:04147B00478D00F4A5 +:04147C0005B7A20905 +:04147D000A630008F6 +:04147E00E36312B65C +:04147F0065C104C57A +:0414800012B600633D +:0414810002C5E3635A +:041482000863679103 +:0414830067A110F657 +:04148400DEF619E394 +:041485002020079389 +:0414860000F4162335 +:041487000923479D51 +:0414880047B500F470 +:0414890000F40A233E +:04148A0007B7B75D8C +:04148B000B630002ED +:04148C0007B70EF69A +:04148D0016E300045E +:04148E000793DCF6EE +:04148F00B7752030DD +:04149000004007B75A +:041491000EF60963E7 +:0414920002C7E0634A +:04149300001007B787 +:041494000EF60063ED +:04149500002007B775 +:04149600DAF615E38A +:041497001623479140 +:04149800079300F4C2 +:04149900BF7D07808C +:04149A00010007B78F +:04149B000CF60F63D9 +:04149C00020007B78C +:04149D000EF60463E0 +:04149E00008007B70C +:04149F00D8F613E385 +:0414A000204007934E +:0414A10000F416231A +:0414A2000923479142 +:0414A30047F900F411 +:0414A4004671BF517D +:0414A50002C7073340 +:0414A6000004162305 +:0414A70096BA8B95D1 +:0414A80000A6D703C0 +:0414A9008B7D46856C +:0414AA0000E408A3AF +:0414AB0000D79B6368 +:0414AC00046446830B +:0414AD004789CE9904 +:0414AE0000F409231A +:0414AF0007A34785C3 +:0414B000D71100F45C +:0414B100541650A6D7 +:0414B20054864532E5 +:0414B30006C101135A +:0414B4000723808208 +:0414B500B7DD00F4AB +:0414B600000406A385 +:0414B7000793B52DB5 +:0414B80016232010C7 +:0414B900B5B100F4D5 +:0414BA003010079354 +:0414BB0000F4162300 +:0414BC000923479920 +:0414BD00BDE100F499 +:0414BE002010079360 +:0414BF0000F41623FC +:0414C000BFC54795C8 +:0414C100102007935D +:0414C20000F41623F9 +:0414C3000793B5C90D +:0414C400BFC1202064 +:0414C5002020079349 +:0414C6000793BDAD1E +:0414C700BFF9202029 +:0414C800BD59470DB6 +:0414C9002030079335 +:0414CA000793BF6D58 +:0414CB00B595203083 +:0414CC002030079332 +:0414CD000793B7E1E9 +:0414CE001623204081 +:0414CF00478D00F451 +:0414D00000F40923F8 +:0414D10002800793FB +:0414D2000793BDF1CE +:0414D300162320407C +:0414D400479500F444 +:0414D50000F40923F3 +:0414D600B5E947E14C +:0414D7002040079317 +:0414D80000F41623E3 +:0414D9000923479903 +:0414DA0047D100F402 +:0414DB001111BD65C9 +:0414DC0001851793DC +:0414DD00C826CA2231 +:0414DE0087E1CC06D0 +:0414DF0084AE842A29 +:0414E0000007DD63C1 +:0414E1000513458129 +:0414E20037D5077083 +:0414E30000A101A3C0 +:0414E400EE634785E7 +:0414E500741306A7CF +:0414E6002E9507F444 +:0414E70045014591E5 +:0414E8002E812681AA +:0414E9000184D79310 +:0414EA0000F102A368 +:0414EB000104D7938E +:0414EC0000F10323E5 +:0414ED000084D7930D +:0414EE0000F103A363 +:0414EF000081022353 +:0414F0000091042340 +:0414F10004000713D9 +:0414F2000950079303 +:0414F30000E40963A5 +:0414F4000480071356 +:0414F50014634785B0 +:0414F600079300E474 +:0414F700459908709B +:0414F80004A3004801 +:0414F90024ED00F1ED +:0414FA002EB14515B5 +:0414FB004585842A75 +:0414FC0000310513A3 +:0414FD00078324F548 +:0414FE00D663003180 +:0414FF002E8D000727 +:0415000006E3478532 +:04150100E011FEF502 +:041502004503264136 +:0415030040E2003191 +:0415040044C2445247 +:04150500808201716E +:04150600FD8101134F +:041507004581C02A30 +:041508000490051333 +:04150900D022D20614 +:04150A00F0EFCE260A +:04150B00C901F45FBF +:04150C0050924501B3 +:04150D0044F254024E +:04150E000281011342 +:04150F00842A808228 +:041510002611451546 +:04151100458584AADE +:04151200007105134C +:0415130047032C510D +:0415140007930071C8 +:0415150016630FF05A +:041516002E1900F793 +:0415170004E347851D +:04151800E091FEF56B +:041519004703261549 +:04151A0007930071C2 +:04151B0011E30FE0E9 +:04151C0045C9FCF7CA +:04151D0024AD0028D1 +:04151E00C7834782B6 +:04151F00F713004777 +:04152000CF150027BC +:0415210000E145039D +:0415220000F147830A +:041523000121470358 +:041524000522890D06 +:0415250047838D5D0E +:04152600050A0101B0 +:0415270000D14403A8 +:041528008D5D8399B9 +:0415290001114783E2 +:04152A00883D831D58 +:04152B008B9907860B +:04152C00943E8FD981 +:04152D001465050537 +:04152E0000851533EC +:04152F008B91BF9D40 +:041530004503CB891B +:0415310047830101EA +:04153200052201117C +:0415330047C58D5DBE +:041534004781B7CD67 +:04153500BFF14501BC +:04153600FDC10113DF +:04153700CC2665D980 +:0415380084AA462912 +:041539003E45859313 +:04153A00D00600686F +:04153B0024E1CE22B7 +:04153C00C03E478DD9 +:04153D002C2122C17A +:04153E0045A924A9EE +:04153F002AC900684D +:04154000A02345811E +:04154100051300048A +:04154200F0EF0400C2 +:041543004785E65F93 +:041544001B63842A77 +:0415450005930EF507 +:0415460005131AA0CF +:04154700F0EF04803D +:04154800C22AE51FAF +:041549000885176397 +:04154A00002845919F +:04154B0047032A55D3 +:04154C00479200A121 +:04154D000CF71A631A +:04154E0000B147039E +:04154F000AA0079354 +:041550000CF714631D +:041551003E800513C0 +:04155200241D2AFD2D +:04155300196347854C +:0415540005B700F5E2 +:04155500051340003A +:04155600F0EF0E9014 +:04155700F575E15FE6 +:0415580047852C0196 +:0415590002F506632E +:04155A002C394401E3 +:04155B0017FD4782AF +:04155C000FF7F793FB +:04155D00CBD9C03EE8 +:04155E004785DC35AC +:04155F00008482235F +:041560008526C09C80 +:04156100E95FF0EF5F +:04156200C488157DA7 +:04156300A0412A6910 +:0415640005134581A5 +:04156500F0EF07A0FC +:04156600F579DD9F97 +:041567000028459182 +:041568004783228112 +:041569004431008188 +:04156A000407F793E8 +:04156B004411FFDD4B +:04156C004581BF6D89 +:04156D000E900513C4 +:04156E00DB7FF0EF40 +:04156F0004100793CA +:0415700000A465630B +:04157100079344098F +:0415720005130E90BF +:04157300C23E0FA0C5 +:041574002A792A9D09 +:04157500176347852C +:04157600451200F525 +:04157700F0EF4581CB +:04157800F965D91F19 +:04157900E1112271E9 +:04157A002A79440185 +:04157B00051345818E +:04157C00F0EF07B0D5 +:04157D00C111D7DFE2 +:04157E00059344018C +:04157F000513200030 +:04158000F0EF050083 +:04158100D13DD6DFA3 +:04158200B78D4401DC +:0415830020CDF43D46 +:041584000014351307 +:0415850044725082DA +:04158600011344E227 +:04158700808202411B +:041588001121451CCC +:04158900CA06C822A4 +:04158A00C22EC62681 +:04158B00440DC03A11 +:04158C0002C7E1634E +:04158D004783CF19A8 +:04158E0084B60045DA +:04158F00E3918BA1B8 +:0415900085B20626F4 +:041591000510051329 +:04159200D27FF0EF25 +:041593004409C90935 +:041594008522204547 +:04159500444240D2BA +:04159600016144B2F9 +:041597000513808236 +:0415980020D5064014 +:04159900051345856C +:04159A00289D00B1D7 +:04159B0000B1470351 +:04159C000FF00793B2 +:04159D0000F71663DA +:04159E00478528E570 +:04159F00FEF504E36E +:0415A00047032221BA +:0415A100079300B1FB +:0415A20012E30FE061 +:0415A3004782FCF788 +:0415A40020200413EC +:0415A5008C1D8C0508 +:0415A600804104423A +:0415A70085A6C481D0 +:0415A800283D450194 +:0415A9004512458220 +:0415AA0085A22825C9 +:0415AB00280D4501C1 +:0415AC00BF794401BE +:0415AD0000062637D7 +:0415AE00019C05B7E0 +:0415AF0000021537EA +:0415B000A806061370 +:0415B100CC0585934D +:0415B2000205051316 +:0415B300EA7FA06FBC +:0415B40085AA862E50 +:0415B50000021537E4 +:0415B6000205051312 +:0415B700F45FA06FCE +:0415B80085AA862E4C +:0415B90000021537E0 +:0415BA00020505130E +:0415BB00F09FA06F8E +:0415BC006761808261 +:0415BD005887578371 +:0415BE00F7F7F793B1 +:0415BF0083C107C21B +:0415C00058F71423A1 +:0415C10000021737D6 +:0415C2000EF72023DD +:0415C300676180825A +:0415C400588757836A +:0415C5000807E79399 +:0415C60058F714239B +:0415C70000021737D0 +:0415C8000EF72023D7 +:0415C90036378082AF +:0415CA0005B7002938 +:0415CB001537019C33 +:0415CC000613000200 +:0415CD0085932E06CE +:0415CE000513CC0530 +:0415CF00A06F020502 +:0415D000F06FE35F76 +:0415D1006765F73F14 +:0415D200AE87278336 +:0415D300679DE385A8 +:0415D40097878793DB +:0415D50002F50533E3 +:0415D600C4061151E5 +:0415D700AEA7242374 +:0415D8009C3FA0EFA5 +:0415D900450140A2E6 +:0415DA0080820131D9 +:0415DB0080824505C0 +:0415DC00C4061151DF +:0415DD009D3FA0EF9F +:0415DE00A78367E593 +:0415DF0040A2AE87F1 +:0415E00000F53533AA +:0415E10080820131D2 +:0415E200A42367E5F2 +:0415E3008082AE074D +:0415E4001463470144 +:0415E500450100E6D6 +:0415E60007B3808245 +:0415E700070500E50F +:0415E80000E586B3E1 +:0415E9000007C783AD +:0415EA00FFF6C683BF +:0415EB00FED783E3C1 +:0415EC0040D785332C +:0415ED00C7B380827E +:0415EE008B8D00A53C +:0415EF0000C50733F9 +:0415F000478DE781BB +:0415F10002C7E963E1 +:0415F200716387AAF0 +:0415F300C6830CE5BA +:0415F4000785000562 +:0415F5008FA3058536 +:0415F600EAE3FED74F +:0415F7008082FEE709 +:0415F8000005C683A1 +:0415F90005850785D8 +:0415FA00FED78FA3E6 +:0415FB00FEE7EAE33A +:0415FC000111440293 +:0415FD0076938082DF +:0415FE0087AA003583 +:0415FF00C683CA9144 +:041600000785000555 +:041601008FA3058529 +:04160200F693FED786 +:04160300B7FD0037F8 +:04160400FFC7769313 +:04160500FE06861344 +:0416060006C7F563BB +:04160700C02211717B +:04160800A30349C02F +:04160900A3830005B2 +:04160A00CBC00085CC +:04160B00A0234D804B +:04160C00A3030067CD +:04160D00CF80004545 +:04160E00A2234DC006 +:04160F00A28300674B +:04161000A30300C56B +:0416110085930105B7 +:04161200CFC00245FE +:04161300FFC5A40368 +:041614000077A42394 +:041615000057A623B1 +:041616000067A8239E +:04161700024787936C +:04161800FE87AE2378 +:04161900FAC7EEE33B +:04161A00F8D7F2E328 +:04161B000791419062 +:04161C00AE23059163 +:04161D00BFCDFEC778 +:04161E00079141905F +:04161F00AE23059160 +:04162000EBE3FEC733 +:04162100E4E3FED729 +:041622008082F4E7E7 +:0416230001138082AD +:04162400D6A6F7C18E +:04162500DA86C13E62 +:04162600D8A267E1FE +:04162700DEBADCB695 +:041628004A87A483C6 +:041629000005DC6379 +:04162A0008B007936A +:04162B00557DC09C8D +:04162C00544650D6FA +:04162D00011354B69B +:04162E00808208416D +:04162F00208007937D +:0416300000F118238A +:04163100CA2AC22AD5 +:04163200C199478192 +:04163300FFF58793A5 +:04163400CC3EC63EA4 +:0416350057FD18B491 +:041636008526842E53 +:041637001923004C27 +:04163800C03600F1C7 +:0416390057FD260132 +:04163A0000F55563FF +:04163B0008B0079359 +:04163C00DC5DC09C15 +:04163D00802347922D +:04163E00BF5D000785 +:04163F00167DC60549 +:0416400007B34701A4 +:0416410086B300E587 +:04164200C78300E575 +:04164300C683000753 +:0416440096630006A3 +:04164500046300D763 +:04164600070500C7CD +:041647008533F3FDF7 +:04164800808240D785 +:041649008082450155 +:04164A00CA0987AA98 +:04164B00C703058547 +:04164C000785FFF51A +:04164D008FA3167DD4 +:04164E00FB65FEE753 +:04164F009363963ECD +:04165000808200C7CD +:041651008FA30785D7 +:04165200BFD5FE07FB +:0416530087AA95AA23 +:0416540000B78563F3 +:041655000007C703C0 +:041656008533E701F0 +:04165700808240A7A6 +:04165800B7FD07854E +:04165900A783C5D5C9 +:04165A001151FFC566 +:04165B00C406C222DD +:04165C008413C0260D +:04165D00D363FFC58F +:04165E00943E0007AF +:04165F0000EF84AA6A +:04166000676115F0B9 +:041661005287278302 +:04166200EF81863A54 +:04166300000422233A +:041664005287242362 +:0416650040A2441249 +:04166600448285260F +:04166700006F0131DE +:041668007063141087 +:04166900401402F433 +:04166A0000D407336E +:04166B0000E796639B +:04166C0043DC439880 +:04166D00C0189736D4 +:04166E002423C05C15 +:04166F00BFD9528607 +:0416700043D887BA1A +:041671007DE3C31939 +:041672004394FEE4BB +:0416730000D78633E3 +:0416740000861F636A +:0416750096B24010D9 +:041676008633C39460 +:041677001BE300D79A +:041678004310FAC75A +:0416790096B243588A +:04167A00C3D8C3947A +:04167B007563B76577 +:04167C0047B100C4AE +:04167D00BF79C09CD5 +:04167E0006B340105F +:04167F00166300C42A +:04168000431400D738 +:0416810096B2435882 +:04168200C058C01478 +:04168300B759C3C0D0 +:04168400114180820E +:041685008493C22662 +:0416860098F10035A2 +:04168700C422C606AD +:0416880047B104A1C1 +:0416890004F4FB6307 +:04168A00EA6344B11A +:04168B00C02A04B4B9 +:04168C000AD000EF91 +:04168D0026836761E8 +:04168E0047825287B6 +:04168F005287061365 +:04169000E431843687 +:041691000413646179 +:04169200401852C4E6 +:04169300853EEB01A4 +:04169400C03E45818E +:041695007F8000EF63 +:04169600C0084782BF +:0416970085A6853E61 +:0416980000EFC03E61 +:04169900577D7EA05B +:04169A00156347820B +:04169B00473106E5E8 +:04169C00853EC3982C +:04169D0006B000EFA4 +:04169E00D8E3A029C4 +:04169F0047B1FA0451 +:0416A0004501C11C23 +:0416A100442240B2ED +:0416A200014144922C +:0416A30040188082E9 +:0416A4004E638F05FD +:0416A50045AD020746 +:0416A60000E5F66302 +:0416A700943AC01899 +:0416A800A029C004B1 +:0416A90092634058B0 +:0416AA00C2180286DA +:0416AB0000EF853E89 +:0416AC00051303100F +:0416AD00071300B46B +:0416AE0099610044FA +:0416AF0040E507B358 +:0416B000943ED3F1A0 +:0416B100C0188F09C5 +:0416B200C2D8BF7566 +:0416B30086A2B7C58F +:0416B400BF8540406E +:0416B50000350413E5 +:0416B60003E3987141 +:0416B70005B3FC85F6 +:0416B800853E40A487 +:0416B900279DC03E6B +:0416BA004782577D8F +:0416BB00FAE51AE34F +:0416BC00A303BFBD08 +:0416BD001111008582 +:0416BE00CC06C82668 +:0416BF00C232CA2247 +:0416C000E36384AEAE +:0416C100D6030866DE +:0416C200771300C5D5 +:0416C300CB3D4806CD +:0416C40048C882AAE6 +:0416C5004080470D0D +:0416C60002A707333D +:0416C7004509498CFC +:0416C80040B407B370 +:0416C9004433C03EA8 +:0416CA00851302A7DB +:0416CB00953E001632 +:0416CC0000A47363A0 +:0416CD007613842AE2 +:0416CE00C6364006D6 +:0416CF008516C63D79 +:0416D000C41685A215 +:0416D100ECFFF0EF4B +:0416D20046B242A238 +:0416D300CD2D4731A1 +:0416D400488C4602F6 +:0416D500C42AC63627 +:0416D600C5FFF0EF6D +:0416D70000C4D60372 +:0416D80046B24322B1 +:0416D900B7F67613D7 +:0416DA000806661385 +:0416DB0000C496238E +:0416DC00A823478276 +:0416DD00C8C000641D +:0416DE008C1D933E8E +:0416DF000064A023E0 +:0416E0008336C48009 +:0416E1000066F36349 +:0416E2004592833674 +:0416E300861A40889B +:0416E4002701C01A00 +:0416E50043024498E0 +:0416E6000733450180 +:0416E700C4984067FC +:0416E800933A409859 +:0416E9000064A023D6 +:0416EA008516A80DAC +:0416EB00C416862279 +:0416EC00832A2F0519 +:0416ED0046B242A21D +:0416EE00488CFD45E2 +:0416EF00C016851686 +:0416F000DA5FF0EFDE +:0416F10047314282B9 +:0416F20000E2A0234F +:0416F30000C4D70355 +:0416F4006713557DA6 +:0416F500962304072D +:0416F60040E200E4EA +:0416F70044C2445253 +:0416F800808201717A +:0416F90000C5D7034E +:0416FA00F781011360 +:0416FB00DEA6C12284 +:0416FC0001F107935E +:0416FD00C22AC30634 +:0416FE007713C02E70 +:0416FF0084B20807A2 +:04170000FF87F41358 +:041701004998CB1D1B +:041702000593EB0D53 +:04170300C6360400E2 +:04170400E03FF0EFE3 +:0417050046B247821F +:04170600CB88C38841 +:041707004712E91983 +:04170800557D47B113 +:04170900409AC31C23 +:04170A0054F6440A43 +:04170B00088101133D +:04170C00478280820E +:04170D0004000713BA +:04170E000713CBD81A +:04170F000CA3020025 +:04171000071300E4D7 +:041711002A23030084 +:041712000D2300049F +:04171300CA3600E4EE +:04171400061387260B +:0417150046830250B5 +:04171600C29900076D +:041717000AC6986303 +:0417180040970333C0 +:041719000203026362 +:04171A0045124582AD +:04171B008626869AFE +:04171C00C61AC83AE7 +:04171D00E7FFF0EF03 +:04171E00026356FD0F +:04171F0048541CD539 +:0417200047424332C7 +:04172100C854969A78 +:0417220000074683F3 +:041723001A068963B6 +:041724000017049313 +:041725002023577DA9 +:041726002623000472 +:04172700C0580004A2 +:041728000004242372 +:04172900040401A310 +:04172A0004042C2364 +:04172B000004C5836E +:04172C00000187B77A +:04172D0085134615C5 +:04172E002B7D934735 +:04172F000014871308 +:04173000E539401443 +:041731000106F613A4 +:041732000613C609CB +:0417330001A302000C +:04173400F61304C4E0 +:04173500C60900865B +:0417360002B00613E4 +:0417370004C401A342 +:041738000004C58361 +:0417390002A00613F1 +:04173A0004C58163FE +:04173B008726445465 +:04173C004525458179 +:04173D00460342A974 +:04173E00031300078A +:04173F000613001776 +:041740007963FD06C6 +:04174100C99506C57B +:04174200A805C454DE +:04174300B7A107053E +:04174400000187B762 +:04174500934786132D +:0417460040C5063361 +:04174700153345050C +:041748008EC900C581 +:0417490084BAC0148A +:04174A004652B751FB +:04174B0000460593BC +:04174C00CA2E42104F +:04174D0002064963E4 +:04174E004603C4503A +:04174F0006930007F6 +:04175000116302E03F +:04175100460306D66F +:0417520006930017E3 +:041753001B6302A072 +:0417540046D202D6A1 +:0417550086130709E7 +:041756004294004673 +:04175700C163CA326E +:04175800C054020671 +:041759000633A08132 +:04175A00E69340C012 +:04175B00C450002650 +:04175C00B7E1C0141D +:04175D00025686B3F7 +:04175E00871A45851C +:04175F00BFA596B2DA +:04176000B7C556FDB6 +:041761002223070533 +:0417620045810004B9 +:041763004525468151 +:04176400460342A94D +:041765000313000763 +:04176600061300174F +:041767007763FD06A1 +:04176800F1E106C5E0 +:0417690000074583AD +:04176A00000184B73F +:04176B008513460D8F +:04176C00C63A93C422 +:04176D00473221D10D +:04176E008493CD0192 +:04176F008D0593C48D +:0417700004000693D8 +:0417710000A696B385 +:04177200070540081F +:04177300C0088D55C8 +:0417740000074583A2 +:0417750000018537B3 +:0417760005134619F8 +:04177700049394053E +:041778000C23001727 +:04177900294900B446 +:04177A000737C52D3B +:04177B000713000050 +:04177C00E70D00076E +:04177D00475240147B +:04177E001006F693C8 +:04177F000711CE91EF +:041780004858CA3AC1 +:04178100973E47A2A6 +:04178200B599C858F5 +:04178300025686B3D1 +:04178400871A4585F6 +:04178500BFB596B2A4 +:04178600B7DD0721A3 +:0417870045124602BF +:04178800000166B73F +:0417890086930858E3 +:04178A0085A2AF265F +:04178B0000000097C3 +:04178C00000000E772 +:04178D0047A2C42A81 +:04178E0094E3577D0C +:04178F004782FCE7AA +:04179000D703557DA9 +:04179100771300C703 +:041792001EE3040747 +:041793004848DC07DF +:041794004602BBD975 +:0417950066B74512DC +:0417960008580001EE +:04179700AF26869360 +:041798002A0585A2F7 +:041799001101BFC1BA +:04179A008332CA26A6 +:04179B00459084B63B +:04179C00CC2249947E +:04179D00842ACE06C6 +:04179E00D36387AEDC +:04179F0086B200C648 +:0417A00000D320232F +:0417A1000437C60340 +:0417A2000685C601F1 +:0417A30000D320232C +:0417A400F6934394E1 +:0417A500C6910206E1 +:0417A6000003268393 +:0417A700202306896C +:0417A800A28300D345 +:0417A900839300071F +:0417AA00F29301971E +:0417AB0083630062F2 +:0417AC00439006025E +:0417AD000437C683B4 +:0417AE0002067613A6 +:0417AF0000D036B37D +:0417B0008613EA4171 +:0417B10085A60437CE +:0417B200C41A8522AE +:0417B300C03AC23E38 +:0417B40056FD970245 +:0417B50006D50863EA +:0417B60043224792F1 +:0417B7004394451101 +:0417B8000003260301 +:0417B9008A9947CCF6 +:0417BA00470243019E +:0417BB0000A697638A +:0417BC0040C583336E +:0417BD00000353636F +:0417BE004794430108 +:0417BF0054634B9094 +:0417C0008E9100D630 +:0417C1004281933698 +:0417C200126307E9BE +:0417C3004501065383 +:0417C4000285A81DD5 +:0417C500260347D4DC +:0417C6008E910003FD +:0417C700F8D2DBE396 +:0417C800861E4685AE +:0417C900852285A64A +:0417CA00C63EC81A35 +:0417CB00C21EC41660 +:0417CC009702C03A86 +:0417CD00470256FD7C +:0417CE0042A243925E +:0417CF00434247B298 +:0417D000FCD519E348 +:0417D10040F2557D10 +:0417D20044D2446257 +:0417D30080826105AA +:0417D40000D785B302 +:0417D50003000513F5 +:0417D60004A581A342 +:0417D7000457C5836B +:0417D800001686135E +:0417D9000689963EA9 +:0417DA0004B601A3AD +:0417DB004685BF99E7 +:0417DC0085A6863E1A +:0417DD00C616852285 +:0417DE00C23EC41A29 +:0417DF009702C03A73 +:0417E00001E356FDCE +:0417E10042B2FCD53F +:0417E20047924322C5 +:0417E3004702028532 +:0417E4001101BFAD83 +:0417E500842ECC2260 +:0417E6004603C232C2 +:0417E700CA26018489 +:0417E80084B6CE06EF +:0417E9000693C02A79 +:0417EA00859306E0FD +:0417EB000863043556 +:0417EC00E4631ED6BE +:0417ED00069306C693 +:0417EE00046306305A +:0417EF00ED630AD6C6 +:0417F000046300C6C8 +:0417F1000693200635 +:0417F2000C630580FF +:0417F300031316D6F0 +:0417F40001230424A5 +:0417F500A87904C407 +:0417F6000640069310 +:0417F70000D60663AF +:0417F80006900693BE +:0417F900FED615E320 +:0417FA002303401471 +:0417FB00F6130007DA +:0417FC0005130806C3 +:0417FD00C24900439A +:0417FE00000326833B +:0417FF008637C3085E +:04180000D8630001A8 +:0418010007130006C3 +:0418020006B302D057 +:0418030001A340D02D +:04180400061304E4DF +:041805004729948655 +:041806000693A07D28 +:041807000A63073039 +:04180800EF631AD69A +:04180900069300C67C +:04180A00006306F081 +:04180B00069306D664 +:04180C001EE30700D0 +:04180D004014F8D6B5 +:04180E000206E69355 +:04180F00A809C01450 +:0418100007500693E4 +:0418110004D6036393 +:0418120007800693B2 +:04181300F8D611E30F +:0418140007800693B0 +:041815000001863711 +:0418160004D402A351 +:0418170095C6061359 +:041818004314A0FDD8 +:04181900042403138D +:04181A0000468613EB +:04181B004298C3101C +:04181C0004E40123BC +:04181D00AABD470514 +:04181E000406F613B3 +:04181F000003268319 +:04182000DE35C308E6 +:0418210086C106C2B4 +:041822004008BF9D1E +:041823007293431465 +:04182400831308051D +:041825008663004690 +:041826002023000279 +:041827004294006780 +:041828007513A8018B +:04182900202304056F +:04182A00D975006705 +:04182B000006D6835A +:04182C0006F00513AA +:04182D0000018737F8 +:04182E000CA60D6394 +:04182F009487061381 +:0418300001A34729A0 +:041831004048040423 +:041832004863C4083B +:041833002303000586 +:041834007313000426 +:041835002023FFB3BA +:04183600E2990064CF +:04183700CD11832E1E +:04183800F533832ED3 +:04183900137D02E633 +:04183A00450395329B +:04183B000023000581 +:04183C00D53300A3FD +:04183D00F26302E66A +:04183E0046A10AE6CF +:04183F0000D71E634D +:041840008B054018BC +:041841004054CB1133 +:041842004763481898 +:04184300071300D7B0 +:041844000FA30300EB +:04184500137DFEE32E +:04184600406585B3C1 +:041847004692C80CF1 +:0418480087264502A8 +:0418490085A208105C +:04184A00F0EFC41ADD +:04184B00577DD3DF13 +:04184C0015634322BB +:04184D00557D0CE5D4 +:04184E00446240F2BE +:04184F00610544D219 +:0418500002A38082ED +:04185100863704C40E +:041852000613000178 +:04185300400894862F +:041854000007230363 +:04185500080572937D +:0418560000032683E2 +:041857008163031195 +:041858002023020245 +:04185900771300679A +:04185A00C7010015AD +:04185B00020565130A +:04185C004741C00838 +:04185D004008F6B990 +:04185E00FDF575130C +:04185F00B791C00875 +:041860000405729376 +:0418610000672023D9 +:04186200FC028FE312 +:0418630082C106C276 +:041864000613BFD9CF +:0418650047219487FC +:0418660086AAB72D6A +:041867004010B799DD +:041868004848431495 +:041869000806729368 +:04186A00004683139E +:04186B00000287638D +:04186C0000672023CE +:04186D00C3084298D2 +:04186E002023A80982 +:04186F007613006785 +:041870004298040690 +:041871001023DA6DF9 +:04187200282300A780 +:04187300832E0004BC +:041874004314B7B9A9 +:041875008613458110 +:04187600C310004655 +:041877000006A303C1 +:04187800851A40503D +:041879002849C41A1C +:04187A00C50143223F +:04187B00406505338C +:04187C004058C048C8 +:04187D0001A3C818E3 +:04187E00B715040492 +:04187F004592481432 +:04188000861A45027D +:04188100577D948279 +:04188200F2E507E3A1 +:041883008B09401875 +:041884004742EB15D7 +:0418850051E344489F +:04188600853AF2E5C8 +:041887004592BF3196 +:04188800468545024A +:04188900C63A861ABB +:04188A009482C41A66 +:04188B0004E356FD1F +:04188C004732F0D51A +:04188D0007054322E6 +:04188E004642445436 +:04188F0040E38E9113 +:04189000BFC1FED7FF +:0418910003134701F5 +:04189200B7FD019409 +:04189300C22211510B +:041894006465C026A1 +:04189500852E84AA6E +:041896002623C4063B +:0418970020FDAE047E +:04189800166357FD7F +:04189900278300F5AC +:04189A00C391AEC484 +:04189B0040A2C09C0B +:04189C00448244122C +:04189D008082013113 +:04189E000FF5F593BA +:04189F001463962A0E +:0418A000450100C539 +:0418A1004783808277 +:0418A2008DE30005CD +:0418A3000505FEB782 +:0418A400F363B7FD36 +:0418A50086B304A55D +:0418A6007F6300C597 +:0418A700459302D58E +:0418A8004781FFF67F +:0418A900936317FD31 +:0418AA00808200F543 +:0418AB0000F6873389 +:0418AC0000074303EB +:0418AD0000C78733B6 +:0418AE000023972A52 +:0418AF00B7DD00673A +:0418B00000F5873385 +:0418B1000007468363 +:0418B20000F5073303 +:0418B3000023078582 +:0418B40017E300D75F +:0418B5008082FEF639 +:0418B600BFE54781C2 +:0418B7008082808229 +:0418B80085B2E5818F +:0418B900F2EFF06FEB +:0418BA00C80611311A +:0418BB00C426C62257 +:0418BC00F0EFEA114E +:0418BD004781E72F49 +:0418BE00443240C2AE +:0418BF00853E44A27C +:0418C00080820151D0 +:0418C100C032842E7F +:0418C200203D84AA97 +:0418C30087A24602B0 +:0418C400FEC574E306 +:0418C500852685B23D +:0418C600F0EFC2324B +:0418C70087AAEF8F6E +:0418C8004612DD6186 +:0418C900C02A85A20A +:0418CA00C8EFF0EF84 +:0418CB00852685A247 +:0418CC00E34FF0EF07 +:0418CD00B7C94782CE +:0418CE00FFC5A78328 +:0418CF00FFC78513B7 +:0418D0000007D563D5 +:0418D100419C95AAF7 +:0418D2008082953E3D +:0418D300C4221141D9 +:0418D40027836461A1 +:0418D500C6065304EC +:0418D600832AC22679 +:0418D700530404139F +:0418D8004501EB8556 +:0418D90046014581FE +:0418DA0047014681FB +:0418DB000D60029307 +:0418DC000000007395 +:0418DD005C6384AA1A +:0418DE002881000558 +:0418DF00409004B37E +:0418E000557DC1046D +:0418E100442240B2AB +:0418E20001414492EA +:0418E300C008808237 +:0418E40045814008F2 +:0418E500951A460109 +:0418E60047014681EF +:0418E70002934781A0 +:0418E80000730D601C +:0418E90084AA0000CD +:0418EA000005596339 +:0418EB002831C01AC6 +:0418EC0004B34302FC +:0418ED00C104409062 +:0418EE00401C54FD49 +:0418EF00933E557D52 +:0418F000FC6492E31F +:0418F100853EC0046C +:0418F20067E1BF7576 +:0418F3004A87A50378 +:0418F40000008082EE +:0418F50000000000EF +:0418F60000000000EE +:0418F70000000000ED +:0418F80000000000EC +:0418F900FFFFFFFFEF +:0418FA00FFFFFFFFEE +:0418FB000000FFFFEB +:0418FC000001052CB6 +:0418FD0000010532AF +:0418FE0000010538A8 +:0418FF000001053EA1 +:04190000000104E0FE +:0419010000010500DC +:0419020000010500DB +:0419030000010500DA +:0419040000010500D9 +:0419050000010500D8 +:0419060000010500D7 +:0419070000010504D2 +:041908000001050ACB +:04190900000128A60B +:04190A00000129A20D +:04190B00000129A20C +:04190C00000129B6F7 +:04190D00000129B6F6 +:04190E00000129C2E9 +:04190F00000129C6E4 +:04191000000129D4D5 +:04191100000129D4D4 +:04191200000129ECBB +:0419130000012BB4F0 +:0419140000012BBAE9 +:0419150000012BBAE8 +:0419160000012BB4ED +:0419170000012BBEE2 +:04191800000129ECB5 +:0419190000012BB4EA +:04191A0000012BBAE3 +:04191B0000000001C7 +:04191C0002050300BD +:04191D0000000002C4 +:04191E0000016574EB +:04191F0000016584DA +:0419200000016590CD +:041921000001659CC0 +:04192200000165A8B3 +:04192300000165B4A6 +:04192400000165C099 +:04192500000165CC8C +:04192600000165D87F +:04192700000165E472 +:04192800000165F065 +:0419290074204B4F8C +:04192A006E69206F53 +:04192B0000007469DB +:04192C00736C614631 +:04192D00727420654B +:04192E006567676919 +:04192F000000007242 +:04193000656D695424 +:041931000074756F5A +:04193200252E7525C4 +:041933006D75322E6E +:04193400000000733C +:04193500252E7525C1 +:041936006D75322E6B +:0419370075252F7370 +:04193800322E252EF8 +:0419390000736D7555 +:04193A004353534F71 +:04193B00776620208B +:04193C007525202EBF +:04193D00322E252EF3 +:04193E0000006175CF +:04193F0074696E4910 +:04194000727265203A +:041941002020726F81 +:041942000000642518 +:041943002020202020 +:0419440053204F4E8F +:0419450000434E59B4 +:0419460034313032D6 +:041947003130322DDC +:041948006D202039B5 +:0419490073717261E3 +:04194A000000000099 +:04194B000DC060006B +:04194C0000783C11D2 +:04194D00070180E02E +:04194E000000180E6F +:04194F000000000094 +:041950000D806000A6 +:041951000030180446 +:0419520002014060EE +:041953000000140676 :04195400000000008F -:04195500000000018D -:04195600000000008D -:04195700000000008C -:04195800000000008B -:041959000000010089 -:04195A000101010086 -:04195B000000010087 +:0419550025207325B1 +:041956000063257590 +:04195700252E75259F +:041958006B75322E4B +:0419590025207A4883 +:04195A002E252E7593 +:04195B007A4875321F :04195C000000000087 -:04195D000100000085 -:04195E00060B000074 -:04195F00010000443F +:04195D0074736554E6 +:04195E007461702020 +:04195F006E726574CB :041960000000000083 -:041961000001000081 -:041962008080000C75 -:041963001A1A1A80B2 -:0419640000000A086D -:0419650000012E0A45 -:0419660000012EA0AE -:0419670000012EA8A5 -:0419680000012E98B4 -:0419690000012EA4A7 -:04196A0000012EAC9E -:04196B0000012E9CAD -:04196C0000012EF256 -:04196D0000012EB097 -:04196E0000012EB492 -:04196F0000012EB88D -:0419700000012EF252 -:0419710000012EF251 -:0419720000012EF250 -:0419730000012EF24F -:0419740000012EF24E -:041975000001330C2E -:0419760000012EFC42 -:0419770000012FE854 -:0419780000012FF843 -:041979000001301227 -:04197A0000013050E8 -:04197B000001303403 -:04197C0000013066D0 -:04197D0000013172C2 -:04197E00000131C46F -:04197F00000131E64C -:04198000656E6F44DD +:041961003A31564180 +:041962004247522086 +:04196300000000532D +:041964003A3156417D +:041965007347522052 +:04196600000000423B +:041967003A3156417A +:041968006250592050 +:0419690000007250B8 +:04196A003A32564176 +:04196B00625059204D +:04196C0000007250B5 +:04196D003A32564173 +:04196E007347522049 +:04196F000000004232 +:041970003A3356416F +:041971004247522077 +:0419720000005648D3 +:041973003A3356416C +:041974004247522074 +:04197500000000531B +:041976003A33564169 +:041977007347522040 +:041978000000004229 +:041979003A33564166 +:04197A00625059203E +:04197B0000007250A6 +:04197C007473614CD3 +:04197D0065737520F9 +:04197E000000006401 +:04197F000000000064 +:041980000000000162 :041981000000000062 -:041982006C696146E5 -:041983000000646597 -:04198400666F7250C8 -:041985002075252E76 -:04198600007339258C -:04198700756C342522 -:04198800632563254B -:041989006C25202089 -:04198A0000000075E4 -:04198B00656E694CD0 -:04198C00746C756D95 -:04198D00646F6D20F6 -:04198E0000003A65B6 -:04198F00736572709A -:041990002D31207362 -:04199100000000351D -:04199200207875251F -:0419930075736E7585 -:04199400726F70708E -:041995000064657411 -:04199600666F7250B6 -:0419970020656C69F2 -:0419980064616F6CAB -:041999000000003A10 -:04199A00736572708F -:04199B00752520731B -:04199C000075252D80 -:04199D0000016738A6 -:04199E000001673CA1 -:04199F00000167409C -:0419A0000001674497 -:0419A1000001664497 -:0419A2000001674891 -:0419A3000001674C8C -:0419A4000001675087 -:0419A5000001675482 -:0419A6000001726466 -:0419A700000167587C -:0419A8000001676073 -:0419A900000167646E -:0419AA000001676C65 -:0419AB000001677060 -:0419AC000001677857 -:0419AD00000167804E -:0419AE000001678845 -:0419AF00000167903C -:0419B000000167A02B -:0419B100000167B01A -:0419B200000167C009 -:0419B300000167D0F8 -:0419B400000167E0E7 -:0419B500000167F0D6 -:0419B600000167F8CD -:0419B70000016800C3 -:0419B8003EA93E29DD -:0419B9003EE93E695C -:0419BA003E993E19FB -:0419BB003ED93E597A -:0419BC003EC93E39A9 -:0419BD003E1D3E4D40 -:0419BE003E2D3EED8F -:0419BF003EAD3ECD2E -:0419C0003E653E6DD5 -:0419C1001C483E017F -:0419C2001C501C1881 -:0419C3001CC81CD050 -:0419C4005ED85E5833 -:0419C50000003EB927 -:0419C6007365725083 -:0419C70000000073A9 -:0419C800666E6F4395 -:0419C900006D7269D2 -:0419CA006D73694D83 -:0419CB006863746178 -:0419CC006572202CF4 -:0419CD0000797274B7 -:0419CE0000000031E4 -:0419CF0000000032E2 -:0419D00000000033E0 -:0419D10000000034DE -:0419D20000000036DB -:0419D30000000037D9 -:0419D40000000038D7 -:0419D50000000039D5 -:0419D600554E454DD8 -:0419D700000000000C -:0419D80000004B4F71 -:0419D9004B434142F9 -:0419DA000000000009 -:0419DB000000505563 -:0419DC004E574F44CF -:0419DD000000000006 -:0419DE005446454CDA -:0419DF000000000004 -:0419E00048474952D9 -:0419E10000000054AE -:0419E2004F464E49D5 -:0419E3000000000000 -:0419E4005F44434CCD -:0419E5004B434142ED -:0419E6004847494CD9 -:0419E70000000054A8 -:0419E8004E414353D6 -:0419E900454E494CD2 -:0419EA00444F4D5FBA -:0419EB0000000045B3 -:0419EC004E414353D2 -:0419ED00454E494CCE -:0419EE005059545F99 -:0419EF0000000045AF -:0419F0004E414353CE -:0419F100454E494CCA -:0419F200544E495FA7 -:0419F3000000002BC5 -:0419F4004E414353CA -:0419F500454E494CC6 -:0419F600544E495FA3 -:0419F7000000002DBF -:0419F800454E494CC3 -:0419F900544C554DA8 -:0419FA00444F4D5FAA -:0419FB0000000045A3 -:0419FC0053414850BB -:0419FD0000002B4576 -:0419FE0053414850B9 -:0419FF0000002D4572 -:041A0000464F5250AB -:041A01005F454C49A8 -:041A02004B544F48AA -:041A03000000594541 -:041A04006F72724546 -:041A0500000000726B -:041A060053206F4EAC -:041A070061632044B3 -:041A08006420647280 -:041A0900002E7465D2 -:041A0A0061766E494A -:041A0B002064696C7E -:041A0C006461656844 -:041A0D0000007265FE -:041A0E0061766E4946 -:041A0F002064696C7A -:041A10002072646874 -:041A110000435243F9 -:041A120061766E4942 -:041A13002064696C76 -:041A14006174616434 -:041A150043524320D5 -:041A160000000000CC -:041A17006164705541 -:041A1800632065746E -:041A190065636E6132 -:041A1A0064656C6C27 -:041A1B0000000000C7 -:041A1C0073616C4640 -:041A1D006572206866 -:041A1E00652064617A -:041A1F0000007272DF -:041A200073616C463C -:041A21007265206862 -:041A22002065736167 -:041A23000072726576 -:041A240073616C4638 -:041A2500727720684C -:041A2600206574695A -:041A27000072726572 -:041A280073616C4634 -:041A29006576206856 -:041A2A002066697257 -:041A2B006C6961661B -:041A2C0000000000B6 -:041A2D0061766E4927 -:041A2E002064696C5B -:041A2F0067616D6915 -:041A3000000000654D -:041A31004353534F79 -:041A320000000000B0 -:041A3300696C615623 -:041A3400697461640C -:041A35006420676E54 -:041A36000061746176 -:041A3700622075258F -:041A380073657479E5 -:041A390000000000A9 -:041A3A00252E7525BB -:041A3B002575322EAD -:041A3C00007325739B -:041A3D00616470551B -:041A3E00676E6974F2 -:041A3F0000574620E6 -:041A400061656C7000 -:041A41007720657332 -:041A42002E74696134 -:041A430000002E2E43 -:041A44006972655608 -:041A45006E697966E7 -:041A46006C66206743 -:041A4700006873615F -:041A480061656C70F8 -:041A4900722065732F -:041A4A0061747365EB -:041A4B0000007472B1 -:041A4C0072746552F9 -:041A4D00676E6979DE -:041A4E00647075202B -:041A4F000065746159 -:041A50006164705508 -:041A5100203F657459 -:041A52002C593D319D -:041A53004E3D3220B2 -:041A5400000000008E -:041A55006D726946FF -:041A560065726177DD -:041A57006470752022 -:041A580064657461EC -:041A59000000000089 -:041A5A0000013BACA0 -:041A5B0000013B7CCF -:041A5C0000013B56F4 -:041A5D0000013B6EDB -:041A5E0000013C5EE9 -:041A5F0000013C5EE8 -:041A600000013D2024 -:041A610000013D46FD -:041A620000013D52F0 -:041A630000013D8EB3 -:041A640000013D62DE -:041A650000013C72CE -:041A660000013C72CD -:041A670000013CB688 -:041A680000013CF04D -:041A690000013CF04C -:041A6A00642064256B -:041A6B000000004235 -:041A6C00252E752589 -:041A6D002075322E80 -:041A6E00000073758C -:041A6F006C2075254D -:041A700073656E69C3 -:041A71000000000071 -:041A72006C206F4E27 -:041A7300006B6E692D -:041A7400000184A445 -:041A7500000000026B -:041A760000013A56DB -:041A7700000170F00A -:041A7800000170FCFD -:041A790000017104F3 -:041A7A00702075253E -:041A7B006C657869B5 -:041A7C0000000073F3 -:041A7D00000184A63A -:041A7E000000000E56 -:041A7F0000013A9A8E -:041A8000706D653CE4 -:041A8100003E797436 -:041A8200203A75256C -:041A830000007325C7 -:041A8400252575257A -:041A8500000000005D -:041A860065646956D4 -:041A87006E69206FF5 -:041A88006F727020E9 -:041A89003E20206378 -:041A8A000000000058 -:041A8B00706D6153C6 -:041A8C00676E696CAC -:041A8D0074706F20E2 -:041A8E003E20202EA8 -:041A8F000000000053 -:041A9000636E7953B5 -:041A910074706F20DE -:041A92002020202EC2 -:041A93003E202020B1 -:041A9400000000004E -:041A95007074754FA5 -:041A96006F207475D4 -:041A9700202E747019 -:041A98003E202020AC -:041A99000000000049 -:041A9A006E616353C3 -:041A9B00656E696C9F -:041A9C0074706F20D3 -:041A9D003E20202E99 -:041A9E000000000044 -:041A9F0074736F509D -:041AA0006F72702DC4 -:041AA10020202E6370 -:041AA2003E202020A2 -:041AA300000000003F -:041AA400706D6F43AF -:041AA500626974619D -:041AA60074696C698A -:041AA7003E20207944 -:041AA800000000003A -:041AA90069647541B6 -:041AAA00706F206FCA -:041AAB006E6F69747D -:041AAC003E20207345 -:041AAD000000000035 -:041AAE007474655394 -:041AAF0073676E6982 -:041AB00074706F20BF -:041AB1003E20202093 -:041AB2000000000030 -:041AB300616F4C3CD7 -:041AB40072702064C8 -:041AB5006C69666F83 -:041AB600003E206569 -:041AB7007661533CC5 -:041AB80072702065C3 -:041AB9006C69666F7F -:041ABA00003E206565 -:041ABB007365523CC1 -:041ABC0073207465BA -:041ABD00697474656F -:041ABE003E73676E9E +:041982000000000061 +:041983000000000060 +:04198400000001005E +:04198500010101005B +:04198600000001005C +:04198700000000005C +:04198800010000005A +:04198900060B000049 +:04198A000100004414 +:04198B000000000058 +:04198C000001000056 +:04198D008080000C4A +:04198E001A1A1A8087 +:04198F0000000A0842 +:0419900000012E8C98 +:0419910000012F2200 +:0419920000012F2AF7 +:0419930000012F1A06 +:0419940000012F26F9 +:0419950000012F2EF0 +:0419960000012F1EFF +:0419970000012F74A8 +:0419980000012F32E9 +:0419990000012F36E4 +:04199A0000012F3ADF +:04199B0000012F74A4 +:04199C0000012F74A3 +:04199D0000012F74A2 +:04199E0000012F74A1 +:04199F0000012F74A0 +:0419A0000001338E81 +:0419A10000012F7E94 +:0419A2000001306AA6 +:0419A3000001307A95 +:0419A400000130947A +:0419A500000130D23B +:0419A600000130B656 +:0419A700000130E823 +:0419A800000131F415 +:0419A90000013246C1 +:0419AA00000132689E +:0419AB00656E6F44B2 +:0419AC000000000037 +:0419AD006C696146BA +:0419AE00000064656C +:0419AF00666F72509D +:0419B0002075252E4B +:0419B1000073392561 +:0419B200756C3425F7 +:0419B3006325632520 +:0419B4006C2520205E +:0419B50000000075B9 +:0419B600656E694CA5 +:0419B700746C756D6A +:0419B800646F6D20CB +:0419B90000003A658B +:0419BA00736572706F +:0419BB002D31207337 +:0419BC0000000035F2 +:0419BD0020787525F4 +:0419BE0075736E755A +:0419BF00726F707063 +:0419C00000646574E6 +:0419C100666F72508B +:0419C20020656C69C7 +:0419C30064616F6C80 +:0419C4000000003AE5 +:0419C5007365727064 +:0419C60075252073F0 +:0419C7000075252D55 +:0419C800000167E4CF +:0419C900000167E8CA +:0419CA00000167ECC5 +:0419CB00000167F0C0 +:0419CC00000166F0C0 +:0419CD00000167F4BA +:0419CE00000167F8B5 +:0419CF00000167FCB0 +:0419D00000016800AA +:0419D100000173108E +:0419D20000016804A4 +:0419D3000001680C9B +:0419D4000001681096 +:0419D500000168188D +:0419D6000001681C88 +:0419D700000168247F +:0419D8000001682C76 +:0419D900000168346D +:0419DA000001683C64 +:0419DB000001684C53 +:0419DC000001685C42 +:0419DD000001686C31 +:0419DE000001687C20 +:0419DF000001688C0F +:0419E0000001689CFE +:0419E100000168A4F5 +:0419E200000168ACEC +:0419E3003EA93E29B2 +:0419E4003EE93E6931 +:0419E5003E993E19D0 +:0419E6003ED93E594F +:0419E7003EC93E397E +:0419E8003E1D3E4D15 +:0419E9003E2D3EED64 +:0419EA003EAD3ECD03 +:0419EB003E653E6DAA +:0419EC001C483E0154 +:0419ED001C501C1856 +:0419EE001CC81CD025 +:0419EF005ED85E5808 +:0419F00000003EB9FC +:0419F1007365725058 +:0419F200000000737E +:0419F300666E6F436A +:0419F400006D7269A7 +:0419F5006D73694D58 +:0419F600686374614D +:0419F7006572202CC9 +:0419F800007972748C +:0419F90000000031B9 +:0419FA0000000032B7 +:0419FB0000000033B5 +:0419FC0000000034B3 +:0419FD0000000036B0 +:0419FE0000000037AE +:0419FF0000000038AC +:041A000000000039A9 +:041A0100554E454DAC +:041A020000000000E0 +:041A030000004B4F45 +:041A04004B434142CD +:041A050000000000DD +:041A06000000505537 +:041A07004E574F44A3 +:041A080000000000DA +:041A09005446454CAE +:041A0A0000000000D8 +:041A0B0048474952AD +:041A0C000000005482 +:041A0D004F464E49A9 +:041A0E0000000000D4 +:041A0F005F44434CA1 +:041A10004B434142C1 +:041A11004847494CAD +:041A1200000000547C +:041A13004E414353AA +:041A1400454E494CA6 +:041A1500444F4D5F8E +:041A16000000004587 +:041A17004E414353A6 +:041A1800454E494CA2 +:041A19005059545F6D +:041A1A000000004583 +:041A1B004E414353A2 +:041A1C00454E494C9E +:041A1D00544E495F7B +:041A1E000000002B99 +:041A1F004E4143539E +:041A2000454E494C9A +:041A2100544E495F77 +:041A22000000002D93 +:041A2300454E494C97 +:041A2400544C554D7C +:041A2500444F4D5F7E +:041A26000000004577 +:041A2700534148508F +:041A280000002B454A +:041A2900534148508D +:041A2A0000002D4546 +:041A2B00464F525080 +:041A2C005F454C497D +:041A2D004B544F487F +:041A2E000000594516 +:041A2F006F7272451B +:041A30000000007240 +:041A310053206F4E81 +:041A32006163204488 +:041A33006420647255 +:041A3400002E7465A7 +:041A350061766E491F +:041A36002064696C53 +:041A37006461656819 +:041A380000007265D3 +:041A390061766E491B +:041A3A002064696C4F +:041A3B002072646849 +:041A3C0000435243CE +:041A3D0061766E4917 +:041A3E002064696C4B +:041A3F006174616409 +:041A400043524320AA +:041A410000000000A1 +:041A42006164705516 +:041A43006320657443 +:041A440065636E6107 +:041A450064656C6CFC +:041A4600000000009C +:041A470073616C4615 +:041A4800657220683B +:041A4900652064614F +:041A4A0000007272B4 +:041A4B0073616C4611 +:041A4C007265206837 +:041A4D00206573613C +:041A4E00007272654B +:041A4F0073616C460D +:041A50007277206821 +:041A5100206574692F +:041A52000072726547 +:041A530073616C4609 +:041A5400657620682B +:041A5500206669722C +:041A56006C696166F0 +:041A5700000000008B +:041A580061766E49FC +:041A59002064696C30 +:041A5A0067616D69EA +:041A5B000000006522 +:041A5C004353534F4E +:041A5D000000000085 +:041A5E00696C6156F8 +:041A5F0069746164E1 +:041A60006420676E29 +:041A6100006174614B +:041A62006220752564 +:041A630073657479BA +:041A6400000000007E +:041A6500252E752590 +:041A66002575322E82 +:041A67000073257370 +:041A680061647055F0 +:041A6900676E6974C7 +:041A6A0000574620BB +:041A6B0061656C70D5 +:041A6C007720657307 +:041A6D002E74696109 +:041A6E0000002E2E18 +:041A6F0069726556DD +:041A70006E697966BC +:041A71006C66206718 +:041A72000068736134 +:041A730061656C70CD +:041A74007220657304 +:041A750061747365C0 +:041A76000000747286 +:041A770072746552CE +:041A7800676E6979B3 +:041A79006470752000 +:041A7A00006574612E +:041A7B0061647055DD +:041A7C00203F65742E +:041A7D002C593D3172 +:041A7E004E3D322087 +:041A7F000000000063 +:041A80006D726946D4 +:041A810065726177B2 +:041A820064707520F7 +:041A830064657461C1 +:041A8400000000005E +:041A850000013C2EF2 +:041A860000013BFE22 +:041A870000013BD847 +:041A880000013BF02E +:041A890000013CE03C +:041A8A0000013CE03B +:041A8B0000013DA277 +:041A8C0000013DC850 +:041A8D0000013DD443 +:041A8E0000013E1005 +:041A8F0000013DE431 +:041A900000013CF421 +:041A910000013CF420 +:041A920000013D38DA +:041A930000013D729F +:041A940000013D729E +:041A95006420642540 +:041A9600000000420A +:041A9700252E75255E +:041A98002075322E55 +:041A99000000737561 +:041A9A006C20752522 +:041A9B0073656E6998 +:041A9C000000000046 +:041A9D006C206F4EFC +:041A9E00006B6E6902 +:041A9F000001855469 +:041AA0000000000240 +:041AA10000013AD82E +:041AA2000001719C32 +:041AA300000171A825 +:041AA400000171B01C +:041AA5007020752513 +:041AA6006C6578698A +:041AA70000000073C8 +:041AA800000185565E +:041AA9000000000E2B +:041AAA0000013B1CE0 +:041AAB00706D653CB9 +:041AAC00003E79740B +:041AAD00203A752541 +:041AAE00000073259C +:041AAF00252575254F +:041AB0000000000032 +:041AB10065646956A9 +:041AB2006E69206FCA +:041AB3006F727020BE +:041AB4003E2020634D +:041AB500000000002D +:041AB600706D61539B +:041AB700676E696C81 +:041AB80074706F20B7 +:041AB9003E20202E7D +:041ABA000000000028 +:041ABB00636E79538A +:041ABC0074706F20B3 +:041ABD002020202E97 +:041ABE003E20202086 :041ABF000000000023 -:041AC0006B6E694C94 -:041AC1006F727020B0 -:041AC200693E2D66E6 -:041AC3007475706E58 +:041AC0007074754F7A +:041AC1006F207475A9 +:041AC200202E7470EE +:041AC3003E20202081 :041AC400000000001E -:041AC5006B6E694C8F -:041AC600706E6920B5 -:041AC7003E2D7475C7 -:041AC800666F727063 +:041AC5006E61635398 +:041AC600656E696C74 +:041AC70074706F20A8 +:041AC8003E20202E6E :041AC9000000000019 -:041ACA0074696E4984 -:041ACB00206C6169C1 -:041ACC0075706E695A -:041ACD0000000074A1 -:041ACE006F7475417B -:041ACF006574656471 -:041AD00069207463B2 -:041AD1007475706E4A -:041AD2000000000010 -:041AD3006F74754176 -:041AD4003156412026 -:041AD500472F59201E -:041AD6000000007399 -:041AD7006F74754172 -:041AD8003256412021 -:041AD900472F59201A -:041ADA000000007395 -:041ADB006F7475416E -:041ADC00335641201C -:041ADD00472F592016 -:041ADE000000007391 -:041ADF002044434C10 -:041AE00074204C42E0 -:041AE1006F656D6957 -:041AE2000000747517 -:041AE3002044534FF9 -:041AE40062616E6568 -:041AE5000000656C2C -:041AE6002044534FF6 -:041AE700746174733F -:041AE800642073758E -:041AE9002E7073697F +:041ACA0074736F5072 +:041ACB006F72702D99 +:041ACC0020202E6345 +:041ACD003E20202077 +:041ACE000000000014 +:041ACF00706D6F4384 +:041AD0006269746172 +:041AD10074696C695F +:041AD2003E20207919 +:041AD300000000000F +:041AD400696475418B +:041AD500706F206F9F +:041AD6006E6F697452 +:041AD7003E2020731A +:041AD800000000000A +:041AD9007474655369 +:041ADA0073676E6957 +:041ADB0074706F2094 +:041ADC003E20202068 +:041ADD000000000005 +:041ADE00616F4C3CAC +:041ADF00727020649D +:041AE0006C69666F58 +:041AE100003E20653E +:041AE2007661533C9A +:041AE3007270206598 +:041AE4006C69666F54 +:041AE500003E20653A +:041AE6007365523C96 +:041AE700732074658F +:041AE8006974746544 +:041AE9003E73676E73 :041AEA0000000000F8 -:041AEB00706D493C95 -:041AEC002074726F81 -:041AED007474657335 -:041AEE003E20202E48 +:041AEB006B6E694C69 +:041AEC006F72702085 +:041AED00693E2D66BB +:041AEE007475706E2D :041AEF0000000000F3 -:041AF0002E77463CCB -:041AF1006470752088 -:041AF2002065746196 -:041AF3003E20202051 +:041AF0006B6E694C64 +:041AF100706E69208A +:041AF2003E2D74759C +:041AF300666F727038 :041AF40000000000EE -:041AF5006E776F4455 -:041AF6006D61732D7E -:041AF7006E696C7038 -:041AF8000000006783 -:041AF900706177534E -:041AFA0066656C2091 -:041AFB0069722F7469 -:041AFC0000746867A3 -:041AFD002D65725091 -:041AFE0020434441FC -:041AFF006E69616744 -:041B000000000000E1 -:041B01006C6C75464D -:041B020020585420F3 -:041B0300757465731D -:041B0400000000706D -:041B050020335641F2 -:041B060065746E692B -:041B070063616C7238 -:041B0800786966652D -:041B090000000000D8 -:041B0A0020335641ED -:041B0B002065737569 -:041B0C0020315641ED -:041B0D0000424752F9 -:041B0E006166654463 -:041B0F0020746C755D -:041B1000494D4448AF -:041B110043495620CE -:041B120000000000CF -:041B1300616E61504E -:041B1400696E6F7314 -:041B15006168206380 -:041B160000006B63FD -:041B170069726F4838 -:041B1800746E6F7AFE -:041B19006D206C616E -:041B1A00006B736188 -:041B1B007472655625 -:041B1C006C6163692C -:041B1D0073616D2063 -:041B1E000000006B58 -:041B1F006B73614D36 -:041B20006C6F632063 -:041B21000000726FDF -:041B22006B73614D33 -:041B23006972622061 -:041B24006E7468670C -:041B25000073736571 -:041B26006576655229 -:041B27002065737250 -:041B28000046504CD7 -:041B29005949443C96 -:041B2A0074616C2056 -:041B2B006574202E8F -:041B2C00003E747390 -:041B2D006E6163532F -:041B2E00656E696C0B -:041B2F00000000733F -:041B3000202E6C53A4 -:041B310065727473F2 -:041B32006874676EFE -:041B330000000000AE -:041B3400202E6C53A0 -:041B350072627968F7 -:041B3600732064694B -:041B3700002E727496 -:041B3800202E6C539C -:041B39006874656DFA -:041B3A000000646FD4 -:041B3B00202E6C5399 -:041B3C0065746C61FF -:041B3D0074616E72EF -:041B3E0000676E6965 -:041B3F00202E6C5395 -:041B400067696C6104 -:041B41006E656D6EF2 -:041B4200000000742B -:041B4300202E6C5391 -:041B440020746C613C -:041B450065746E69EC -:041B46006C617672E6 -:041B4700000000009A -:041B4800202E6C538C -:041B490065707974D6 -:041B4A000000000097 -:041B4B004320203CD7 -:041B4C006F747375CA -:041B4D006C53206D48 -:041B4E003E20202EE7 -:041B4F000000000092 -:041B5000703034328B -:041B51003838322FBF -:041B5200727020701D -:041B53000000636FBC -:041B5400703438337E -:041B55003030342FC9 -:041B56007270207019 -:041B57000000636FB8 -:041B58006930383484 -:041B59003637352FB7 -:041B5A00727020691C -:041B5B000000636FB4 -:041B5C007030383479 -:041B5D003637352FB3 -:041B5E007270207011 -:041B5F000000636FB0 -:041B60006930363979 -:041B61003830312FB8 -:041B62007020693056 -:041B630000636F723A -:041B6400656E694CF5 -:041B65006D20783245 -:041B66000065646F43 -:041B6700656E694CF2 -:041B68006D20783341 -:041B69000065646F40 -:041B6A00656E694CEF -:041B6B006D2078343D -:041B6C000065646F3D -:041B6D00656E694CEC -:041B6E006D20783539 -:041B6F000065646F3A -:041B7000656E694CE9 -:041B7100662078353D -:041B7200616D726FC0 -:041B730000000074FA -:041B74007836353258 -:041B750020303432B6 -:041B760065707361C2 -:041B77000000746393 -:041B78006D20585430 -:041B79000065646F30 -:041B7A00494D444845 -:041B7B004354492066 -:041B7C000000000065 -:041B7D006C616E41E8 -:041B7E007320676FFA -:041B7F0020636E79F8 -:041B80000046504C7F -:041B81006C616E41E4 -:041B82007320676FF6 -:041B830020636E79F4 -:041B8400006874562B -:041B85006E797348BA -:041B86006F742063F5 -:041B87006172656CB6 -:041B88000065636E23 -:041B89006E797356A8 -:041B8A0068742063F8 -:041B8B0068736572A4 -:041B8C0000646C6F16 -:041B8D004C502D4843 -:041B8E007250204C25 -:041B8F006F432D650E -:041B90000074736109 -:041B91004C502D483F -:041B92006F50204C24 -:041B9300432D7473F7 -:041B94007473616F96 -:041B9500000000004C -:041B9600703038343F -:041B9700206E692033 -:041B9800706D617398 -:041B99000072656C05 -:041B9A007030303443 -:041B9B00206E69202F -:041B9C00706D617394 -:041B9D000072656C01 -:041B9E006F6C6C41BB -:041B9F005654207701 -:041BA0005048205039 -:041BA10078324C4CFE -:041BA200000000003F -:041BA3006F6C6C41B6 -:041BA40070752077C1 -:041BA500706D61738B -:041BA6007832656CC0 +:041AF50074696E4959 +:041AF600206C616996 +:041AF70075706E692F +:041AF8000000007476 +:041AF9006F74754150 +:041AFA006574656446 +:041AFB006920746387 +:041AFC007475706E1F +:041AFD0000000000E5 +:041AFE006F7475414B +:041AFF0031564120FB +:041B0000472F5920F2 +:041B0100000000736D +:041B02006F74754146 +:041B030032564120F5 +:041B0400472F5920EE +:041B05000000007369 +:041B06006F74754142 +:041B070033564120F0 +:041B0800472F5920EA +:041B09000000007365 +:041B0A002044434CE4 +:041B0B0074204C42B4 +:041B0C006F656D692B +:041B0D0000007475EB +:041B0E002044534FCD +:041B0F0062616E653C +:041B10000000656C00 +:041B11002044534FCA +:041B12007461747313 +:041B13006420737562 +:041B14002E70736953 +:041B150000000000CC +:041B1600706D493C69 +:041B17002074726F55 +:041B18007474657309 +:041B19003E20202E1C +:041B1A0000000000C7 +:041B1B002E77463C9F +:041B1C00647075205C +:041B1D00206574616A +:041B1E003E20202025 +:041B1F0000000000C2 +:041B20006E776F4429 +:041B21006D61732D52 +:041B22006E696C700C +:041B23000000006757 +:041B24007061775322 +:041B250066656C2065 +:041B260069722F743D +:041B27000074686777 +:041B28002D65725065 +:041B290020434441D0 +:041B2A006E69616718 +:041B2B0000000000B6 +:041B2C006C6C754622 +:041B2D0020585420C8 +:041B2E0075746573F2 +:041B2F000000007042 +:041B300020335641C7 +:041B310065746E6900 +:041B320063616C720D +:041B33007869666502 +:041B340000000000AD +:041B350020335641C2 +:041B3600206573753E +:041B370020315641C2 +:041B380000424752CE +:041B39006166654438 +:041B3A0020746C7532 +:041B3B00494D444884 +:041B3C0043495620A3 +:041B3D0000000000A4 +:041B3E00616E615023 +:041B3F00696E6F73E9 +:041B40006168206355 +:041B410000006B63D2 +:041B420069726F480D +:041B4300746E6F7AD3 +:041B44006D206C6143 +:041B4500006B73615D +:041B460074726556FA +:041B47006C61636901 +:041B480073616D2038 +:041B49000000006B2D +:041B4A006B73614D0B +:041B4B006C6F632038 +:041B4C000000726FB4 +:041B4D006B73614D08 +:041B4E006972622036 +:041B4F006E746867E1 +:041B50000073736546 +:041B510065766552FE +:041B52002065737225 +:041B53000046504CAC +:041B54005949443C6B +:041B550074616C202B +:041B56006574202E64 +:041B5700003E747365 +:041B58006E61635304 +:041B5900656E696CE0 +:041B5A000000007314 +:041B5B00202E6C5379 +:041B5C0065727473C7 +:041B5D006874676ED3 +:041B5E000000000083 +:041B5F00202E6C5375 +:041B600072627968CC +:041B61007320646920 +:041B6200002E72746B +:041B6300202E6C5371 +:041B64006874656DCF +:041B65000000646FA9 +:041B6600202E6C536E +:041B670065746C61D4 +:041B680074616E72C4 +:041B690000676E693A +:041B6A00202E6C536A +:041B6B0067696C61D9 +:041B6C006E656D6EC7 +:041B6D000000007400 +:041B6E00202E6C5366 +:041B6F0020746C6111 +:041B700065746E69C1 +:041B71006C617672BB +:041B7200000000006F +:041B7300202E6C5361 +:041B740065707974AB +:041B7500000000006C +:041B76004320203CAC +:041B77006F7473759F +:041B78006C53206D1D +:041B79003E20202EBC +:041B7A000000000067 +:041B7B007030343260 +:041B7C003838322F94 +:041B7D0072702070F2 +:041B7E000000636F91 +:041B7F007034383353 +:041B80003030342F9E +:041B810072702070EE +:041B82000000636F8D +:041B83006930383459 +:041B84003637352F8C +:041B850072702069F1 +:041B86000000636F89 +:041B8700703038344E +:041B88003637352F88 +:041B890072702070E6 +:041B8A000000636F85 +:041B8B00693036394E +:041B8C003830312F8D +:041B8D00702069302B +:041B8E0000636F720F +:041B8F00656E694CCA +:041B90006D2078321A +:041B91000065646F18 +:041B9200656E694CC7 +:041B93006D20783316 +:041B94000065646F15 +:041B9500656E694CC4 +:041B96006D20783412 +:041B97000065646F12 +:041B9800656E694CC1 +:041B99006D2078350E +:041B9A000065646F0F +:041B9B00656E694CBE +:041B9C006620783512 +:041B9D00616D726F95 +:041B9E0000000074CF +:041B9F00783635322D +:041BA000203034328B +:041BA1006570736197 +:041BA2000000746368 +:041BA3006D20585405 +:041BA4000065646F05 +:041BA500494D44481A +:041BA600435449203B :041BA700000000003A -:041BA8007664413CE2 -:041BA9006974202E0D -:041BAA00676E696D8C -:041BAB003E20202098 -:041BAC000000000035 -:041BAD0065646956AC -:041BAE00504C206F08 -:041BAF0000000046EC -:041BB00050625059D6 -:041BB1006E692072C7 -:041BB2006C6F4320F1 -:041BB300006170530A -:041BB40072502F52EA -:041BB50066666F20D1 -:041BB60000746573DF -:041BB70020592F473B -:041BB8007366666F7B -:041BB900000074654F -:041BBA0062502F4204 -:041BBB0066666F20CB -:041BBC0000746573D9 -:041BBD0072502F52E1 -:041BBE0069616720D2 -:041BBF000000006EB4 -:041BC00020592F4732 -:041BC1006E69616781 -:041BC200000000001F -:041BC30062502F42FB -:041BC40069616720CC -:041BC5000000006EAE -:041BC6002D657250C7 -:041BC7002043444132 -:041BC8006E6961479A -:041BC9000000000018 -:041BCA002D627553C0 -:041BCB00656E696C6E -:041BCC007320312031 -:041BCD00000072742E -:041BCE002D627553BC -:041BCF00656E696C6A -:041BD000732032202C -:041BD100000072742A -:041BD2002D627553B8 -:041BD300656E696C66 -:041BD4007320332027 -:041BD5000000727426 -:041BD6002D627553B4 -:041BD700656E696C62 -:041BD8007320342022 -:041BD9000000727422 -:041BDA002D627553B0 -:041BDB00656E696C5E -:041BDC00732035201D -:041BDD00000072741E -:041BDE002D627553AC -:041BDF00756C6F634F -:041BE00031206E6DD5 -:041BE1007274732087 -:041BE20000000000FF -:041BE3002D627553A7 -:041BE400756C6F634A -:041BE50032206E6DCF -:041BE6007274732082 -:041BE70000000000FA -:041BE8002D627553A2 -:041BE900756C6F6345 -:041BEA0033206E6DC9 -:041BEB00727473207D -:041BEC0000000000F5 -:041BED002D6275539D -:041BEE00756C6F6340 -:041BEF0034206E6DC3 -:041BF0007274732078 -:041BF10000000000F0 -:041BF2002D62755398 -:041BF300756C6F633B -:041BF40035206E6DBD -:041BF5007274732073 -:041BF60000000000EB -:041BF7002D62755393 -:041BF800756C6F6336 -:041BF90036206E6DB7 -:041BFA00727473206E -:041BFB0000000000E6 -:041BFC0073202E48DC -:041BFD006C706D613A -:041BFE007461726537 -:041BFF00000000657D -:041C000073202E48D7 -:041C01007461722E6A -:041C02006461206594 -:041C03000000006A73 -:041C040073202E48D3 -:041C05006C636E7925 -:041C060000006E6507 -:041C070062202E48E1 -:041C0800706B636139 -:041C09006863726F2B -:041C0A0000000000D6 -:041C0B0061202E48DE -:041C0C00766974631E -:041C0D00000000656E -:041C0E0073202E56BB -:041C0F006C636E791B -:041C100000006E65FD -:041C110062202E56C9 -:041C1200706B63612F -:041C13006863726F21 -:041C140000000000CC -:041C150061202E56C6 -:041C16007669746314 -:041C17000000006564 -:041C1800706D615337 -:041C1900676E696C1D -:041C1A00616870206D -:041C1B0000006573ED -:041C1C0063616C4252 -:041C1D000000006B58 -:041C1E0065756C423A -:041C1F0000000000C1 -:041C2000656572473D -:041C21000000006E51 -:041C22006E61794333 -:041C230000000000BD -:041C240000646552A1 -:041C25006567614D41 -:041C26000061746E77 -:041C27006C6C655923 -:041C28000000776FD2 -:041C2900746968571B +:041BA8006C616E41BD +:041BA9007320676FCF +:041BAA0020636E79CD +:041BAB000046504C54 +:041BAC006C616E41B9 +:041BAD007320676FCB +:041BAE0020636E79C9 +:041BAF000068745600 +:041BB0006E7973488F +:041BB1006F742063CA +:041BB2006172656C8B +:041BB3000065636EF8 +:041BB4006E7973567D +:041BB50068742063CD +:041BB6006873657279 +:041BB70000646C6FEB +:041BB8004C502D4818 +:041BB9007250204CFA +:041BBA006F432D65E3 +:041BBB0000747361DE +:041BBC004C502D4814 +:041BBD006F50204CF9 +:041BBE00432D7473CC +:041BBF007473616F6B +:041BC0000000000021 +:041BC1007030383414 +:041BC200206E692008 +:041BC300706D61736D +:041BC4000072656CDA +:041BC5007030303418 +:041BC600206E692004 +:041BC700706D617369 +:041BC8000072656CD6 +:041BC9006F6C6C4190 +:041BCA0056542077D6 +:041BCB00504820500E +:041BCC0078324C4CD3 +:041BCD000000000014 +:041BCE006F6C6C418B +:041BCF007075207796 +:041BD000706D617360 +:041BD1007832656C95 +:041BD200000000000F +:041BD3007664413CB7 +:041BD4006974202EE2 +:041BD500676E696D61 +:041BD6003E2020206D +:041BD700000000000A +:041BD8006564695681 +:041BD900504C206FDD +:041BDA0000000046C1 +:041BDB0050625059AB +:041BDC006E6920729C +:041BDD006C6F4320C6 +:041BDE0000617053DF +:041BDF0072502F52BF +:041BE00066666F20A6 +:041BE10000746573B4 +:041BE20020592F4710 +:041BE3007366666F50 +:041BE4000000746524 +:041BE50062502F42D9 +:041BE60066666F20A0 +:041BE70000746573AE +:041BE80072502F52B6 +:041BE90069616720A7 +:041BEA000000006E89 +:041BEB0020592F4707 +:041BEC006E69616756 +:041BED0000000000F4 +:041BEE0062502F42D0 +:041BEF0069616720A1 +:041BF0000000006E83 +:041BF1002D6572509C +:041BF2002043444107 +:041BF3006E6961476F +:041BF40000000000ED +:041BF5002D62755395 +:041BF600656E696C43 +:041BF7007320312006 +:041BF8000000727403 +:041BF9002D62755391 +:041BFA00656E696C3F +:041BFB007320322001 +:041BFC0000007274FF +:041BFD002D6275538D +:041BFE00656E696C3B +:041BFF0073203320FC +:041C000000007274FA +:041C01002D62755388 +:041C0200656E696C36 +:041C030073203420F6 +:041C040000007274F6 +:041C05002D62755384 +:041C0600656E696C32 +:041C070073203520F1 +:041C080000007274F2 +:041C09002D62755380 +:041C0A00756C6F6323 +:041C0B0031206E6DA9 +:041C0C00727473205B +:041C0D0000000000D3 +:041C0E002D6275537B +:041C0F00756C6F631E +:041C100032206E6DA3 +:041C11007274732056 +:041C120000000000CE +:041C13002D62755376 +:041C1400756C6F6319 +:041C150033206E6D9D +:041C16007274732051 +:041C170000000000C9 +:041C18002D62755371 +:041C1900756C6F6314 +:041C1A0034206E6D97 +:041C1B00727473204C +:041C1C0000000000C4 +:041C1D002D6275536C +:041C1E00756C6F630F +:041C1F0035206E6D91 +:041C20007274732047 +:041C210000000000BF +:041C22002D62755367 +:041C2300756C6F630A +:041C240036206E6D8B +:041C25007274732042 +:041C260000000000BA +:041C270073202E48B0 +:041C28006C706D610E +:041C2900746172650B :041C2A000000006551 -:041C2B000066664F9A -:041C2C007272754318 -:041C2D0020746E654C -:041C2E0075706E69F6 -:041C2F00000000743D -:041C3000206C6C4177 -:041C310075706E69F3 -:041C320000007374C7 -:041C3300427347525F -:041C340000000000AC -:041C35005062505950 -:041C36000000007238 -:041C37000000733204 -:041C38000000733500 -:041C390000733031D3 -:041C3A000000733300 -:041C3B0000733033CF -:041C3C002D706F5444 -:041C3D007466656CF8 -:041C3E0000000000A2 -:041C3F00746E654317 -:041C400000007265C9 -:041C410074746F4206 -:041C4200722D6D6F23 -:041C430074686769F1 -:041C4400000000009C -:041C45002066664F60 -:041C46002073662879 -:041C47003639203DCD -:041C4800297A486B42 -:041C49000000000097 -:041C4A0020207832AC -:041C4B002073662874 -:041C4C003834203DCB -:041C4D00297A486B3D +:041C2B0073202E48AC +:041C2C007461722E3F +:041C2D006461206569 +:041C2E000000006A48 +:041C2F0073202E48A8 +:041C30006C636E79FA +:041C310000006E65DC +:041C320062202E48B6 +:041C3300706B63610E +:041C34006863726F00 +:041C350000000000AB +:041C360061202E48B3 +:041C370076697463F3 +:041C38000000006543 +:041C390073202E5690 +:041C3A006C636E79F0 +:041C3B0000006E65D2 +:041C3C0062202E569E +:041C3D00706B636104 +:041C3E006863726FF6 +:041C3F0000000000A1 +:041C400061202E569B +:041C410076697463E9 +:041C42000000006539 +:041C4300706D61530C +:041C4400676E696CF2 +:041C45006168702042 +:041C460000006573C2 +:041C470063616C4227 +:041C48000000006B2D +:041C490065756C420F +:041C4A000000000096 +:041C4B006565724712 +:041C4C000000006E26 +:041C4D006E61794308 :041C4E000000000092 -:041C4F0000706F545E -:041C500074746F42F7 -:041C510000006D6FB3 -:041C520069726F48FC -:041C5300746E6F7AC2 -:041C540000006C61BF -:041C550074726556EA -:041C56006C616369F1 -:041C57000000000089 -:041C580069726F48F6 -:041C59002B202E7A94 -:041C5A007265562039 -:041C5B0000002E74E3 -:041C5C0074737543E5 -:041C5D0000006D6FA7 -:041C5E00746C754DE0 -:041C5F00696C7069D3 -:041C600069746163DF -:041C610000006E6FA2 -:041C620074627553E0 -:041C630074636172D3 -:041C6400006E6F6936 -:041C65006F747541E2 -:041C6600000000007A -:041C670000006E4FBC -:041C6800494D444856 -:041C69004752282096 -:041C6A00000029420B -:041C6B00494D444853 -:041C6C004359282090 -:041C6D003472436228 -:041C6E0000293434E1 -:041C6F00004956448E -:041C700000373A38C7 -:041C710073736150D8 -:041C720075726874AB -:041C7300000000006D -:041C7400656E694CE4 -:041C75002820783279 -:041C760029626F620E -:041C77000000000069 -:041C7800656E694CE0 -:041C790000007832BD -:041C7A00656E694CDE -:041C7B003220783269 -:041C7C003378303455 -:041C7D0000003036FD -:041C7E00656E694CDA -:041C7F003220783364 -:041C80003378303451 -:041C810000003036F9 -:041C8200656E694CD6 -:041C8300472078334B -:041C840072656E65B2 -:041C8500000063698F -:041C8600656E694CD2 -:041C87002820783366 -:041C88006563616CC3 -:041C890000002964CA -:041C8A00656E694CCE -:041C8B002820783461 -:041C8C0029626F62F8 -:041C8D000000000053 -:041C8E00656E694CCA -:041C8F0000007833A6 -:041C9000656E694CC8 -:041C910000007834A3 -:041C9200656E694CC6 -:041C930000007835A0 -:041C94003032393180 -:041C9500383031783A -:041C9600000000301A -:041C97003030363182 -:041C9800303231783D -:041C99000000003017 -:041C9A00303239317A -:041C9B00303231783A -:041C9C000000003014 -:041C9D00656E6547C4 -:041C9E0020636972E4 -:041C9F0000333A34A0 -:041CA0007832313530 -:041CA1002030343289 -:041CA2006974706F82 -:041CA30000002E6DA2 -:041CA4007834383325 -:041CA5002030343285 -:041CA6006974706F7E -:041CA70000002E6D9E -:041CA800783032332B -:041CA9002030343281 -:041CAA006974706F7A -:041CAB0000002E6D9A -:041CAC00783635321F -:041CAD00203034327D -:041CAE006974706F76 -:041CAF0000002E6D96 -:041CB000656E6547B1 -:041CB10020636972D1 -:041CB200393A363154 -:041CB300000000002D -:041CB4004D352E324A -:041CB50028207A4821 -:041CB6002978616DBB -:041CB7000000000029 -:041CB800484D303132 -:041CB9006D28207AF8 -:041CBA000029646534 -:041CBB00484D33332A -:041CBC006D28207AF5 -:041CBD0000296E6923 -:041CBE002041475624 -:041CBF00783034360F -:041CC000403030344C -:041CC10000003037B8 -:041CC2002041475620 -:041CC300783032370C -:041CC4004030303448 -:041CC50000003037B4 -:041CC600205654440C -:041CC700703038340D -:041CC8000000000018 -:041CC90041534556E8 -:041CCA00303436205C -:041CCB003038347801 -:041CCC00003036406E -:041CCD002E636552CB -:041CCE00313036205B -:041CCF000000000011 -:041CD0002E636552C8 -:041CD100393037204F -:041CD200000000000E -:041CD300484D35390A -:041CD4004828207A02 -:041CD50020565444FD -:041CD600002949494F -:041CD700484D35330C -:041CD8004828207AFE -:041CD90020565444F9 -:041CDA000000294994 -:041CDB00484D363109 -:041CDC004528207AFD -:041CDD0029565444EC +:041C4F000064655276 +:041C50006567614D16 +:041C51000061746E4C +:041C52006C6C6559F8 +:041C53000000776FA7 +:041C540074696857F0 +:041C55000000006526 +:041C56000066664F6F +:041C570072727543ED +:041C580020746E6521 +:041C590075706E69CB +:041C5A000000007412 +:041C5B00206C6C414C +:041C5C0075706E69C8 +:041C5D00000073749C +:041C5E004273475234 +:041C5F000000000081 +:041C60005062505925 +:041C6100000000720D +:041C620000007332D9 +:041C630000007335D5 +:041C640000733031A8 +:041C650000007333D5 +:041C660000733033A4 +:041C67002D706F5419 +:041C68007466656CCD +:041C69000000000077 +:041C6A00746E6543EC +:041C6B00000072659E +:041C6C0074746F42DB +:041C6D00722D6D6FF8 +:041C6E0074686769C6 +:041C6F000000000071 +:041C70002066664F35 +:041C7100207366284E +:041C72003639203DA2 +:041C7300297A486B17 +:041C7400000000006C +:041C75002020783281 +:041C76002073662849 +:041C77003834203DA0 +:041C7800297A486B12 +:041C79000000000067 +:041C7A0000706F5433 +:041C7B0074746F42CC +:041C7C0000006D6F88 +:041C7D0069726F48D1 +:041C7E00746E6F7A97 +:041C7F0000006C6194 +:041C800074726556BF +:041C81006C616369C6 +:041C8200000000005E +:041C830069726F48CB +:041C84002B202E7A69 +:041C8500726556200E +:041C860000002E74B8 +:041C870074737543BA +:041C880000006D6F7C +:041C8900746C754DB5 +:041C8A00696C7069A8 +:041C8B0069746163B4 +:041C8C0000006E6F77 +:041C8D0074627553B5 +:041C8E0074636172A8 +:041C8F00006E6F690B +:041C90006F747541B7 +:041C9100000000004F +:041C920000006E4F91 +:041C9300494D44482B +:041C9400475228206B +:041C950000002942E0 +:041C9600494D444828 +:041C97004359282065 +:041C980034724362FD +:041C990000293434B6 +:041C9A000049564463 +:041C9B0000373A389C +:041C9C0073736150AD +:041C9D007572687480 +:041C9E000000000042 +:041C9F00656E694CB9 +:041CA000282078324E +:041CA10029626F62E3 +:041CA200000000003E +:041CA300656E694CB5 +:041CA4000000783292 +:041CA500656E694CB3 +:041CA600322078323E +:041CA700337830342A +:041CA80000003036D2 +:041CA900656E694CAF +:041CAA003220783339 +:041CAB003378303426 +:041CAC0000003036CE +:041CAD00656E694CAB +:041CAE004720783320 +:041CAF0072656E6587 +:041CB0000000636964 +:041CB100656E694CA7 +:041CB200282078333B +:041CB3006563616C98 +:041CB400000029649F +:041CB500656E694CA3 +:041CB6002820783436 +:041CB70029626F62CD +:041CB8000000000028 +:041CB900656E694C9F +:041CBA00000078337B +:041CBB00656E694C9D +:041CBC000000783478 +:041CBD00656E694C9B +:041CBE000000783575 +:041CBF003032393155 +:041CC000383031780F +:041CC10000000030EF +:041CC2003030363157 +:041CC3003032317812 +:041CC40000000030EC +:041CC500303239314F +:041CC600303231780F +:041CC70000000030E9 +:041CC800656E654799 +:041CC90020636972B9 +:041CCA0000333A3475 +:041CCB007832313505 +:041CCC00203034325E +:041CCD006974706F57 +:041CCE0000002E6D77 +:041CCF0078343833FA +:041CD000203034325A +:041CD1006974706F53 +:041CD20000002E6D73 +:041CD3007830323300 +:041CD4002030343256 +:041CD5006974706F4F +:041CD60000002E6D6F +:041CD70078363532F4 +:041CD8002030343252 +:041CD9006974706F4B +:041CDA0000002E6D6B +:041CDB00656E654786 +:041CDC0020636972A6 +:041CDD00393A363129 :041CDE000000000002 -:041CDF007A484D39B9 -:041CE0004453282021 -:041CE100002956542C -:041CE2006D206425E8 -:041CE30000000056A7 -:041CE400202020207C -:041CE5000000752561 -:041CE6000001860A69 -:041CE70000000024D5 -:041CE80000013A3C81 -:041CE90064206425EA -:041CEA00000067652A -:041CEB00252E752508 -:041CEC000075322E1F -:041CED0065206425E5 -:041CEE006972746E35 -:041CEF000000736519 -:041CF0006F706D693B -:041CF1006465747240 -:041CF20000000000EE -:041CF3006F706D4958 -:041CF400203F7472A7 -:041CF5002C593D31F8 -:041CF6004E3D32200D -:041CF70000000000E9 -:041CF80064616F4C68 -:041CF90020676E6989 -:041CFA007474657326 -:041CFB0073676E6934 -:041CFC0000000000E4 -:041CFD0044525355A5 -:041CFE00004154410C -:041CFF006573753C58 -:041D000000003E643D -:041D01000001733436 -:041D020000002000BD -:041D030020002CE5AB -:041D0400E926F4FDDB -:041D050038BC2000C6 -:041D060000000000D9 -:041D07000001734024 -:041D080000002000B7 -:041D09002000323E46 -:041D0A00F113FA04D3 -:041D0B003B61200018 -:041D0C0000000000D3 -:041D0D0000014FC0C2 -:041D0E0000014FC0C1 -:041D0F0000014F265A -:041D100000014FB6C9 -:041D1100000150B4C9 -:041D120000014FC0BD -:041D130078303237BB -:041D14000030303437 -:041D150078303436B8 -:041D16000030303435 -:041D17003030363101 -:041D180030343278B9 -:041D1900C8000000FE -:041D1A0007FE00F0D0 -:041D1B000FCA20C00B -:041D1C0032100396E8 -:041D1D0000200002A0 -:041D1E0030383231F6 -:041D1F0030343278B2 -:041D2000A00000001F -:041D2100061800F0B0 -:041D22000FAA20C024 -:041D2300321003482F -:041D240000010202B6 -:041D250078303639A3 -:041D26000030343223 -:041D27007800000040 -:041D2800049200F031 -:041D29000F8020C047 -:041D2A00321003363A -:041D2B0000000402AE -:041D2C0078323135A3 -:041D2D00003034321C -:041D2E004000000071 -:041D2F0002AA00F014 -:041D30000E4D20C074 -:041D31003210033237 -:041D32000042081053 -:041D33007834383395 -:041D34000030343215 -:041D3500300000007A -:041D3600020000F0B7 -:041D37000E3B20C07F -:041D3800321003253D -:041D390000841020F2 -:041D3A007830323398 -:041D3B00003034320E -:041D3C00280000007B -:041D3D0001AA00F007 -:041D3E000E3120C082 -:041D3F003210031F3C -:041D40000108204036 -:041D41007836353289 -:041D42000030343207 -:041D4300200000007C -:041D4400015500F055 -:041D45000E2720C085 -:041D4600321003193B -:041D470002104080C6 -:041D48007030343291 -:041D49000000000096 -:041D4A005A08000033 -:041D4B00035A00F047 -:041D4C000F3920C06B -:041D4D003210033E0F -:041D4E000000000E83 -:041D4F0030303631C9 -:041D50003034327881 -:041D5100C800004C7A -:041D520007FE00F098 -:041D530029CA270072 -:041D540032100396B0 -:041D55000020000268 -:041D560030383231BE -:041D5700383832786E -:041D5800A0000000E7 -:041D59000618012047 -:041D5A000FAA2700A5 -:041D5B0032100348F7 -:041D5C00000102027E -:041D5D00783036396B -:041D5E0000383832DF -:041D5F007800000008 -:041D600004920120C8 -:041D61000F802700C8 -:041D62003210033602 -:041D63000000040276 -:041D6400783231356B -:041D65004C30343298 -:041D660040000042F7 -:041D670002AA00F0DC -:041D6800294D2700DA -:041D690032100332FF -:041D6A00004208101B -:041D6B00783438335D -:041D6C004C30343291 -:041D6D003000004200 -:041D6E00020000F07F -:041D6F00293B2700E5 -:041D70003210032505 -:041D710000841020BA -:041D72007830323360 -:041D73004C3034328A -:041D74002800004201 -:041D750001AA00F0CF -:041D760029312700E8 -:041D77003210031F04 -:041D780001082040FE -:041D79007836353251 -:041D7A004C30343283 -:041D7B002000004202 -:041D7C00015500F01D -:041D7D0029272700EB -:041D7E003210031903 -:041D7F00021040808E -:041D8000703838324D -:041D8100000000005E -:041D82005A170000EC -:041D830003600120D8 -:041D840013452700DC -:041D85003210033FD6 -:041D86000000000E4B -:041D87007830383444 -:041D880000303633BE -:041D89003C0000001A -:041D8A000258016892 -:041D8B000A3F2EE0FD -:041D8C0044100326D6 -:041D8D000000000E44 -:041D8E007830343243 -:041D8F0000303633B7 -:041D9000200000002F -:041D9100012C0168B8 -:041D92000A182EE01D -:041D930044100312E3 -:041D940000008100CA -:041D9500703438333B -:041D96000000000049 -:041D97003E0000000A -:041D98000280018044 -:041D99001D3234E0E3 -:041D9A004410033EB0 -:041D9B000000000E36 -:041D9C00303036317C -:041D9D003030347836 -:041D9E00C800000079 -:041D9F0007D00190D8 -:041DA000227838204D -:041DA100501002F0EC -:041DA200000002003B -:041DA300783032372B -:041DA40000303034A7 -:041DA5005A000000E0 -:041DA6000384019021 -:041DA700224038207E -:041DA8005010026075 -:041DA9000000000C2A -:041DAA007830343623 -:041DAB0000303034A0 -:041DAC0050000000E3 -:041DAD00032001907E -:041DAE002230382087 -:041DAF00501002606E -:041DB0000000000C23 -:041DB100783034361C -:041DB200003438338E -:041DB30050000000DC -:041DB4000320018087 -:041DB5003F303D80FE -:041DB6005010026067 -:041DB7000000000E1A -:041DB8006930383422 -:041DB9000000000026 -:041DBA005A060000C5 -:041DBB00035A00F0D7 -:041DBC000F3941A0FA -:041DBD007210033E5F -:041DBE000001020F0F -:041DBF007030383414 -:041DC000000000001F -:041DC1005A020000C2 -:041DC200035A01E0DF -:041DC3001E3C41A0E1 -:041DC4009410063E33 -:041DC5000000000C0E -:041DC6007830343607 -:041DC700003038347C -:041DC80050010000C6 -:041DC900032001E012 -:041DCA00213041A0E3 -:041DCB00941002600E -:041DCC000000000C07 -:041DCD007830343600 -:041DCE000032313579 -:041DCF0050000000C0 -:041DD00003200200EA -:041DD1001C3047007B -:041DD2009410026007 -:041DD3000000000C00 -:041DD4006936373500 -:041DD500000000000A -:041DD6005A1500009A -:041DD7000360012084 -:041DD80013454E2041 -:041DD9007210033F42 -:041DDA000001020FF3 -:041DDB0070363735F2 -:041DDC000000000003 -:041DDD005A11000097 -:041DDE00036002405C -:041DDF0027444E2027 -:041DE0008410054026 -:041DE1000000000CF2 -:041DE20078303038ED -:041DE3000030303666 -:041DE4006400000097 -:041DE500042002587C -:041DE60017584E80BC -:041DE7001010048054 -:041DE80000000004F3 -:041DE90070303237ED -:041DEA0000000000F5 -:041DEB00A004000050 -:041DEC00067202D0A9 -:041DED0014DC5DC0E5 -:041DEE00181005289C -:041DEF0000000004EC -:041DF0003432303128 -:041DF10038363778D1 -:041DF200800000006D -:041DF30005400300A4 -:041DF4001DA064C00A -:041DF500101006883C -:041DF60000000004E5 -:041DF700303832311D -:041DF80032303178DC -:041DF900A000003412 -:041DFA000698040043 -:041DFB0026F8854001 -:041DFC001010037050 -:041DFD0000000004DE -:041DFE0078303436CF -:041DFF0069303639D8 -:041E0000500000008E -:041E0100032001E0D9 -:041E020021308340C8 -:041E0300B4100260B5 -:041E04000000000DCD -:041E05003038303110 -:041E0600000000696F -:041E0700F0050000E2 -:041E08000898021C18 -:041E090010948CA005 -:041E0A00B810052CDB -:041E0B000000000DC6 -:041E0C003038303109 -:041E0D000000007061 -:041E0E00F0100000D0 -:041E0F0008980438F3 -:041E100024948CA0EA -:041E11001810052C74 -:041E120000000004C8 -:041E13003030363104 -:041E140030323178BF -:041E1500C8000030D1 -:041E1600087004B09C -:041E17002EFF9C40BE -:041E1800101003F1B2 -:041E190000000004C1 -:041E1A007665642F56 -:041E1B006370652F5C -:041E1C006F635F7120 -:041E1D006F72746EFE -:041E1E0072656C6C11 -:041E1F00615F305F70 -:041E20006D5F6C7610 -:041E210000006D65EB -:041E22002B302D2311 -:041E2300000000209B -:041E2400004C6C689A -:041E25004567666542 -:041E2600000047462B -:041E270033323130F1 -:041E280037363534E0 -:041E290042413938C1 -:041E2A0046454443A2 -:041E2B0000000000B3 -:041E2C0033323130EC -:041E2D0037363534DB -:041E2E00626139387C -:041E2F00666564631D -:041E300000000000AE -:041E31001A80808013 -:041E320000081A1A70 -:041E330000000000AB -:041E340000000000AA -:041E350000017868C8 -:041E360000000000A8 -:041E370000000000A7 -:041E38000001035C46 -:041E39000001041C84 -:041E3A00000101CED4 -:041E3B00000101EAB7 -:041E3C000001023669 -:041E3D000080000021 -:041E3E000080000020 -:041E3F00000000009F -:041E4000000000009E -:041E4100000000009D -:041E4200000000009C -:041E4300000000009B -:041E4400000000009A -:041E45000000000099 -:041E46000000000098 -:041E47000000000097 -:041E48000000000096 -:041E49000000000095 -:041E4A000000000094 -:041E4B000000000093 -:041E4C000000000092 -:041E4D000000000091 -:041E4E000000000090 -:041E4F00000000008F -:041E5000000000008E -:041E5100000000008D -:041E5200000000008C -:041E5300000000008B -:041E5400000000008A -:041E55000000000089 +:041CDF004D352E321F +:041CE00028207A48F6 +:041CE1002978616D90 +:041CE20000000000FE +:041CE300484D303107 +:041CE4006D28207ACD +:041CE5000029646509 +:041CE600484D3333FF +:041CE7006D28207ACA +:041CE80000296E69F8 +:041CE90020414756F9 +:041CEA0078303436E4 +:041CEB004030303421 +:041CEC00000030378D +:041CED0020414756F5 +:041CEE0078303237E1 +:041CEF00403030341D +:041CF0000000303789 +:041CF10020565444E1 +:041CF20070303834E2 +:041CF30000000000ED +:041CF40041534556BD +:041CF5003034362031 +:041CF60030383478D6 +:041CF7000030364043 +:041CF8002E636552A0 +:041CF9003130362030 +:041CFA0000000000E6 +:041CFB002E6365529D +:041CFC003930372024 +:041CFD0000000000E3 +:041CFE00484D3539DF +:041CFF004828207AD7 +:041D000020565444D1 +:041D01000029494923 +:041D0200484D3533E0 +:041D03004828207AD2 +:041D040020565444CD +:041D05000000294968 +:041D0600484D3631DD +:041D07004528207AD1 +:041D080029565444C0 +:041D090000000000D6 +:041D0A007A484D398D +:041D0B0044532820F5 +:041D0C000029565400 +:041D0D006D206425BC +:041D0E00000000567B +:041D0F002020202050 +:041D10000000752535 +:041D1100000186BA8D +:041D120000000024A9 +:041D130000013ABED3 +:041D140064206425BE +:041D150000006765FE +:041D1600252E7525DC +:041D17000075322EF3 +:041D180065206425B9 +:041D19006972746E09 +:041D1A0000007365ED +:041D1B006F706D690F +:041D1C006465747214 +:041D1D0000000000C2 +:041D1E006F706D492C +:041D1F00203F74727B +:041D20002C593D31CC +:041D21004E3D3220E1 +:041D220000000000BD +:041D230064616F4C3C +:041D240020676E695D +:041D250074746573FA +:041D260073676E6908 +:041D270000000000B8 +:041D28004452535579 +:041D290000415441E0 +:041D2A006573753C2C +:041D2B0000003E6412 +:041D2C00000173E05F +:041D2D000000200092 +:041D2E0020002CE580 +:041D2F00E926F4FDB0 +:041D300038BC20009B +:041D310000000000AE +:041D3200000173EC4D +:041D3300000020008C +:041D34002000323E1B +:041D3500F113FA04A8 +:041D36003B612000ED +:041D370000000000A8 +:041D38000001504214 +:041D39000001504213 +:041D3A0000014FA8AD +:041D3B00000150381B +:041D3C00000151361B +:041D3D00000150420F +:041D3E007830323790 +:041D3F00003030340C +:041D4000783034368D +:041D4100003030340A +:041D420030303631D6 +:041D4300303432788E +:041D4400C8000000D3 +:041D450007FE00F0A5 +:041D46000FCA20C0E0 +:041D470032100396BD +:041D48000020000275 +:041D490030383231CB +:041D4A003034327887 +:041D4B00A0000000F4 +:041D4C00061800F085 +:041D4D000FAA20C0F9 +:041D4E003210034804 +:041D4F00000102028B +:041D50007830363978 +:041D510000303432F8 +:041D52007800000015 +:041D5300049200F006 +:041D54000F8020C01C +:041D5500321003360F +:041D56000000040283 +:041D57007832313578 +:041D580000303432F1 +:041D59004000000046 +:041D5A0002AA00F0E9 +:041D5B000E4D20C049 +:041D5C00321003320C +:041D5D000042081028 +:041D5E00783438336A +:041D5F0000303432EA +:041D6000300000004F +:041D6100020000F08C +:041D62000E3B20C054 +:041D63003210032512 +:041D640000841020C7 +:041D6500783032336D +:041D660000303432E3 +:041D67002800000050 +:041D680001AA00F0DC +:041D69000E3120C057 +:041D6A003210031F11 +:041D6B00010820400B +:041D6C00783635325E +:041D6D0000303432DC +:041D6E002000000051 +:041D6F00015500F02A +:041D70000E2720C05A +:041D71003210031910 +:041D7200021040809B +:041D73007030343266 +:041D7400000000006B +:041D75005A08000008 +:041D7600035A00F01C +:041D77000F3920C040 +:041D78003210033EE4 +:041D79000000000E58 +:041D7A00303036319E +:041D7B003034327856 +:041D7C00C800004C4F +:041D7D0007FE00F06D +:041D7E0029CA270047 +:041D7F003210039685 +:041D8000002000023D +:041D81003038323193 +:041D82003838327843 +:041D8300A0000000BC +:041D8400061801201C +:041D85000FAA27007A +:041D860032100348CC +:041D87000001020253 +:041D88007830363940 +:041D890000383832B4 +:041D8A0078000000DD +:041D8B00049201209D +:041D8C000F8027009D +:041D8D0032100336D7 +:041D8E00000004024B +:041D8F007832313540 +:041D90004C3034326D +:041D910040000042CC +:041D920002AA00F0B1 +:041D9300294D2700AF +:041D940032100332D4 +:041D950000420810F0 +:041D96007834383332 +:041D97004C30343266 +:041D980030000042D5 +:041D9900020000F054 +:041D9A00293B2700BA +:041D9B0032100325DA +:041D9C00008410208F +:041D9D007830323335 +:041D9E004C3034325F +:041D9F0028000042D6 +:041DA00001AA00F0A4 +:041DA10029312700BD +:041DA2003210031FD9 +:041DA30001082040D3 +:041DA4007836353226 +:041DA5004C30343258 +:041DA60020000042D7 +:041DA700015500F0F2 +:041DA80029272700C0 +:041DA90032100319D8 +:041DAA000210408063 +:041DAB007038383222 +:041DAC000000000033 +:041DAD005A170000C1 +:041DAE0003600120AD +:041DAF0013452700B1 +:041DB0003210033FAB +:041DB1000000000E20 +:041DB2007830383419 +:041DB3000030363393 +:041DB4003C000000EF +:041DB5000258016867 +:041DB6000A3F2EE0D2 +:041DB70044100326AB +:041DB8000000000E19 +:041DB9007830343218 +:041DBA00003036338C +:041DBB002000000004 +:041DBC00012C01688D +:041DBD000A182EE0F2 +:041DBE0044100312B8 +:041DBF00000081009F +:041DC0007034383310 +:041DC100000000001E +:041DC2003E000000DF +:041DC3000280018019 +:041DC4001D3234E0B8 +:041DC5004410033E85 +:041DC6000000000E0B +:041DC7003030363151 +:041DC800303034780B +:041DC900C80000004E +:041DCA0007D00190AD +:041DCB002278382022 +:041DCC00501002F0C1 +:041DCD000000020010 +:041DCE007830323700 +:041DCF00003030347C +:041DD0005A000000B5 +:041DD10003840190F6 +:041DD2002240382053 +:041DD300501002604A +:041DD4000000000CFF +:041DD50078303436F8 +:041DD6000030303475 +:041DD70050000000B8 +:041DD8000320019053 +:041DD900223038205C +:041DDA005010026043 +:041DDB000000000CF8 +:041DDC0078303436F1 +:041DDD000034383363 +:041DDE0050000000B1 +:041DDF00032001805C +:041DE0003F303D80D3 +:041DE100501002603C +:041DE2000000000EEF +:041DE30069303834F7 +:041DE40000000000FB +:041DE5005A0600009A +:041DE600035A00F0AC +:041DE7000F3941A0CF +:041DE8007210033E34 +:041DE9000001020FE4 +:041DEA0070303834E9 +:041DEB0000000000F4 +:041DEC005A02000097 +:041DED00035A01E0B4 +:041DEE001E3C41A0B6 +:041DEF009410063E08 +:041DF0000000000CE3 +:041DF10078303436DC +:041DF2000030383451 +:041DF300500100009B +:041DF400032001E0E7 +:041DF500213041A0B8 +:041DF60094100260E3 +:041DF7000000000CDC +:041DF80078303436D5 +:041DF900003231354E +:041DFA005000000095 +:041DFB0003200200BF +:041DFC001C30470050 +:041DFD0094100260DC +:041DFE000000000CD5 +:041DFF0069363735D5 +:041E000000000000DE +:041E01005A1500006E +:041E02000360012058 +:041E030013454E2015 +:041E04007210033F16 +:041E05000001020FC7 +:041E060070363735C6 +:041E070000000000D7 +:041E08005A1100006B +:041E09000360024030 +:041E0A0027444E20FB +:041E0B0084100540FA +:041E0C000000000CC6 +:041E0D0078303038C1 +:041E0E00003030363A +:041E0F00640000006B +:041E10000420025850 +:041E110017584E8090 +:041E12001010048028 +:041E130000000004C7 +:041E140070303237C1 +:041E150000000000C9 +:041E1600A004000024 +:041E1700067202D07D +:041E180014DC5DC0B9 +:041E19001810052870 +:041E1A0000000004C0 +:041E1B0034323031FC +:041E1C0038363778A5 +:041E1D008000000041 +:041E1E000540030078 +:041E1F001DA064C0DE +:041E20001010068810 +:041E210000000004B9 +:041E220030383231F1 +:041E230032303178B0 +:041E2400A0000034E6 +:041E25000698040017 +:041E260026F88540D5 +:041E27001010037024 +:041E280000000004B2 +:041E290078303436A3 +:041E2A0069303639AC +:041E2B005000000063 +:041E2C00032001E0AE +:041E2D00213083409D +:041E2E00B41002608A +:041E2F000000000DA2 +:041E300030383031E5 +:041E31000000006944 +:041E3200F0050000B7 +:041E33000898021CED +:041E340010948CA0DA +:041E3500B810052CB0 +:041E36000000000D9B +:041E370030383031DE +:041E38000000007036 +:041E3900F0100000A5 +:041E3A0008980438C8 +:041E3B0024948CA0BF +:041E3C001810052C49 +:041E3D00000000049D +:041E3E0030303631D9 +:041E3F003032317894 +:041E4000C8000030A6 +:041E4100087004B071 +:041E42002EFF9C4093 +:041E4300101003F187 +:041E44000000000496 +:041E45007665642F2B +:041E46006370652F31 +:041E47006F635F71F5 +:041E48006F72746ED3 +:041E490072656C6CE6 +:041E4A00615F305F45 +:041E4B006D5F6C76E5 +:041E4C0000006D65C0 +:041E4D002B302D23E6 +:041E4E000000002070 +:041E4F00004C6C686F +:041E50004567666517 +:041E51000000474600 +:041E520033323130C6 +:041E530037363534B5 +:041E54004241393896 +:041E55004645444377 :041E56000000000088 -:041E57000000000087 -:041E58000000000086 -:041E59000000000085 -:041E5A000000000084 +:041E570033323130C1 +:041E580037363534B0 +:041E59006261393851 +:041E5A0066656463F2 :041E5B000000000083 -:041E5C000000000082 -:041E5D000000000081 +:041E5C001A808080E8 +:041E5D0000081A1A45 :041E5E000000000080 :041E5F00000000007F -:041E60000001017408 -:041E610000800000FD -:041E6200010000007B -:041E63000002010078 -:041E640000800000FA -:041E65000000000178 -:041E660000000080F8 -:041E67000001000076 -:041E68000000010075 -:041E69000000000075 -:041E6A00020E040957 -:041E6B003D0E00C860 -:041E6C003F6E03833F -:041E6D003ED03DAC7A -:041E6E0000000383EA -:041E6F00026404B253 -:041E70003C9300E9B6 -:041E71003F560416BE -:041E72003E9F3D4909 -:041E73000000041651 -:041E7400017804E508 -:041E75003CCE0081DE -:041E76003FAE0383F5 -:041E77003F333D496F -:041E780000000383E0 -:041E790001B405B8F3 -:041E7A003C4900934C -:041E7B003F9F04166B -:041E7C003F103CD9FE -:041E7D000000041647 -:041E7E00000170AC43 -:041E7F00000170B03E -:041E8000000170C02D -:041E8100000164C830 -:041E8200000164D81F -:041E8300000164E412 -:041E8400000164F005 -:041E8500000164FCF8 -:041E860000016508EA -:041E870000016514DD -:041E880000016520D0 -:041E89000001652CC3 -:041E8A0000016538B6 -:041E8B0000016544A9 -:041E8C00000172746B -:041E8D00000172805E -:041E8E00000172904D -:041E8F00000172A03C -:041E9000000172B02B -:041E9100000172C01A -:041E92000001727465 -:041E93000001728058 -:041E94000001729047 -:041E9500000172A036 -:041E9600000172B025 -:041E97000001725084 -:041E98000001725C77 -:041E9900000172686A -:041E9A00000170AC27 -:041E9B00000170E8EA -:041E9C00000170E4ED -:041E9D00000170ECE4 -:041E9E00000170705F -:041E9F000001707856 -:041EA000000170804D -:041EA1000001708844 -:041EA200000170903B -:041EA3000001709436 -:041EA4000001709C2D -:041EA500000170A424 -:041EA60000016FF0D8 -:041EA7000000000235 -:041EA800000186129D -:041EA9000AF0012C0E -:041EAA000001377A82 -:041EAB0000017000C2 -:041EAC000000000230 -:041EAD000001861892 -:041EAE00001300001D -:041EAF000001377A7D -:041EB00000017010AD -:041EB100000000022B -:041EB2000001861A8B -:041EB30000FF000A22 -:041EB4000001377A78 -:041EB5000001701C9C -:041EB6000000000226 -:041EB700000186069A -:041EB80000FF000126 -:041EB9000001377A73 -:041EBA000001702C87 -:041EBB000000000221 -:041EBC00000186108B -:041EBD00078000C8D2 -:041EBE000001377A6E -:041EBF000001703876 -:041EC000000000021C -:041EC1000001860C8A -:041EC2000007000114 -:041EC3000001377A69 -:041EC4000001704465 -:041EC5000000000217 -:041EC600000186167B -:041EC700003F0001D7 -:041EC8000001377A64 -:041EC9000001705450 -:041ECA000000000212 -:041ECB000001860E7E -:041ECC0004B000A0BE -:041ECD000001377A5F -:041ECE00000170603F -:041ECF00000000020D -:041ED000000186087F -:041ED100001F0000EE -:041ED2000001377A5A -:041ED30000016BD4CB -:041ED400000000000A -:041ED500000185AED5 -:041ED6000001000106 -:041ED700000183B8CB -:041ED80000016BE4B6 -:041ED9000000000005 -:041EDA00000185AFCF -:041EDB000001000101 -:041EDC00000183C0BE -:041EDD0000016BF4A1 -:041EDE0000000001FF -:041EDF00000185B0C9 -:041EE00000180000E6 -:041EE10000013A20A2 -:041EE20000016C048B -:041EE30000000000FB -:041EE400000185A9CB -:041EE50000010001F7 -:041EE600000183C0B4 -:041EE70000016C1476 -:041EE80000000000F6 -:041EE900000185AAC5 -:041EEA0000010001F2 -:041EEB00000183C0AF -:041EEC0000016C285D -:041EED0000000000F1 -:041EEE00000185ABBF -:041EEF0000010001ED -:041EF000000183C0AA -:041EF10000016C3848 -:041EF20000000001EB -:041EF300000185B1B4 -:041EF400001F0000CB -:041EF500000139505F -:041EF60000016C4C2F -:041EF70000000000E7 -:041EF800000185ACB4 -:041EF90000010001E3 -:041EFA00000183C0A0 -:041EFB0000016F284B -:041EFC0000000001E1 -:041EFD0000018580DB -:041EFE0000100000D0 -:041EFF00000139287D -:041F000000016F3835 -:041F010000000001DB -:041F020000018581D4 -:041F030000100000CA -:041F04000001392877 -:041F050000016F4820 -:041F060000000001D6 -:041F070000018582CE -:041F080000100000C5 -:041F09000001392872 -:041F0A0000016F580B -:041F0B0000000001D1 -:041F0C0000018583C8 -:041F0D0000100000C0 -:041F0E00000139286D -:041F0F0000016F68F6 -:041F100000000001CC -:041F110000018584C2 -:041F120000100000BB -:041F13000001392868 -:041F140000016F78E1 -:041F150000000001C7 -:041F160000018585BC -:041F170000100000B6 -:041F18000001392863 -:041F190000016F8CC8 -:041F1A0000000001C2 -:041F1B0000018586B6 -:041F1C0000100000B1 -:041F1D00000139285E -:041F1E0000016FA0AF -:041F1F0000000001BD -:041F200000018587B0 -:041F210000100000AC -:041F22000001392859 -:041F230000016FB496 -:041F240000000001B8 -:041F250000018588AA -:041F260000100000A7 -:041F27000001392854 -:041F280000016FC87D -:041F290000000001B3 -:041F2A0000018589A4 -:041F2B0000100000A2 -:041F2C00000139284F -:041F2D0000016FDC64 -:041F2E0000000001AE -:041F2F000001858A9E -:041F3000001000009D -:041F3100000139284A -:041F320000016A1828 -:041F330000000003A7 -:041F340000018454D0 -:041F350000000000A8 -:041F360000000000A7 -:041F370000016A2C0F -:041F380000000003A2 -:041F390000018434EB -:041F3A0000000000A3 -:041F3B0000000000A2 -:041F3C0000016A40F6 -:041F3D00000000039D -:041F3E000001844CCE -:041F3F00000000009E -:041F4000000000009D -:041F410000016A54DD -:041F42000000000398 -:041F430000018424F1 -:041F44000000000099 -:041F45000000000098 -:041F460000016A68C4 -:041F47000000000393 -:041F48000001843CD4 -:041F49000000000094 -:041F4A000000000093 -:041F4B0000016A7CAB -:041F4C00000000038E -:041F4D000001842CDF -:041F4E00000000008F -:041F4F00000000008E -:041F500000016A9092 -:041F51000000000389 -:041F52000001840CFA -:041F5300000000008A -:041F54000000000089 -:041F550000016AA479 -:041F56000000000384 -:041F570000018404FD -:041F58000000000085 -:041F59000000000084 -:041F5A0000016AB860 -:041F5B00000000037F -:041F5C0000018444B8 -:041F5D000000000080 -:041F5E00000000007F -:041F5F0000016D40D0 +:041E600000017914F0 +:041E6100000000007D +:041E6200000000007C +:041E63000001035C1B +:041E64000001041C59 +:041E6500000101CEA9 +:041E6600000101EA8C +:041E6700000102363E +:041E680000800000F6 +:041E690000800000F5 +:041E6A000000000074 +:041E6B000000000073 +:041E6C000000000072 +:041E6D000000000071 +:041E6E000000000070 +:041E6F00000000006F +:041E7000000000006E +:041E7100000000006D +:041E7200000000006C +:041E7300000000006B +:041E7400000000006A +:041E75000000000069 +:041E76000000000068 +:041E77000000000067 +:041E78000000000066 +:041E79000000000065 +:041E7A000000000064 +:041E7B000000000063 +:041E7C000000000062 +:041E7D000000000061 +:041E7E000000000060 +:041E7F00000000005F +:041E8000000000005E +:041E8100000000005D +:041E8200000000005C +:041E8300000000005B +:041E8400000000005A +:041E85000000000059 +:041E86000000000058 +:041E87000000000057 +:041E88000000000056 +:041E89000000000055 +:041E8A000000000054 +:041E8B0000010174DD +:041E8C0000800000D2 +:041E8D000100000050 +:041E8E00000201004D +:041E8F0000800000CF +:041E9000000000014D +:041E910000000080CD +:041E9200000100004B +:041E9300000001004A +:041E9400000000004A +:041E9500020E04092C +:041E96003D0E00C835 +:041E97003F6E038314 +:041E98003ED03DAC4F +:041E990000000383BF +:041E9A00026404B228 +:041E9B003C9300E98B +:041E9C003F56041693 +:041E9D003E9F3D49DE +:041E9E000000041626 +:041E9F00017804E5DD +:041EA0003CCE0081B3 +:041EA1003FAE0383CA +:041EA2003F333D4944 +:041EA30000000383B5 +:041EA40001B405B8C8 +:041EA5003C49009321 +:041EA6003F9F041640 +:041EA7003F103CD9D3 +:041EA800000004161C +:041EA900000171586B +:041EAA000001715C66 +:041EAB000001716C55 +:041EAC000001657458 +:041EAD000001658447 +:041EAE00000165903A +:041EAF000001659C2D +:041EB000000165A820 +:041EB100000165B413 +:041EB200000165C006 +:041EB300000165CCF9 +:041EB400000165D8EC +:041EB500000165E4DF +:041EB600000165F0D2 +:041EB7000001732093 +:041EB8000001732C86 +:041EB9000001733C75 +:041EBA000001734C64 +:041EBB000001735C53 +:041EBC000001736C42 +:041EBD00000173208D +:041EBE000001732C80 +:041EBF000001733C6F +:041EC0000001734C5E +:041EC1000001735C4D +:041EC200000172FCAD +:041EC300000173089F +:041EC4000001731492 +:041EC500000171584F +:041EC6000001719412 +:041EC7000001719015 +:041EC800000171980C +:041EC9000001711C87 +:041ECA00000171247E +:041ECB000001712C75 +:041ECC00000171346C +:041ECD000001713C63 +:041ECE00000171405E +:041ECF000001714855 +:041ED000000171504C +:041ED1000001709C00 +:041ED200000000020A +:041ED300000186C2C2 +:041ED4000AF0012CE3 +:041ED500000137FCD5 +:041ED600000170ACEB +:041ED7000000000205 +:041ED800000186C8B7 +:041ED90000130000F2 +:041EDA00000137FCD0 +:041EDB00000170BCD6 +:041EDC000000000200 +:041EDD00000186CAB0 +:041EDE0000FF000AF7 +:041EDF00000137FCCB +:041EE000000170C8C5 +:041EE10000000002FB +:041EE200000186B6BF +:041EE30000FF0001FB +:041EE400000137FCC6 +:041EE500000170D8B0 +:041EE60000000002F6 +:041EE700000186C0B0 +:041EE800078000C8A7 +:041EE900000137FCC1 +:041EEA00000170E49F +:041EEB0000000002F1 +:041EEC00000186BCAF +:041EED0000070001E9 +:041EEE00000137FCBC +:041EEF00000170F08E +:041EF00000000002EC +:041EF100000186C6A0 +:041EF200003F0001AC +:041EF300000137FCB7 +:041EF4000001710078 +:041EF50000000002E7 +:041EF600000186BEA3 +:041EF70004B000A093 +:041EF800000137FCB2 +:041EF9000001710C67 +:041EFA0000000002E2 +:041EFB00000186B8A4 +:041EFC00001F0000C3 +:041EFD00000137FCAD +:041EFE0000016C80F3 +:041EFF0000000000DF +:041F00000001865EF8 +:041F010000010001DA +:041F020000018468EE +:041F030000016C90DD +:041F040000000000D9 +:041F05000001865FF2 +:041F060000010001D5 +:041F070000018470E1 +:041F080000016CA0C8 +:041F090000000001D3 +:041F0A0000018660EC +:041F0B0000180000BA +:041F0C0000013AA2F4 +:041F0D0000016CB0B3 +:041F0E0000000000CF +:041F0F0000018659EE +:041F100000010001CB +:041F110000018470D7 +:041F120000016CC09E +:041F130000000000CA +:041F14000001865AE8 +:041F150000010001C6 +:041F160000018470D2 +:041F170000016CD485 +:041F180000000000C5 +:041F19000001865BE2 +:041F1A0000010001C1 +:041F1B0000018470CD +:041F1C0000016CE470 +:041F1D0000000001BF +:041F1E0000018661D7 +:041F1F00001F00009F +:041F2000000139D2B1 +:041F210000016CF857 +:041F220000000000BB +:041F23000001865CD7 +:041F240000010001B7 +:041F250000018470C3 +:041F260000016FD473 +:041F270000000001B5 +:041F280000018630FE +:041F290000100000A4 +:041F2A00000139AACF +:041F2B0000016FE45E +:041F2C0000000001B0 +:041F2D0000018631F8 +:041F2E00001000009F +:041F2F00000139AACA +:041F300000016FF449 +:041F310000000001AB +:041F320000018632F2 +:041F3300001000009A +:041F3400000139AAC5 +:041F35000001700433 +:041F360000000001A6 +:041F370000018633EC +:041F38000010000095 +:041F3900000139AAC0 +:041F3A00000170141E +:041F3B0000000001A1 +:041F3C0000018634E6 +:041F3D000010000090 +:041F3E00000139AABB +:041F3F000001702409 +:041F4000000000019C +:041F410000018635E0 +:041F4200001000008B +:041F4300000139AAB6 +:041F440000017038F0 +:041F45000000000197 +:041F460000018636DA +:041F47000010000086 +:041F4800000139AAB1 +:041F49000001704CD7 +:041F4A000000000192 +:041F4B0000018637D4 +:041F4C000010000081 +:041F4D00000139AAAC +:041F4E0000017060BE +:041F4F00000000018D +:041F500000018638CE +:041F5100001000007C +:041F5200000139AAA7 +:041F530000017074A5 +:041F54000000000188 +:041F550000018639C8 +:041F56000010000077 +:041F5700000139AAA2 +:041F5800000170888C +:041F59000000000183 +:041F5A000001863AC2 +:041F5B000010000072 +:041F5C00000139AA9D +:041F5D0000016AC451 +:041F5E00000000037C +:041F5F0000018504F4 :041F6000000000007D -:041F61000001859165 -:041F62000004000176 -:041F63000001829067 -:041F640000016D50BB +:041F6100000000007C +:041F620000016AD838 +:041F63000000000377 +:041F6400000184E410 :041F65000000000078 -:041F6600000185925F -:041F67000004000171 -:041F6800000182A44E -:041F690000016D60A6 +:041F66000000000077 +:041F670000016AEC1F +:041F68000000000372 +:041F6900000184FCF3 :041F6A000000000073 -:041F6B000001859359 -:041F6C00000300016D -:041F6D00000182B835 -:041F6E0000016D7091 +:041F6B000000000072 +:041F6C0000016B0005 +:041F6D00000000036D +:041F6E00000184D416 :041F6F00000000006E -:041F70000001859453 -:041F7100000100016A -:041F7200000183D017 -:041F730000016D807C +:041F7000000000006D +:041F710000016B14EC +:041F72000000000368 +:041F7300000184ECF9 :041F74000000000069 -:041F7500000185954D -:041F76000001000165 -:041F7700000183C81A -:041F780000016D9067 +:041F75000000000068 +:041F760000016B28D3 +:041F77000000000363 +:041F7800000184DC04 :041F79000000000064 -:041F7A000001858C51 -:041F7B00000400015D -:041F7C0000017A30B6 -:041F7D0000016D9C56 +:041F7A000000000063 +:041F7B0000016B3CBA +:041F7C00000000035E +:041F7D00000184BC1F :041F7E00000000005F -:041F7F000001858D4B -:041F80000005000157 -:041F810000017A449D -:041F820000016DA845 +:041F7F00000000005E +:041F800000016B50A1 +:041F81000000000359 +:041F8200000184B422 :041F8300000000005A -:041F84000001858E45 -:041F85000004000153 -:041F860000017A30AC -:041F870000016DB434 +:041F84000000000059 +:041F850000016B6488 +:041F86000000000354 +:041F8700000184F4DD :041F88000000000055 -:041F89000001858F3F -:041F8A00000400014E -:041F8B0000017A30A7 -:041F8C0000016DC023 -:041F8D000000000050 -:041F8E000001859039 -:041F8F00000200014B -:041F900000017A5C76 -:041F910000016DD00E -:041F9200000000004B -:041F9300000185962E -:041F94000001000147 -:041F9500000183B014 -:041F960000016DE0F9 -:041F97000000000046 -:041F98000001859B24 -:041F99000002000141 -:041F9A0000018300BF -:041F9B0000016DE8EC -:041F9C000000000041 -:041F9D000001859C1E -:041F9E00000100013D -:041F9F00000183C0FA -:041FA00000016C5C74 -:041FA100000000013B -:041FA200000185971E -:041FA30000FF00003B -:041FA40000013A0AF4 -:041FA50000016C6C5F -:041FA6000000000136 -:041FA7000001859818 -:041FA800003F0000F6 -:041FA90000013A0AEF -:041FAA0000016C7C4A -:041FAB000000000032 -:041FAC000001859A11 -:041FAD000007000029 -:041FAE0000017A783C -:041FAF0000016C8839 -:041FB000000000012C -:041FB100000185990D -:041FB200000F00001C -:041FB30000013950A0 -:041FB40000016C9824 -:041FB5000000000127 -:041FB600000185ADF4 -:041FB700001F000007 -:041FB800000139509B -:041FB90000016CA413 -:041FBA00000000041F -:041FBB00000124A459 -:041FBC00000169D0E7 +:041F89000000000054 +:041F8A0000016DECF9 +:041F8B000000000052 +:041F8C000001864189 +:041F8D00000400014B +:041F8E000001833C8F +:041F8F0000016DFCE4 +:041F9000000000004D +:041F91000001864283 +:041F92000004000146 +:041F93000001835076 +:041F940000016E0CCE +:041F95000000000048 +:041F9600000186437D +:041F97000003000142 +:041F9800000183645D +:041F990000016E1CB9 +:041F9A000000000043 +:041F9B000001864477 +:041F9C00000100013F +:041F9D00000184803B +:041F9E0000016E2CA4 +:041F9F00000000003E +:041FA0000001864571 +:041FA100000100013A +:041FA200000184783E +:041FA30000016E3C8F +:041FA4000000000039 +:041FA5000001863C75 +:041FA6000004000132 +:041FA70000017ADCDF +:041FA80000016E487E +:041FA9000000000034 +:041FAA000001863D6F +:041FAB00000500012C +:041FAC0000017AF0C6 +:041FAD0000016E546D +:041FAE00000000002F +:041FAF000001863E69 +:041FB0000004000128 +:041FB10000017ADCD5 +:041FB20000016E605C +:041FB300000000002A +:041FB4000001863F63 +:041FB5000004000123 +:041FB60000017ADCD0 +:041FB70000016E6C4B +:041FB8000000000025 +:041FB900000186405D +:041FBA000002000120 +:041FBB0000017B089E +:041FBC0000016E7C36 :041FBD000000000020 -:041FBE0000016E5858 -:041FBF00000000001E -:041FC0000001859DFA -:041FC1000002000119 -:041FC200000182C8D0 -:041FC30000016E6843 -:041FC4000000000019 -:041FC5000001859EF4 -:041FC6000001000115 -:041FC700000183E0B2 -:041FC80000016E782E -:041FC9000000000014 -:041FCA000001859FEE -:041FCB000001000110 -:041FCC00000183C0CD -:041FCD0000016E8C15 -:041FCE00000000000F -:041FCF00000185A0E8 -:041FD000000100010B -:041FD100000183C0C8 -:041FD20000016EA0FC -:041FD3000000000307 -:041FD400000183FC89 -:041FD50000017398FC -:041FD60000013704CB -:041FD70000016CB4E5 -:041FD8000000000005 -:041FD9000001857806 -:041FDA000002000100 -:041FDB00000182D4AB -:041FDC0000016CC0D4 -:041FDD0000000001FF -:041FDE000001857EFB -:041FDF00000F0000EF -:041FE000000139E4DF -:041FE10000016CD0BF -:041FE20000000001FA -:041FE3000001857AFA -:041FE400001C0000DD -:041FE5000001394C72 -:041FE60000016CE0AA -:041FE70000000000F6 -:041FE8000001857BF4 -:041FE90000010001F2 -:041FEA00000183F07F -:041FEB0000016CEC99 -:041FEC0000000000F1 -:041FED000001857CEE -:041FEE0000010001ED -:041FEF00000183C0AA -:041FF00000016CFC84 -:041FF10000000000EC -:041FF2000001857FE6 -:041FF30000010001E8 -:041FF400000183E87D -:041FF50000016D0C6E -:041FF60000000000E7 -:041FF7000001857DE3 -:041FF80000010001E3 -:041FF900000183C0A0 -:041FFA0000016D2055 -:041FFB0000000000E2 -:041FFC0000018579E2 -:041FFD0000030001DC -:041FFE00000182E07C -:041FFF0000016D2C44 -:0420000000000003D9 -:042001000001841442 -:0420020000000000DA +:041FBE000001864652 +:041FBF00000100011C +:041FC0000001846038 +:041FC10000016E8C21 +:041FC200000000001B +:041FC3000001864B48 +:041FC4000002000116 +:041FC500000183ACE8 +:041FC60000016E9414 +:041FC7000000000016 +:041FC8000001864C42 +:041FC9000001000112 +:041FCA00000184701E +:041FCB0000016D089C +:041FCC000000000110 +:041FCD000001864742 +:041FCE0000FF000010 +:041FCF0000013A8C47 +:041FD00000016D1887 +:041FD100000000010B +:041FD200000186483C +:041FD300003F0000CB +:041FD40000013A8C42 +:041FD50000016D2872 +:041FD6000000000007 +:041FD7000001864A35 +:041FD80000070000FE +:041FD90000017B2464 +:041FDA0000016D3461 +:041FDB000000000101 +:041FDC000001864931 +:041FDD00000F0000F1 +:041FDE00000139D2F3 +:041FDF0000016D444C +:041FE00000000001FC +:041FE1000001865D18 +:041FE200001F0000DC +:041FE300000139D2EE +:041FE40000016D503B +:041FE50000000004F4 +:041FE60000012526AB +:041FE70000016A7C0F +:041FE80000000000F5 +:041FE90000016F0480 +:041FEA0000000000F3 +:041FEB000001864D1E +:041FEC0000020001EE +:041FED0000018374F8 +:041FEE0000016F146B +:041FEF0000000000EE +:041FF0000001864E18 +:041FF10000010001EA +:041FF20000018490D6 +:041FF30000016F2456 +:041FF40000000000E9 +:041FF5000001864F12 +:041FF60000010001E5 +:041FF70000018470F1 +:041FF80000016F383D +:041FF90000000000E4 +:041FFA00000186500C +:041FFB0000010001E0 +:041FFC0000018470EC +:041FFD0000016F4C24 +:041FFE0000000003DC +:041FFF00000184ACAD +:042000000001744423 +:04200100000137861D +:0420020000016D600C :0420030000000000D9 -:0420040000016ACCA1 -:0420050000000004D3 -:0420060000012210A3 -:04200700000169F477 -:0420080000000000D4 -:0420090000016ADC8C -:04200A0000000004CE -:04200B00000122723C -:04200C00000169F472 -:04200D0000000000CF -:04200E0000016AEC77 -:04200F0000000004C9 -:0420100000012BE6BA -:0420110000000000CB +:042004000001862829 +:0420050000020001D4 +:0420060000018380D2 +:0420070000016D6CFB +:0420080000000001D3 +:042009000001862E1E +:04200A00000F0000C3 +:04200B0000013A6630 +:04200C0000016D7CE6 +:04200D0000000001CE +:04200E000001862A1D +:04200F00001C0000B1 +:04201000000139CEC4 +:0420110000016D8CD1 :0420120000000000CA -:0420130000016B005D -:0420140000000001C7 -:04201500000185B988 -:04201600000A0101BA -:0420170000013A701A -:0420180000016B1444 -:0420190000000000C3 -:04201A00000184FA43 -:04201B0000010001BF -:04201C00000183C07C -:04201D0000016B282B -:04201E0000000000BE -:04201F00000184A593 -:04202000000A0001B1 -:0420210000017A043C -:0420220000016B3816 -:0420230000000000B9 -:0420240000018574BE -:0420250000020001B4 -:04202600000179F844 -:0420270000016B4CFD -:0420280000000000B4 -:04202900000184DA54 -:04202A0000010001B0 -:04202B00000183D855 -:04202C0000016B5CE8 +:042013000001862B17 +:0420140000010001C6 +:04201500000184A0A2 +:0420160000016D98C0 +:0420170000000000C5 +:042018000001862C11 +:0420190000010001C1 +:04201A0000018470CD +:04201B0000016DA8AB +:04201C0000000000C0 +:04201D000001862F09 +:04201E0000010001BC +:04201F0000018498A0 +:0420200000016DB896 +:0420210000000000BB +:042022000001862D06 +:0420230000010001B7 +:0420240000018470C3 +:0420250000016DCC7D +:0420260000000000B6 +:042027000001862905 +:0420280000030001B0 +:042029000001838CA3 +:04202A0000016DD86C +:04202B0000000003AE +:04202C00000184C467 :04202D0000000000AF -:04202E00000183A387 -:04202F0000010001AB -:04203000000183D850 -:0420310000016B6CD3 -:0420320000000000AA -:04203300000184AC78 -:0420340000010001A6 -:04203500000183D84B -:0420360000016B7CBE -:0420370000000000A5 -:04203800000184E639 -:04203900000300019F -:04203A0000017A68BF -:04203B0000016B8CA9 +:04202E0000000000AE +:04202F0000016B78C9 +:0420300000000004A8 +:0420310000012292F6 +:0420320000016AA09F +:0420330000000000A9 +:0420340000016B88B4 +:0420350000000004A3 +:04203600000122F48F +:0420370000016AA09A +:0420380000000000A4 +:0420390000016B989F +:04203A00000000049E +:04203B0000012C680C :04203C0000000000A0 -:04203D00000183A873 -:04203E00000100019C -:04203F00000183C059 -:0420400000016B9898 -:04204100000000009B -:04204200000184D63F -:042043000003000195 -:042044000001828095 -:0420450000016BAC7F -:042046000000000492 -:042047000001414211 -:042048000000000094 +:04203D00000000009F +:04203E0000016BAC86 +:04203F00000000019C +:0420400000018669AC +:04204100000A01018F +:0420420000013AF26D +:0420430000016BC06D +:042044000000000098 +:04204500000185AA67 +:042046000001000194 +:0420470000018470A0 +:0420480000016BD454 :042049000000000093 -:04204A0000016BC066 -:04204B00000000048D -:04204C000001331E3E -:04204D00000000008F +:04204A0000018555B7 +:04204B00000A000186 +:04204C0000017AB065 +:04204D0000016BE43F :04204E00000000008E -:04204F0000016DF42B -:04205000000000008C -:04205100000185A560 -:042052000003000186 -:04205300000182F016 -:0420540000016E0415 -:042055000000000186 -:04205600000185A25E -:04205700001F000066 -:04205800000139C08A -:0420590000016E1400 -:04205A000000000181 -:04205B00000185A358 -:04205C0000FF000081 -:04205D000001397CC9 -:04205E0000016E24EB -:04205F00000000017C -:04206000000185A452 -:0420610000C80A00A9 -:042062000001397CC4 -:0420630000016E34D6 -:042064000000000177 -:04206500000185A74A -:042066000005000071 -:0420670000013966D5 -:0420680000016E44C1 -:042069000000000172 -:04206A00000185A844 -:04206B00000500006C -:04206C0000013966D0 -:04206D0000016EB44C -:04206E00000000006E -:04206F00000185A641 -:042070000005000166 -:042071000001830CDB -:0420720000016EC03B +:04204F0000018624E2 +:042050000002000189 +:0420510000017AA46C +:0420520000016BF826 +:042053000000000089 +:042054000001858A78 +:042055000001000185 +:042056000001848879 +:0420570000016C0810 +:042058000000000084 +:042059000001844FAF +:04205A000001000180 +:04205B000001848874 +:04205C0000016C18FB +:04205D00000000007F +:04205E000001855C9C +:04205F00000100017B +:04206000000184886F +:0420610000016C28E6 +:04206200000000007A +:04206300000185965D +:042064000003000174 +:0420650000017B14E7 +:0420660000016C38D1 +:042067000000000075 +:04206800000184549B +:042069000001000171 +:04206A00000184707D +:04206B0000016C44C0 +:04206C000000000070 +:04206D000001858663 +:04206E00000300016A +:04206F000001832CBD +:0420700000016C58A7 +:042071000000000467 +:04207200000141C464 :042073000000000069 -:04207400000185A141 -:042075000002000164 -:0420760000018324BE -:0420770000016ED026 -:042078000000000163 -:04207900000185B22B -:04207A0000FF000063 -:04207B0000013950D7 -:04207C0000016EDC15 -:04207D00000000015E -:04207E00000185B325 -:04207F0000FF00005E -:0420800000013950D2 -:0420810000016EE804 -:042082000000000159 -:04208300000185B41F -:0420840000FF000059 -:0420850000013950CD -:0420860000016EF4F3 -:042087000000000154 -:04208800000185B519 -:0420890000FF000054 -:04208A0000013950C8 -:04208B0000016F00E1 -:04208C00000000014F -:04208D00000185B613 -:04208E0000FF00004F -:04208F0000013950C3 -:0420900000016F0CD0 -:04209100000000014A -:04209200000185B70D -:0420930000FF00004A -:0420940000013950BE -:0420950000016F18BF -:042096000000000145 -:04209700000185B807 -:04209800000F000035 -:0420990000013950B9 -:04209A000001841CA1 -:04209B000000000041 -:04209C000000000040 -:04209D00000000003F +:042074000000000068 +:0420750000016C6C8E +:042076000000000462 +:04207700000133A091 +:042078000000000064 +:042079000000000063 +:04207A0000016EA053 +:04207B000000000061 +:04207C000001865584 +:04207D00000300015B +:04207E000001839C3E +:04207F0000016EB03E +:04208000000000015B +:042081000001865282 +:04208200001F00003B +:0420830000013A42DC +:0420840000016EC029 +:042085000000000156 +:04208600000186537C +:0420870000FF000056 +:04208800000139FE1C +:0420890000016ED014 +:04208A000000000151 +:04208B000001865476 +:04208C0000C80A007E +:04208D00000139FE17 +:04208E0000016EE0FF +:04208F00000000014C +:04209000000186576E +:042091000005000046 +:04209200000139E828 +:0420930000016EF0EA +:042094000000000147 +:042095000001865868 +:042096000005000041 +:04209700000139E823 +:0420980000016F6074 +:042099000000000043 +:04209A000001865665 +:04209B00000500013B +:04209C00000183B804 +:04209D0000016F6C63 :04209E00000000003E -:04209F00000000003D -:0420A000000170DCEF -:0420A100000170E0EA -:0420A200000170E4E5 -:0420A300000170AC1C -:0420A400000171C402 -:0420A500000171E0E5 -:0420A600000172388B -:0420A7000001724082 -:0420A8000001724879 -:0420A900000171C4FD -:0420AA00000171E0E0 -:0420AB00000171E8D7 -:0420AC00000171F8C6 -:0420AD0000017208B4 -:0420AE00000171C4F8 -:0420AF00000171D0EB -:0420B00000017218A1 -:0420B1000001722890 -:0420B2000001719424 -:0420B300000173189D -:0420B4000001732490 -:0420B500000170AC0A -:0420B6000001719420 -:0420B7000001719C17 -:0420B800000171486A -:0420B900000171545D -:0420BA000001716050 -:0420BB00000171703F -:0420BC00000172D0DD -:0420BD00000172E0CC -:0420BE00000172ECBF -:0420BF00000170AC00 -:0420C000000171A00A -:0420C100000171ACFD -:0420C200000171BCEC -:0420C3000001719413 -:0420C400000170ACFB -:0420C5000001734C57 -:0420C6000001735C46 -:0420C7000001736C35 -:0420C8000001737C24 -:0420C900000173346B -:0420CA00000173405E -:0420CB00000171940B -:0420CC000000000010 -:0420CD00000000000F -:0420CE00000000000E -:0420CF00000000000D -:0420D000000000000C -:0420D100000000000B -:0420D200000000000A -:0420D3000000000009 -:0420D4000000000008 -:0420D5000000000007 -:0420D6000000000006 -:0420D7000000000005 -:0420D8000000000004 -:0420D9000000000003 -:0420DA000000000002 -:0420DB000000000001 -:0420DC000000000000 -:0420DD0000000000FF -:0420DE0000000000FE -:0420DF0000000000FD -:0420E00000000000FC -:0420E10000000000FB -:0420E20000000000FA -:0420E30000000000F9 -:0420E400000163484C -:0420E50000018394DF -:0420E60000018394DE -:0420E7000010801055 -:0420E8000100800073 -:0420E90000024000B1 -:0420EA0000000001F1 -:0420EB0000022000CF -:0420EC000001727C01 -:0420ED00000171C0BD -:0420EE000001711468 -:0420EF000001712853 -:0420F000000170ACCF -:0420F1000001719CDD -:0420F200000171C4B4 -:0420F300000171D0A7 -:0420F400000171C4B2 -:0420F500000171E095 -:0420F600000170CCA9 -:0420F700000170D4A0 -:0420F800000172F879 -:0420F9000001730867 -:0420FA000001713C34 -:0420FB00000171402F -:0420FC0000017178F6 -:0420FD0000017188E5 -:0420FE00000183302A -:0420FF0000000009D4 -:0421000000017A98C8 -:0421010000000003D7 -:0421020000017B4C11 -:0421030000000005D3 -:0421040000017B88D3 -:042105000000000BCB -:0421060000017BEC6D -:0421070000000009CB -:0421080000017CC88E -:042109000000000DC5 -:04210A0000017D7CD7 -:04210B0000000006CA -:04210C0000017E80D0 -:04210D0000000005C9 -:04210E0000017EF856 -:04210F0000000009C3 -:0421100000017F5CEF -:042111000000000FBB -:042112000001801038 -:0421130000000006C2 -:042114000001813C09 -:0421150000000009BD -:04211600000181B48F -:04211700C896554BC6 +:04209F000001865165 +:0420A0000002000139 +:0420A100000183D0E7 +:0420A20000016F7C4E +:0420A3000000000138 +:0420A400000186624F +:0420A50000FF000038 +:0420A600000139D22A +:0420A70000016F883D +:0420A8000000000133 +:0420A9000001866349 +:0420AA0000FF000033 +:0420AB00000139D225 +:0420AC0000016F942C +:0420AD00000000012E +:0420AE000001866443 +:0420AF0000FF00002E +:0420B000000139D220 +:0420B10000016FA01B +:0420B2000000000129 +:0420B300000186653D +:0420B40000FF000029 +:0420B500000139D21B +:0420B60000016FAC0A +:0420B7000000000124 +:0420B8000001866637 +:0420B90000FF000024 +:0420BA00000139D216 +:0420BB0000016FB8F9 +:0420BC00000000011F +:0420BD000001866731 +:0420BE0000FF00001F +:0420BF00000139D211 +:0420C00000016FC4E8 +:0420C100000000011A +:0420C200000186682B +:0420C300000F00000A +:0420C400000139D20C +:0420C500000184CCC6 +:0420C6000000000016 +:0420C7000000000015 +:0420C8000000000014 +:0420C9000000000013 +:0420CA000000000012 +:0420CB000001718817 +:0420CC000001718C12 +:0420CD00000171900D +:0420CE000001715844 +:0420CF00000172702A +:0420D0000001728C0D +:0420D100000172E4B4 +:0420D200000172ECAB +:0420D300000172F4A2 +:0420D4000001727025 +:0420D5000001728C08 +:0420D60000017294FF +:0420D700000172A4EE +:0420D800000172B4DD +:0420D9000001727020 +:0420DA000001727C13 +:0420DB00000172C4CA +:0420DC00000172D4B9 +:0420DD00000172404C +:0420DE00000173C4C6 +:0420DF00000173D0B9 +:0420E0000001715832 +:0420E1000001724048 +:0420E200000172483F +:0420E300000171F493 +:0420E4000001720085 +:0420E5000001720C78 +:0420E6000001721C67 +:0420E7000001737C05 +:0420E8000001738CF4 +:0420E90000017398E7 +:0420EA000001715828 +:0420EB000001724C32 +:0420EC000001725825 +:0420ED000001726814 +:0420EE00000172403B +:0420EF000001715823 +:0420F000000173F880 +:0420F100000174086E +:0420F200000174185D +:0420F300000174284C +:0420F400000173E094 +:0420F500000173EC87 +:0420F6000001724033 +:0420F70000000000E5 +:0420F80000000000E4 +:0420F90000000000E3 +:0420FA0000000000E2 +:0420FB0000000000E1 +:0420FC0000000000E0 +:0420FD0000000000DF +:0420FE0000000000DE +:0420FF0000000000DD +:0421000000000000DB +:0421010000000000DA +:0421020000000000D9 +:0421030000000000D8 +:0421040000000000D7 +:0421050000000000D6 +:0421060000000000D5 +:0421070000000000D4 +:0421080000000000D3 +:0421090000000000D2 +:04210A0000000000D1 +:04210B0000000000D0 +:04210C0000000000CF +:04210D0000000000CE +:04210E0000000000CD +:04210F00000163CA9E +:042110000001844006 +:042111000001844005 +:042112000010801029 +:042113000100800047 +:042114000002400085 +:0421150000000001C5 +:042116000002800043 +:0421170000022000A2 +:042118000001732827 +:042119000001726CE3 +:04211A00000171C08F +:04211B00000171D47A +:04211C0000017158F5 +:04211D000001724803 +:04211E0000017270DA +:04211F000001727CCD +:0421200000017270D8 +:042121000001728CBB +:0421220000017178CF +:0421230000017180C6 +:04212400000173A49F +:04212500000173B48E +:04212600000171E85B +:04212700000171EC56 +:04212800000172241C +:04212900000172340B +:04212A00000183DC51 +:04212B0000000009A7 +:04212C0000017B44EF +:04212D0000000003AB +:04212E0000017BF839 +:04212F0000000005A7 +:0421300000017C34FA +:042131000000000B9F +:0421320000017C9894 +:04213300000000099F +:0421340000017D74B5 +:042135000000000D99 +:0421360000017E28FE +:04213700000000069E +:0421380000017F2CF7 +:04213900000000059D +:04213A0000017FA47D +:04213B000000000997 +:04213C000001800816 +:04213D000000000F8F +:04213E00000180BC60 +:04213F000000000696 +:04214000000181E831 +:042141000000000991 +:0421420000018260B6 +:04214300C896554B9A :00000001FF diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index f3e3ec4..758d409 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -84,8 +84,25 @@ alt_u8 pcm1862_active; alt_u32 pclk_out; alt_u32 read_it2(alt_u32 regaddr); +// Manually (see cyiv-51005.pdf) or automatically (MIF/HEX from PLL megafunction) generated config may not +// provide fully correct scan chain data (e.g. mismatches in C3) and lead to incorrect PLL configuration. +// To get correct scan chain data, do the following: +// 1. Create a ALTPLL_RECONFIG instance with initial value read from your MIF/HEX file +// 2. Connect ALTPLL_RECONFIG to your PLL and set its reconfig input to something you can control easily (e.g. button) +// 3. Create a signaltap file and add all PLL signals to capture. Set sample depth to 256 and clock to scanclk +// 4. Compile the design and program the FPGA +// 5. Open signaltap and set trigger to scanclkena rising edge +// 6. Run signaltap and trigger PLL reconfiguration +// 7. Export VCD file for analysis +// 8. Compare your MIF/HEX to the captured scan chain and update it accordingly +// 9. Dump the updated scan chain data to an array like below (last 16 bits are 0) +// 10. PLL can be then reconfigured with custom pll_reconfig as shown in program_mode() +const alt_u32 pll_config_2x_5x_data[] = {0x0dc06000, 0x00783c11, 0x070180e0, 0x0000180e, 0x00000000}; +const alt_u32 pll_config_3x_4x_data[] = {0x0d806000, 0x00301804, 0x02014060, 0x00001406, 0x00000000}; + volatile sc_regs *sc = (volatile sc_regs*)SC_CONFIG_0_BASE; volatile osd_regs *osd = (volatile osd_regs*)OSD_GENERATOR_0_BASE; +volatile pll_reconfig_regs *pll_reconfig = (volatile pll_reconfig_regs*)PLL_RECONFIG_0_BASE; inline void lcd_write_menu() { @@ -558,7 +575,7 @@ void update_sc_config() // Configure TVP7002 and scan converter logic based on the video mode void program_mode() { - alt_u8 h_syncinlen, v_syncinlen, hdmitx_pclk_level, osd_x_size, osd_y_size; + alt_u8 h_syncinlen, v_syncinlen, hdmitx_pclk_level, osd_x_size, osd_y_size, pll_config; alt_u32 h_hz, v_hz_x100, h_synclen_px; // Mark as stable (needed after sync up to avoid unnecessary mode switch) @@ -611,6 +628,31 @@ void program_mode() set_csc(cm.cc.ypbpr_cs); cm.sample_sel = tvp_set_hpll_phase(video_modes[cm.id].sampler_phase, cm.sample_mult); + switch (cm.fpga_vmultmode) { + case FPGA_V_MULTMODE_2X: + case FPGA_V_MULTMODE_5X: + pll_config = PLL_CONFIG_2X_5X; + break; + case FPGA_V_MULTMODE_3X: + case FPGA_V_MULTMODE_4X: + pll_config = PLL_CONFIG_3X_4X; + break; + default: + pll_config = cm.pll_config; + break; + } + + while (pll_reconfig->pll_config_status.busy) {} + pll_reconfig->pll_config_status.reset = (cm.fpga_vmultmode == FPGA_V_MULTMODE_1X); + if (cm.pll_config != pll_config) { + if (pll_config == PLL_CONFIG_2X_5X) + memcpy((void*)pll_reconfig->pll_config_data.data, pll_config_2x_5x_data, sizeof(pll_config_2x_5x_data)); + else + memcpy((void*)pll_reconfig->pll_config_data.data, pll_config_3x_4x_data, sizeof(pll_config_3x_4x_data)); + pll_reconfig->pll_config_status.update = 1; + cm.pll_config = pll_config; + } + if (cm.fpga_vmultmode == FPGA_V_MULTMODE_1X) { osd_x_size = (video_modes[cm.id].v_active > 700) ? 1 : 0; osd_y_size = osd_x_size; diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index f403883..065b8c5 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -24,6 +24,7 @@ #include "sysconfig.h" #include "sc_config_regs.h" #include "osd_generator_regs.h" +#include "pll_reconfig_regs.h" // sys_ctrl bits #define LT_ACTIVE (1<<15) @@ -64,6 +65,10 @@ #define AUTO_MAX_COUNT 100 #define AUTO_CURRENT_MAX_COUNT 6 +#define PLL_CONFIG_VG 0 +#define PLL_CONFIG_2X_5X 1 +#define PLL_CONFIG_3X_4X 2 + // In reverse order of importance typedef enum { NO_CHANGE = 0, @@ -99,6 +104,7 @@ typedef struct { alt_u16 h_mult_total; mode_flags target_lm; avinput_t avinput; + alt_u8 pll_config; // Current configuration avconfig_t cc; } avmode_t; diff --git a/software/sys_controller_bsp/drivers/inc/pll_reconfig_regs.h b/software/sys_controller_bsp/drivers/inc/pll_reconfig_regs.h new file mode 120000 index 0000000..dd73f92 --- /dev/null +++ b/software/sys_controller_bsp/drivers/inc/pll_reconfig_regs.h @@ -0,0 +1 @@ +../../../../ip/pll_reconfig/inc/pll_reconfig_regs.h \ No newline at end of file diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index f30992d..768227d 100644 Binary files a/software/sys_controller_bsp/libhal_bsp.a and b/software/sys_controller_bsp/libhal_bsp.a differ diff --git a/software/sys_controller_bsp/system.h b/software/sys_controller_bsp/system.h index 2f3957c..3ef2fc2 100644 --- a/software/sys_controller_bsp/system.h +++ b/software/sys_controller_bsp/system.h @@ -399,6 +399,14 @@ #define OSD_GENERATOR_0_BASE 0x24000 #define OSD_GENERATOR_0_SPAN 16 +/* + * pll_reconfig configuration + * + */ + +#define ALT_MODULE_CLASS_pll_reconfig_0 pll_reconfig +#define PLL_RECONFIG_0_BASE 0x28000 +#define PLL_RECONFIG_0_SPAN 8 /* * timer_0 configuration diff --git a/sys.qsys b/sys.qsys index 0ab623a..55ab093 100644 --- a/sys.qsys +++ b/sys.qsys @@ -240,6 +240,27 @@ type = "String"; } } + element pll_reconfig_0 + { + datum _sortIndex + { + value = "14"; + type = "int"; + } + } + element pll_reconfig_0.avalon_s + { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } + datum baseAddress + { + value = "163840"; + type = "String"; + } + } element pulpino_0 { datum _sortIndex @@ -350,6 +371,11 @@ internal="pio_1.external_connection" type="conduit" dir="end" /> + - + - + + + @@ -490,6 +518,7 @@ + @@ -551,6 +580,15 @@ + + + + + + + diff --git a/sys.sopcinfo b/sys.sopcinfo index fb636c3..7d2482f 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1570236075 + 1570324587 false true false @@ -408,6 +408,12 @@ parameters are a RESULT of the module parameters. --> clock_sink osd_generator_0.clock_sink + + false + pll_reconfig_0 + clock_sink + pll_reconfig_0.clock_sink + + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + false + + clk_i + Input + 1 + clk + + + + + + java.lang.String + clock_sink + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + rst_i + Input + 1 + reset + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 32 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clock_sink + false + true + true + true + + + java.lang.String + reset_sink + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + avalon_s_address + Input + 3 + address + + + avalon_s_writedata + Input + 32 + writedata + + + avalon_s_readdata + Output + 32 + readdata + + + avalon_s_byteenable + Input + 4 + byteenable + + + avalon_s_write + Input + 1 + write + + + avalon_s_read + Input + 1 + read + + + avalon_s_chipselect + Input + 1 + chipselect + + + avalon_s_waitrequest_n + Output + 1 + waitrequest_n + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + areset + Output + 1 + areset + + + scanclk + Output + 1 + scanclk + + + scanclkena + Output + 1 + scanclkena + + + configupdate + Output + 1 + configupdate + + + scandata + Output + 1 + scandata + + + scandone + Input + 1 + scandone + + + @@ -9046,6 +9624,14 @@ parameters are a RESULT of the module parameters. --> 147456 64 + + false + pll_reconfig_0 + avalon_s + pll_reconfig_0.avalon_s + 163840 + 32 + false hw_crc32_0 @@ -11069,6 +11655,57 @@ parameters are a RESULT of the module parameters. --> osd_generator_0 avalon_s + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00028000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pll_reconfig_0 + avalon_s + osd_generator_0 clock_sink + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk + pll_reconfig_0 + clock_sink + osd_generator_0 reset_sink + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk_reset + pll_reconfig_0 + reset_sink + 1 clock_source @@ -12556,7 +13247,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 13 + 14 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -12564,7 +13255,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 13 + 14 reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -12572,7 +13263,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 14 + 15 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -12604,7 +13295,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 7 + 8 conduit_end com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -12667,6 +13358,14 @@ parameters are a RESULT of the module parameters. --> PIO (Parallel I/O) 17.1 + + 1 + pll_reconfig + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + pll_reconfig + 1.0 + 1 pulpino @@ -12700,7 +13399,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 15 + 16 avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -12708,7 +13407,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 13 + 14 clock com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -12724,7 +13423,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 13 + 14 reset com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection