diff --git a/ossc.qsf b/ossc.qsf index 046aad7..e7563cc 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -218,7 +218,7 @@ set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 1 +set_global_assignment -name SEED 6 diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 46ec22e..97dfaec 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000A11718 :04002D00F5010113C5 :04002E000000871730 -:04002F0057870713D5 +:04002F005847071314 :04003000000097979E -:04003100B5078793F5 +:04003100B5C7879335 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,41 +56,41 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367E1D2 -:04003A0067E1630710 -:04003B006347A583EF +:04003A0067E163C750 +:04003B006407A5832E :04003C0040A267E196 -:04003D006387A5032D +:04003D006447A5036C :04003E00206F0131FD -:04003F00A3A171C048 +:04003F00A3A1728087 :0400400067E1808272 :04004100000207377B -:0400420064E7A023AC +:0400420064E7A623A6 :0400430007B7656135 :040044006761019C53 :04004500CC078793CA -:04004600A985051370 -:0400470062F72E230B +:04004600AA450513AF +:0400470064F7242313 :040048006761AE49F5 -:0400490063C727035F +:04004900648727039E :04004A00A78367E140 -:04004B00557D640774 +:04004B00557D64C7B4 :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067E1808261 -:0400520063C7A78356 +:040052006487A78395 :04005300CF91557D77 :04005400A70367E1B6 -:0400550028236407F1 +:04005500282364C731 :040056004B1C000738 :0400570007C24B4849 :0400580083C1054219 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367E1B1 -:04005C00808263C774 +:04005C0080826487B3 :04005D002703C93973 :04005E0011510C052B :04005F0000859793EE @@ -174,7 +174,7 @@ :0400AD00953E8626D0 :0400AE00CC3ACE1A60 :0400AF00C836CA166F -:0400B000602050EF8D +:0400B00060E050EFCD :0400B10007B3101071 :0400B200C03E0096B6 :0400B3008793678543 @@ -273,7 +273,7 @@ :04011000C222853A48 :040111008436C40666 :0401120050EFC026C4 -:04011300872A478070 +:04011300872A4840AF :0401140025B76605A0 :04011500652100025E :040116000613468105 @@ -308,7 +308,7 @@ :04013300E963FEB7C7 :0401340066D902F690 :040135008693078A1C -:0401360097B64FC663 +:0401360097B65086A2 :040137008782439CDC :040138002000079309 :040139000D05268307 @@ -341,7 +341,7 @@ :04015400D918DD5C7D :0401550002052A2352 :04015600C134DD10C3 -:040157005605859331 +:0401570056C5859371 :0401580040A222019E :04015900853E478117 :04015A00808201316D @@ -408,7 +408,7 @@ :04019700BFD5078544 :04019800451CC11928 :0401990067E1EF919A -:04019A0055C7A7831B +:04019A005687A7835A :04019B001151C395A6 :04019C009782C4067C :04019D0047D940A25C @@ -419,7 +419,7 @@ :0401A200C188C3C885 :0401A3008082450110 :0401A4000513656575 -:0401A50047D9C0C5B1 +:0401A50047D9C185F0 :0401A6005529C11CFA :0401A70067338082B8 :0401A80053FD00B54E @@ -601,7 +601,7 @@ :04025800BF59013158 :04025900C22211515B :04025A0004136461C4 -:04025B00458365442E +:04025B00458366046D :04025C004515002420 :04025D003749C40653 :04025E0000244783AE @@ -677,9 +677,9 @@ :0402A400359D0C5028 :0402A50064E14702C7 :0402A6008693479262 -:0402A700C69865444C +:0402A700C69866048B :0402A80084934705EF -:0402A900440965445B +:0402A900440966049A :0402AA008363462202 :0402AB00442900E7FB :0402AC00822347055D @@ -859,12 +859,12 @@ :04035A008432C036F3 :04035B003669CA062F :04035C00676146820D -:04035D0065470613D7 +:04035D006607061316 :04035E0097938A8562 :04035F0046830016BB :040360009AF500C644 :0403610007938EDD93 -:0403620006236547C2 +:040362000623660701 :04036300C03E00D6C2 :04036400C2998A8927 :040365003F494505C2 @@ -905,11 +905,11 @@ :0403880047C104F471 :040389009F6365E128 :04038A00460D14F414 -:04038B00568585937B +:04038B0057458593BA :04038C00073005131E :04038D0065E13CC921 :04038E0085934649C4 -:04038F00A835B9C50F +:04038F00A835BA854E :040390000405E593E8 :04039100E593BFA58C :04039200BF8D08050E @@ -920,11 +920,11 @@ :040397007413FA04DD :04039800B7750FF432 :04039900460D65E1C7 -:04039A0056C585932C +:04039A00578585936B :04039B00073005130F :04039C0065E13C5982 :04039D0085934649B5 -:04039E000513BB0583 +:04039E000513BBC5C3 :04039F00F0EF076014 :0403A0004489A89F45 :0403A1000720051319 @@ -986,17 +986,17 @@ :0403D9000161450574 :0403DA0065E18082D7 :0403DB008593460DB3 -:0403DC00051356C5EA +:0403DC000513578529 :0403DD00F0EF073006 :0403DE0065E1991F1D :0403DF008593464973 -:0403E000BDE5B8853A +:0403E000BDE5B94579 :0403E1008593460DAD -:0403E2000513568524 +:0403E2000513574563 :0403E300F0EF073000 :0403E40065E1979F99 :0403E500859346496D -:0403E600B5C5B7459D +:0403E600B5C5B805DC :0403E7004481440108 :0403E80045E1B5D561 :0403E90006200513D2 @@ -1021,8 +1021,8 @@ :0403FC000131059036 :0403FD00905FF06FAE :0403FE00879367E199 -:0403FF006761A98702 -:0404000066F7222356 +:0403FF006761AA4741 +:0404000066F7282350 :040401000C87A703BA :04040200F38005136B :04040300A703CB017F @@ -1031,7 +1031,7 @@ :04040600450100F7B5 :0404070067E18082A7 :0404080085AA86AE8D -:040409006647A5039A +:040409006707A503D9 :04040A00C4061151C2 :04040B00BF0FF0EF40 :04040C000513C119FA @@ -1043,7 +1043,7 @@ :0404120086AA0FF4B3 :040413000622872E08 :04041400C38564E157 -:040415006644A50391 +:040415006704A503D0 :04041600F0047593E6 :04041700F0EF05A25B :04041800C1199D8FDA @@ -1051,7 +1051,7 @@ :04041A00444240D246 :04041B00016144B285 :04041C00C22A8082EE -:04041D006644A50389 +:04041D006704A503C8 :04041E0085B2C42EB1 :04041F00F0EFC03208 :04042000460296CF2B @@ -1097,19 +1097,19 @@ :040448000014B613D3 :04044900853685BAB5 :04044A0030EFC23697 -:04044B00872A366066 +:04044B00872A3720A5 :04044C001004849381 :04044D00B77D46929F :04044E00C422114172 :04044F00C02A6461FA -:0404500066840513A6 +:0404500067440513E5 :0404510040EFC606AC -:04045200458249E0B6 +:0404520045824AA0F5 :040453000713E90999 :0404540046812000BD :040455000513460144 -:0404560040EF668489 -:0404570040B25D2032 +:0404560040EF6744C8 +:0404570040B25DE072 :0404580001414422F8 :04045900112180826B :04045A00C626C822C8 @@ -1124,9 +1124,9 @@ :040463000103171367 :04046400834167E188 :040465008622468124 -:04046600668785130D +:04046600674785134C :04046700C21AC41ED3 -:0404680058C040EF49 +:04046800598040EF88 :0404690043A2431255 :04046A000533C9018C :04046B0040D240A09B @@ -1141,40 +1141,40 @@ :0404740084B393BA00 :04047500B7454064E3 :04047600A78367E110 -:0404770011415707D1 +:04047700114157C711 :040478006461C422D5 -:04047900680405937B +:0404790068C40593BB :04047A00851346415F :04047B00C60600476A :04047C00C03EC22696 -:04047D00041040EF38 +:04047D0004D040EF78 :04047E0064E147826C -:04047F006B84859372 +:04047F006C448593B1 :040480008513464159 :0404810040EF014700 -:04048200051302F06C -:0404830044226804A3 +:04048200051303B0AB +:04048300442268C4E3 :04048400859340B26A -:0404850044926B84AE +:0404850044926C44ED :04048600306F014191 -:0404870067E14E00DB -:040488005707A783E8 +:0404870067E14EC01B +:0404880057C7A78328 :04048900C422114137 :04048A000593646111 -:04048B004641694439 +:04048B0046416A0478 :04048C00004785138D :04048D00C226C606B7 :04048E0040EFC03E3D -:04048F0047827FA081 +:04048F004782007030 :04049000859364E10B -:040491004641730469 +:04049100464173C4A9 :040492000147851386 -:040493007E8040EF38 -:04049400694405139F +:040493007F4040EF77 +:040494006A040513DE :0404950040B244220B -:0404960073048593D3 +:0404960073C4859313 :040497000141449249 -:0404980049A0306FD8 +:040498004A60306F17 :04049900C406115133 :04049A00842AC222CC :04049B00949FF0EF4B @@ -1182,11 +1182,11 @@ :04049D00A31FF0EFBA :04049E000563478922 :04049F00646102F49E -:0404A0007484041349 +:0404A0007544041388 :0404A100460367E1C6 :0404A2004583037417 :0404A300A503036446 -:0404A400F0EF678787 +:0404A400F0EF6847C6 :0404A5004503F86FA4 :0404A6004412036495 :0404A700013140A23D @@ -1201,9 +1201,9 @@ :0404B000450145813C :0404B100909FF0EF39 :0404B20007936461E7 -:0404B300C5036CC44D +:0404B300C5036D848C :0404B4004785010770 -:0404B5006CC40413FC +:0404B5006D8404133B :0404B60000A035333A :0404B70046010505F0 :0404B80000F4936356 @@ -1213,7 +1213,7 @@ :0404BC00A6BFF0EFF8 :0404BD00896347897F :0404BE00636102F480 -:0404BF00748303132C +:0404BF00754303136B :0404C00000F447837A :0404C10002434703A8 :0404C20001144503D9 @@ -1221,7 +1221,7 @@ :0404C4004601468126 :0404C500F0EF0586C9 :0404C60067E1CA6FB1 -:0404C70074878313A0 +:0404C70075478313DF :0404C8000243478321 :0404C90004F4012313 :0404CA003F2D852617 @@ -1232,32 +1232,32 @@ :0404CF000EA7EA6327 :0404D000C4221141F0 :0404D10027836461B8 -:0404D200C60657847F +:0404D200C6065844BE :0404D300C703C22673 :0404D40004130037D6 -:0404D500830D5784B8 +:0404D500830D5844F7 :0404D60006638B3DF1 :0404D70047510CA7D6 :0404D80002E50733FF :0404D900859365D9C9 -:0404DA0084AA638508 +:0404DA0084AA644547 :0404DB0085134651EE :0404DC00C03E0047D7 :0404DD0040EF95BA9D -:0404DE00478254C03D +:0404DE00478255807C :0404DF0000F4F5131D :0404E000C483050AC2 :0404E100F493000789 :0404E2008D45FC3414 :0404E300802364E12D :0404E400879300A753 -:0404E500C7836CC499 +:0404E500C7836D84D8 :0404E600849301C733 -:0404E700C3856CC499 +:0404E700C3856D84D8 :0404E800578367616E -:0404E90045296A87B0 +:0404E90045296B47EF :0404EA0007C29BF5B5 -:0404EB00142383C192 +:0404EB001A2383C18C :0404EC0017376AF75D :0404ED0020230002C6 :0404EE00E0EF0EF736 @@ -1283,8 +1283,8 @@ :04050200C783CF9F3D :04050300CF8101C4DF :040504005783676151 -:04050500E7936A8787 -:040506001423002793 +:04050500E7936B47C6 +:040506001A2300278D :0405070017376AF741 :0405080020230002AA :0405090040B20EF7F7 @@ -1293,48 +1293,48 @@ :04050C001151808287 :04050D00C222C4063C :04050E0067E1E55963 -:04050F006CC787939B +:04050F006D878793DA :04051000043743D495 :040511000413019C32 :040512005433CC048E :04051300868302D405 :04051400467100A785 -:04051500879367E180 -:0405160086B37FC762 +:04051500879367E57C +:0405160086B38087A1 :0405170097B602C6CB :0405180000E7D7839E :04051900043346A1C0 :04051A0067E102F49F -:04051B006A67C783C1 +:04051B006B27C78300 :04051C0002D78D6312 :04051D00896346C1E7 :04051E00471100D7AA :04051F0004E79163F9 -:04052000095030EF5F +:040520000A1030EF9E :04052100A8294505BB :0405220001C9C7B78D :0405230037F787938C :04052400E363872ADC :04052500473D0087C7 :0405260030EF853AF3 -:04052700450D07B0C7 +:04052700450D087006 :0405280040A2441297 :04052900306F0131FD -:04052A0030EF43600B -:04052B00B53706B02A +:04052A0030EF44204A +:04052B00B537077069 :04052C00051304C4EB :04052D0035333FF52E :04052E000509008536 :04052F0030EFB7D51D -:04053000450105700C +:04053000450106304B :0405310067E1BFF1CE -:040532007487871330 +:04053200754787136F :0405330002E7468312 :0405340084134709DC -:04053500453D748745 +:04053500453D754784 :0405360000E68363F5 :0405370030EF45015B -:040538004783037082 +:0405380047830430C1 :04053900470902E488 :04053A007BE3450D0D :04053B004515FAF771 @@ -1342,37 +1342,37 @@ :04053D00B76D0FF592 :04053E00F863478592 :04053F0067E100A7C9 -:040540006A67C5031E +:040540006B27C5035D :0405410035131561F8 :0405420047E1001578 :0405430002F5053385 :04054400879367DD55 -:04054500953E5D077B -:040546007040306F62 +:04054500953E5DC7BB +:040546007100306FA1 :0405470026036761BF -:04054800011357C77D +:0405480001135887BC :0405490067E1FDC1A8 :04054A00D006CE22E7 :04054B008793CC26A0 :04054C0004136A0723 -:04054D00468357C7C3 +:04054D004683588702 :04054E00829D003654 :04054F0017FDE29919 :0405500030EFFBFD90 -:0405510067E12470CA -:040552006CC7869359 +:0405510067E1253009 +:040552006D87869398 :0405530000B6C283A9 :0405540083AAC03E78 -:040555006CC7849358 +:040555006D87849397 :0405560000028763B5 :04055700C783401402 :04055800F2930026F4 :04055900051300374F :04055A00CA1E037042 :04055B0030EFC8169F -:04055C00C22A3EC0B1 +:04055C00C22A3F80F0 :04055D0003800513FF -:04055E003E2030EF1C +:04055E003EE030EF5C :04055F0066054312D8 :040560000085169369 :04056100F006061387 @@ -1382,10 +1382,10 @@ :040565000513C42A8C :04056600C636039002 :0405670000C484A3A5 -:040568003BA030EF95 +:040568003C6030EFD4 :040569000513C22A8A :04056A0030EF03A0CB -:04056B0040103B0001 +:04056B0040103BC041 :04056C0046B242C28F :04056D00002647031A :04056E00531343D20E @@ -1410,8 +1410,8 @@ :040581004785001595 :0405820000B4C303FB :0405830067616661E5 -:0405840064460613B0 -:04058500748707135D +:0405840065060613EF +:04058500754707139C :0405860026031663CF :040587004A038A6336 :040588004A05886335 @@ -1427,7 +1427,7 @@ :04059200633300A32C :04059300460200C359 :04059400260365E1F4 -:0405950005136CC618 +:0405950005136D8657 :040596006D6300167B :04059700851300D5F3 :04059800696300167D @@ -1435,7 +1435,7 @@ :04059A00006616637E :04059B000084C6030F :04059C0046F60363B9 -:04059D00720586233A +:04059D0072058C2334 :04059E0001974583F9 :04059F000374C60318 :0405A0000AC5906395 @@ -1487,8 +1487,8 @@ :0405CE00860302C5D9 :0405CF0045F100A44E :0405D00002B6063336 -:0405D100859365E1C8 -:0405D200962E7FC51D +:0405D100859365E5C4 +:0405D200962E80855C :0405D30005934A1032 :0405D400821520D09C :0405D5007FF6761324 @@ -1502,8 +1502,8 @@ :0405DD00860302C5CA :0405DE0045F100A43F :0405DF0002B6063327 -:0405E000859365E1B9 -:0405E100962E7FC50E +:0405E000859365E5B5 +:0405E100962E80854D :0405E20005934A1023 :0405E30082151C1051 :0405E4007FF6761315 @@ -1512,7 +1512,7 @@ :0405E70000B4736386 :0405E8007413460939 :0405E90066610FF642 -:0405EA005786260307 +:0405EA005846260346 :0405EB0001D4C583EF :0405EC00003646038C :0405ED008A3D820DB4 @@ -1521,12 +1521,12 @@ :0405F00000B473637D :0405F1007413460930 :0405F20066610FF639 -:0405F3007426460321 +:0405F30074E6460361 :0405F4008383CE49E6 :0405F500467100A4A7 -:0405F60083B362E188 +:0405F60083B362E584 :0405F700861302C3A2 -:0405F800C5837FC276 +:0405F800C5838082B5 :0405F900451501247F :0405FA000454C08362 :0405FB00A60393B20E @@ -1547,23 +1547,23 @@ :04060A0082050183E1 :04060B00C41A8A057E :04060C00C63EC236EE -:04060D002DE030EFBD +:04060D002EA030EFFC :04060E0000A48603BB -:04060F0067E145F169 +:04060F0067E545F165 :0406100002B60633F5 -:040611007FC782938A +:0406110080878293C9 :040612000124C58377 :04061300C50392B2D7 :0406140030EF016260 -:040615006761566063 +:0406150067615720A2 :0406160000A489A310 :0406170043224692A2 :04061800071347B2CB -:04061900E0117487F1 +:04061900E011754730 :04061A00460244054B :04061B000064A223B2 :04061C0000F484233F -:04061D006CD626234E +:04061D006CD62C2348 :04061E000585A03579 :04061F0000B60023FE :04062000BB65440171 @@ -1576,7 +1576,7 @@ :040627004411000476 :04062800D8059DE371 :04062900C60367E1BC -:04062A00C683748788 +:04062A00C6837547C7 :04062B00106301E473 :04062C0046030ED69D :04062D00C683001769 @@ -1592,17 +1592,17 @@ :0406370018630244FE :0406380065E10AD698 :04063900461565619C -:04063A006F25859310 -:04063B007505051329 -:04063C007AD030EF51 +:04063A006FE5859350 +:04063B0075C5051369 +:04063C007B9030EF90 :04063D00871367E1D7 -:04063E00E951748783 +:04063E00E9517547C2 :04063F00656165E1AB :04064000859346193F -:0406410005136F75B9 -:0406420030EF7555CB -:0406430067E17930C2 -:04064400748787131D +:0406410005137035F8 +:0406420030EF76150A +:0406430067E179F002 +:04064400754787135C :040645004603ED2D4E :04064600C683004720 :04064700186302240E @@ -1638,53 +1638,53 @@ :04066500C68302A79F :04066600876304841E :0406670030EF00A6CA -:0406680067E14520E1 -:0406690074878713F8 +:0406680067E145E021 +:040669007547871337 :04066A0002B745038B :04066B000494C683AA :04066C0000A68763FA -:04066D000E4030EF1C +:04066D000F0030EF5B :04066E00871367E1A6 -:04066F004503748744 +:04066F004503754783 :04067000C68302C774 :04067100876304A4F3 :0406720030EF00A6BF -:0406730067E10D80AE -:0406740074878713ED +:0406730067E10E40ED +:04067400754787132C :0406750002F7450340 :0406760004D4C6835F :0406770003074583AD :0406780000A69663DF :0406790004E4C6834C :04067A0000B68763DC -:04067B0008E030EF74 +:04067B0009A030EFB3 :04067C00871367E198 -:04067D004503748736 +:04067D004503754775 :04067E00C683029796 :04067F008763047415 :04068000F0EF00A6F1 :0406810067E1AF7FFF -:0406820074878713DF +:04068200754787131E :0406830002E7450342 :0406840004C4C68361 :0406850000A68763E1 :04068600A1BFF0EF31 :04068700871367E18D -:04068800450374872B +:04068800450375476A :04068900C68302D74B :04068A00846304B4CD :04068B0030EF00A6A6 -:04068C0066E130A053 +:04068C0066E1316092 :04068D00851365E18B -:04068E00461D782667 -:04068F007245859398 -:0406900065D030EF12 +:04068E00461D78E6A7 +:04068F0073058593D7 +:04069000669030EF51 :0406910066E167E1D6 -:0406920074878713CF +:04069200754787130E :040693008513C90101 -:0406940030EF7826A5 -:0406950067E1058094 -:0406960074878713CB +:0406940030EF78E6E5 +:0406950067E10640D3 +:04069600754787130A :0406970003674603AC :040698000544C683CC :0406990000D618630C @@ -1694,21 +1694,21 @@ :04069D0002374503D8 :04069E00FECFF0EFAC :04069F00871367E175 -:0406A00066E1748714 -:0406A1007436C68362 +:0406A00066E1754753 +:0406A10074F6C683A2 :0406A2004503CE81BD :0406A300C7030387FF :0406A400076305647F :0406A500155100A744 :0406A6008561056203 -:0406A700313020EFDF +:0406A70031F020EF1F :0406A800859367E1EE -:0406A90085137487BA +:0406A90085137547F9 :0406AA00061301E44E :0406AB0030EF042008 -:0406AC0085226150F2 +:0406AC008522621031 :0406AD0044725082C1 -:0406AE00812367E15C +:0406AE00872367E156 :0406AF0044E27407A6 :0406B00002410113EF :0406B100137D8082B3 @@ -1716,10 +1716,10 @@ :0406B300BBC944017A :0406B4000006002319 :0406B500C603BFE5D4 -:0406B600450572C5BF +:0406B60045057385FE :0406B700B8A60EE3F0 :0406B80076130605AA -:0406B90086230FF68F +:0406B9008C230FF689 :0406BA0017E372C50B :0406BB004589B8A60F :0406BC0073638622BC @@ -1728,15 +1728,15 @@ :0406BF000113BEB5B0 :0406C00067E1FC8171 :0406C100D826DA223B -:0406C2006CC7871367 +:0406C2006D878713A6 :0406C30000A7048305 -:0406C40065614671B5 +:0406C40065654671B1 :0406C50002C48633B2 -:0406C6007FC5069353 +:0406C6008085069392 :0406C70001F745836F :0406C8000127428341 -:0406C9006CC78793E0 -:0406CA007FC50313D2 +:0406C9006D8787931F +:0406CA008085031311 :0406CB00D60396B20A :0406CC00C40300C69D :0406CD00CC320146E4 @@ -1854,7 +1854,7 @@ :04073D0066A12EE59E :04073E00986347195C :04073F0066E130D56A -:040740006A66C6031C +:040740006B26C6035B :04074100156346A155 :04074200040600D6D3 :0407430080410442AB @@ -1952,7 +1952,7 @@ :04079F0057A28CDDF4 :0407A00007FE8CE9DB :0407A10067E18CDDA3 -:0407A20057C7A7830B +:0407A2005887A7834A :0407A30000C7C58343 :0407A40000D78623D1 :0407A50000835693E4 @@ -2052,15 +2052,15 @@ :040803008716002034 :04080400FCD5FEE33E :0408050067E1B1ED09 -:0408060086234705F9 +:040806008C234705F3 :0408070067E172E74C :040808008713110140 -:04080900CC226CC7CA +:04080900CC226D8709 :04080A00CA26434077 :04080B00C23ECE0615 -:04080C006CC784939E +:04080C006D878493DD :04080D002E0406634C -:04080E006CC7A70309 +:04080E006D87A70348 :04080F002E0702634B :04081000A0EEC7B7D8 :04081100B007879312 @@ -2073,63 +2073,63 @@ :040818000287D4334C :0408190003B0051310 :04081A0020EFC416F1 -:04081B00C62A0F10CA +:04081B00C62A0FD00A :04081C0003C00513FD -:04081D000E7020EF4A +:04081D000F3020EF89 :04081E0001C4C783C7 :04081F000084C60388 :04082000971342A246 :0408210067D900276C -:040822005847879319 +:040822005907879358 :04082300439497BAA9 :04082400A70347924D -:0408250007936CC702 +:0408250007936D8741 :04082600E2190700CC :04082700069007939D :04082800000166372E :0408290006136561EC -:04082A0045C5674613 -:04082B006945051303 +:04082A0045C5680652 +:04082B006A05051342 :04082C0030EFC816CB -:04082D0042C20E9025 +:04082D0042C20F5064 :04082E0006400793E6 :04082F003E8006936E :0408300045C56561F4 :0408310002F4573343 -:040832007305051332 +:0408320073C5051372 :0408330002D2F633C4 :040834007433C43A1B :0408350087BA02F488 :0408360057334729C4 :04083700663702E638 :04083800C0220001D9 -:0408390067C6061375 +:0408390068860613B4 :04083A0004136461DE -:04083B00D6B35704D5 +:04083B00D6B357C415 :04083C0030EF02D2C5 -:04083D0067E10A90D5 -:04083E007DB7C78338 +:04083D0067E10B5014 +:04083E007E77C78377 :04083F004018EB91E1 :0408400000074783E3 :040841000027E79312 :0408420000F7002398 :04084300912FF0EF12 :04084400676147920F -:04084500741746835B -:040846006CC7A503D3 +:0408450074D746839B +:040846006D87A50312 :04084700C5834622FD :0408480020EF008419 -:0408490057FD6AB03D +:0408490057FD6B707C :04084A00026367617D :04084B00852320F5EC :04084C00878300A4FA :04084D0066E100A4BC -:04084E008D2346713F -:04084F0087B37CF6F9 -:04085000636102C717 -:040851007FC3061348 +:04084E008323467149 +:04084F0087B37EF6F7 +:04085000636502C713 +:040851008083061387 :040852000124C68334 -:0408530074174703CC +:0408530074D747030C :040854000454C28303 :040855004B8C97B27F :0408560089FD4615BD @@ -2144,10 +2144,10 @@ :04085F0081C105C28C :0408600000B49B2322 :040861000177C50353 -:040862007FC30693B7 +:0408620080830693F6 :04086300897DC23693 :0408640067618D79C2 -:040865006AA7032358 +:040865006AA7092352 :04086600468147324E :040867000FF77713FD :0408680002B7073399 @@ -2157,7 +2157,7 @@ :04086C0082854F949E :04086D0077138A85EE :04086E0020EF0FF771 -:04086F00C5034AB0C3 +:04086F00C5034B7002 :04087000F0EF04C4DD :04087100C503A70F05 :04087200F0EF04742B @@ -2167,9 +2167,9 @@ :0408760002E787B35B :0408770097BA4712D3 :040878000167C5034C -:040879003D5020EFDF +:040879003E1020EF1E :04087A00A68367E109 -:04087B00C783578751 +:04087B00C783584790 :04087C0089A300C488 :04087D00B71300A409 :04087E00C783001715 @@ -2184,8 +2184,8 @@ :040887008EA3478570 :04088800C50300F4B0 :04088900F0EF01D4B7 -:04088A00C783914F40 -:04088B00996300C4A9 +:04088A00C703914FC0 +:04088B00196300C429 :04088C008783100747 :04088D00477100A40B :04088E0002E787B343 @@ -2223,11 +2223,11 @@ :0408AE00C70302E793 :0408AF00070500E455 :0408B00002E787B321 -:0408B1002C2367612C -:0408B200186366F76A +:0408B1002223676136 +:0408B2001E6368F762 :0408B300838508D65B -:0408B40066F72C2394 -:0408B50067872683A8 +:0408B40068F722239C +:0408B50068472683E7 :0408B60005110737EA :0408B700F407071328 :0408B8006B634785A2 @@ -2239,7 +2239,7 @@ :0408BE0004F4C70374 :0408BF000414C583D5 :0408C000C703E7097A -:0408C10001630104CA +:0408C10007630104C4 :0408C200446206F78F :0408C30000F4882392 :0408C40044D240F2E8 @@ -2255,5610 +2255,5610 @@ :0408CE008EA3B5C57B :0408CF00B5D500E4B7 :0408D00000D4C78306 -:0408D10000E4C70375 -:0408D20017F546814F -:0408D3000017B793C0 -:0408D40007858F996C -:0408D5000FF7F7938F -:0408D6004585BDDDBA -:0408D700F6B61CE372 -:0408D800D7B3078A01 -:0408D900B7AD02D7DE -:0408DA008263478965 -:0408DB00C50302F55A -:0408DC00C1910114B1 -:0408DD0044624589A3 -:0408DE0000F4C783D8 -:0408DF000424C70323 -:0408E00044D240F2CC -:0408E1004601468105 -:0408E200E06F61055D -:0408E30040F2C32FED -:0408E40044D2446254 -:0408E50080826105A7 -:0408E600C0261151C6 -:0408E700C50364E100 -:0408E800458167647B -:0408E900C406C2225D -:0408EA004FB010EF0C -:0408EB00E121842A59 -:0408EC006764C683F4 -:0408ED00472967E14F -:0408EE006CD784A39C -:0408EF00C78367E173 -:0408F000856378970D -:0408F100676100E754 -:0408F20066F70EA3F4 -:0408F300C70367E1EF -:0408F40047816CA725 -:0408F50067E1C701EF -:0408F60067D7C78376 -:0408F700071367611B -:0408F80097BA6AC77A -:0408F9008023453DD6 -:0408FA0010EF00D724 -:0408FB00852233F02F -:0408FC00441240A2C0 -:0408FD0001314482FF -:0408FE001151808292 -:0408FF0064E1C026CA -:040900006764C50360 -:04090100C406C22244 -:04090200321010EFB0 -:04090300E51D842A40 -:040904006764C683DB -:0409050084A367E17F -:0409060067E16CD762 -:040907006CA7C7030F -:04090800C70147815B -:04090900C78367E158 -:04090A0067616E872C -:04090B006AC707139D -:04090C00453D97BA14 -:04090D0000D780236C -:04090E002F1010EFA7 -:04090F0040A285225B -:0409100044824412C7 -:0409110080820131AE -:04091200C4061151B5 -:04091300C026C22216 -:040914000002143792 -:04091500202347C58F -:0409160067E10EF493 -:0409170057C7A78394 -:040918000E04202386 -:04091900C7036509A2 -:04091A00862300C769 -:04091B00C703000707 -:04091C0086A300D7D7 -:04091D00C703000705 -:04091E00872300E744 -:04091F00C703000703 -:0409200087A300F7B2 -:04092100C703000701 -:040922008A230147DC -:04092300C7030007FF -:040924008AA301574A -:04092500C7030007FD -:040926008B230167B7 -:04092700C7030007FB -:04092800051301773B -:040929008BA3710526 -:04092A00D0EF000703 -:04092B000713C55F8A -:04092C0067E11D1052 -:04092D006AE79423BE -:04092E001D100793FE -:04092F000EF420237F -:04093000E0EF4501AE -:040931001537E79FF0 -:0409320005130003A6 -:04093300D0EFD40528 -:040934002637C31F80 -:0409350005B70006FC -:040936000513019C08 -:04093700061304049B -:040938008593A806F5 -:04093900E0EFCC051A -:04093A0020EF88CF53 -:04093B0020EF170092 -:04093C0054793AA010 -:04093D001205076335 -:04093E0020EF450160 -:04093F0007934600D4 -:0409400054750FF0EB -:0409410010F50F633B -:04094200059020EF0D -:04094300E0EF450993 -:0409440047CDBDAF2F -:040945001663547170 -:04094600E0EF10F5D9 -:0409470020EFC4AF2A -:04094800C5090B20B2 -:04094900470567E116 -:04094A0074E781A32A -:04094B00ACDFE0EF4E -:04094C001E63842A78 -:04094D0000EF0E05A4 -:04094E0064E103F06D -:04094F006CC48513DC -:04095000061365D94C -:040951008593042066 -:04095200057971C5ED -:04095300376030EFEA -:04095400656165DD97 -:040955000360061322 -:040956008AC5859336 -:0409570078C5051347 -:04095800362030EF26 -:04095900453D458152 -:04095A0033B010EFB7 -:04095B00C50367E188 -:04095C0045816C97CE -:04095D006CC484934F -:04095E0032B010EFB4 -:04095F00C78367E102 -:04096000666157472E -:0409610002A366E1A6 -:0409620067E16AF6E9 -:040963005757C78398 -:040964006CF685A305 -:04096500879367E12C -:04096600438C570760 -:040967000015C703AD -:0409680080A39B1DB0 -:04096900438C00E5D6 +:0408D10000E4C683F6 +:0408D200B79317F5CC +:0408D3008F950017E6 +:0408D40000178693F0 +:0408D500003737931E +:0408D6000017C793AD +:0408D700F79397B646 +:0408D80046810FF74F +:0408D9004585B5EDAF +:0408DA00F6B616E375 +:0408DB00D7B3078AFE +:0408DC00BFB902D7C7 +:0408DD008263478962 +:0408DE00C50302F557 +:0408DF00C1910114AE +:0408E00044624589A0 +:0408E10000F4C783D5 +:0408E2000424C70320 +:0408E30044D240F2C9 +:0408E4004601468102 +:0408E500E06F61055A +:0408E60040F2C26FAB +:0408E70044D2446251 +:0408E80080826105A4 +:0408E900C0261151C3 +:0408EA00C50364E1FD +:0408EB0045816824B7 +:0408EC00C406C2225A +:0408ED004FB010EF09 +:0408EE00E121842A56 +:0408EF006824C68330 +:0408F000472967E14C +:0408F1006CD78AA393 +:0408F200C78367E170 +:0408F3008563795749 +:0408F400676100E751 +:0408F50068F704A3F9 +:0408F600C70367E1EC +:0408F70047816D6761 +:0408F80067E1C701EC +:0408F9006897C783B2 +:0408FA000713676118 +:0408FB0097BA6B87B6 +:0408FC008023453DD3 +:0408FD0010EF00D721 +:0408FE00852233F02C +:0408FF00441240A2BD +:0409000001314482FB +:04090100115180828E +:0409020064E1C026C6 +:040903006824C5039C +:04090400C406C22241 +:04090500321010EFAD +:04090600E51D842A3D +:040907006824C68317 +:040908008AA367E176 +:0409090067E16CD75F +:04090A006D67C7034B +:04090B00C701478158 +:04090C00C78367E155 +:04090D0067616F4768 +:04090E006B870713D9 +:04090F00453D97BA11 +:0409100000D7802369 +:040911002F1010EFA4 +:0409120040A2852258 +:0409130044824412C4 +:0409140080820131AB +:04091500C4061151B2 +:04091600C026C22213 +:04091700000214378F +:04091800202347C58C +:0409190067E10EF490 +:04091A005887A783D0 +:04091B000E04202383 +:04091C00C70365099F +:04091D00862300C766 +:04091E00C703000704 +:04091F0086A300D7D4 +:04092000C703000702 +:04092100872300E741 +:04092200C703000700 +:0409230087A300F7AF +:04092400C7030007FE +:040925008A230147D9 +:04092600C7030007FC +:040927008AA3015747 +:04092800C7030007FA +:040929008B230167B4 +:04092A00C7030007F8 +:04092B000513017738 +:04092C008BA3710523 +:04092D00D0EF000700 +:04092E000713C49F48 +:04092F0067E11D104F +:040930006AE79A23B5 +:040931001D100793FB +:040932000EF420237C +:04093300E0EF4501AB +:040934001537E6DFAE +:0409350005130003A3 +:04093600D0EFD40525 +:040937002637C25F3E +:0409380005B70006F9 +:040939000513019C05 +:04093A000613040498 +:04093B008593A806F2 +:04093C00E0EFCC0517 +:04093D0020EF880F10 +:04093E0020EF17008F +:04093F0054793AA00D +:040940001205076332 +:0409410020EF45015D +:0409420007934600D1 +:0409430054750FF0E8 +:0409440010F50F6338 +:04094500059020EF0A +:04094600E0EF450990 +:0409470047CDBCEFED +:04094800166354716D +:04094900E0EF10F5D6 +:04094A0020EFC3EFE8 +:04094B00C5090B20AF +:04094C00470567E113 +:04094D0074E787A321 +:04094E00AC1FE0EF0B +:04094F001E63842A75 +:0409500000EF0E05A1 +:0409510064E103F06A +:040952006D84851318 +:04095300061365D949 +:040954008593042063 +:040955000579728529 +:04095600376030EFE7 +:04095700656165DD94 +:04095800036006131F +:040959008B85859372 +:04095A007985051383 +:04095B00362030EF23 +:04095C00453D45814F +:04095D0033B010EFB4 +:04095E00C50367E185 +:04095F0045816D570A +:040960006D8484938B +:0409610032B010EFB1 +:04096200C78367E1FF +:04096300666158076A +:0409640008A366E19D +:0409650067E16AF6E6 +:040966005817C783D4 +:040967006CF68BA3FC +:04096800879367E129 +:04096900438C57C79D :04096A000015C703AA -:04096B00F9F777130E -:04096C0000E580A37F -:04096D00C703438CED -:04096E008B7D000578 -:04096F0006076713FD -:0409700000E58023FB -:04097100C703438CE9 -:040972009B61001570 -:0409730000376713CF -:0409740000E580A377 -:040975004703438C65 -:0409760076136A5634 -:04097700C70300179B -:040978009B79000562 -:0409790080238F51F7 -:04097A00439000E5C1 -:04097B006CB6C7830C -:04097C0097138B8DB5 -:04097D004783003775 -:04097E009B9D000637 -:04097F0000238FD9E9 -:0409800017B700F6AF -:04098100A783000246 -:0409820097130D07B3 -:04098300446300E7E2 -:0409840000EF000779 -:04098500478979E045 -:0409860088A34501FC -:04098700E0EF00F4A9 -:040988008522C8FFFD -:04098900441240A232 -:04098A000131448271 -:04098B00547D808295 -:04098C0067E1BFCD93 -:04098D006747C7836E -:04098E00FDC1011393 -:04098F000785CE22E8 -:040990000FF7F793D3 -:0409910007C207B2E0 -:04099200C83E83C117 -:0409930057836461C1 -:0409940047426A84E8 -:04099500CC26D00696 -:0409960067218FD96D -:0409970014238FD9BD -:0409980017376AF4AF -:04099900C202000294 -:04099A006637C402F6 -:04099B0064E1000112 -:04099C000EF720230F -:04099D005B060613DC -:04099E00851345C5B3 -:04099F0030EF6B8446 -:0409A000E0EF31C093 -:0409A1006741B57F76 -:0409A2004785177DF1 -:0409A3001737CA3AFE -:0409A40026830002A4 -:0409A50046520D07A2 -:0409A600C6368EF1D2 -:0409A700C6F1E7F9B5 -:0409A800869366E1EB -:0409A900D60378C633 -:0409AA0045B20166EB -:0409AB006A84578380 -:0409AC0012B6146308 -:0409AD0016FD76F5C8 -:0409AE0014238FF58A -:0409AF0020236AF4A3 -:0409B0008C230EF78F -:0409B100E0EF6A0405 -:0409B2002537B13FF5 -:0409B3000513000622 -:0409B400D0EFA805D3 -:0409B5005783A2DFE3 -:0409B60047426A84C6 -:0409B700051366912D -:0409B8008FD93E8015 -:0409B90014238FD59F -:0409BA0017376AF48D -:0409BB0020230002F3 -:0409BC0030EF0EF713 -:0409BD0030EF160001 -:0409BE0047851860F1 -:0409BF001C6366E16E -:0409C000A60302F593 -:0409C100478357C64B -:0409C2004703008661 -:0409C3000722009671 -:0409C40047838F5D79 -:0409C50007C200A6BF -:0409C60047838F5D77 -:0409C70007E200B68D -:0409C80097138FD919 -:0409C900834101075E -:0409CA009713C23A83 -:0409CB00835100470D -:0409CC00D2E3C43A74 -:0409CD0030EFFC0704 -:0409CE00479215E057 -:0409CF006637EF9503 -:0409D0000613000109 -:0409D10045C55BC6F7 -:0409D2006B8485139A -:0409D30024E030EFFD -:0409D400A89FE0EF09 -:0409D5006A845703D6 -:0409D60017FD77F1A1 -:0409D70014238FF95D -:0409D80017376AF46F -:0409D90020230002D5 -:0409DA0065090EF7A6 -:0409DB00710505138A -:0409DC0098FFD0EFC1 -:0409DD00BF2147B23D -:0409DE0047524792A3 -:0409DF0000E7976333 -:0409E0000001663775 -:0409E1005CC60613D7 -:0409E2004712BF7D7C -:0409E300660545A2BE -:0409E400064007932F -:0409E50056B3167D72 -:0409E600773302F76A -:0409E7009C6302F714 -:0409E800663700C5A9 -:0409E90006130001F0 -:0409EA0045C55D465C -:0409EB006B84851381 -:0409EC001EA030EF2A -:0409ED004622BF716E -:0409EE00851345C563 -:0409EF0076336B846C -:0409F000C03202F619 -:0409F10057B3462290 -:0409F200663702F66C -:0409F30006130001E6 -:0409F40030EF5E067C -:0409F500BFAD1C80F6 -:0409F6000186D6831D -:0409F7009BE3463206 -:0409F80007D2F6C666 -:0409F900142383D16F -:0409FA0050826AF4C9 -:0409FB0020234472FF -:0409FC0044E20EF7CC -:0409FD00011345019C -:0409FE0080820241B0 -:0409FF00C4061151C8 -:040A0000244020EF7F -:040A0100813FF0EF52 -:040A020040A267E1C6 -:040A030076B7C503FA -:040A0400E06F01316D -:040A05000113A9BF71 -:040A0600D806FCC151 -:040A0700D426D622F9 -:040A080094DFD0EFB8 -:040A0900F0EF842A5C -:040A0A006761C23F1F -:040A0B0057070793EF -:040A0C00C03E86AAB8 -:040A0D0064E16561DA -:040A0E001206CD639C -:040A0F000001663745 -:040A10000713468101 -:040A11000613055073 -:040A120045C55F4631 -:040A13006945051319 -:040A140014A030EF0B -:040A1500000165B7C0 -:040A16008593464539 -:040A1700851362459C -:040A180030EF730444 -:040A1900478206000A -:040A1A004398802954 -:040A1B000007478306 -:040A1C000027E79335 -:040A1D0000F70023BB -:040A1E009A7FE0EFEC -:040A1F000007A537F0 -:040A200012050513A3 -:040A210087BFD0EFCC -:040A220089BFD0EFC9 -:040A230012C0079363 -:040A240002F407B31E -:040A2500C80264613E -:040A2600C402C6023E -:040A27000413C202F0 -:040A2800CE3E6CC48E -:040A2900CA3E4799E1 -:040A2A00000217B7F8 -:040A2B000D07A78389 -:040A2C006561666139 -:040A2D000107971313 -:040A2E00C6938341A7 -:040A2F002623FFF784 -:040A300083E17CE6FC -:040A31000003073780 -:040A3200F5938F7534 -:040A330066E10FF772 -:040A34007CE6A82391 -:040A35007CB50A235F -:040A36008636853249 -:040A3700CF8166E124 -:040A3800FFE58793BC -:040A39000FF7F79329 -:040A3A007663430D8F -:040A3B00C78300F37A -:040A3C0094637C86BD -:040A3D00262300B7B5 -:040A3E0067E17C05EB -:040A3F007CB68423DA -:040A40007C47A683C6 -:040A41007CE7A22389 -:040A42006761E2D531 -:040A4300744747832A -:040A4400CBF164E1AD -:040A450001C447831E -:040A460066E1C7F9A5 -:040A470000B447832D -:040A48007DB6C6832E -:040A4900E3E18FD581 -:040A4A0081FFD0EF69 -:040A4B006C6347F29F -:040A4C0047420AF51E -:040A4D0006300793D5 -:040A4E000AE7E76369 -:040A4F004683676112 -:040A5000478574471B -:040A5100876347056B -:040A520047D200F691 -:040A5300FFA787135F -:040A540000E0373354 -:040A550001C447830E -:040A560017FD46A1A1 -:040A57000FF7F7930B -:040A580006F6E1635A -:040A5900078A66D9C9 -:040A5A005306869326 -:040A5B00439C97B66B -:040A5C0066378782F0 -:040A5D00061300017B -:040A5E0045C56086A4 -:040A5F0069450513CD -:040A600001A030EFD2 -:040A6100000175B764 -:040A620085934645ED -:040A63008513BE0534 -:040A640030EF7304F8 -:040A650047820A209A -:040A660047834398E7 -:040A6700E79300070A -:040A68000023002740 -:040A6900E0EF00F7C3 -:040A6A00A001879FC1 -:040A6B007C062823BA -:040A6C0067E1BFA9D6 -:040A6D006AA7C7832A -:040A6E0000F037B3AA -:040A6F008EA30789C2 -:040A700047C266F41F -:040A7100078547525C -:040A72000FF7F793F0 -:040A73004799C83E99 -:040A740000F707631D -:040A750000170793CC -:040A76000FF7F793EC -:040A7700D0EFCA3EB4 -:040A78004785F44F6B -:040A79002321C63E31 -:040A7A00C78367E1E6 -:040A7B00CC2A7DB74D -:040A7C004501C781E8 -:040A7D0026C010EF90 -:040A7E0067D4C783EF -:040A7F0001C4470364 -:040A800000F7046314 -:040A8100E7114762D0 -:040A8200CB15473217 -:040A830000B4470371 -:040A84006761C71DC2 -:040A85006CA7470310 -:040A8600C315C63A94 -:040A87000713676189 -:040A880097BA6AC7E8 -:040A89000007C5039A -:040A8A00C70367E156 -:040A8B00C6026C979C -:040A8C0000A7076355 -:040A8D0084A3458178 -:040A8E0010EF6CA752 -:040A8F00C783668033 -:040A9000470367D4DD -:040A910064E101C457 -:040A92007484849351 -:040A930000F71C63E9 -:040A94004709469236 -:040A950016D77C6391 -:040A96000334C683DC -:040A970005144703F8 -:040A980016E6866375 -:040A99006361473D11 -:040A9A0074E300A35E -:040A9B007E63470D22 -:040A9C00C70306F78F -:040A9D00CB01033452 -:040A9E00FFA7871314 -:040A9F000FF77713C3 -:040AA000F463468530 -:040AA100471506E609 -:040AA20006F775637B -:040AA30045094605B6 -:040AA4008593468967 -:040AA500F713FFF74D -:040AA60042A10FF565 -:040AA70004E2EF6313 -:040AA800070A62D9FE -:040AA900554282939D -:040AAA004318971640 -:040AAB0047858702F2 -:040AAC00F00717E355 -:040AAD00C78367E1B3 -:040AAE00B79356F7AD -:040AAF000791001794 -:040AB0004799BDFDA8 -:040AB100EE070DE35C -:040AB20067D4C783BB -:040AB300479DBDCDD1 -:040AB40067E1B5FD44 -:040AB50067C7C783C5 -:040AB60000F037B362 -:040AB700B5C507A119 -:040AB8001EE3479959 -:040AB9004785EC077A -:040ABA00460DBDD94F -:040ABB004681450526 -:040ABC004609B74DE3 -:040ABD00BFE545014B -:040ABE00F593C402E6 -:040ABF0047210FF5C7 -:040AC0006963C202A2 -:040AC100675900B7BA -:040AC2005787071338 -:040AC3004703972E20 -:040AC400C23A00072B -:040AC50000F40E2308 -:040AC60004C447839A -:040AC700000405A37F -:040AC800458D47050C -:040AC90000F7766359 -:040ACA008D9D459524 -:040ACB000FF5F5939B -:040ACC00D036D2321C -:040ACD005DF010EFD9 -:040ACE006AF010EFCB -:040ACF00878FE0EF3E -:040AD000C78367E190 -:040AD100568274379E -:040AD200C789561268 -:040AD30010EF853269 -:040AD400568223B073 -:040AD50045924622DE -:040AD60020EF853652 -:040AD70047833920F8 -:040AD800656101C48F -:040AD90097134645E4 -:040ADA0067D90027B1 -:040ADB00584787935E -:040ADC00438C97BAF6 -:040ADD00694505134F -:040ADE0000042223CB -:040ADF006B9020EF09 -:040AE000000165B7F5 -:040AE10046456561C0 -:040AE2006185859312 -:040AE300730505137F -:040AE4006A5020EF45 -:040AE500C78367E17B -:040AE600EB997DB754 -:040AE7004398478267 -:040AE8000007478339 -:040AE9000027E79368 -:040AEA0000F70023EE -:040AEB00E72FE0EF22 -:040AEC00CF8947E285 -:040AED00C70367E1F3 -:040AEE0047A9675756 -:040AEF0000F7156394 -:040AF00010EF453D81 -:040AF100D0EF3660AC -:040AF200C802D5CF92 -:040AF3000234C703FF -:040AF400041447831C -:040AF50002F705639C -:040AF600011445039F -:040AF70047014781EB -:040AF80046014681EC -:040AF900D0EF458174 -:040AFA00C503BD7FF4 -:040AFB00E0EF0234F2 -:040AFC00C783EBEFD2 -:040AFD00222302347A -:040AFE0000A300044D -:040AFF00C58304F4B3 -:040B000047890234EB -:040B010002F5866310 -:040B02000244C703DF -:040B030004244783FC -:040B040002E7806321 -:040B0500011445038F -:040B06004589C191CB -:040B070000F447832C -:040B080046014681DB -:040B0900B99FD0EFD1 -:040B0A000244C78357 -:040B0B0004F40123CA -:040B0C000334C78364 -:040B0D000514470381 -:040B0E0000F7046385 -:040B0F0004F408A33F -:040B100067E16761D1 -:040B11005757468369 -:040B1200C7836761CD -:040B13004583574778 -:040B140066616A5755 -:040B150000F59663EE -:040B16006CB64583F1 -:040B170002D58D6313 -:040B18006AF702A3D3 -:040B190005A34702E7 -:040B1A0043146CD63E -:040B1B000017F713B5 -:040B1C000006C78385 -:040B1D008FD99BF9D8 -:040B1E0000F680233A -:040B1F004394478232 -:040B20006CB64783E5 -:040B210097138B8D0E -:040B2200C78300374E -:040B23009B9D000690 -:040B240080238FD9C2 -:040B2500478300F60C -:040B2600C3B501C48E -:040B2700E0EF4512A4 -:040B2800478987FF73 -:040B29000CF5026362 -:040B2A000A63479182 -:040B2B00478502F503 -:040B2C0004F5176352 -:040B2D0000B4478346 -:040B2E00E0EFC3B978 -:040B2F00A081E45F5E -:040B3000C43A470973 -:040B3100470DBD1D92 -:040B3200C703BFED49 -:040B330042850334C0 -:040B340014E3C416EC -:040B35004741E2074B -:040B360074E300A3C1 -:040B37004783BD39FA -:040B3800C39D00B4A5 -:040B39005783676116 -:040B3A00E7936A874C -:040B3B001423002758 -:040B3C0017376AF706 -:040B3D00202300026F -:040B3E00F0EF0EF7CF -:040B3F000513B03FAB -:040B4000D0EF12C020 -:040B4100B64DBFCF1F -:040B42000004222366 -:040B43004DB010EFB2 -:040B440001C447831E -:040B4500464565615B -:040B460000279713DA -:040B4700879367D950 -:040B480097BA5847B9 -:040B49000513438CC1 -:040B4A0020EF6945EA -:040B4B0065B750B08A -:040B4C0065610001DE -:040B4D008593464501 -:040B4E0005136185A5 -:040B4F0020EF73051B -:040B500067E14F709A -:040B51007DB7C78322 -:040B52004782EB9952 -:040B530047834398F9 -:040B5400E79300071C -:040B55000023002752 -:040B5600E0EF00F7D5 -:040B5700D0EFCC4FC0 -:040B5800CA02BC4FC2 -:040B5900BF61C802AE -:040B5A0000B4478319 -:040B5B00F0EFDBC913 -:040B5C00B771AA8F34 -:040B5D00656165D990 -:040B5E000613115118 -:040B5F008593042056 -:040B6000051371C543 -:040B6100C4067485CD -:040B620033B020EF9D -:040B6300656165DD86 -:040B640040C0061374 -:040B6500628585938D -:040B66007FC505132F -:040B6700327020EFD9 -:040B680067E140A25F -:040B69008123470598 -:040B6A00450174E7E6 -:040B6B008082013152 -:040B6C001111675D9F -:040B6D00840707935F -:040B6E00CC06C826C3 -:040B6F00C002CA22D4 -:040B7000C43E4481BA -:040B710075B764618F -:040B720046450001F3 -:040B73008E45859393 -:040B740068040513F9 -:040B7500461020EF17 -:040B7600971347A2E8 -:040B77006561002490 -:040B7800430C973E55 -:040B790005134645D5 -:040B7A0020EF6B8578 -:040B7B00E0EF44B0B3 -:040B7C004681BEAF41 -:040B7D001737C22242 -:040B7E0025830002C9 -:040B7F0067C10D0736 -:040B8000F63317FD34 -:040B8100646100F5B6 -:040B82007CC42623E6 -:040B83000D07270330 -:040B8400000305372E -:040B8500471367E1CA -:040B86008F69FFF77D -:040B87007CE7A8233C -:040B8800CA15C63E86 -:040B89000863478234 -:040B8A00676102F6A7 -:040B8B0000149513AA -:040B8C0078C707130C -:040B8D00EABD972AFC -:040B8E001023479257 -:040B8F0075B700B77F -:040B900046450001D5 -:040B91008EC58593F5 -:040B92006807851358 -:040B93003E9020EF81 -:040B9400B88FE0EF47 -:040B950067614685C9 -:040B9600260347B239 -:040B9700A3037C47F1 -:040B980087BA7D0794 -:040B99006741E605C5 -:040B9A0002E31263FD -:040B9B0065DDE0BD77 -:040B9C000613656176 -:040B9D0085930360D9 -:040B9E0005138AC5EC -:040B9F00C01A78C53B -:040BA000243020EFEE -:040BA100468943023C -:040BA20067E144EDD6 -:040BA3007CC42703E4 -:040BA4007C67A223A5 -:040BA500C03AC63656 -:040BA6008F63470909 -:040BA700650902E6F4 -:040BA80071050513BB -:040BA900A5AFD0EF35 -:040BAA00B7B146B2E7 -:040BAB0000075703E5 -:040BAC0002E3468991 -:040BAD004792FAE68B -:040BAE00000175B716 -:040BAF00859346459F -:040BB00085138F45D5 -:040BB10020EF6807C2 -:040BB200E0EF36F04A -:040BB3004681B0EFD8 -:040BB40014F9B75920 -:040BB500BF5D468951 -:040BB6004769048502 -:040BB700EE9754E37E -:040BB80040E2445281 -:040BB900453D44C2B0 -:040BBA00106F017146 -:040BBB00011303E03F -:040BBC000793FBC1DF -:040BBD00CE3E0131F6 -:040BBE00879367E1D1 -:040BBF00D03E761797 -:040BC000879367E1CF -:040BC100D23E762783 -:040BC200879367E1CD -:040BC300D43E76376F -:040BC400879367E1CB -:040BC500D63E76475B -:040BC60067E1D83ECD -:040BC7007657879343 -:040BC80027B7DA3E33 -:040BC90087930F03FC -:040BCA00CA3EF01718 -:040BCB00303007932C -:040BCC001C23636122 -:040BCD00478D00F15F -:040BCE0000F10D2302 -:040BCF006CC3079359 -:040BD00001C7C7830F -:040BD100C086DE22DA -:040BD20009A3DC2671 -:040BD30047250001B1 -:040BD4006CC30313D8 -:040BD50086634405EA -:040BD600841300E79D -:040BD700741300177C -:040BD80067E10FF4CE -:040BD900A6036761A7 -:040BDA0007137CC7BA -:040BDB00478178C70F -:040BDC00568345E90E -:040BDD001C6300078E -:040BDE00476900D68D -:040BDF0010F7626346 -:040BE000078A6759C0 -:040BE1007607071379 -:040BE200439C97BADF -:040BE30007098782F5 -:040BE40050B78C6317 -:040BE500BFF10785D0 -:040BE60066E14785F8 -:040BE7006A86D70340 -:040BE8001007471398 -:040BE9006AE6942301 -:040BEA002703676115 -:040BEB0016937D07D9 -:040BEC00D36300F7D8 -:040BED0087A20006D5 -:040BEE0000E7169373 -:040BEF000006DE63BB -:040BF0004683676170 -:040BF100458574873B -:040BF200E563460170 -:040BF300068500D59E -:040BF4000FF6F613EF -:040BF50074C704239A -:040BF6004501472945 -:040BF70000E786632A -:040BF8000EA3676180 -:040BF900450566F751 -:040BFA00D70367E1D5 -:040BFB0066E16A87BE -:040BFC007DB6C68379 -:040BFD0007429B4DC3 -:040BFE009423834178 -:040BFF00EA816AE736 -:040C0000C68366E160 -:040C0100068A6B668E -:040C020094238F5553 -:040C0300D7036AE7C2 -:040C040040866A8735 -:040C050017B7547257 -:040C0600A023000225 -:040C070054E20EE7BE -:040C0800044101138F -:040C09004789808215 -:040C0A00478DBF8DC6 -:040C0B004791B7BD99 -:040C0C004795B7ADA4 -:040C0D004799B79DAF -:040C0E00479DB78DBA -:040C0F0047A1BFB981 -:040C100047A5BFA98C -:040C110066E1BF9940 -:040C12007DB6C78361 -:040C130026036761EC -:040C1400B793570734 -:040C15008DA3001794 -:040C160047037CF61E -:040C1700078A000642 -:040C18008FD99B6D68 -:040C190000F60023BE -:040C1A00C70367E1C4 -:040C1B0067E16C978A -:040C1C0066E78B23D9 -:040C1D007DB6C78356 -:040C1E004505C79130 -:040C1F003E5000EF54 -:040C2000BF2147A900 -:040C210099AFE0EFB8 -:040C220067E1BFE5E2 -:040C230057C7A70305 -:040C2400000166372E -:040C2500448365613E -:040C260047830007F9 -:040C27000613001799 -:040C280045C57DC67B -:040C29008FC507A2CA -:040C2A0000274483D8 -:040C2B006805051340 -:040C2C008FC504C2AA -:040C2D0000374483C5 -:040C2E008CDD04E273 -:040C2F0000B4D693A4 -:040C30000016F79320 -:040C31007FF4F393C6 -:040C3200C61EC43ED8 -:040C330000474683AD -:040C340000574283A0 -:040C3500E6B302A27E -:040C3600428300D223 -:040C37004703006708 -:040C380002C200777D -:040C390000D2E2B350 -:040C3A0000A306838A -:040C3B0002B2477149 -:040C3C0002E686B393 -:040C3D0007136761D1 -:040C3E00D2937FC707 -:040C3F00C21600C217 -:040C400066E197369C -:040C41006C96C68364 -:040C4200093020EF66 -:040C4300831367E1CF -:040C440047036CC72F -:040C4500C72100B310 -:040C460047A243B2CC -:040C470006900713F9 -:040C4800001386937C -:040C490000F696B368 -:040C4A00979396BE28 -:040C4B00429201448C -:040C4C000007C46376 -:040C4D000700071382 -:040C4E00000307B7E1 -:040C4F0007938CFD7E -:040C5000E09902A085 -:040C51000200079303 -:040C52000001663700 -:040C5300C016656101 -:040C54007E8606137F -:040C5500051345C579 -:040C560020EF6B859B -:040C570067E104103D -:040C58005707A70390 -:040C590000074783C6 -:040C5A000047E793D5 -:040C5B0000F700237B -:040C5C00868FE0EFB0 -:040C5D006761B731E3 -:040C5E006A875783C7 -:040C5F000107C7932F -:040C60006AF71423F8 -:040C610067E1BDF595 -:040C62007487C703C9 -:040C6300468146057B -:040C640000E66563DE -:040C65007693070576 -:040C660084230FF7DD -:040C6700B5CD74D7BC -:040C6800871367E1A6 -:040C69004703748742 -:040C6A004605001724 -:040C6B007487879370 -:040C6C0065634681F5 -:040C6D00070500E691 -:040C6E000FF7769373 -:040C6F0000D780A387 -:040C70006761B5C142 -:040C710074870793EA -:040C72000067C783CD -:040C73007487071368 -:040C740017FDC78120 -:040C75000FF7F793EB -:040C760000F703235D -:040C77006761B555A7 -:040C78007487071363 -:040C79000067478346 -:040C7A00F36346B921 -:040C7B0047B900F67F -:040C7C00B7DD078554 -:040C7D00000165B756 -:040C7E004645656121 -:040C7F007F85859355 -:040C800068050513EB -:040C8100031020EF4D -:040C820075B762E1FF -:040C830085130001D4 -:040C840046456B82F4 -:040C8500808585934E -:040C860001D020EF8A -:040C8700A68364E1FB -:040C8800C7835704C3 -:040C8900E7930006E7 -:040C8A00802300477C -:040C8B00D0EF00F6B0 -:040C8C006761FABFE3 -:040C8D0062E167E1D8 -:040C8E007FC7071302 -:040C8F006CC7831398 -:040C9000000217B790 -:040C91000D07A603A2 -:040C9200879367E1FC -:040C9300064278C7D6 -:040C940046818241D2 -:040C9500D50345ED51 -:040C960006630007EA -:040C9700068500C509 -:040C98009AE307894B -:040C99000783FEB619 -:040C9A00467100A3FC -:040C9B0002C787B352 -:040C9C0097BA4615A8 -:040C9D0083F54BDCB4 -:040C9E0002F6646393 -:040C9F004163461156 -:040CA000183806D624 -:040CA1004703973E30 -:040CA2005733FDC700 -:040CA3008B0540D7A6 -:040CA400078AC70DE7 -:040CA50097BA1838AA -:040CA600FE47A783DB -:040CA70000D78023CF -:040CA8005704A70343 -:040CA9000007478376 -:040CAA0000239BED9B -:040CAB00D0EF00F78F -:040CAC0067E1F71FE6 -:040CAD007C078DA390 -:040CAE007637B3E101 -:040CAF0006850001B5 -:040CB0008146061360 -:040CB100851345C59D -:040CB20020EF6B8242 -:040CB300D0EF6D0011 -:040CB400A537F0BFB1 -:040CB500051300071C -:040CB600C0EF120574 -:040CB700B7C9E25F78 -:040CB8008FE347B1CE -:040CB9006509FAF6D9 -:040CBA0071050513A8 -:040CBB00E13FC0EF66 -:040CBC00871367E152 -:040CBD0067E17FC7A5 -:040CBE006CC7831369 -:040CBF00B78962E1AE -:040CC00000A3068304 -:040CC10067E1467130 -:040CC20002C68633AD -:040CC3007FC787134D -:040CC400879345814C -:040CC50097327FC71C -:040CC6000167470378 -:040CC70065634679A2 -:040CC800070500E636 -:040CC9000FF7759319 -:040CCA0086334671B6 -:040CCB00676102C695 -:040CCC008B2397B22D -:040CCD00460500B721 -:040CCE0074C70123C3 -:040CCF00470367610F -:040CD0009FE37E47D9 -:040CD100C703D2E69D -:040CD20067E101676E -:040CD3007CE79C23FB -:040CD4000683BB05D3 -:040CD500467100A3C1 -:040CD600863367E119 -:040CD700871302C6B7 -:040CD80045FD7FC790 -:040CD9007FC78793B7 -:040CDA004703973203 -:040CDB00DF4D016781 -:040CDC00BF4D177D74 -:040CDD004481478582 -:040CDE006561C23E4C -:040CDF00000177B7E2 -:040CE000859346456D -:040CE100051382472E -:040CE20020EF680592 -:040CE30046A96AA014 -:040CE40002D486B3FD -:040CE50047924615D7 -:040CE6005363873697 -:040CE700471500D6D7 -:040CE800763762E118 -:040CE900851300016E -:040CEA0007256B82ED -:040CEB008346061323 -:040CEC00C63E45C5F6 -:040CED005E6020EF36 -:040CEE00A60366E112 -:040CEF00470357065A -:040CF0006713000680 -:040CF1000023004795 -:040CF200D0EF00E659 -:040CF30066E1E0FFD7 -:040CF400C23647B20B -:040CF50066C162E191 -:040CF60016FDC4160D -:040CF70000021737A9 -:040CF8000D072703BA -:040CF900EF998F756B -:040CFA0067E1CF11CE -:040CFB0078C786131D -:040CFC00478145EDFA -:040CFD000006550395 -:040CFE0002E50263A6 -:040CFF000609078556 -:040D0000FEB79AE3BD -:040D01000513650968 -:040D0200C63A710577 -:040D0300CF3FC0EF2F -:040D040087BA473231 -:040D050006936741A9 -:040D0600B7C9FFF773 -:040D07008763462593 -:040D0800461100C7C9 -:040D09004625E0910A -:040D0A0004C7DE63D9 -:040D0B0007854729E8 -:040D0C0002E7E7B360 -:040D0D0002E4833346 -:040D0E00979A6761E8 -:040D0F0066F70B2355 -:040D1000F59FE0EF7C -:040D110066B7C91DDB -:040D120086930001C3 -:040D130047A27D4630 -:040D1400000176372D -:040D1500BD8606137E -:040D1600851345C537 -:040D170020EF6B87D7 -:040D1800D0EF53C005 -:040D1900A537D77FA4 -:040D1A0005130007B6 -:040D1B00C0EF12050E -:040D1C004792C91F12 -:040D1D005707A703CA -:040D1E0066B7B535CA -:040D1F0086930001B6 -:040D2000B7F17CC6E5 -:040D21009663466926 -:040D2200C49300C7AF -:040D230087BA001477 -:040D24004631B5E5BA -:040D2500F6C798E392 -:040D260067E1BFE9D9 -:040D27007DB7C7834A -:040D2800BE0790E38F -:040D2900BCD587A20C -:040D2A00BCFD47A91C -:040D2B00DBC1011314 -:040D2C002023182840 -:040D2D002E2324113C -:040D2E002C232281CF -:040D2F00D0EF22914E -:040D3000C22AC7BF4D -:040D3100356020EF1A -:040D3200440D479293 -:040D33004611EBC5B5 -:040D34000828182C47 -:040D3500560020EF55 -:040D3600000175B78C -:040D37008593461149 -:040D38000828A905D9 -:040D3900524020EF15 -:040D3A0006400793D5 -:040D3B005783E941B0 -:040D3C00059303C157 -:040D3D00462103E167 -:040D3E0001E10513B7 -:040D3F0000F11E237E -:040D4000534020EF0D -:040D4100046157836F -:040D4200020102A305 -:040D430000F11A237E -:040D4400048157834C -:040D450000F11B237B -:040D460000EF455223 -:040D47005783753029 -:040D4800D42A04A104 -:040D490000F11A2378 -:040D4A0004C1578306 -:040D4B0000F11B2375 -:040D4C0000EF45521D -:040D4D00578373B0A5 -:040D4E00D62A04E1BC -:040D4F0000F11A2372 -:040D500005015783BF -:040D510000F11B236F -:040D520000EF455217 -:040D5300D82A7230F8 -:040D5400234125030F -:040D550000EFCA2AB7 -:040D560055A27170C1 -:040D57000793DA2AFA -:040D580087131E20BF -:040D5900E063FE65F0 -:040D5A00460514E74F -:040D5B0000EF182865 -:040D5C0057D27230C8 -:040D5D0006F50B6329 -:040D5E000660079391 -:040D5F0020EFC23E81 -:040D6000479229C0CD -:040D61008963470556 -:040D620047632AE7D2 -:040D6300071326F755 -:040D64008863F3505D -:040D650044632AE7D2 -:040D6600071324F754 -:040D67008763F3406B -:040D680075B72AE74A -:040D6900859300016D -:040D6A00C23E9DC523 -:040D6B00851367E1A4 -:040D6C0046456B8706 -:040D6D00480020EF2B -:040D6E00C21FD0EFE1 -:040D6F00000F4537F5 -:040D7000240505133E -:040D7100B3BFC0EF5D -:040D7200DA63479267 -:040D730058632A0790 -:040D740076372A8024 -:040D750065610001B3 -:040D7600AFC60613EB -:040D7700051345C556 -:040D780020EF6805FB -:040D7900147D3B802A -:040D7A006461A2B955 -:040D7B0000017637C6 -:040D7C00A98606132B -:040D7D00051345C550 -:040D7E0020EF6804F6 -:040D7F0056B23A002E -:040D8000763767E17A -:040D810085130001D5 -:040D820006136B8762 -:040D830045C5AA8632 -:040D840038A020EF84 -:040D8500BC5FD0EF90 -:040D860054B257C24A -:040D8700C23E430124 -:040D8800C42245013B -:040D89000893646304 -:040D8A009263479297 -:040D8B0047831AA7D9 -:040D8C00468301E1B8 -:040D8D00470301C156 -:040D8E00CFD501D1EB -:040D8F00000177B731 -:040D90009A87879324 -:040D910006134522DE -:040D9200C03201E189 -:040D930000017637AE -:040D9400AB46061351 -:040D9500051345C538 -:040D960020EF6805DD -:040D970067E13400DC -:040D9800000175B72A -:040D990085934645B3 -:040D9A008513B0C548 -:040D9B0020EF6B8753 -:040D9C006441254049 -:040D9D00B65FD0EF7E -:040D9E0014B7147DF5 -:040D9F00A783000224 -:040DA00067610D0476 -:040DA10078C7568336 -:040DA2008B638FE1EF -:040DA300071306F636 -:040DA400570378C7B2 -:040DA50000630027C0 -:040DA600650914F7D0 -:040DA70071050513BA -:040DA800A5FFC0EFF4 -:040DA9000793BFD914 -:040DAA00BDC9065069 -:040DAB00406482B36B -:040DAC002000079389 -:040DAD000057F46394 -:040DAE00200002938C -:040DAF00041367E1E1 -:040DB0009713200372 -:040DB10085130102A3 -:040DB200834166878C -:040DB300561346810C -:040DB400182C009463 -:040DB500C616C81A7C -:040DB600054020EFE5 -:040DB700166387AA8E -:040DB80043420E059F -:040DB900182842B202 -:040DBA0000133613D9 -:040DBB0000EF85962A -:040DBC0083225A3004 -:040DBD0077B7BF0540 -:040DBE008793000116 -:040DBF00B799BE071B -:040DC0002E6010EFA2 -:040DC100578367618C -:040DC20065096A87CE -:040DC300710505139E -:040DC4000027E7938A -:040DC5006AF7142392 -:040DC6000EF4A02364 -:040DC7009E3FC0EF9C -:040DC800000175B7FA -:040DC90046456561D5 -:040DCA00AC0585935C -:040DCB00680505139F -:040DCC00304020EFA4 -:040DCD0067E1440D89 -:040DCE00000174B7F5 -:040DCF006B87851396 -:040DD000859346457C -:040DD10020EFACC49F -:040DD200D0EF2EE050 -:040DD3005632A8FFED -:040DD4004581183409 -:040DD500D0EF450511 -:040DD60087AAA11F28 -:040DD700E20510E33E -:040DD80075B7676123 -:040DD90005130001FD -:040DDA00464568071B -:040DDB00ADC585938A -:040DDC002C4020EF98 -:040DDD00ACC485938A -:040DDE00464564E141 -:040DDF006B84851389 -:040DE0002B4020EF95 -:040DE100A55FD0EF4B -:040DE20055B256426E -:040DE300450118347A -:040DE400945FD0EF59 -:040DE50013E387AAE3 -:040DE60020EFDE0517 -:040DE7006761080038 -:040DE800000175B7DA -:040DE9008593464563 -:040DEA000513B20536 -:040DEB0020EF680786 -:040DEC0075B7114086 -:040DED004645000176 -:040DEE00AEC5859376 -:040DEF006B84851379 -:040DF000274020EF89 -:040DF100A15FD0EF3F -:040DF200440DA0010B -:040DF300440DBB45AB -:040DF40006700793EB -:040DF500440DB36591 -:040DF60006800793D9 -:040DF7000713B345E6 -:040DF8008A63F360B7 -:040DF900071306E7EF -:040DFA009CE3F37013 -:040DFB0075B7DAE707 -:040DFC0085930001DA -:040DFD00BB55A3C57A -:040DFE000660071371 -:040DFF0006E782631E -:040E000000F74F6345 -:040E0100064007138D -:040E020006E781631B -:040E0300065007137B -:040E0400D8E799E3AF -:040E0500000175B7BC -:040E06009F458593EC -:040E07000713B379A1 -:040E08008963067084 -:040E0900071304E7E0 -:040E0A009CE30680DF -:040E0B0075B7D6E7FA -:040E0C0085930001C9 -:040E0D00BB95A2856A -:040E0E00000175B7B3 -:040E0F009E458593E4 -:040E100075B7B3AD52 -:040E110085930001C4 -:040E1200B385A5C53A -:040E1300000175B7AE -:040E1400A6C5859357 -:040E150075B7BB9959 -:040E160085930001BF -:040E1700B3B1A4C50A -:040E1800000175B7A9 -:040E1900A0458593D8 -:040E1A0075B7B3896C -:040E1B0085930001BA -:040E1C00BB25A80545 -:040E1D00000175B7A4 -:040E1E00A1458593D2 -:040E1F002083B33D3C -:040E20002403240182 -:040E2100248323C142 -:040E2200557D238156 -:040E23002441011352 -:040E240067E1808280 -:040E25007DA7C703DB -:040E260067E146F149 -:040E27007EE78223BD -:040E280002D70733B3 -:040E2900879367E163 -:040E2A0097BA7FC72D -:040E2B0000E7D68383 -:040E2C0011236761C6 -:040E2D004B987ED789 -:040E2E008B7D66E171 -:040E2F007EE69423A4 -:040E30000147C6832D -:040E310015236761BD -:040E3200C6837ED71E -:040E330067610127CB -:040E34007CD71B2329 -:040E350066E1479893 -:040E3600902383552D -:040E3700C6837EE60A -:040E38006761015796 -:040E39007CD71E2321 -:040E3A000137C68333 -:040E3B0013236761B5 -:040E3C00D6837ED704 -:040E3D00676100C722 -:040E3E007CD71F231B -:040E3F000167C7037D -:040E40009C2367E1A7 -:040E410080827CE748 -:040E4200C58367E11C -:040E430067E17E479E -:040E44007E27D683AC -:040E4500D28367E10C -:040E460067E17E875B -:040E47007EA7D78328 -:040E4800CA26111194 -:040E490067E1C03E5F -:040E4A007D67C78376 -:040E4B006761CC22ED -:040E4C0067E1C23E5A -:040E4D007E07D783C2 -:040E4E007FC7071340 -:040E4F0067E1C43E55 -:040E50007DC7D78300 -:040E510067E1C63E51 -:040E52007E67C7836D -:040E530067E1C83E4D -:040E54007DE7D483DF -:040E5500D30367E17B -:040E560067E17D874C -:040E57006CC787934A -:040E580000B7C60316 -:040E59008603C24109 -:040E5A001D6300A76D -:040E5B0047F106B69F -:040E5C0002F607B3E0 -:040E5D00D38397BAEA -:040E5E00916300E7B5 -:040E5F00A38306D390 -:040E6000F41301077F -:040E6100F3930FF206 -:040E6200996301F39C -:040E6300C3830483BE -:040E640044020147FC -:040E6500048394630B -:040E66000127C3831A -:040E67009F6344122F -:040E6800A3830283DB -:040E69004422008798 -:040E6A000153D393CA -:040E6B000283986303 -:040E6C000157C383E4 -:040E6D009363443215 -:040E6E00C3830283B5 -:040E6F0044420137C1 -:040E700000839E63FA -:040E710000C7D7835C -:040E720000979A63E8 -:040E7300063347F10A -:040E7400963A02F6B2 -:040E75000166478348 -:040E76000067866328 -:040E7700460567E1E4 -:040E780074C7812397 -:040E790087B347F103 -:040E7A00F29302F5F8 -:040E7B0097BA01F22F -:040E7C000107D60391 -:040E7D0000D79723E0 -:040E7E0062B39A01C0 -:040E7F00982300565E -:040E800046020057CF -:040E810000C78A23F9 -:040E82008923461268 -:040E8300462200C73C -:040E8400005612936F -:040E850000A7D603E9 -:040E860066338A7DC8 -:040E87009523005659 -:040E8800463200C727 -:040E890000C78AA371 -:040E8A009623464223 -:040E8B008B2300971E -:040E8C0089A30067CF -:040E8D00666100C7D3 -:040E8E007D86061344 -:040E8F00166367E19E -:040E9000069302C5FE -:040E91000333465091 -:040E9200069302D3EE -:040E93007637064068 -:040E94000613000140 -:040E950046B3570603 -:040E9600446202D3DD -:040E970045C544D237 -:040E98006B878513CC -:040E9900106F017164 -:040E9A0066617350CA -:040E9B007E26061396 -:040E9C0000C5076323 -:040E9D000613666171 -:040E9E0017637E86D2 -:040E9F00467102C5D1 -:040EA00002C585B34F -:040EA100446246154C -:040EA200851344D29E -:040EA300972E6B8794 -:040EA40045C54B18DD -:040EA50007338B7D07 -:040EA600763702C7D2 -:040EA700061300012D -:040EA80001715786F7 -:040EA9006F70106FE7 -:040EAA000001763796 -:040EAB000005568365 -:040EAC0056060613CD -:040EAD000693B75D94 -:040EAE0006B3271050 -:040EAF00079302D5CE -:040EB000763706404B -:040EB1006561000176 -:040EB200BDC60613A0 -:040EB300051345C519 -:040EB400C6B36B85D1 -:040EB500106F02F6C2 -:040EB600F06F6C501D -:040EB70086AAFDDF2B -:040EB8000001763788 -:040EB9000613656156 -:040EBA0045C555C60F -:040EBB006B8505132B -:040EBC006AB0106F99 -:040EBD00763786AA54 -:040EBE006561000169 -:040EBF00B8860613D8 -:040EC000051345C50C -:040EC100106F6B85BE -:040EC20046B7695076 -:040EC3008693000F03 -:040EC40005332406C8 -:040EC500678902D562 -:040EC6009647879331 -:040EC7003E8007134F -:040EC800006336B7D6 -:040EC900EA0686931C -:040ECA000001763776 -:040ECB00B7C606138D -:040ECC0057B345C50E -:040ECD00F7B302F580 -:040ECE00472902E7C7 -:040ECF0002D556B33F -:040ED0000513656140 -:040ED100D7336B8523 -:040ED200106F02E7B4 -:040ED300069365100D -:040ED40006B34670AB -:040ED500079302D5A8 -:040ED6007637064025 -:040ED7006561000150 -:040ED8005546061362 -:040ED900051345C5F3 -:040EDA00C6B36B85AB -:040EDB00106F02F69C -:040EDC00069362D047 -:040EDD0005052710D0 -:040EDE0002D5053301 -:040EDF000640069330 -:040EE0000001763760 -:040EE100BDC6061371 -:040EE20046B345C509 -:040EE300656102D56E -:040EE4006B85051302 -:040EE5006070106FBA -:040EE600763786AA2B -:040EE7006561000140 -:040EE800BB460613EC -:040EE900051345C5E3 -:040EEA00106F6B8595 -:040EEB0016935F10EB -:040EEC0086E1018515 -:040EED000001763753 -:040EEE0016D1656153 -:040EEF00B7460613E9 -:040EF000051345C5DC -:040EF100106F6B858E -:040EF20045F15D5019 -:040EF30002B505330C -:040EF400859365E19C -:040EF50046457FC52A -:040EF600656195AAF3 -:040EF7006B850513EF -:040EF8006550106FC2 -:040EF9000025179326 -:040EFA000513655D1A -:040EFB00953EBA85E1 -:040EFC006561410CDF -:040EFD00051346454E -:040EFE00106F6B8581 -:040EFF0047A963B0EC -:040F000000F50F6386 -:040F0100002517931D -:040F0200051365610D -:040F0300953EBD0555 -:040F04006561410CD6 -:040F05000513464545 -:040F0600106F6B8578 -:040F070075B761B0A9 -:040F080085930001CC -:040F0900B7F5B9453A -:040F0A0045851141C7 -:040F0B00C02AC6062C -:040F0C006761298D63 -:040F0D007EC74783D1 -:040F0E0007134682FD -:040F0F00E7897EC729 -:040F1000000177372E -:040F1100BCC707133F -:040F1200763740B23C -:040F13006561000113 -:040F1400BD460613BD -:040F1500051345C5B6 -:040F160001416B85A5 -:040F170053F0106F14 -:040F1800A70367E1E3 -:040F190067E17CC749 -:040F1A0078C787937A -:040F1B00464546AD54 -:040F1C000167D58311 -:040F1D0006E59263F0 -:040F1E000FF6F69341 -:040F1F000463E1196D -:040F200011312A075A -:040F210064E1C4269D -:040F22006454C283CE -:040F23006461C6221D -:040F240043440793A8 -:040F2500003295936E -:040F2600C80697AEB4 -:040F27000007A30319 -:040F28000047C78334 -:040F29008513465195 -:040F2A008733FF56B4 -:040F2B00260302C7D0 -:040F2C0075130043F6 -:040F2D0043950FF5E4 -:040F2E0064548493F0 -:040F2F004344041320 -:040F30004703963AA3 -:040F3100E86300462B -:040F320063DD02A3D6 -:040F33008393050A95 -:040F3400951EB34310 -:040F350085024108E8 -:040F3600078906859C -:040F3700F8C69AE37B -:040F3800BF694681C6 -:040F39004783E3996E -:040F3A0017FD00039C -:040F3B000FF7F79322 -:040F3C00822395A2D5 -:040F3D00470100F573 -:040F3E00A8914681AF -:040F3F000003470361 -:040F400095A20785EA -:040F410002E7E7B329 -:040F42008763B7ED1D -:040F4300879300028E -:040F44008023FFF215 -:040F4500B7C500F438 -:040F46008DA367E12F -:040F470067E17C07DB -:040F48005707A7039D -:040F490000074783D3 -:040F4A0000239BEDF8 -:040F4B00443200F735 -:040F4C0044A240C2B9 -:040F4D00D06F01510F -:040F4E00478DCE8F6E -:040F4F0004F70E6332 -:040F50001AE34791C8 -:040F5100461CFAF749 -:040F5200872A9782D1 -:040F5300C783468585 -:040F540065610004CF -:040F5500078E464578 -:040F56004783943EFB -:040F5700400400440E -:040F58008433445149 -:040F590040DC0287EF -:040F5A00680505130E -:040F5B00C036C23AA0 -:040F5C00438C97A289 -:040F5D004C1010EF35 -:040F5E00459140DC9D -:040F5F00C60397A28C -:040F6000E363004700 -:040F610065DD14C571 -:040F62008593060A63 -:040F6300962EB4C54D -:040F6400468242106F -:040F650086024712A7 -:040F6600C3914A1CCD -:040F6700C783978223 -:040F680043510004ED -:040F69000017859355 -:040F6A000733078EB4 -:040F6B00431400F437 -:040F6C0000474703F0 -:040F6D00073342D034 -:040F6E0096930267ED -:040F6F000533003511 -:040F7000230300D483 -:040F710097320005AE -:040F720004634718B5 -:040F7300022300E372 -:040F740097A200053B -:040F75000047C783E7 -:040F760096A24751A7 -:040F770002E787B353 -:040F780000B480231E -:040F7900479C97B248 -:040F7A00B731C29C2D -:040F7B00E4E34791D3 -:040F7C0067DDF0E756 -:040F7D00B607879399 -:040F7E00973E070A89 -:040F7F008782431C06 -:040F8000433D460C9B -:040F810000C645035E -:040F820000D647034B -:040F83000005C7831B -:040F840000E646033A -:040F850000669D6302 -:040F860000F7786395 -:040F8700F61317FD49 -:040F880080230FF7BC -:040F8900BDC100C521 -:040F8A00863AFD6D39 -:040F8B00F863BFDD6B -:040F8C00078500C70E -:040F8D000FF7F71350 -:040F8E0000E58023D7 -:040F8F00FD6DBD6DCA -:040F9000BFDD873208 -:040F91005583460836 -:040F9200570300C63B -:040F9300463D00E6F1 -:040F9400000557837A -:040F950000C69E6391 -:040F960000F5F76308 -:040F9700971317FD98 -:040F98008341010789 -:040F9900C191A01949 -:040F9A001023872E6B -:040F9B00B56100E557 -:040F9C0000E7F46313 -:040F9D00B7E5078528 -:040F9E004701F9ED21 -:040F9F004650B7FD04 -:040FA000421845BDF1 -:040FA100000747837B -:040FA20000B6996399 -:040FA30017FDC781EE -:040FA4000FF7F793B9 -:040FA50000F700232E -:040FA6004683BDB908 -:040FA700F5630046A8 -:040FA800078500D7E2 -:040FA9000FF7F693B5 -:040FAA0000D7002349 -:040FAB004798B5A905 -:040FAC0065614B9C94 -:040FAD0000074703EF -:040FAE00051346459C -:040FAF00070A6B853D -:040FB000438C97BA1D -:040FB100371010EFF6 -:040FB20040C24432C3 -:040FB300015144A202 -:040FB400B08FD06FBB -:040FB5004B9C479872 -:040FB60000074503E8 -:040FB700B7ED978279 -:040FB80047884B9883 -:040FB900B7CD970217 -:040FBA008C2367E13C -:040FBB00BFE96A0719 -:040FBC00C305C6950E -:040FBD00000166B712 -:040FBE007D46869353 -:040FBF000001763780 -:040FC000061365614E -:040FC10045C5BD86DF -:040FC2006B85051323 -:040FC30028F010EF13 -:040FC40066B7BF65E8 -:040FC500869300010E -:040FC600B7CD7CC661 -:040FC700D7E947DC43 -:040FC800479C439867 -:040FC9008082BF550E -:040FCA00EA6347BDD2 -:040FCB0057B716A757 -:040FCC000113445277 -:040FCD008793EF41D6 -:040FCE00C03E355795 -:040FCF00004157B7CF -:040FD00044178793A8 -:040FD1003793C23E52 -:040FD200052300F5FE -:040FD300679500F12D -:040FD40050078793A8 -:040FD5001081222342 -:040FD60010112423AF -:040FD70001050413F9 -:040FD8001091202331 -:040FD900142347395D -:040FDA00042200F1FC -:040FDB000AA77E6380 -:040FDC00079365E131 -:040FDD00462904A0FD -:040FDE006AC58593C8 -:040FDF0000D1051325 -:040FE00000F105A374 -:040FE10000010623E2 -:040FE20013B010EF49 -:040FE300C78367E178 -:040FE40065E167D785 -:040FE500036006138C -:040FE60000F10C23E7 -:040FE700C78367E174 -:040FE800859367572F -:040FE900051378C5AF -:040FEA000CA3021141 -:040FEB0067E100F1C9 -:040FEC006CA7C783A4 -:040FED0000F10BA361 -:040FEE00C78367E16D -:040FEF000D236B67FC -:040FF00067E100F1C4 -:040FF1007447C783F7 -:040FF20000F10DA35A -:040FF300C78367E168 -:040FF4000E236AA7B7 -:040FF50067E100F1BF -:040FF60056F7C78360 -:040FF70000F10EA354 -:040FF800C78367E163 -:040FF9000F2367C794 -:040FFA0067E100F1BA -:040FFB005747C7830A -:040FFC0000F10FA34E -:040FFD00C78367E15E -:040FFE00002357571E -:040FFF0010EF02F1FC -:0410000086220C50E8 -:0410010005700593DE -:04100200D0EF850A9C -:041003003533832FCF -:04100400053300A010 -:04100500208340A064 -:04100600240310812E -:0410070024831041ED -:0410080001131001BF -:04100900808210C110 -:04100A00040C07B714 -:04100B00042787939C -:04100C00CC3E64E191 -:04100D007EC4C78353 -:04100E007637EB91B5 -:04100F0006130001C3 -:0410100045B55C8600 -:041011007EC4851301 -:04101200153010EF96 -:041013008593463546 -:0410140005137EC47E -:0410150010EF00B127 -:0410160065E11DF083 -:041017000420061398 -:0410180074858593C3 -:0410190010EF086864 -:04101A0065E105D0B7 -:04101B000A2006138E -:04101C007FC5859374 -:04101D0005E10513D1 -:04101E0004B010EF1B -:04101F00059386228D -:04102000850A10002D -:04102100FB9FC0EF82 -:0410220006136565E7 -:04102300059300141D -:04102400051336A0DA -:04102500C0EF89E5AA -:041026004501FFBFC2 -:04102700557DBFAD87 -:0410280067E180827A -:041029007E07862395 -:04102A00F56347BD66 -:04102B0057FD00A7C6 -:04102C008082853EFB -:04102D00EE8101133C -:04102E00010507931E -:04102F009513C22E25 -:041030000070010744 -:041031001000059313 -:041032002A23C03E6F -:04103300282310114D -:0410340026231081DE -:04103500C0EF109167 -:0410360057FDF49FCF -:0410370075B7E10D9B -:04103800859300019B -:0410390000685C05EA -:04103A00DB6FC0EFB9 -:04103B001D6387AA00 -:04103C0047031C0545 -:04103D00CF1101616D -:04103E000E63468572 -:04103F0020830ED725 -:041040002403114133 -:0410410024831101F2 -:04104200853E10C116 -:041043001181011303 -:04104400470380825C -:041045001B630141E7 -:0410460046831A07BC -:041047000713015139 -:041048009563055057 -:0410490047031AE659 -:04104A004683018157 -:04104B000722017106 -:04104C0006938F5523 -:04104D0014E304A004 -:04104E004712FCD772 -:04104F0066E1F369FA -:04105000478100785C -:041051008693453904 -:0410520045A96AC67C -:0410530000D7460379 -:0410540000C566630A -:0410550000D783330A -:0410560000C30023B0 -:0410570007050785FD -:04105800FEB796E366 -:041059000251478376 -:04105A000AA367611D -:04105B00472566F7C8 -:04105C0000F76663D0 -:04105D000EA3676116 -:04105E00A02966F768 -:04105F000241478380 -:04106000FEF77AE33A -:0410610002714703CE -:04106200656167E17C -:0410630074E7822389 -:0410640002814703BB -:04106500061367E126 -:04106600852303607B -:0410670047036AE7EA -:0410680067E10291A9 -:0410690002D1059318 -:04106A0056E787A31B -:04106B0002A1470394 -:04106C00051367E120 -:04106D008E2378C591 -:04106E00470366E7E7 -:04106F0067E102B182 -:0410700056E78A2392 -:0410710002C147036E -:041072008AA367E105 -:04107300470356E7F2 -:0410740067E10231FD -:041075006CE785237C -:04107600C70367E164 -:0410770067E16AC7FC -:041078006CE784A3FA -:0410790002614703C6 -:04107A008B2367E17C -:04107B0010EF6AE721 -:04107C0047816D40FB -:04107D004483B729C8 -:04107E00E8E901415B -:04107F000151468352 -:0410800005500713FD -:041081000CE6946382 -:04108200024156834E -:04108300042007132B -:04108400EEE697E31A -:0410850002615403AD -:0410860040C007134C -:04108700EEE411E39F -:04108800C42A67E12E -:041089008513463550 -:04108A0005937EC785 -:04108B0010EF0171F0 -:04108C004712007097 -:04108D0014E347A27F -:04108E006561EC07A5 -:04108F000420061320 -:041090000513102C08 -:0410910010EF748563 -:04109200478267C06A -:04109300470166E1CA -:04109400C03E07A2B1 -:041095007FC68693F9 -:0410960005E00793D7 -:04109700100006132C -:0410980000D7053345 -:041099008E1DC43AAA -:04109A00C23E0078DA -:04109B0000F705B3A2 -:04109C0004C44863DD -:04109D0064E010EF0C -:04109E00472247920C -:04109F00943E0485F2 -:0410A00047828F1DD7 -:0410A100100707131A -:0410A2000FF4F493C0 -:0410A30000F485339D -:0410A400834107423B -:0410A500F00404133C -:0410A600059300703E -:0410A700052210000E -:0410A8000442C23A02 -:0410A900D7BFC0EFFE -:0410AA00804166E13A -:0410AB004712478120 -:0410AC007FC68693E2 -:0410AD0067E1F445BE -:0410AE00812347054E -:0410AF00BF1574E70E -:0410B00010EF862295 -:0410B100BFC5600057 -:0410B200BD1547859C -:0410B300BD054789A7 -:0410B400DD810113C6 -:0410B5002223086882 -:0410B60020232211C0 -:0410B7002E23228141 -:0410B800C0EF2091D4 -:0410B900C02AE57FE5 -:0410BA00532010EFC0 -:0410BB009A6347826B -:0410BC00646116074E -:0410BD00000175B702 -:0410BE00859346458B -:0410BF000513598537 -:0410C00064E168047B -:0410C1005BE010EFF1 -:0410C2006A048C230D -:0410C300ECDFC0EFAF -:0410C40064C1C62617 -:0410C50014FDC42230 -:0410C600000217B756 -:0410C7000D07A783E7 -:0410C8005683676183 -:0410C9008FE578C770 -:0410CA0000F68E633B -:0410CB0078C70713C8 -:0410CC00002757039F -:0410CD0012F70763AC -:0410CE000513650998 -:0410CF00B0EF710508 -:0410D000BFD9DC1F89 -:0410D10075B747A206 -:0410D200464500018E -:0410D3005AC58593E2 -:0410D4006807851311 -:0410D50056E010EFE2 -:0410D60075B747B2F1 -:0410D7004645000189 -:0410D800ACC585938B -:0410D9006B87851389 -:0410DA006CC010EFE7 -:0410DB00E6DFC0EF9D -:0410DC004401448106 -:0410DD0001041713E0 -:0410DE0020070713CD -:0410DF00409757934C -:0410E000863EC23E48 -:0410E100472D67E14F -:0410E200080C46812F -:0410E3006687851384 -:0410E40039C010EF10 -:0410E50075B7E5797D -:0410E60085930001ED -:0410E70008085C0594 -:0410E800AFEFC0EFB7 -:0410E9004703ED1DAF -:0410EA00EF0501818C -:0410EB0001914683A6 -:0410EC000550071391 -:0410ED0002E697631D -:0410EE0001A1468393 -:0410EF0062634705EC -:0410F000660502D7B8 -:0410F10000841593CF -:0410F200061395B29A -:0410F300846346A02C -:0410F400061300E6F9 -:0410F500451205702B -:0410F600C0EF0874CB -:0410F700E151D8DF0C -:0410F8000405048562 -:0410F90017E3474171 -:0410FA0010EFF8E417 -:0410FB0045814300E8 -:0410FC00F0EF453D8F -:0410FD0067E1CB1FBD -:0410FE0067D7C78366 -:0410FF00071367610B -:0411000097BA6AC769 -:041101000007C5031B -:0411020067E14581DB -:041103006CA784A3AE -:04110400C93FF0EF00 -:04110500763747A250 -:0411060086A60001B8 -:04110700580606136D -:04110800851345C541 -:0411090010EF680774 -:04110A0047B2574051 -:04110B00000175B7B3 -:04110C00859346453C -:04110D00851358C529 -:04110E0010EF6B87EC -:04110F00C0EF5FA02E -:041110004537D9BFC7 -:041111000513000FB3 -:04111200B0EF240511 -:041113002083CB5F0B -:04111400240322414D -:04111500450222016C -:0411160021C124834C -:04111700228101131D -:0411180010EF8082D2 -:0411190057FD3B80C3 -:04111A00B7D5C03E47 -:04111B0001855713E0 -:04111C00018517939F -:04111D0006B78FD9A9 -:04111E00171300FFA4 -:04111F008F75008543 -:0411200067418FD9BB -:04112100F0070713B9 -:041122008D79812121 -:0411230080828D5DDC -:0411240017B7C6092A -:04112500A023000201 -:041126004701000776 -:04112700FFC5F69377 -:041128000002163774 -:0411290000E507B323 -:04112A0002D7646321 -:04112B00470D898D56 -:04112C0002E59463E1 -:04112D000007D6835E -:04112E00000217376D -:04112F0000D71223B0 -:041130000027C7834A -:0411310000F702239E -:04113200000217B7E9 -:0411330080824B88E3 -:041134000711439CC0 -:04113500B7F9C25CE8 -:041136009963470969 -:04113700D70300E5F5 -:0411380017B70007DE -:0411390092230002FB -:04113A00BFF900E712 -:04113B009DE34705E4 -:04113C00C703FCE504 -:04113D0017B70007D9 -:04113E008223000206 -:04113F00B7E900E725 -:04114000C222115165 -:04114100000214375D -:041142004601C0267C -:04114300059384AAE2 -:04114400051304A0EB -:04114500C4060404D4 -:04114600884FC0EF1F -:04114700460185A632 -:041148000404051383 -:041149008B2FC0EF39 -:04114A0004A0059365 +:04096B0080A39B1DAD +:04096C00438C00E5D3 +:04096D000015C703A7 +:04096E00F9F777130B +:04096F0000E580A37C +:04097000C703438CEA +:040971008B7D000575 +:0409720006076713FA +:0409730000E58023F8 +:04097400C703438CE6 +:040975009B6100156D +:0409760000376713CC +:0409770000E580A374 +:040978004703438C62 +:0409790076136B1670 +:04097A00C703001798 +:04097B009B7900055F +:04097C0080238F51F4 +:04097D00439000E5BE +:04097E006D76C78348 +:04097F0097138B8DB2 +:040980004783003772 +:040981009B9D000634 +:0409820000238FD9E6 +:0409830017B700F6AC +:04098400A783000243 +:0409850097130D07B0 +:04098600446300E7DF +:0409870000EF000776 +:04098800478979E042 +:0409890088A34501F9 +:04098A00E0EF00F4A6 +:04098B008522C83FBA +:04098C00441240A22F +:04098D00013144826E +:04098E00547D808292 +:04098F0067E1BFCD90 +:040990006807C783AA +:04099100FDC1011390 +:040992000785CE22E5 +:040993000FF7F793D0 +:0409940007C207B2DD +:04099500C83E83C114 +:0409960057836461BE +:0409970047426B4424 +:04099800CC26D00693 +:0409990067218FD96A +:04099A001A238FD9B4 +:04099B0017376AF4AC +:04099C00C202000291 +:04099D006637C402F3 +:04099E0064E100010F +:04099F000EF720230C +:0409A0005BC6061319 +:0409A100851345C5B0 +:0409A20030EF6C4482 +:0409A300E0EF31C090 +:0409A4006741B4BF34 +:0409A5004785177DEE +:0409A6001737CA3AFB +:0409A70026830002A1 +:0409A80046520D079F +:0409A900C6368EF1CF +:0409AA00C6F1E7F9B2 +:0409AB00869366E1E8 +:0409AC00D60379866F +:0409AD0045B20166E8 +:0409AE006B445783BC +:0409AF0012B6146305 +:0409B00016FD76F5C5 +:0409B1001A238FF581 +:0409B20020236AF4A0 +:0409B30082230EF796 +:0409B400E0EF6C0400 +:0409B5002537B07FB3 +:0409B600051300061F +:0409B700D0EFA805D0 +:0409B8005783A21FA0 +:0409B90047426B4402 +:0409BA00051366912A +:0409BB008FD93E8012 +:0409BC001A238FD596 +:0409BD0017376AF48A +:0409BE0020230002F0 +:0409BF0030EF0EF710 +:0409C00030EF1600FE +:0409C10047851860EE +:0409C2001C6366E16B +:0409C300A60302F590 +:0409C4004783588687 +:0409C500470300865E +:0409C600072200966E +:0409C70047838F5D76 +:0409C80007C200A6BC +:0409C90047838F5D74 +:0409CA0007E200B68A +:0409CB0097138FD916 +:0409CC00834101075B +:0409CD009713C23A80 +:0409CE00835100470A +:0409CF00D2E3C43A71 +:0409D00030EFFC0701 +:0409D100479215E054 +:0409D2006637EF9500 +:0409D3000613000106 +:0409D40045C55C8633 +:0409D5006C448513D6 +:0409D60024E030EFFA +:0409D700A7DFE0EFC7 +:0409D8006B44570312 +:0409D90017FD77F19E +:0409DA001A238FF954 +:0409DB0017376AF46C +:0409DC0020230002D2 +:0409DD0065090EF7A3 +:0409DE007105051387 +:0409DF00983FD0EF7E +:0409E000BF2147B23A +:0409E10047524792A0 +:0409E20000E7976330 +:0409E3000001663772 +:0409E4005D86061313 +:0409E5004712BF7D79 +:0409E600660545A2BB +:0409E700064007932C +:0409E80056B3167D6F +:0409E900773302F767 +:0409EA009C6302F711 +:0409EB00663700C5A6 +:0409EC0006130001ED +:0409ED0045C55E0698 +:0409EE006C448513BD +:0409EF001EA030EF27 +:0409F0004622BF716B +:0409F100851345C560 +:0409F20076336C44A8 +:0409F300C03202F616 +:0409F40057B346228D +:0409F500663702F669 +:0409F60006130001E3 +:0409F70030EF5EC6B9 +:0409F800BFAD1C80F3 +:0409F9000186D6831A +:0409FA009BE3463203 +:0409FB0007D2F6C663 +:0409FC001A2383D166 +:0409FD0050826AF4C6 +:0409FE0020234472FC +:0409FF0044E20EF7C9 +:040A00000113450198 +:040A010080820241AC +:040A0200C4061151C4 +:040A0300244020EF7C +:040A0400807FF0EF10 +:040A050040A267E1C3 +:040A06007777C50336 +:040A0700E06F01316A +:040A08000113A8FF2F +:040A0900D806FCC14E +:040A0A00D426D622F6 +:040A0B00941FD0EF75 +:040A0C00F0EF842A59 +:040A0D006761C23F1C +:040A0E0057C707932C +:040A0F00C03E86AAB5 +:040A100064E16561D7 +:040A11001206CD6399 +:040A12000001663742 +:040A130007134681FE +:040A14000613055070 +:040A150045C560066D +:040A16006A05051355 +:040A170014A030EF08 +:040A1800000165B7BD +:040A19008593464536 +:040A1A0085136305D8 +:040A1B0030EF73C481 +:040A1C004782060007 +:040A1D004398802951 +:040A1E000007478303 +:040A1F000027E79332 +:040A200000F70023B8 +:040A210099BFE0EFAA +:040A22000007A537ED +:040A230012050513A0 +:040A240086FFD0EF8A +:040A250088FFD0EF87 +:040A260012C0079360 +:040A270002F407B31B +:040A2800C80264613B +:040A2900C402C6023B +:040A2A000413C202ED +:040A2B00CE3E6D84CA +:040A2C00CA3E4799DE +:040A2D00000217B7F5 +:040A2E000D07A78386 +:040A2F006561666136 +:040A30000107971310 +:040A3100C6938341A4 +:040A32002C23FFF77B +:040A330083E17CE6F9 +:040A3400000307377D +:040A3500F5938F7531 +:040A360066E10FF76F +:040A37007CE6AE2388 +:040A38007EB5002364 +:040A39008636853246 +:040A3A00CF8166E121 +:040A3B00FFE58793B9 +:040A3C000FF7F79326 +:040A3D007663430D8C +:040A3E00C78300F377 +:040A3F0094637D46F9 +:040A40002C2300B7AC +:040A410067E17C05E8 +:040A42007CB68A23D1 +:040A43007D07A68302 +:040A44007CE7A82380 +:040A45006761E2D52E +:040A46007507478366 +:040A4700CBF164E1AA +:040A480001C447831B +:040A490066E1C7F9A2 +:040A4A0000B447832A +:040A4B007E76C6836A +:040A4C00E3E18FD57E +:040A4D00813FD0EF26 +:040A4E006C6347F29C +:040A4F0047420AF51B +:040A500006300793D2 +:040A51000AE7E76366 +:040A5200468367610F +:040A53004785750757 +:040A54008763470568 +:040A550047D200F68E +:040A5600FFA787135C +:040A570000E0373351 +:040A580001C447830B +:040A590017FD46A19E +:040A5A000FF7F79308 +:040A5B0006F6E16357 +:040A5C00078A66D9C6 +:040A5D0053C6869363 +:040A5E00439C97B668 +:040A5F0066378782ED +:040A60000613000178 +:040A610045C56146E0 +:040A62006A05051309 +:040A630001A030EFCF +:040A6400000175B761 +:040A650085934645EA +:040A66008513BEC571 +:040A670030EF73C435 +:040A680047820A2097 +:040A690047834398E4 +:040A6A00E793000707 +:040A6B00002300273D +:040A6C00E0EF00F7C0 +:040A6D00A00186DF7F +:040A6E007C062E23B1 +:040A6F0067E1BFA9D3 +:040A70006B67C78366 +:040A710000F037B3A7 +:040A720084A30789C9 +:040A730047C268F41A +:040A74000785475259 +:040A75000FF7F793ED +:040A76004799C83E96 +:040A770000F707631A +:040A780000170793C9 +:040A79000FF7F793E9 +:040A7A00D0EFCA3EB1 +:040A7B004785F38F29 +:040A7C002321C63E2E +:040A7D00C78367E1E3 +:040A7E00CC2A7E7789 +:040A7F004501C781E5 +:040A800026C010EF8D +:040A81006894C7832B +:040A820001C4470361 +:040A830000F7046311 +:040A8400E7114762CD +:040A8500CB15473214 +:040A860000B447036E +:040A87006761C71DBF +:040A88006D6747034C +:040A8900C315C63A91 +:040A8A000713676186 +:040A8B0097BA6B8724 +:040A8C000007C50397 +:040A8D00C70367E153 +:040A8E00C6026D57D8 +:040A8F0000A7076352 +:040A90008AA345816F +:040A910010EF6CA74F +:040A9200C783668030 +:040A93004703689419 +:040A940064E101C454 +:040A9500754484938D +:040A960000F71C63E6 +:040A97004709469233 +:040A980016D77C638E +:040A99000334C683D9 +:040A9A0005144703F5 +:040A9B0016E6866372 +:040A9C006361473D0E +:040A9D0074E306A355 +:040A9E007E63470D1F +:040A9F00C70306F78C +:040AA000CB0103344F +:040AA100FFA7871311 +:040AA2000FF77713C0 +:040AA300F46346852D +:040AA400471506E606 +:040AA50006F7756378 +:040AA60045094605B3 +:040AA7008593468964 +:040AA800F713FFF74A +:040AA90042A10FF562 +:040AAA0004E2EF6310 +:040AAB00070A62D9FB +:040AAC0056028293D9 +:040AAD00431897163D +:040AAE0047858702EF +:040AAF00F00717E352 +:040AB000C78367E1B0 +:040AB100B79357B7E9 +:040AB2000791001791 +:040AB3004799BDFDA5 +:040AB400EE070DE359 +:040AB5006894C783F7 +:040AB600479DBDCDCE +:040AB70067E1B5FD41 +:040AB8006887C78301 +:040AB90000F037B35F +:040ABA00B5C507A116 +:040ABB001EE3479956 +:040ABC004785EC0777 +:040ABD00460DBDD94C +:040ABE004681450523 +:040ABF004609B74DE0 +:040AC000BFE5450148 +:040AC100F593C402E3 +:040AC20047210FF5C4 +:040AC3006963C2029F +:040AC400675900B7B7 +:040AC5005847071374 +:040AC6004703972E1D +:040AC700C23A000728 +:040AC80000F40E2305 +:040AC90004C4478397 +:040ACA00000405A37C +:040ACB00458D470509 +:040ACC0000F7766356 +:040ACD008D9D459521 +:040ACE000FF5F59398 +:040ACF00D036D23219 +:040AD0005DF010EFD6 +:040AD1006AF010EFC8 +:040AD20086CFE0EFFC +:040AD300C78367E18D +:040AD400568274F7DB +:040AD500C789561265 +:040AD60010EF853266 +:040AD700568223B070 +:040AD80045924622DB +:040AD90020EF85364F +:040ADA0047833920F5 +:040ADB00656101C48C +:040ADC0097134645E1 +:040ADD0067D90027AE +:040ADE00590787939A +:040ADF00438C97BAF3 +:040AE0006A0505138B +:040AE10000042223C8 +:040AE2006B9020EF06 +:040AE300000165B7F2 +:040AE40046456561BD +:040AE500624585934E +:040AE60073C50513BC +:040AE7006A5020EF42 +:040AE800C78367E178 +:040AE900EB997E7790 +:040AEA004398478264 +:040AEB000007478336 +:040AEC000027E79365 +:040AED0000F70023EB +:040AEE00E66FE0EFE0 +:040AEF00CF8947E282 +:040AF000C70367E1F0 +:040AF10047A9681792 +:040AF20000F7156391 +:040AF30010EF453D7E +:040AF400D0EF3660A9 +:040AF500C802D50F4F +:040AF6000234C703FC +:040AF7000414478319 +:040AF80002F7056399 +:040AF900011445039C +:040AFA0047014781E8 +:040AFB0046014681E9 +:040AFC00D0EF458171 +:040AFD00C503BCBFB2 +:040AFE00E0EF0234EF +:040AFF00C783EB2F8F +:040B00002223023476 +:040B010000A3000449 +:040B0200C58304F4AF +:040B030047890234E8 +:040B040002F586630D +:040B05000244C703DC +:040B060004244783F9 +:040B070002E780631E +:040B0800011445038C +:040B09004589C191C8 +:040B0A0000F4478329 +:040B0B0046014681D8 +:040B0C00B8DFD0EF8F +:040B0D000244C78354 +:040B0E0004F40123C7 +:040B0F000334C78361 +:040B1000051447037E +:040B110000F7046382 +:040B120004F408A33C +:040B130067E16761CE +:040B140058174683A5 +:040B1500C7836761CA +:040B160045835807B4 +:040B170066616B1791 +:040B180000F59663EB +:040B19006D7645832D +:040B1A0002D58D6310 +:040B1B006AF708A3CA +:040B1C000BA34702DE +:040B1D0043146CD63B +:040B1E000017F713B2 +:040B1F000006C78382 +:040B20008FD99BF9D5 +:040B210000F6802337 +:040B2200439447822F +:040B23006D76478321 +:040B240097138B8D0B +:040B2500C78300374B +:040B26009B9D00068D +:040B270080238FD9BF +:040B2800478300F609 +:040B2900C3B501C48B +:040B2A00E0EF4512A1 +:040B2B004789873F30 +:040B2C000CF502635F +:040B2D000A6347917F +:040B2E00478502F500 +:040B2F0004F517634F +:040B300000B4478343 +:040B3100E0EFC3B975 +:040B3200A081E39F1C +:040B3300C43A470970 +:040B3400470DBD1D8F +:040B3500C703BFED46 +:040B360042850334BD +:040B370014E3C416E9 +:040B38004741E20748 +:040B390074E306A3B8 +:040B3A004783BD39F7 +:040B3B00C39D00B4A2 +:040B3C005783676113 +:040B3D00E7936B4788 +:040B3E001A2300274F +:040B3F0017376AF703 +:040B4000202300026C +:040B4100F0EF0EF7CC +:040B42000513B03FA8 +:040B4300D0EF12C01D +:040B4400B64DBF0FDC +:040B45000004222363 +:040B46004DB010EFAF +:040B470001C447831B +:040B48004645656158 +:040B490000279713D7 +:040B4A00879367D94D +:040B4B0097BA5907F5 +:040B4C000513438CBE +:040B4D0020EF6A0526 +:040B4E0065B750B087 +:040B4F0065610001DB +:040B500085934645FE +:040B510005136245E1 +:040B520020EF73C558 +:040B530067E14F7097 +:040B54007E77C7835E +:040B55004782EB994F +:040B560047834398F6 +:040B5700E793000719 +:040B5800002300274F +:040B5900E0EF00F7D2 +:040B5A00D0EFCB8F7E +:040B5B00CA02BB8F80 +:040B5C00BF61C802AB +:040B5D0000B4478316 +:040B5E00F0EFDBC910 +:040B5F00B771A9CFF2 +:040B6000656165D98D +:040B61000613115115 +:040B62008593042053 +:040B6300051372857F +:040B6400C406754509 +:040B650033B020EF9A +:040B6600656565DD7F +:040B670040C0061371 +:040B680063458593C9 +:040B6900808505136B +:040B6A00327020EFD6 +:040B6B0067E140A25C +:040B6C00872347058F +:040B6D00450174E7E3 +:040B6E00808201314F +:040B6F001111675D9C +:040B700084C707939C +:040B7100CC06C826C0 +:040B7200C002CA22D1 +:040B7300C43E4481B7 +:040B740075B764618C +:040B750046450001F0 +:040B76008F058593CF +:040B770068C4051336 +:040B7800461020EF14 +:040B7900971347A2E5 +:040B7A00656100248D +:040B7B00430C973E52 +:040B7C0005134645D2 +:040B7D0020EF6C45B4 +:040B7E00E0EF44B0B0 +:040B7F004681BDEFFF +:040B80001737C2223F +:040B810025830002C6 +:040B820067C10D0733 +:040B8300F63317FD31 +:040B8400646100F5B3 +:040B85007CC42C23DD +:040B86000D0727032D +:040B8700000305372B +:040B8800471367E1C7 +:040B89008F69FFF77A +:040B8A007CE7AE2333 +:040B8B00CA15C63E83 +:040B8C000863478231 +:040B8D00676102F6A4 +:040B8E0000149513A7 +:040B8F007987071348 +:040B9000EABD972AF9 +:040B91001023479254 +:040B920075B700B77C +:040B930046450001D2 +:040B94008F85859331 +:040B950068C7851395 +:040B96003E9020EF7E +:040B9700B7CFE0EF05 +:040B980067614685C6 +:040B9900260347B236 +:040B9A00A3037D072D +:040B9B0087BA7DC7D1 +:040B9C006741E605C2 +:040B9D0002E31263FA +:040B9E0065DDE0BD74 +:040B9F000613656173 +:040BA00085930360D6 +:040BA10005138B8528 +:040BA200C01A798577 +:040BA300243020EFEB +:040BA4004689430239 +:040BA50067E144EDD3 +:040BA6007D84270320 +:040BA7007C67A8239C +:040BA800C03AC63653 +:040BA9008F63470906 +:040BAA00650902E6F1 +:040BAB0071050513B8 +:040BAC00A4EFD0EFF3 +:040BAD00B7B146B2E4 +:040BAE0000075703E2 +:040BAF0002E346898E +:040BB0004792FAE688 +:040BB100000175B713 +:040BB200859346459C +:040BB3008513900511 +:040BB40020EF68C7FF +:040BB500E0EF36F047 +:040BB6004681B02F95 +:040BB70014F9B7591D +:040BB800BF5D46894E +:040BB90047690485FF +:040BBA00EE9754E37B +:040BBB0040E244527E +:040BBC00453D44C2AD +:040BBD00106F017143 +:040BBE00011303E03C +:040BBF000793FBC1DC +:040BC000CE3E0131F3 +:040BC100879367E1CE +:040BC200D03E76D7D4 +:040BC300879367E1CC +:040BC400D23E76E7C0 +:040BC500879367E1CA +:040BC600D43E76F7AC +:040BC700879367E1C8 +:040BC800D63E770797 +:040BC90067E1D83ECA +:040BCA00771787937F +:040BCB0027B7DA3E30 +:040BCC0087930F03F9 +:040BCD00CA3EF01715 +:040BCE003030079329 +:040BCF001C2363611F +:040BD000478D00F15C +:040BD10000F10D23FF +:040BD2006D83079395 +:040BD30001C7C7830C +:040BD400C086DE22D7 +:040BD50009A3DC266E +:040BD60047250001AE +:040BD7006D83031314 +:040BD80086634405E7 +:040BD900841300E79A +:040BDA007413001779 +:040BDB0067E10FF4CB +:040BDC00A6036761A4 +:040BDD0007137D87F6 +:040BDE00478179874B +:040BDF00568345E90B +:040BE0001C6300078B +:040BE100476900D68A +:040BE20010F7626343 +:040BE300078A6759BD +:040BE40076C70713B6 +:040BE500439C97BADC +:040BE60007098782F2 +:040BE70050B78C6314 +:040BE800BFF10785CD +:040BE90066E14785F5 +:040BEA006B46D7037C +:040BEB001007471395 +:040BEC006AE69A23F8 +:040BED002703676112 +:040BEE0016937DC716 +:040BEF00D36300F7D5 +:040BF00087A20006D2 +:040BF10000E7169370 +:040BF2000006DE63B8 +:040BF300468367616D +:040BF4004585754777 +:040BF500E56346016D +:040BF600068500D59B +:040BF7000FF6F613EC +:040BF80074C70A2391 +:040BF9004501472942 +:040BFA0000E7866327 +:040BFB0004A3676187 +:040BFC00450568F74C +:040BFD00D70367E1D2 +:040BFE0066E16B47FA +:040BFF007E76C683B5 +:040C000007429B4DBF +:040C01009A2383416E +:040C0200EA816AE732 +:040C0300C68366E15D +:040C0400068A6C26CA +:040C05009A238F554A +:040C0600D7036AE7BF +:040C070040866B4771 +:040C080017B7547254 +:040C0900A023000222 +:040C0A0054E20EE7BB +:040C0B00044101138C +:040C0C004789808212 +:040C0D00478DBF8DC3 +:040C0E004791B7BD96 +:040C0F004795B7ADA1 +:040C10004799B79DAC +:040C1100479DB78DB7 +:040C120047A1BFB97E +:040C130047A5BFA989 +:040C140066E1BF993D +:040C15007E76C7839D +:040C160026036761E9 +:040C1700B79357C771 +:040C180083A300179B +:040C190047037EF619 +:040C1A00078A00063F +:040C1B008FD99B6D65 +:040C1C0000F60023BB +:040C1D00C70367E1C1 +:040C1E0067E16D57C6 +:040C1F0068E78123DE +:040C20007E76C78392 +:040C21004505C7912D +:040C22003E5000EF51 +:040C2300BF2147A9FD +:040C240098EFE0EF76 +:040C250067E1BFE5DF +:040C26005887A70341 +:040C2700000166372B +:040C2800448365613B +:040C290047830007F6 +:040C2A000613001796 +:040C2B0045C57E86B7 +:040C2C008FC507A2C7 +:040C2D0000274483D5 +:040C2E0068C505137D +:040C2F008FC504C2A7 +:040C300000374483C2 +:040C31008CDD04E270 +:040C320000B4D693A1 +:040C33000016F7931D +:040C34007FF4F393C3 +:040C3500C61EC43ED5 +:040C360000474683AA +:040C3700005742839D +:040C3800E6B302A27B +:040C3900428300D220 +:040C3A004703006705 +:040C3B0002C200777A +:040C3C0000D2E2B34D +:040C3D0000A3068387 +:040C3E0002B2477146 +:040C3F0002E686B390 +:040C400007136765CA +:040C4100D293808743 +:040C4200C21600C214 +:040C430066E1973699 +:040C44006D56C683A0 +:040C4500093020EF63 +:040C4600831367E1CC +:040C470047036D876B +:040C4800C72100B30D +:040C490047A243B2C9 +:040C4A0006900713F6 +:040C4B000013869379 +:040C4C0000F696B365 +:040C4D00979396BE25 +:040C4E004292014489 +:040C4F000007C46373 +:040C5000070007137F +:040C5100000307B7DE +:040C520007938CFD7B +:040C5300E09902A082 +:040C54000200079300 +:040C550000016637FD +:040C5600C0166561FE +:040C57007F460613BB +:040C5800051345C576 +:040C590020EF6C45D7 +:040C5A0067E104103A +:040C5B0057C7A703CD +:040C5C0000074783C3 +:040C5D000047E793D2 +:040C5E0000F7002378 +:040C5F0085CFE0EF6E +:040C60006761B731E0 +:040C61006B47578303 +:040C62000107C7932C +:040C63006AF71A23EF +:040C640067E1BDF592 +:040C65007547C70305 +:040C66004681460578 +:040C670000E66563DB +:040C68007693070573 +:040C69008A230FF7D4 +:040C6A00B5CD74D7B9 +:040C6B00871367E1A3 +:040C6C00470375477E +:040C6D004605001721 +:040C6E0075478793AC +:040C6F0065634681F2 +:040C7000070500E68E +:040C71000FF7769370 +:040C720000D780A384 +:040C73006761B5C13F +:040C74007547079326 +:040C75000067C783CA +:040C760075470713A4 +:040C770017FDC7811D +:040C78000FF7F793E8 +:040C790000F703235A +:040C7A006761B555A4 +:040C7B00754707139F +:040C7C000067478343 +:040C7D00F36346B91E +:040C7E0047B900F67C +:040C7F00B7DD078551 +:040C8000000175B743 +:040C8100464565611E +:040C82008045859391 +:040C830068C5051328 +:040C8400031020EF4A +:040C850075B762E1FC +:040C860085130001D1 +:040C870046456C4230 +:040C8800814585938A +:040C890001D020EF87 +:040C8A00A68364E1F8 +:040C8B00C78357C400 +:040C8C00E7930006E4 +:040C8D008023004779 +:040C8E00D0EF00F6AD +:040C8F006765F9FF9D +:040C900062E167E1D5 +:040C9100808707133E +:040C92006D878313D4 +:040C9300000217B78D +:040C94000D07A6039F +:040C9500879367E1F9 +:040C96000642798712 +:040C970046818241CF +:040C9800D50345ED4E +:040C990006630007E7 +:040C9A00068500C506 +:040C9B009AE3078948 +:040C9C000783FEB616 +:040C9D00467100A3F9 +:040C9E0002C787B34F +:040C9F0097BA4615A5 +:040CA00083F54BDCB1 +:040CA10002F6646390 +:040CA2004163461153 +:040CA300183806D621 +:040CA4004703973E2D +:040CA5005733FDC7FD +:040CA6008B0540D7A3 +:040CA700078AC70DE4 +:040CA80097BA1838A7 +:040CA900FE47A783D8 +:040CAA0000D78023CC +:040CAB0057C4A70380 +:040CAC000007478373 +:040CAD0000239BED98 +:040CAE00D0EF00F78C +:040CAF0067E1F65FA4 +:040CB0007E0783A395 +:040CB1007637B3E1FE +:040CB20006850001B2 +:040CB300820606139C +:040CB400851345C59A +:040CB50020EF6C427E +:040CB600D0EF6D000E +:040CB700A537EFFF6F +:040CB8000513000719 +:040CB900C0EF120571 +:040CBA00B7C9E19F36 +:040CBB008FE347B1CB +:040CBC006509FAF6D6 +:040CBD0071050513A5 +:040CBE00E07FC0EF24 +:040CBF00871367E54B +:040CC00067E18087E1 +:040CC1006D878313A5 +:040CC200B78962E1AB +:040CC30000A3068301 +:040CC40067E5467129 +:040CC50002C68633AA +:040CC6008087871389 +:040CC7008793458149 +:040CC8009732808758 +:040CC9000167470375 +:040CCA00656346799F +:040CCB00070500E633 +:040CCC000FF7759316 +:040CCD0086334671B3 +:040CCE00676102C692 +:040CCF008B2397B22A +:040CD000460500B71E +:040CD10074C70723BA +:040CD200470367610C +:040CD3009FE37F0715 +:040CD400C703D2E69A +:040CD50067E101676B +:040CD6007EE7922300 +:040CD7000683BB05D0 +:040CD800467100A3BE +:040CD900863367E512 +:040CDA00871302C6B4 +:040CDB0045FD8087CC +:040CDC0080878793F3 +:040CDD004703973200 +:040CDE00DF4D01677E +:040CDF00BF4D177D71 +:040CE000448147857F +:040CE1006561C23E49 +:040CE200000177B7DF +:040CE300859346456A +:040CE400051383076A +:040CE50020EF68C5CF +:040CE60046A96AA011 +:040CE70002D486B3FA +:040CE80047924615D4 +:040CE9005363873694 +:040CEA00471500D6D4 +:040CEB00763762E115 +:040CEC00851300016B +:040CED0007256C4229 +:040CEE00840606135F +:040CEF00C63E45C5F3 +:040CF0005E6020EF33 +:040CF100A60366E10F +:040CF200470357C697 +:040CF300671300067D +:040CF4000023004792 +:040CF500D0EF00E656 +:040CF60066E1E03F94 +:040CF700C23647B208 +:040CF80066C162E18E +:040CF90016FDC4160A +:040CFA0000021737A6 +:040CFB000D072703B7 +:040CFC00EF998F7568 +:040CFD0067E1CF11CB +:040CFE007987861359 +:040CFF00478145EDF7 +:040D00000006550391 +:040D010002E50263A2 +:040D02000609078552 +:040D0300FEB79AE3BA +:040D04000513650965 +:040D0500C63A710574 +:040D0600CE7FC0EFED +:040D070087BA47322E +:040D080006936741A6 +:040D0900B7C9FFF770 +:040D0A008763462590 +:040D0B00461100C7C6 +:040D0C004625E09107 +:040D0D0004C7DE63D6 +:040D0E0007854729E5 +:040D0F0002E7E7B35D +:040D100002E4833343 +:040D1100979A6761E5 +:040D120068F701235A +:040D1300F59FE0EF79 +:040D140066B7C91DD8 +:040D150086930001C0 +:040D160047A27E066C +:040D1700000176372A +:040D1800BE460613BA +:040D1900851345C534 +:040D1A0020EF6C4713 +:040D1B00D0EF53C002 +:040D1C00A537D6BF62 +:040D1D0005130007B3 +:040D1E00C0EF12050B +:040D1F004792C85FD0 +:040D200057C7A70307 +:040D210066B7B535C7 +:040D220086930001B3 +:040D2300B7F17D8621 +:040D24009663466923 +:040D2500C49300C7AC +:040D260087BA001474 +:040D27004631B5E5B7 +:040D2800F6C798E38F +:040D290067E1BFE9D6 +:040D2A007E77C78386 +:040D2B00BE0790E38C +:040D2C00BCD587A209 +:040D2D00BCFD47A919 +:040D2E00DBC1011311 +:040D2F00202318283D +:040D30002E23241139 +:040D31002C232281CC +:040D3200D0EF22914B +:040D3300C22AC6FF0B +:040D3400356020EF17 +:040D3500440D479290 +:040D36004611EBC5B2 +:040D37000828182C44 +:040D3800560020EF52 +:040D3900000175B789 +:040D3A008593461146 +:040D3B000828A9C516 +:040D3C00524020EF12 +:040D3D0006400793D2 +:040D3E005783E941AD +:040D3F00059303C154 +:040D4000462103E164 +:040D410001E10513B4 +:040D420000F11E237B +:040D4300534020EF0A +:040D4400046157836C +:040D4500020102A302 +:040D460000F11A237B +:040D47000481578349 +:040D480000F11B2378 +:040D490000EF455220 +:040D4A005783753026 +:040D4B00D42A04A101 +:040D4C0000F11A2375 +:040D4D0004C1578303 +:040D4E0000F11B2372 +:040D4F0000EF45521A +:040D5000578373B0A2 +:040D5100D62A04E1B9 +:040D520000F11A236F +:040D530005015783BC +:040D540000F11B236C +:040D550000EF455214 +:040D5600D82A7230F5 +:040D5700234125030C +:040D580000EFCA2AB4 +:040D590055A27170BE +:040D5A000793DA2AF7 +:040D5B0087131E20BC +:040D5C00E063FE65ED +:040D5D00460514E74C +:040D5E0000EF182862 +:040D5F0057D27230C5 +:040D600006F50B6326 +:040D6100066007938E +:040D620020EFC23E7E +:040D6300479229C0CA +:040D64008963470553 +:040D650047632AE7CF +:040D6600071326F752 +:040D67008863F3505A +:040D680044632AE7CF +:040D6900071324F751 +:040D6A008763F34068 +:040D6B0075B72AE747 +:040D6C00859300016A +:040D6D00C23E9E855F +:040D6E00851367E1A1 +:040D6F0046456C4742 +:040D7000480020EF28 +:040D7100C15FD0EF9F +:040D7200000F4537F2 +:040D7300240505133B +:040D7400B2FFC0EF1B +:040D7500DA63479264 +:040D760058632A078D +:040D770076372A8021 +:040D780065610001B0 +:040D7900B086061327 +:040D7A00051345C553 +:040D7B0020EF68C538 +:040D7C00147D3B8027 +:040D7D006461A2B952 +:040D7E0000017637C3 +:040D7F00AA46061367 +:040D8000051345C54D +:040D810020EF68C433 +:040D820056B23A002B +:040D8300763767E177 +:040D840085130001D2 +:040D850006136C479E +:040D860045C5AB466E +:040D870038A020EF81 +:040D8800BB9FD0EF4E +:040D890054B257C247 +:040D8A00C23E430121 +:040D8B00C422450138 +:040D8C000893646301 +:040D8D009263479294 +:040D8E0047831AA7D6 +:040D8F00468301E1B5 +:040D9000470301C153 +:040D9100CFD501D1E8 +:040D9200000177B72E +:040D93009B47879360 +:040D940006134522DB +:040D9500C03201E186 +:040D960000017637AB +:040D9700AC0606138D +:040D9800051345C535 +:040D990020EF68C51A +:040D9A0067E13400D9 +:040D9B00000175B727 +:040D9C0085934645B0 +:040D9D008513B18584 +:040D9E0020EF6C478F +:040D9F006441254046 +:040DA000B59FD0EF3C +:040DA10014B7147DF2 +:040DA200A783000221 +:040DA30067610D0473 +:040DA4007987568372 +:040DA5008B638FE1EC +:040DA600071306F633 +:040DA70057037987EE +:040DA80000630027BD +:040DA900650914F7CD +:040DAA0071050513B7 +:040DAB00A53FC0EFB1 +:040DAC000793BFD911 +:040DAD00BDC9065066 +:040DAE00406482B368 +:040DAF002000079386 +:040DB0000057F46391 +:040DB1002000029389 +:040DB200041367E1DE +:040DB300971320036F +:040DB40085130102A0 +:040DB50083416747C8 +:040DB6005613468109 +:040DB700182C009460 +:040DB800C616C81A79 +:040DB900054020EFE2 +:040DBA00166387AA8B +:040DBB0043420E059C +:040DBC00182842B2FF +:040DBD0000133613D6 +:040DBE0000EF859627 +:040DBF0083225A3001 +:040DC00077B7BF053D +:040DC1008793000113 +:040DC200B799BEC758 +:040DC3002E6010EF9F +:040DC4005783676189 +:040DC50065096B470A +:040DC600710505139B +:040DC7000027E79387 +:040DC8006AF71A2389 +:040DC9000EF4A02361 +:040DCA009D7FC0EF5A +:040DCB00000175B7F7 +:040DCC0046456561D2 +:040DCD00ACC5859399 +:040DCE0068C50513DC +:040DCF00304020EFA1 +:040DD00067E1440D86 +:040DD100000174B7F2 +:040DD2006C478513D2 +:040DD3008593464579 +:040DD40020EFAD84DB +:040DD500D0EF2EE04D +:040DD6005632A83FAA +:040DD7004581183406 +:040DD800D0EF45050E +:040DD90087AAA05FE6 +:040DDA00E20510E33B +:040DDB0075B7676120 +:040DDC0005130001FA +:040DDD00464568C758 +:040DDE00AE858593C6 +:040DDF002C4020EF95 +:040DE000AD848593C6 +:040DE100464564E13E +:040DE2006C448513C5 +:040DE3002B4020EF92 +:040DE400A49FD0EF09 +:040DE50055B256426B +:040DE6004501183477 +:040DE700939FD0EF17 +:040DE80013E387AAE0 +:040DE90020EFDE0514 +:040DEA006761080035 +:040DEB00000175B7D7 +:040DEC008593464560 +:040DED000513B2C573 +:040DEE0020EF68C7C3 +:040DEF0075B7114083 +:040DF0004645000173 +:040DF100AF858593B2 +:040DF2006C448513B5 +:040DF300274020EF86 +:040DF400A09FD0EFFD +:040DF500440DA00108 +:040DF600440DBB45A8 +:040DF70006700793E8 +:040DF800440DB3658E +:040DF90006800793D6 +:040DFA000713B345E3 +:040DFB008A63F360B4 +:040DFC00071306E7EC +:040DFD009CE3F37010 +:040DFE0075B7DAE704 +:040DFF0085930001D7 +:040E0000BB55A485B5 +:040E0100066007136D +:040E020006E782631A +:040E030000F74F6342 +:040E0400064007138A +:040E050006E7816318 +:040E06000650071378 +:040E0700D8E799E3AC +:040E0800000175B7B9 +:040E0900A005859328 +:040E0A000713B3799E +:040E0B008963067081 +:040E0C00071304E7DD +:040E0D009CE30680DC +:040E0E0075B7D6E7F7 +:040E0F0085930001C6 +:040E1000BB95A345A6 +:040E1100000175B7B0 +:040E12009F05859320 +:040E130075B7B3AD4F +:040E140085930001C1 +:040E1500B385A68576 +:040E1600000175B7AB +:040E1700A785859393 +:040E180075B7BB9956 +:040E190085930001BC +:040E1A00B3B1A58546 +:040E1B00000175B7A6 +:040E1C00A105859314 +:040E1D0075B7B38969 +:040E1E0085930001B7 +:040E1F00BB25A8C582 +:040E2000000175B7A1 +:040E2100A20585930E +:040E22002083B33D39 +:040E2300240324017F +:040E2400248323C13F +:040E2500557D238153 +:040E2600244101134F +:040E270067E180827D +:040E28007E67C70317 +:040E290067E146F146 +:040E2A007EE78823B4 +:040E2B0002D70733B0 +:040E2C00879367E55C +:040E2D0097BA808769 +:040E2E0000E7D68380 +:040E2F0017236761BD +:040E30004B987ED786 +:040E31008B7D66E16E +:040E32007EE69A239B +:040E33000147C6832A +:040E34001B236761B4 +:040E3500C6837ED71B +:040E360067610127C8 +:040E37007ED711232E +:040E380066E1479890 +:040E39009623835524 +:040E3A00C6837EE607 +:040E3B006761015793 +:040E3C007ED7142326 +:040E3D000137C68330 +:040E3E0019236761AC +:040E3F00D6837ED701 +:040E4000676100C71F +:040E41007ED7152320 +:040E42000167C7037A +:040E4300922367E1AE +:040E440080827EE743 +:040E4500C58367E119 +:040E460067E17F07DA +:040E47007EE7D683E9 +:040E4800D28367E109 +:040E490067E17F4797 +:040E4A007F67D78364 +:040E4B00CA26111191 +:040E4C0067E1C03E5C +:040E4D007E27C783B2 +:040E4E006765CC22E6 +:040E4F0067E1C23E57 +:040E50007EC7D783FF +:040E5100808707137C +:040E520067E1C43E52 +:040E53007E87D7833C +:040E540067E1C63E4E +:040E55007F27C783A9 +:040E560067E1C83E4A +:040E57007EA7D4831B +:040E5800D30367E178 +:040E590067E17E4788 +:040E5A006D87879386 +:040E5B0000B7C60313 +:040E5C008603C24106 +:040E5D001D6300A76A +:040E5E0047F106B69C +:040E5F0002F607B3DD +:040E6000D38397BAE7 +:040E6100916300E7B2 +:040E6200A38306D38D +:040E6300F41301077C +:040E6400F3930FF203 +:040E6500996301F399 +:040E6600C3830483BB +:040E670044020147F9 +:040E68000483946308 +:040E69000127C38317 +:040E6A009F6344122C +:040E6B00A3830283D8 +:040E6C004422008795 +:040E6D000153D393C7 +:040E6E000283986300 +:040E6F000157C383E1 +:040E70009363443212 +:040E7100C3830283B2 +:040E720044420137BE +:040E730000839E63F7 +:040E740000C7D78359 +:040E750000979A63E5 +:040E7600063347F107 +:040E7700963A02F6AF +:040E78000166478345 +:040E79000067866325 +:040E7A00460567E1E1 +:040E7B0074C787238E +:040E7C0087B347F100 +:040E7D00F29302F5F5 +:040E7E0097BA01F22C +:040E7F000107D6038E +:040E800000D79723DD +:040E810062B39A01BD +:040E8200982300565B +:040E830046020057CC +:040E840000C78A23F6 +:040E85008923461265 +:040E8600462200C739 +:040E8700005612936C +:040E880000A7D603E6 +:040E890066338A7DC5 +:040E8A009523005656 +:040E8B00463200C724 +:040E8C0000C78AA36E +:040E8D009623464220 +:040E8E008B2300971B +:040E8F0089A30067CC +:040E9000666100C7D0 +:040E91007E46061380 +:040E9200166367E19B +:040E9300069302C5FB +:040E9400033346508E +:040E9500069302D3EB +:040E96007637064065 +:040E9700061300013D +:040E980046B357C640 +:040E9900446202D3DA +:040E9A0045C544D234 +:040E9B006C47851308 +:040E9C00106F017161 +:040E9D0066617350C7 +:040E9E007EE60613D3 +:040E9F0000C5076320 +:040EA000061366616E +:040EA10017637F460E +:040EA200467102C5CE +:040EA30002C585B34C +:040EA4004462461549 +:040EA500851344D29B +:040EA600972E6C47D0 +:040EA70045C54B18DA +:040EA80007338B7D04 +:040EA900763702C7CF +:040EAA00061300012A +:040EAB000171584633 +:040EAC006F70106FE4 +:040EAD000001763793 +:040EAE000005568362 +:040EAF0056C606130A +:040EB0000693B75D91 +:040EB10006B327104D +:040EB200079302D5CB +:040EB3007637064048 +:040EB4006561000173 +:040EB500BE860613DC +:040EB600051345C516 +:040EB700C6B36C450D +:040EB800106F02F6BF +:040EB900F06F6C501A +:040EBA0086AAFDDF28 +:040EBB000001763785 +:040EBC000613656153 +:040EBD0045C556864B +:040EBE006C45051367 +:040EBF006AB0106F96 +:040EC000763786AA51 +:040EC1006561000166 +:040EC200B946061314 +:040EC300051345C509 +:040EC400106F6C45FA +:040EC50046B7695073 +:040EC6008693000F00 +:040EC70005332406C5 +:040EC800678902D55F +:040EC900964787932E +:040ECA003E8007134C +:040ECB00006336B7D3 +:040ECC00EA06869319 +:040ECD000001763773 +:040ECE00B8860613C9 +:040ECF0057B345C50B +:040ED000F7B302F57D +:040ED100472902E7C4 +:040ED20002D556B33C +:040ED300051365613D +:040ED400D7336C455F +:040ED500106F02E7B1 +:040ED600069365100A +:040ED70006B34670A8 +:040ED800079302D5A5 +:040ED9007637064022 +:040EDA00656100014D +:040EDB00560606139E +:040EDC00051345C5F0 +:040EDD00C6B36C45E7 +:040EDE00106F02F699 +:040EDF00069362D044 +:040EE00005052710CD +:040EE10002D50533FE +:040EE200064006932D +:040EE300000176375D +:040EE400BE860613AD +:040EE50046B345C506 +:040EE600656102D56B +:040EE7006C4505133E +:040EE8006070106FB7 +:040EE900763786AA28 +:040EEA00656100013D +:040EEB00BC06061328 +:040EEC00051345C5E0 +:040EED00106F6C45D1 +:040EEE0016935F10E8 +:040EEF0086E1018512 +:040EF0000001763750 +:040EF10016D1656150 +:040EF200B806061325 +:040EF300051345C5D9 +:040EF400106F6C45CA +:040EF50045F15D5016 +:040EF60002B5053309 +:040EF700859365E595 +:040EF8004645808566 +:040EF900656195AAF0 +:040EFA006C4505132B +:040EFB006550106FBF +:040EFC000025179323 +:040EFD000513655D17 +:040EFE00953EBB451D +:040EFF006561410CDC +:040F0000051346454A +:040F0100106F6C45BC +:040F020047A963B0E8 +:040F030000F50F6383 +:040F0400002517931A +:040F0500051365610A +:040F0600953EBDC592 +:040F07006561410CD3 +:040F08000513464542 +:040F0900106F6C45B4 +:040F0A0075B761B0A6 +:040F0B0085930001C9 +:040F0C00B7F5BA0576 +:040F0D0045851141C4 +:040F0E00C02AC60629 +:040F0F006761298D60 +:040F10007F8747830D +:040F110007134682FA +:040F1200E7897F8765 +:040F1300000177372B +:040F1400BD8707137B +:040F1500763740B239 +:040F16006561000110 +:040F1700BE060613F9 +:040F1800051345C5B3 +:040F190001416C45E1 +:040F1A0053F0106F11 +:040F1B00A70367E1E0 +:040F1C0067E17D8785 +:040F1D0079878793B6 +:040F1E00464546AD51 +:040F1F000167D5830E +:040F200006E59263ED +:040F21000FF6F6933E +:040F22000463E1196A +:040F230011312A0757 +:040F240064E1C4269A +:040F25006514C2830A +:040F26006461C6221A +:040F270044040793E4 +:040F2800003295936B +:040F2900C80697AEB1 +:040F2A000007A30316 +:040F2B000047C78331 +:040F2C008513465192 +:040F2D008733FF56B1 +:040F2E00260302C7CD +:040F2F0075130043F3 +:040F300043950FF5E1 +:040F3100651484932C +:040F3200440404135C +:040F33004703963AA0 +:040F3400E863004628 +:040F350063DD02A3D3 +:040F36008393050A92 +:040F3700951EB4034C +:040F380085024108E5 +:040F39000789068599 +:040F3A00F8C69AE378 +:040F3B00BF694681C3 +:040F3C004783E3996B +:040F3D0017FD000399 +:040F3E000FF7F7931F +:040F3F00822395A2D2 +:040F4000470100F570 +:040F4100A8914681AC +:040F4200000347035E +:040F430095A20785E7 +:040F440002E7E7B326 +:040F45008763B7ED1A +:040F4600879300028B +:040F47008023FFF212 +:040F4800B7C500F435 +:040F490083A367E136 +:040F4A0067E17E07D6 +:040F4B0057C7A703DA +:040F4C0000074783D0 +:040F4D0000239BEDF5 +:040F4E00443200F732 +:040F4F0044A240C2B6 +:040F5000D06F01510C +:040F5100478DCDCF2C +:040F520004F70E632F +:040F53001AE34791C5 +:040F5400461CFAF746 +:040F5500872A9782CE +:040F5600C783468582 +:040F570065610004CC +:040F5800078E464575 +:040F59004783943EF8 +:040F5A00400400440B +:040F5B008433445146 +:040F5C0040DC0287EC +:040F5D0068C505134B +:040F5E00C036C23A9D +:040F5F00438C97A286 +:040F60004C1010EF32 +:040F6100459140DC9A +:040F6200C60397A289 +:040F6300E3630047FD +:040F640065DD14C56E +:040F65008593060A60 +:040F6600962EB58589 +:040F6700468242106C +:040F680086024712A4 +:040F6900C3914A1CCA +:040F6A00C783978220 +:040F6B0043510004EA +:040F6C000017859352 +:040F6D000733078EB1 +:040F6E00431400F434 +:040F6F0000474703ED +:040F7000073342D031 +:040F710096930267EA +:040F7200053300350E +:040F7300230300D480 +:040F740097320005AB +:040F750004634718B2 +:040F7600022300E36F +:040F770097A2000538 +:040F78000047C783E4 +:040F790096A24751A4 +:040F7A0002E787B350 +:040F7B0000B480231B +:040F7C00479C97B245 +:040F7D00B731C29C2A +:040F7E00E4E34791D0 +:040F7F0067DDF0E753 +:040F8000B6C78793D6 +:040F8100973E070A86 +:040F82008782431C03 +:040F8300433D460C98 +:040F840000C645035B +:040F850000D6470348 +:040F86000005C78318 +:040F870000E6460337 +:040F880000669D63FF +:040F890000F7786392 +:040F8A00F61317FD46 +:040F8B0080230FF7B9 +:040F8C00BDC100C51E +:040F8D00863AFD6D36 +:040F8E00F863BFDD68 +:040F8F00078500C70B +:040F90000FF7F7134D +:040F910000E58023D4 +:040F9200FD6DBD6DC7 +:040F9300BFDD873205 +:040F94005583460833 +:040F9500570300C638 +:040F9600463D00E6EE +:040F97000005578377 +:040F980000C69E638E +:040F990000F5F76305 +:040F9A00971317FD95 +:040F9B008341010786 +:040F9C00C191A01946 +:040F9D001023872E68 +:040F9E00B56100E554 +:040F9F0000E7F46310 +:040FA000B7E5078525 +:040FA1004701F9ED1E +:040FA2004650B7FD01 +:040FA300421845BDEE +:040FA4000007478378 +:040FA50000B6996396 +:040FA60017FDC781EB +:040FA7000FF7F793B6 +:040FA80000F700232B +:040FA9004683BDB905 +:040FAA00F5630046A5 +:040FAB00078500D7DF +:040FAC000FF7F693B2 +:040FAD0000D7002346 +:040FAE004798B5A902 +:040FAF0065614B9C91 +:040FB00000074703EC +:040FB1000513464599 +:040FB200070A6C4579 +:040FB300438C97BA1A +:040FB400371010EFF3 +:040FB50040C24432C0 +:040FB600015144A2FF +:040FB700AFCFD06F79 +:040FB8004B9C47986F +:040FB90000074503E5 +:040FBA00B7ED978276 +:040FBB0047884B9880 +:040FBC00B7CD970214 +:040FBD00822367E143 +:040FBE00BFE96C0714 +:040FBF00C305C6950B +:040FC000000166B70F +:040FC1007E0686938F +:040FC200000176377D +:040FC300061365614B +:040FC40045C5BE461B +:040FC5006C4505135F +:040FC60028F010EF10 +:040FC70066B7BF65E5 +:040FC800869300010B +:040FC900B7CD7D869D +:040FCA00D7E947DC40 +:040FCB00479C439864 +:040FCC008082BF550B +:040FCD00EA6347BDCF +:040FCE0057B716A754 +:040FCF000113445274 +:040FD0008793EF41D3 +:040FD100C03E355792 +:040FD200004157B7CC +:040FD30044178793A5 +:040FD4003793C23E4F +:040FD500052300F5FB +:040FD600679500F12A +:040FD70050078793A5 +:040FD800108122233F +:040FD90010112423AC +:040FDA0001050413F6 +:040FDB00109120232E +:040FDC00142347395A +:040FDD00042200F1F9 +:040FDE000AA77E637D +:040FDF00079365E12E +:040FE000462904A0FA +:040FE1006B85859304 +:040FE20000D1051322 +:040FE30000F105A371 +:040FE40000010623DF +:040FE50013B010EF46 +:040FE600C78367E175 +:040FE70065E16897C1 +:040FE8000360061389 +:040FE90000F10C23E4 +:040FEA00C78367E171 +:040FEB00859368176B +:040FEC0005137985EB +:040FED000CA302113E +:040FEE0067E100F1C6 +:040FEF006D67C783E0 +:040FF00000F10BA35E +:040FF100C78367E16A +:040FF2000D236C2738 +:040FF30067E100F1C1 +:040FF4007507C78333 +:040FF50000F10DA357 +:040FF600C78367E165 +:040FF7000E236B67F3 +:040FF80067E100F1BC +:040FF90057B7C7839C +:040FFA0000F10EA351 +:040FFB00C78367E160 +:040FFC000F236887D0 +:040FFD0067E100F1B7 +:040FFE005807C78346 +:040FFF0000F10FA34B +:04100000C78367E15A +:041001000023581759 +:0410020010EF02F1F8 +:0410030086220C50E5 +:0410040005700593DB +:04100500D0EF850A99 +:041006003533826F8D +:04100700053300A00D +:04100800208340A061 +:04100900240310812B +:04100A0024831041EA +:04100B0001131001BC +:04100C00808210C10D +:04100D00040C07B711 +:04100E000427879399 +:04100F00CC3E64E18E +:041010007F84C7838F +:041011007637EB91B2 +:0410120006130001C0 +:0410130045B55D463C +:041014007F8485133D +:04101500153010EF93 +:041016008593463543 +:0410170005137F84BA +:0410180010EF00B124 +:0410190065E11DF080 +:04101A000420061395 +:04101B0075458593FF +:04101C0010EF086861 +:04101D0065E505D0B0 +:04101E000A2006138B +:04101F0080858593B0 +:0410200005E10513CE +:0410210004B010EF18 +:04102200059386228A +:04102300850A10002A +:04102400FADFC0EF40 +:0410250006136565E4 +:04102600059300141A +:04102700051336A0D7 +:04102800C0EF8AA5E6 +:041029004501FEFF80 +:04102A00557DBFAD84 +:04102B0067E1808277 +:04102C007E078C238C +:04102D00F56347BD63 +:04102E0057FD00A7C3 +:04102F008082853EF8 +:04103000EE81011339 +:04103100010507931B +:041032009513C22E22 +:041033000070010741 +:041034001000059310 +:041035002A23C03E6C +:04103600282310114A +:0410370026231081DB +:04103800C0EF109164 +:0410390057FDF3DF8D +:04103A0075B7E10D98 +:04103B008593000198 +:04103C0000685CC527 +:04103D00DAAFC0EF77 +:04103E001D6387AAFD +:04103F0047031C0542 +:04104000CF1101616A +:041041000E6346856F +:0410420020830ED722 +:041043002403114130 +:0410440024831101EF +:04104500853E10C113 +:041046001181011300 +:041047004703808259 +:041048001B630141E4 +:0410490046831A07B9 +:04104A000713015136 +:04104B009563055054 +:04104C0047031AE656 +:04104D004683018154 +:04104E000722017103 +:04104F0006938F5520 +:0410500014E304A001 +:041051004712FCD76F +:0410520066E1F369F7 +:041053004781007859 +:041054008693453901 +:0410550045A96B86B8 +:0410560000D7460376 +:0410570000C5666307 +:0410580000D7833307 +:0410590000C30023AD +:04105A0007050785FA +:04105B00FEB796E363 +:04105C000251478373 +:04105D0000A3676124 +:04105E00472568F7C3 +:04105F0000F76663CD +:0410600004A367611D +:04106100A02968F763 +:04106200024147837D +:04106300FEF77AE337 +:0410640002714703CB +:04106500656167E179 +:0410660074E7882380 +:0410670002814703B8 +:04106800061367E123 +:041069008B23036072 +:04106A0047036AE7E7 +:04106B0067E10291A6 +:04106C0002D1059315 +:04106D0056E78DA312 +:04106E0002A1470391 +:04106F00051367E11D +:0410700084237985D7 +:04107100470368E7E2 +:0410720067E102B17F +:0410730058E7802397 +:0410740002C147036B +:0410750080A367E10C +:04107600470358E7ED +:0410770067E10231FA +:041078006CE78B2373 +:04107900C70367E161 +:04107A0067E16B8738 +:04107B006CE78AA3F1 +:04107C0002614703C3 +:04107D00812367E183 +:04107E0010EF6CE71C +:04107F0047816D40F8 +:041080004483B729C5 +:04108100E8E9014158 +:04108200015146834F +:0410830005500713FA +:041084000CE694637F +:04108500024156834B +:041086000420071328 +:04108700EEE697E317 +:0410880002615403AA +:0410890040C0071349 +:04108A00EEE411E39C +:04108B00C42A67E12B +:04108C00851346354D +:04108D0005937F87C1 +:04108E0010EF0171ED +:04108F004712007094 +:0410900014E347A27C +:041091006561EC07A2 +:04109200042006131D +:041093000513102C05 +:0410940010EF75459F +:04109500478267C067 +:04109600470166E5C3 +:04109700C03E07A2AE +:041098008086869335 +:0410990005E00793D4 +:04109A001000061329 +:04109B0000D7053342 +:04109C008E1DC43AA7 +:04109D00C23E0078D7 +:04109E0000F705B39F +:04109F0004C44863DA +:0410A00064E010EF09 +:0410A1004722479209 +:0410A200943E0485EF +:0410A30047828F1DD4 +:0410A4001007071317 +:0410A5000FF4F493BD +:0410A60000F485339A +:0410A7008341074238 +:0410A800F004041339 +:0410A900059300703B +:0410AA00052210000B +:0410AB000442C23AFF +:0410AC00D6FFC0EFBC +:0410AD00804166E533 +:0410AE00471247811D +:0410AF00808686931E +:0410B00067E1F445BB +:0410B1008723470545 +:0410B200BF1574E70B +:0410B30010EF862292 +:0410B400BFC5600054 +:0410B500BD15478599 +:0410B600BD054789A4 +:0410B700DD810113C3 +:0410B800222308687F +:0410B90020232211BD +:0410BA002E2322813E +:0410BB00C0EF2091D1 +:0410BC00C02AE4BFA3 +:0410BD00532010EFBD +:0410BE009A63478268 +:0410BF00646116074B +:0410C000000175B7FF +:0410C1008593464588 +:0410C20005135A4573 +:0410C30064E168C4B8 +:0410C4005BE010EFEE +:0410C5006C04822312 +:0410C600EC1FC0EF6C +:0410C70064C1C62614 +:0410C80014FDC4222D +:0410C900000217B753 +:0410CA000D07A783E4 +:0410CB005683676180 +:0410CC008FE57987AC +:0410CD0000F68E6338 +:0410CE007987071304 +:0410CF00002757039C +:0410D00012F70763A9 +:0410D1000513650995 +:0410D200B0EF710505 +:0410D300BFD9DB5F47 +:0410D40075B747A203 +:0410D500464500018B +:0410D6005B8585931E +:0410D70068C785134E +:0410D80056E010EFDF +:0410D90075B747B2EE +:0410DA004645000186 +:0410DB00AD858593C7 +:0410DC006C478513C5 +:0410DD006CC010EFE4 +:0410DE00E61FC0EF5A +:0410DF004401448103 +:0410E00001041713DD +:0410E10020070713CA +:0410E2004097579349 +:0410E300863EC23E45 +:0410E400472D67E14C +:0410E500080C46812C +:0410E60067478513C0 +:0410E70039C010EF0D +:0410E80075B7E5797A +:0410E90085930001EA +:0410EA0008085CC5D1 +:0410EB00AF2FC0EF74 +:0410EC004703ED1DAC +:0410ED00EF05018189 +:0410EE0001914683A3 +:0410EF00055007138E +:0410F00002E697631A +:0410F10001A1468390 +:0410F20062634705E9 +:0410F300660502D7B5 +:0410F40000841593CC +:0410F500061395B297 +:0410F600846346A029 +:0410F700061300E6F6 +:0410F8004512057028 +:0410F900C0EF0874C8 +:0410FA00E151D81FC9 +:0410FB00040504855F +:0410FC0017E347416E +:0410FD0010EFF8E414 +:0410FE0045814300E5 +:0410FF00F0EF453D8C +:0411000067E1CB1FB9 +:041101006897C783A1 +:041102000713676107 +:0411030097BA6B87A5 +:041104000007C50318 +:0411050067E14581D8 +:041106006CA78AA3A5 +:04110700C93FF0EFFD +:04110800763747A24D +:0411090086A60001B5 +:04110A0058C60613AA +:04110B00851345C53E +:04110C0010EF68C7B1 +:04110D0047B257404E +:04110E00000175B7B0 +:04110F008593464539 +:041110008513598565 +:0411110010EF6C4728 +:04111200C0EF5FA02B +:041113004537D8FF85 +:041114000513000FB0 +:04111500B0EF24050E +:041116002083CA9FC9 +:04111700240322414A +:041118004502220169 +:0411190021C1248349 +:04111A00228101131A +:04111B0010EF8082CF +:04111C0057FD3B80C0 +:04111D00B7D5C03E44 +:04111E0001855713DD +:04111F00018517939C +:0411200006B78FD9A6 +:04112100171300FFA1 +:041122008F75008540 +:0411230067418FD9B8 +:04112400F0070713B6 +:041125008D7981211E +:0411260080828D5DD9 +:0411270017B7C60927 +:04112800A0230002FE +:041129004701000773 +:04112A00FFC5F69374 +:04112B000002163771 +:04112C0000E507B320 +:04112D0002D764631E +:04112E00470D898D53 +:04112F0002E59463DE +:041130000007D6835B +:04113100000217376A +:0411320000D71223AD +:041133000027C78347 +:0411340000F702239B +:04113500000217B7E6 +:0411360080824B88E0 +:041137000711439CBD +:04113800B7F9C25CE5 +:041139009963470966 +:04113A00D70300E5F2 +:04113B0017B70007DB +:04113C0092230002F8 +:04113D00BFF900E70F +:04113E009DE34705E1 +:04113F00C703FCE501 +:0411400017B70007D6 +:041141008223000203 +:04114200B7E900E722 +:04114300C222115162 +:04114400000214375A +:041145004601C02679 +:04114600059384AADF +:04114700051304A0E8 +:04114800C4060404D1 +:04114900878FC0EFDD +:04114A00460185A62F :04114B000404051380 -:04114C00C0EF4605A5 -:04114D00051386AF51 -:04114E00441204043F -:04114F00448240A2F4 -:04115000013145859F -:04115100878FC06F55 -:04115200C422114161 -:04115300000214374B -:04115400C02AC226C5 -:04115500460184AE1D -:0411560004A0059359 -:041157000404051374 -:04115800C0EFC60618 -:04115900478283AF97 -:04115A000513460132 -:04115B0085BE040445 -:04115C00866FC0EFEB -:04115D00040405136E -:04115E0040B2442235 -:04115F00449285A68B -:0411600001414605FE -:04116100852FC06FA7 -:04116200C222115143 -:0411630014334405F8 -:04116400741300A45C -:0411650085A20FF45C -:04116600C40645195D -:04116700FADFF0EFCC -:04116800441285A206 -:04116900451D40A23E -:04116A00F06F0131F0 -:04116B001151F9FF26 -:04116C00C222050690 -:04116D000FF57413F3 -:04116E00450585A20C -:04116F00F0EFC406D3 -:0411700085A2F8BF9D -:0411710040A2441242 -:0411720001314509F9 -:04117300F7DFF06F43 -:0411740045151151BB -:04117500F0EFC406CD -:041176000713F2BFAA -:041177004781086044 -:0411780004E5136314 -:0411790009000593D1 -:04117A000200051357 -:04117B00F5DFF0EFBD -:04117C000513458191 -:04117D00F0EF02107D -:04117E004581F53F73 -:04117F000220051332 -:04118000F49FF0EFF9 -:041181000513458D80 -:04118200F0EF023058 -:041183004581F3FFB0 -:0411840002800513CD -:04118500F35FF0EF35 -:0411860003000593CA -:041187000710051335 -:04118800F29FF0EFF3 -:0411890040A24785B4 -:04118A000131853E6C -:04118B00114180820C -:04118C0000A101A31A -:04118D000002153710 -:04118E004605C4222C -:04118F000513842E92 -:0411900005930405BA -:04119100C60600315D -:04119200FD9FB0EF1E -:04119300B0EF852212 -:0411940040B2AB1F9B -:0411950001414422AE -:0411960011518082F1 -:041197006461C222AB -:041198006A8457838B -:04119900C026C406A2 -:04119A00F9F7F793D7 -:04119B0083C107C243 -:04119C006AF41423BA -:04119D00000214B781 -:04119E000EF4A02388 -:04119F00B0EF455117 -:0411A00045D1A81F6E -:0411A10003800513AF -:0411A200FA7FF0EFF1 -:0411A300051345D11A -:0411A400F0EF0390D5 -:0411A50045D1F9DF58 -:0411A600F0EF4551D0 -:0411A70045D1F95FD6 -:0411A8000710051314 -:0411A900F8BFF0EFAC -:0411AA00051345D113 -:0411AB00F0EF05E07C -:0411AC0045D1F81F12 -:0411AD0006D0051350 -:0411AE00F77FF0EFE8 -:0411AF00453145D1B0 -:0411B000F6FFF0EF67 -:0411B1003200059370 -:0411B200F0EF450510 -:0411B30045D1F65FCD -:0411B400F0EF4519FA -:0411B5000593F5DFCA -:0411B60045093200B5 -:0411B700F53FF0EF21 -:0411B8006A8457836B -:0411B900E79340A2D6 -:0411BA0014230407EF -:0411BB0044126AF47C -:0411BC000EF4A0236A -:0411BD000131448236 -:0411BE0011218082F9 -:0411BF006461C8227D -:0411C0006A84578363 -:0411C100C626CA066E -:0411C200F9F7F793AF -:0411C30083C107C21B -:0411C4001423C02E02 -:0411C50017376AF47A -:0411C60020230002E0 -:0411C70084AA0EF7F1 -:0411C8003200059359 -:0411C900F0EF4505F9 -:0411CA005783F09FB8 -:0411CB0017376A84E4 -:0411CC0045C1000217 -:0411CD000207E7939B -:0411CE006AF4142388 -:0411CF000EF72023D4 -:0411D00010EF852671 -:0411D10077133160FF -:0411D200EB110FF519 -:0411D300000185B7DB -:0411D4008593464574 -:0411D5008526A58541 -:0411D6002DC010EF29 -:0411D7004781470500 -:0411D80000F486B3E6 -:0411D9000006C50344 -:0411DA00C43A45D1FD -:0411DB00F0EFC23E31 -:0411DC004792EC1F2B -:0411DD000785472219 -:0411DE000FF7F6937E -:0411DF00FEE6E2E363 -:0411E0006A84578343 -:0411E100000214B73D -:0411E200F79345D169 -:0411E30007C2FDF74B -:0411E400142383C18C -:0411E500A0236AF4E5 -:0411E60005130EF4EB -:0411E700F0EF0C0019 -:0411E8005783E91F21 -:0411E90045026A84CD -:0411EA00E79345C181 -:0411EB0014230207C0 -:0411EC00A0236AF4DE -:0411ED0010EF0EF4FD -:0411EE0077932A20A9 -:0411EF00EB910FF57C -:0411F00085B7450278 -:0411F100464500016E -:0411F200A5858593B7 -:0411F300268010EF53 -:0411F4004481478566 -:0411F5004782C23E2D -:0411F600873345D125 -:0411F7004503009715 -:0411F8000485000763 -:0411F900E4BFF0EF70 -:0411FA00F71347920E -:0411FB0063E30FF4A7 -:0411FC005783FEF720 -:0411FD0040D26A84EE -:0411FE00000217379D -:0411FF000407E79367 -:041200006AF4142355 -:041201002023444220 -:0412020044B20EF7ED -:041203008082016183 -:04120400C2221151A0 -:041205000002143798 -:041206004601C026B7 -:04120700059384AA1D -:04120800051302C008 -:04120900C40604040F -:04120A00D75FB0EF0B -:04120B00460585A669 -:04120C0004040513BE -:04120D00DA3FB0EF25 -:04120E0002C0059382 +:04114C008A6FC0EFF7 +:04114D0004A0059362 +:04114E00040405137D +:04114F00C0EF4605A2 +:04115000051385EF0F +:04115100441204043C +:04115200448240A2F1 +:04115300013145859C +:0411540086CFC06F13 +:04115500C42211415E +:041156000002143748 +:04115700C02AC226C2 +:04115800460184AE1A +:0411590004A0059356 +:04115A000404051371 +:04115B00C0EFC60615 +:04115C00478282EF55 +:04115D00051346012F +:04115E0085BE040442 +:04115F0085AFC0EFA9 +:04116000040405136B +:0411610040B2442232 +:04116200449285A688 +:0411630001414605FB +:04116400846FC06F65 +:04116500C222115140 +:0411660014334405F5 +:04116700741300A459 +:0411680085A20FF459 +:04116900C40645195A +:04116A00FADFF0EFC9 +:04116B00441285A203 +:04116C00451D40A23B +:04116D00F06F0131ED +:04116E001151F9FF23 +:04116F00C22205068D +:041170000FF57413F0 +:04117100450585A209 +:04117200F0EFC406D0 +:0411730085A2F8BF9A +:0411740040A244123F +:0411750001314509F6 +:04117600F7DFF06F40 +:0411770045151151B8 +:04117800F0EFC406CA +:041179000713F2BFA7 +:04117A004781086041 +:04117B0004E5136311 +:04117C0009000593CE +:04117D000200051354 +:04117E00F5DFF0EFBA +:04117F00051345818E +:04118000F0EF02107A +:041181004581F53F70 +:04118200022005132F +:04118300F49FF0EFF6 +:041184000513458D7D +:04118500F0EF023055 +:041186004581F3FFAD +:0411870002800513CA +:04118800F35FF0EF32 +:0411890003000593C7 +:04118A000710051332 +:04118B00F29FF0EFF0 +:04118C0040A24785B1 +:04118D000131853E69 +:04118E001141808209 +:04118F0000A101A317 +:04119000000215370D +:041191004605C42229 +:041192000513842E8F +:0411930005930405B7 +:04119400C60600315A +:04119500FCDFB0EFDC +:04119600B0EF85220F +:0411970040B2AA5F59 +:0411980001414422AB +:0411990011518082EE +:04119A006461C222A8 +:04119B006B445783C7 +:04119C00C026C4069F +:04119D00F9F7F793D4 +:04119E0083C107C240 +:04119F006AF41A23B1 +:0411A000000214B77E +:0411A1000EF4A02385 +:0411A200B0EF455114 +:0411A30045D1A75F2C +:0411A40003800513AC +:0411A500FA7FF0EFEE +:0411A600051345D117 +:0411A700F0EF0390D2 +:0411A80045D1F9DF55 +:0411A900F0EF4551CD +:0411AA0045D1F95FD3 +:0411AB000710051311 +:0411AC00F8BFF0EFA9 +:0411AD00051345D110 +:0411AE00F0EF05E079 +:0411AF0045D1F81F0F +:0411B00006D005134D +:0411B100F77FF0EFE5 +:0411B200453145D1AD +:0411B300F6FFF0EF64 +:0411B400320005936D +:0411B500F0EF45050D +:0411B60045D1F65FCA +:0411B700F0EF4519F7 +:0411B8000593F5DFC7 +:0411B90045093200B2 +:0411BA00F53FF0EF1E +:0411BB006B445783A7 +:0411BC00E79340A2D3 +:0411BD001A230407E6 +:0411BE0044126AF479 +:0411BF000EF4A02367 +:0411C0000131448233 +:0411C10011218082F6 +:0411C2006461C8227A +:0411C3006B4457839F +:0411C400C626CA066B +:0411C500F9F7F793AC +:0411C60083C107C218 +:0411C7001A23C02EF9 +:0411C80017376AF477 +:0411C90020230002DD +:0411CA0084AA0EF7EE +:0411CB003200059356 +:0411CC00F0EF4505F6 +:0411CD005783F09FB5 +:0411CE0017376B4420 +:0411CF0045C1000214 +:0411D0000207E79398 +:0411D1006AF41A237F +:0411D2000EF72023D1 +:0411D30010EF85266E +:0411D40077133160FC +:0411D500EB110FF516 +:0411D600000185B7D8 +:0411D7008593464571 +:0411D8008526A6457D +:0411D9002DC010EF26 +:0411DA0047814705FD +:0411DB0000F486B3E3 +:0411DC000006C50341 +:0411DD00C43A45D1FA +:0411DE00F0EFC23E2E +:0411DF004792EC1F28 +:0411E0000785472216 +:0411E1000FF7F6937B +:0411E200FEE6E2E360 +:0411E3006B4457837F +:0411E400000214B73A +:0411E500F79345D166 +:0411E60007C2FDF748 +:0411E7001A2383C183 +:0411E800A0236AF4E2 +:0411E90005130EF4E8 +:0411EA00F0EF0C0016 +:0411EB005783E91F1E +:0411EC0045026B4409 +:0411ED00E79345C17E +:0411EE001A230207B7 +:0411EF00A0236AF4DB +:0411F00010EF0EF4FA +:0411F10077932A20A6 +:0411F200EB910FF579 +:0411F30085B7450275 +:0411F400464500016B +:0411F500A6458593F3 +:0411F600268010EF50 +:0411F7004481478563 +:0411F8004782C23E2A +:0411F900873345D122 +:0411FA004503009712 +:0411FB000485000760 +:0411FC00E4BFF0EF6D +:0411FD00F71347920B +:0411FE0063E30FF4A4 +:0411FF005783FEF71D +:0412000040D26B4429 +:041201000002173799 +:041202000407E79363 +:041203006AF41A234C +:04120400202344421D +:0412050044B20EF7EA +:041206008082016180 +:04120700C22211519D +:041208000002143795 +:041209004601C026B4 +:04120A00059384AA1A +:04120B00051302C005 +:04120C00C40604040C +:04120D00D69FB0EFC9 +:04120E00460585A666 :04120F0004040513BB -:04121000B0EF4605F0 -:041211000513D5BF2D -:04121200441204047A -:04121300448240A22F -:0412140001314585DA -:04121500D69FB06F41 -:04121600C42211419C -:041217000002143786 -:04121800C02AC22600 -:04121900460184AE58 -:04121A0002C0059376 -:04121B0004040513AF -:04121C00B0EFC60663 -:04121D004782D2BF73 -:04121E00051346016D -:04121F0085BE040480 -:04122000D57FB0EFD7 -:0412210004040513A9 -:0412220040B2442270 -:04122300449285A6C6 -:041224000141460539 -:04122500D43FB06F93 -:041226000002153776 -:04122700460111511A -:0412280005134581E4 -:04122900C4060405EE -:04122A00D2FFB0EF50 -:04122B00B0EF4529B2 -:04122C0045E1851FF4 -:04122D00F0EF450594 -:04122E0045E1FA3F5D -:04122F00F0EF45098E -:0412300045E1F9BFDC -:04123100F0EF450D88 -:041232004505F93F36 -:04123300F45FF0EF85 -:04123400152140A29E -:041235000015351358 -:041236008082013180 -:04123700C22211516D -:041238004505842ABA -:04123900F0EFC40608 -:04123A00991DF2BF49 -:04123B008C49040EC8 -:04123C000FF4741324 -:04123D00450585A23C -:04123E00F61FF0EFB8 -:04123F00450985A236 -:04124000F59FF0EF37 -:04124100441285A22C -:04124200450D40A274 -:04124300F06F013116 -:041244001151F4BF91 -:04124500842AC22213 -:04124600C406450590 -:04124700EF5FF0EF76 -:04124800751347894A -:0412490013630D8599 -:04124A00641302F433 -:04124B0085A2001563 -:04124C00F0EF450575 -:04124D0085A2F27F05 -:04124E00F0EF45096F -:04124F0085A2F1FF84 -:0412500040A2441262 -:041251000131450D15 -:04125200F11FF06F29 -:041253008C490416A8 -:041254000FF474130C -:0412550000446413DA -:041256001151BFD99A -:041257001437C22264 -:04125800C0260002AA -:0412590084AA46011C -:04125A0005C0059333 -:04125B00040405136F -:04125C00B0EFC40625 -:04125D00F593C2BF84 -:04125E0046050FF43E -:04125F00040405136B -:04126000C57FB0EFA7 -:0412610005C005932C +:04121000D97FB0EFE3 +:0412110002C005937F +:0412120004040513B8 +:04121300B0EF4605ED +:041214000513D4FFEB +:041215004412040477 +:04121600448240A22C +:0412170001314585D7 +:04121800D5DFB06FFF +:04121900C422114199 +:04121A000002143783 +:04121B00C02AC226FD +:04121C00460184AE55 +:04121D0002C0059373 +:04121E0004040513AC +:04121F00B0EFC60660 +:041220004782D1FF31 +:04122100051346016A +:0412220085BE04047D +:04122300D4BFB0EF95 +:0412240004040513A6 +:0412250040B244226D +:04122600449285A6C3 +:041227000141460536 +:04122800D37FB06F51 +:041229000002153773 +:04122A004601115117 +:04122B0005134581E1 +:04122C00C4060405EB +:04122D00D23FB0EF0D +:04122E00B0EF4529AF +:04122F0045E1845FB2 +:04123000F0EF450591 +:0412310045E1FA3F5A +:04123200F0EF45098B +:0412330045E1F9BFD9 +:04123400F0EF450D85 +:041235004505F93F33 +:04123600F45FF0EF82 +:04123700152140A29B +:041238000015351355 +:04123900808201317D +:04123A00C22211516A +:04123B004505842AB7 +:04123C00F0EFC40605 +:04123D00991DF2BF46 +:04123E008C49040EC5 +:04123F000FF4741321 +:04124000450585A239 +:04124100F61FF0EFB5 +:04124200450985A233 +:04124300F59FF0EF34 +:04124400441285A229 +:04124500450D40A271 +:04124600F06F013113 +:041247001151F4BF8E +:04124800842AC22210 +:04124900C40645058D +:04124A00EF5FF0EF73 +:04124B007513478947 +:04124C0013630D8596 +:04124D00641302F430 +:04124E0085A2001560 +:04124F00F0EF450572 +:0412500085A2F27F02 +:04125100F0EF45096C +:0412520085A2F1FF81 +:0412530040A244125F +:041254000131450D12 +:04125500F11FF06F26 +:041256008C490416A5 +:041257000FF4741309 +:0412580000446413D7 +:041259001151BFD997 +:04125A001437C22261 +:04125B00C0260002A7 +:04125C0084AA460119 +:04125D0005C0059330 +:04125E00040405136C +:04125F00B0EFC40622 +:04126000F593C1FF42 +:0412610046050FF43B :041262000404051368 -:04126300B0EF46059D -:041264000513C0FFAF -:041265004412040427 -:04126600448240A2DC -:041267000131458587 -:04126800C1DFB06FC3 -:04126900C422114149 -:04126A000002143733 -:04126B00C02AC226AD -:04126C00460184AE05 -:04126D0005C0059320 -:04126E00040405135C -:04126F00B0EFC60610 -:041270004782BDFFF5 -:04127100051346011A -:04127200F5930404E8 -:04127300B0EF0FF7D2 -:041274000513C09FFF -:041275004422040407 -:0412760085A640B257 -:041277004605449252 -:04127800B06F014111 -:041279001151BF5FF1 -:04127A000220051336 -:04127B00C222C406C1 -:04127C00F0EFC026A9 -:04127D007413F69F51 -:04127E0045CD0FF556 -:04127F00F0EF4559EE -:041280006489FA7F04 -:04128100710485135C -:04128200EF6FB0EF6B -:04128300455D458DF3 -:04128400F95FF0EF2F -:041285007104851358 -:04128600EE6FB0EF68 -:04128700080465935F -:041288000220051328 -:04128900F81FF0EF6B -:04128A003E8005138A -:04128B00ED2FB0EFA4 -:04128C0007F475935B -:04128D0040A2441225 -:04128E00051344827E -:04128F000131022007 -:04129000F65FF06FA6 -:04129100C222115113 -:0412920005136409D3 -:04129300C406710418 -:04129400EAEFB0EFDE -:04129500455945C5AD -:04129600F4DFF0EFA2 -:0412970071040513C6 -:04129800E9EFB0EFDB -:041299004589455DE1 -:04129A00F3DFF0EF9F -:04129B0071040513C2 -:04129C0040A2441216 -:04129D00B06F0131FC -:04129E001151E88F73 -:04129F00842EC222B5 -:0412A000454985AA8D -:0412A100F0EFC406A0 -:0412A20085A2F1FF31 -:0412A30040A244120F -:0412A4000131454D82 -:0412A500F11FF06FD6 -:0412A600051385AAFD -:0412A700F06F03D011 -:0412A80085AAF07FA4 -:0412A900F06F454558 -:0412AA001151EFFFF0 -:0412AB00C222C40691 -:0412AC00006547830F -:0412AD00456D842ADD -:0412AE0000479593CD -:0412AF00F5938DDD49 -:0412B000F0EF0FF557 -:0412B1004583EE3F44 -:0412B200457100641E -:0412B300ED9FF0EFCC -:0412B400003445833A -:0412B500F0EF4529E8 -:0412B6004583ECFF81 -:0412B7004525004485 -:0412B800EC5FF0EF08 -:0412B9000054458315 -:0412BA00F0EF4521EB -:0412BB004583EBBFBD -:0412BC0045350004B0 -:0412BD00EB1FF0EF44 -:0412BE000014458350 -:0412BF00F0EF4531D6 -:0412C0004583EA7FF9 -:0412C10044120024AF -:0412C200452D40A2D4 -:0412C300F06F013196 -:0412C4001141E97F6C -:0412C500842AC42291 -:0412C600C2264511E6 -:0412C70084AEC032FF -:0412C800F0EFC60677 -:0412C9004602E39F57 -:0412CA000F85759384 -:0412CB000793CE4D6A -:0412CC00EA637FF062 -:0412CD0045110A8736 -:0412CE000015E5938F -:0412CF00E69FF0EFB7 -:0412D00000141513DE -:0412D10001051413EC -:0412D200559380416F -:0412D300F59300444B -:0412D40045050FF5C8 -:0412D500E51FF0EF32 -:0412D6000044159328 -:0412D7000F05F59377 -:0412D800F0EF4509E5 -:0412D9000737E43FB0 -:0412DA000713019C59 -:0412DB005733CC07B2 -:0412DC0056B7029768 -:0412DD0086930225CD -:0412DE0047810FF63F -:0412DF000287073348 -:0412E00002E6F063CF -:0412E100042C26B7FC -:0412E200D7F6869322 -:0412E300F9634785DF -:0412E400F7B700E672 -:0412E5008793080BD8 -:0412E600B7B3FBF7A8 -:0412E700078900E78C -:0412E8000713676120 -:0412E900973E62C703 -:0412EA0000074503B1 -:0412EB000280071363 -:0412EC0002E50533DF -:0412ED00001457137F -:0412EE004533953AB5 -:0412EF00471D028510 -:0412F0000FF575136E -:0412F1000FF57593ED -:0412F20000A773637B -:0412F3004422459DAF -:0412F400449240B22E -:0412F500079A058EC1 -:0412F600F5938DDD02 -:0412F700450D0F850D -:0412F800F06F014151 -:0412F9004511DC3F80 -:0412FA00DBDFF0EF57 -:0412FB001151BFB915 -:0412FC00842AC2225C -:0412FD00C406456975 -:0412FE00D63FF0EFF8 -:0412FF007593478517 -:041300001A630FA5B8 -:04130100E59300F47C -:04130200441200256C -:04130300456940A256 -:04130400F06F013154 -:04130500E593D93F54 -:04130600BFC500A5BA -:0413070000A5558365 -:04130800C22211519B -:04130900842A81A110 -:04130A0004B0051313 -:04130B00F0EFC40635 -:04130C004583D77FBF -:04130D00051300A420 -:04130E00F0EF04A058 -:04130F005583D6BF6D -:04131000051300C4FD -:0413110081A104D0E2 -:04131200D5DFF0EF44 -:0413130000C445834A -:0413140004C00513F9 -:04131500D51FF0EF01 -:0413160000E4558317 -:0413170004F00513C6 -:04131800F0EF81A1D0 -:041319004583D43FF5 -:04131A00051300E4D3 -:04131B00F0EF04E00B -:04131C005583D37FA3 -:04131D000513004470 -:04131E0081A1051094 -:04131F00D29FF0EF7A -:0413200000444583BD -:0413210005000513AB -:04132200D1DFF0EF38 -:04132300006455838A -:041324000530051378 -:04132500F0EF81A1C3 -:041326004583D0FF2C -:041327000513006446 -:04132800F0EF0520BD -:041329005583D03FD9 -:04132A000513008423 -:04132B0081A1055047 -:04132C00CF5FF0EFB0 -:04132D000084458370 -:04132E00054005135E -:04132F00CE9FF0EF6E -:0413300001045583DC -:04133100057005132B -:04133200F0EF81A1B6 -:041333004583CDBF62 -:041334000513010498 -:04133500F0EF056070 -:041336005583CCFF10 -:041337000513012475 -:0413380081A10590FA -:04133900CC1FF0EFE6 -:04133A0001244583C2 -:04133B000580051311 -:04133C00CB5FF0EFA4 -:04133D00014455838F -:04133E0005B00513DE -:04133F00F0EF81A1A9 -:041340004583CA7F98 -:04134100441201440D -:04134200051340A2AD -:04134300013105A0CF -:04134400C95FF06F1E -:04134500C22211515E -:041346000513842ADD -:04134700C40603F0E5 -:04134800C3BFF0EF40 -:041349000F05759384 -:04134A0044128DC1FB -:04134B00051340A2A4 -:04134C00013103F078 -:04134D00C71FF06F57 -:04134E00C222115155 -:04134F004569842A3E -:04135000F0EFC406F0 -:041351001593C19F90 -:0413520044120064DD -:0413530003F5751316 -:041354008DC940A25D -:041355000FF5F59308 -:0413560001314569B3 -:04135700C49FF06FD0 -:0413580065E1113109 -:041359008593461D15 -:04135A00850AA90552 -:04135B0000EFC806D1 -:04135C00F0EF355029 -:04135D004501C75F20 -:04135E00E77FF0EF46 -:04135F0005134585A8 -:04136000F0EF035057 -:04136100655DC23FC5 -:041362005D0505130D -:04136300E91FF0EF9F -:04136400F0EF450160 -:041365004519FA7FAD -:04136600D01FF0EFB5 -:041367000440051326 -:04136800D03FF0EF93 -:041369004505458170 -:04136A00CD3FF0EF94 -:04136B00F0EF850A10 -:04136C0040C2CFDFCD -:04136D008082015128 -:04136E00C422114143 -:04136F004511842A76 -:04137000C02EC606BF -:04137100B97FF0EF61 -:041372000433458279 -:04137300759302B4B8 -:0413740045110075AA -:0413750001F4779375 -:041376008DDD078E74 -:04137700BC9FF0EF38 -:041378004054551375 -:04137900442240B218 -:04137A000FF57513E3 -:04137B00808201412A -:04137C00C222115127 -:04137D004541842A38 -:04137E00F0EFC406C2 -:04137F001593B61FED -:0413800044120034DF -:0413810040A2891DE0 -:04138200F5938DC989 -:0413830045410FF5DC -:04138400F06F0131D4 -:04138500C139B93F72 -:04138600C02611511B -:0413870002600513E8 -:04138800059384AE97 -:04138900C222080074 -:04138A008432C406DF -:04138B00B79FF0EF29 -:04138C0005934785F9 -:04138D0089630094DC -:04138E0047A100F47F -:04138F0005A4059319 -:0413900000F484637E -:04139100018405933B -:0413920040A244121F -:04139300F593448208 -:0413940005130FF539 -:04139500013103100F -:04139600B4DFF06F61 -:041397000513458174 -:04139800BFDD026053 -:04139900C822112134 -:04139A00CA06C62693 -:04139B00C232C02E6C -:04139C004785C43687 -:04139D0084BA842A60 -:04139E0000F50E63E5 -:04139F000D6347A1F2 -:0413A000059304F5B8 -:0413A100F593006759 -:0413A20045150FF5E9 -:0413A300B19FF0EF17 -:0413A400A80945C18E -:0413A5000027059385 -:0413A6000FF5F593B7 -:0413A700F0EF451509 -:0413A8004599B07F34 -:0413A900F0EF451903 -:0413AA0085A2AFFF6A -:0413AB004505862648 -:0413AC00F67FF0EFE9 -:0413AD0045B147C13E -:0413AE0000F40363E1 -:0413AF00051345A13C -:0413B000F0EF022038 -:0413B1004442AE3FC5 -:0413B20045924622F8 -:0413B30040D24502DD -:0413B400016144B2DD -:0413B500C3FFF06F13 -:0413B6000327059371 -:0413B7000FF5F593A6 -:0413B800F0EF4515F8 -:0413B9000593AC3FAD -:0413BA00BF6D020001 -:0413BB00C2221151E8 -:0413BC00C406C0267D -:0413BD00478984AE2A -:0413BE0045818432AF -:0413BF000097E6634A -:0413C000006495939D -:0413C10085E105E2DB -:0413C20000251713D8 -:0413C3000045179337 -:0413C4008FC98FD965 -:0413C500F5938DDD32 -:0413C60045650FF575 -:0413C700A89FF0EFFC -:0413C800F0EF4541BC -:0413C900478DA39F0A -:0413CA000F85759383 -:0413CB0000F41463B3 -:0413CC000055E59350 -:0413CD00F0EF4541B7 -:0413CE004789A6FFA6 -:0413CF0005B00593CD -:0413D0000097F96326 -:0413D10005934791A8 -:0413D200F46305209B -:0413D30005930097E7 -:0413D4004539053062 -:0413D500A51FF0EF71 -:0413D6003E8005133D -:0413D7009A2FB0EFAA -:0413D800F0EF45519C -:0413D900478D9F9FFE -:0413DA00036345C1A3 -:0413DB00458100F454 -:0413DC00F0EF456188 -:0413DD004412A33FD4 -:0413DE00448240A263 -:0413DF00051345812C -:0413E0000131036074 -:0413E100A21FF06FE8 -:0413E200C2221151C1 -:0413E3004551842AC2 -:0413E400F0EFC4065C -:0413E50047119C9F71 -:0413E60000877C639D -:0413E70008857513ED -:0413E800F78505136D -:0413E90000153513A3 -:0413EA00441240A2C7 -:0413EB0080820131CA -:0413EC0077634709D3 -:0413ED0075130087ED -:0413EE0005130905D5 -:0413EF00B7DDF7056A -:0413F0000FF57793EB -:0413F1000017D513F9 -:0413F200BFF98905B1 -:0413F300F9410113A8 -:0413F4004791D2A2A9 -:0413F500D4866461D5 -:0413F600DA3ED0A665 -:0413F7006CC4079328 -:0413F800C683CC36A6 -:0413F900472103275E -:0413FA001733C82AB3 -:0413FB00C68300D7CE -:0413FC006713033739 -:0413FD00DC3A00874F -:0413FE0020000713B1 -:0413FF0000D71733C9 -:041400000347C68355 -:041401006741DE3A27 +:04126300C4BFB0EF65 +:0412640005C0059329 +:041265000404051365 +:04126600B0EF46059A +:041267000513C03F6C +:041268004412040424 +:04126900448240A2D9 +:04126A000131458584 +:04126B00C11FB06F80 +:04126C00C422114146 +:04126D000002143730 +:04126E00C02AC226AA +:04126F00460184AE02 +:0412700005C005931D +:041271000404051359 +:04127200B0EFC6060D +:041273004782BD3FB2 +:041274000513460117 +:04127500F5930404E5 +:04127600B0EF0FF7CF +:041277000513BFDFBD +:041278004422040404 +:0412790085A640B254 +:04127A00460544924F +:04127B00B06F01410E +:04127C001151BE9FAF +:04127D000220051333 +:04127E00C222C406BE +:04127F00F0EFC026A6 +:041280007413F69F4E +:0412810045CD0FF553 +:04128200F0EF4559EB +:041283006489FA7F01 +:041284007104851359 +:04128500EEAFB0EF29 +:04128600455D458DF0 +:04128700F95FF0EF2C +:041288007104851355 +:04128900EDAFB0EF26 +:04128A00080465935C +:04128B000220051325 +:04128C00F81FF0EF68 +:04128D003E80051387 +:04128E00EC6FB0EF62 +:04128F0007F4759358 +:0412900040A2441222 +:04129100051344827B +:041292000131022004 +:04129300F65FF06FA3 +:04129400C222115110 +:0412950005136409D0 +:04129600C406710415 +:04129700EA2FB0EF9B +:04129800455945C5AA +:04129900F4DFF0EF9F +:04129A0071040513C3 +:04129B00E92FB0EF98 +:04129C004589455DDE +:04129D00F3DFF0EF9C +:04129E0071040513BF +:04129F0040A2441213 +:0412A000B06F0131F9 +:0412A1001151E7CF31 +:0412A200842EC222B2 +:0412A300454985AA8A +:0412A400F0EFC4069D +:0412A50085A2F1FF2E +:0412A60040A244120C +:0412A7000131454D7F +:0412A800F11FF06FD3 +:0412A900051385AAFA +:0412AA00F06F03D00E +:0412AB0085AAF07FA1 +:0412AC00F06F454555 +:0412AD001151EFFFED +:0412AE00C222C4068E +:0412AF00006547830C +:0412B000456D842ADA +:0412B10000479593CA +:0412B200F5938DDD46 +:0412B300F0EF0FF554 +:0412B4004583EE3F41 +:0412B500457100641B +:0412B600ED9FF0EFC9 +:0412B7000034458337 +:0412B800F0EF4529E5 +:0412B9004583ECFF7E +:0412BA004525004482 +:0412BB00EC5FF0EF05 +:0412BC000054458312 +:0412BD00F0EF4521E8 +:0412BE004583EBBFBA +:0412BF0045350004AD +:0412C000EB1FF0EF41 +:0412C100001445834D +:0412C200F0EF4531D3 +:0412C3004583EA7FF6 +:0412C40044120024AC +:0412C500452D40A2D1 +:0412C600F06F013193 +:0412C7001141E97F69 +:0412C800842AC4228E +:0412C900C2264511E3 +:0412CA0084AEC032FC +:0412CB00F0EFC60674 +:0412CC004602E39F54 +:0412CD000F85759381 +:0412CE000793CE4D67 +:0412CF00EA637FF05F +:0412D00045110A8733 +:0412D1000015E5938C +:0412D200E69FF0EFB4 +:0412D30000141513DB +:0412D40001051413E9 +:0412D500559380416C +:0412D600F593004448 +:0412D70045050FF5C5 +:0412D800E51FF0EF2F +:0412D9000044159325 +:0412DA000F05F59374 +:0412DB00F0EF4509E2 +:0412DC000737E43FAD +:0412DD000713019C56 +:0412DE005733CC07AF +:0412DF0056B7029765 +:0412E00086930225CA +:0412E10047810FF63C +:0412E2000287073345 +:0412E30002E6F063CC +:0412E400042C26B7F9 +:0412E500D7F686931F +:0412E600F9634785DC +:0412E700F7B700E66F +:0412E8008793080BD5 +:0412E900B7B3FBF7A5 +:0412EA00078900E789 +:0412EB00071367611D +:0412EC00973E63873F +:0412ED0000074503AE +:0412EE000280071360 +:0412EF0002E50533DC +:0412F000001457137C +:0412F1004533953AB2 +:0412F200471D02850D +:0412F3000FF575136B +:0412F4000FF57593EA +:0412F50000A7736378 +:0412F6004422459DAC +:0412F700449240B22B +:0412F800079A058EBE +:0412F900F5938DDDFF +:0412FA00450D0F850A +:0412FB00F06F01414E +:0412FC004511DC3F7D +:0412FD00DBDFF0EF54 +:0412FE001151BFB912 +:0412FF00842AC22259 +:04130000C406456971 +:04130100D63FF0EFF4 +:041302007593478513 +:041303001A630FA5B5 +:04130400E59300F479 +:041305004412002569 +:04130600456940A253 +:04130700F06F013151 +:04130800E593D93F51 +:04130900BFC500A5B7 +:04130A0000A5558362 +:04130B00C222115198 +:04130C00842A81A10D +:04130D0004B0051310 +:04130E00F0EFC40632 +:04130F004583D77FBC +:04131000051300A41D +:04131100F0EF04A055 +:041312005583D6BF6A +:04131300051300C4FA +:0413140081A104D0DF +:04131500D5DFF0EF41 +:0413160000C4458347 +:0413170004C00513F6 +:04131800D51FF0EFFE +:0413190000E4558314 +:04131A0004F00513C3 +:04131B00F0EF81A1CD +:04131C004583D43FF2 +:04131D00051300E4D0 +:04131E00F0EF04E008 +:04131F005583D37FA0 +:04132000051300446D +:0413210081A1051091 +:04132200D29FF0EF77 +:0413230000444583BA +:0413240005000513A8 +:04132500D1DFF0EF35 +:041326000064558387 +:041327000530051375 +:04132800F0EF81A1C0 +:041329004583D0FF29 +:04132A000513006443 +:04132B00F0EF0520BA +:04132C005583D03FD6 +:04132D000513008420 +:04132E0081A1055044 +:04132F00CF5FF0EFAD +:04133000008445836D +:04133100054005135B +:04133200CE9FF0EF6B +:0413330001045583D9 +:041334000570051328 +:04133500F0EF81A1B3 +:041336004583CDBF5F +:041337000513010495 +:04133800F0EF05606D +:041339005583CCFF0D +:04133A000513012472 +:04133B0081A10590F7 +:04133C00CC1FF0EFE3 +:04133D0001244583BF +:04133E00058005130E +:04133F00CB5FF0EFA1 +:04134000014455838C +:0413410005B00513DB +:04134200F0EF81A1A6 +:041343004583CA7F95 +:04134400441201440A +:04134500051340A2AA +:04134600013105A0CC +:04134700C95FF06F1B +:04134800C22211515B +:041349000513842ADA +:04134A00C40603F0E2 +:04134B00C3BFF0EF3D +:04134C000F05759381 +:04134D0044128DC1F8 +:04134E00051340A2A1 +:04134F00013103F075 +:04135000C71FF06F54 +:04135100C222115152 +:041352004569842A3B +:04135300F0EFC406ED +:041354001593C19F8D +:0413550044120064DA +:0413560003F5751313 +:041357008DC940A25A +:041358000FF5F59305 +:0413590001314569B0 +:04135A00C49FF06FCD +:04135B0065E1113106 +:04135C008593461D12 +:04135D00850AA9C58F +:04135E0000EFC806CE +:04135F00F0EF355026 +:041360004501C75F1D +:04136100E77FF0EF43 +:0413620005134585A5 +:04136300F0EF035054 +:04136400655DC23FC2 +:041365005DC505134A +:04136600E91FF0EF9C +:04136700F0EF45015D +:041368004519FA7FAA +:04136900D01FF0EFB2 +:04136A000440051323 +:04136B00D03FF0EF90 +:04136C00450545816D +:04136D00CD3FF0EF91 +:04136E00F0EF850A0D +:04136F0040C2CFDFCA +:041370008082015125 +:04137100C422114140 +:041372004511842A73 +:04137300C02EC606BC +:04137400B97FF0EF5E +:041375000433458276 +:04137600759302B4B5 +:0413770045110075A7 +:0413780001F4779372 +:041379008DDD078E71 +:04137A00BC9FF0EF35 +:04137B004054551372 +:04137C00442240B215 +:04137D000FF57513E0 +:04137E008082014127 +:04137F00C222115124 +:041380004541842A35 +:04138100F0EFC406BF +:041382001593B61FEA +:0413830044120034DC +:0413840040A2891DDD +:04138500F5938DC986 +:0413860045410FF5D9 +:04138700F06F0131D1 +:04138800C139B93F6F +:04138900C026115118 +:04138A0002600513E5 +:04138B00059384AE94 +:04138C00C222080071 +:04138D008432C406DC +:04138E00B79FF0EF26 +:04138F0005934785F6 +:0413900089630094D9 +:0413910047A100F47C +:0413920005A4059316 +:0413930000F484637B +:041394000184059338 +:0413950040A244121C +:04139600F593448205 +:0413970005130FF536 +:04139800013103100C +:04139900B4DFF06F5E +:04139A000513458171 +:04139B00BFDD026050 +:04139C00C822112131 +:04139D00CA06C62690 +:04139E00C232C02E69 +:04139F004785C43684 +:0413A00084BA842A5D +:0413A10000F50E63E2 +:0413A2000D6347A1EF +:0413A300059304F5B5 +:0413A400F593006756 +:0413A50045150FF5E6 +:0413A600B19FF0EF14 +:0413A700A80945C18B +:0413A8000027059382 +:0413A9000FF5F593B4 +:0413AA00F0EF451506 +:0413AB004599B07F31 +:0413AC00F0EF451900 +:0413AD0085A2AFFF67 +:0413AE004505862645 +:0413AF00F67FF0EFE6 +:0413B00045B147C13B +:0413B10000F40363DE +:0413B200051345A139 +:0413B300F0EF022035 +:0413B4004442AE3FC2 +:0413B50045924622F5 +:0413B60040D24502DA +:0413B700016144B2DA +:0413B800C3FFF06F10 +:0413B900032705936E +:0413BA000FF5F593A3 +:0413BB00F0EF4515F5 +:0413BC000593AC3FAA +:0413BD00BF6D0200FE +:0413BE00C2221151E5 +:0413BF00C406C0267A +:0413C000478984AE27 +:0413C10045818432AC +:0413C2000097E66347 +:0413C300006495939A +:0413C40085E105E2D8 +:0413C50000251713D5 +:0413C6000045179334 +:0413C7008FC98FD962 +:0413C800F5938DDD2F +:0413C90045650FF572 +:0413CA00A89FF0EFF9 +:0413CB00F0EF4541B9 +:0413CC00478DA39F07 +:0413CD000F85759380 +:0413CE0000F41463B0 +:0413CF000055E5934D +:0413D000F0EF4541B4 +:0413D1004789A6FFA3 +:0413D20005B00593CA +:0413D3000097F96323 +:0413D40005934791A5 +:0413D500F463052098 +:0413D60005930097E4 +:0413D700453905305F +:0413D800A51FF0EF6E +:0413D9003E8005133A +:0413DA00996FB0EF68 +:0413DB00F0EF455199 +:0413DC00478D9F9FFB +:0413DD00036345C1A0 +:0413DE00458100F451 +:0413DF00F0EF456185 +:0413E0004412A33FD1 +:0413E100448240A260 +:0413E2000513458129 +:0413E3000131036071 +:0413E400A21FF06FE5 +:0413E500C2221151BE +:0413E6004551842ABF +:0413E700F0EFC40659 +:0413E80047119C9F6E +:0413E90000877C639A +:0413EA0008857513EA +:0413EB00F78505136A +:0413EC0000153513A0 +:0413ED00441240A2C4 +:0413EE0080820131C7 +:0413EF0077634709D0 +:0413F00075130087EA +:0413F10005130905D2 +:0413F200B7DDF70567 +:0413F3000FF57793E8 +:0413F4000017D513F6 +:0413F500BFF98905AE +:0413F600F9410113A5 +:0413F7004791D2A2A6 +:0413F800D4866461D2 +:0413F900DA3ED0A662 +:0413FA006D84079364 +:0413FB00C683CC36A3 +:0413FC00472103275B +:0413FD001733C82AB0 +:0413FE00C68300D7CB +:0413FF006713033736 +:04140000DC3A00874B +:0414010020000713AD :0414020000D71733C5 -:041403000357C68342 -:041404000737C0BA2C -:041405001733002079 -:04140600C2BA00D78F -:041407000331071393 -:041408006761C4BA9A -:04140900703707131E -:04140A006761C6BA96 -:04140B00704707130C -:04140C006761C8BA92 -:04140D0070570713FA -:04140E006761CABA8E -:04140F0070670713E8 -:041410006761CCBA8A -:0414110070770713D6 -:04141200C703CEBA84 -:04141300C783043750 -:0414140066E1044742 -:04141500C43EC03AD7 -:041416007FC6879373 -:0414170067DDC23E8D -:04141800600787934F -:0414190009A3CA2E2B -:04141A004701020183 -:04141B006CC4041386 -:04141C007FC686936E -:04141D00D03E42F18A -:04141E000187179398 -:04141F00C63E87E15D -:0414200002500793DC -:0414210000F7156358 -:04142200C63E57FD6E -:0414230007B3A691D4 -:041424004615025710 -:041425004BDC97B64F -:041426000187D31354 -:04142700749383F542 -:04142800666301F303 -:04142900558206F6EC -:04142A0000279613EE -:04142B00962ECE32F9 -:04142C0086024210E2 -:04142D00025703B3AC -:04142E001000061391 -:04142F006621DE3222 -:041430000613C0B22D -:04143100C2B2200023 -:041432001C100593F2 -:041433000076863386 -:0414340082154A10C3 -:041435007FF67613B5 -:0414360006B61B6378 -:0414370067E1D43E57 -:041438007FC7879350 -:0414390077B793BE30 -:04143A008593000195 -:04143B00851E618722 -:04143C00D63A461D39 -:04143D0000EFD21ECC -:04143E0066E1113022 -:04143F0057A25392CB -:041440008693573206 -:0414410042F17FC62F -:041442004622E90154 -:041443004792E229C1 -:0414440007F10705A0 -:04144500B78DC23E5F -:0414460077B7D23E64 -:041447008593000188 -:04144800461D6207D4 -:04144900D43A851EEE -:04144A000E1000EF91 -:04144B00579266E16D -:04144C00869357220A -:04144D0042F17FC623 -:04144E0045A2E919B1 -:04144F009863460553 -:04145000B7F100C52B -:04145100200006135E -:041452006641DE32DF -:041453004662C0B27B -:0414540000C4F333AA -:04145500FA030DE3A6 -:041456004572461283 -:0414570010904E0C97 -:0414580000A604B333 -:04145900FE84A60364 -:04145A0046031088AD -:04145B00060A000677 -:04145C002603962AA3 -:04145D008E6DFD464D -:04145E004552DA59C0 -:04145F00FFF5C5933D -:0414600096E3898501 -:0414610005B3F8A532 -:0414620045420257A6 -:04146300498C95B665 -:04146400F5938195E6 -:0414650005F97FF511 -:04146600F6A5EBE319 -:04146700092345858B -:04146800172300B492 -:0414690008A30004D0 -:04146A000A2300044D -:04146B00CC1000049D -:04146C000763658924 -:04146D00E46326B658 -:04146E00059314C509 -:04146F000B63080003 -:04147000E26322B65B -:0414710045C10EC59E -:0414720022B601633A -:041473000AC5EF6354 -:041474000663459135 -:0414750045A11CB6BB -:04147600F2B61BE3CC -:0414770006234605FD -:04147800460300C463 -:041479001F630504E4 -:04147A0046711E0693 -:04147B0002C706336B -:04147C0055839636C8 -:04147D00061300E66C -:04147E0065635770DB -:04147F0096131EB6EC -:04148000516301D7DC -:04148100460D1EC036 -:0414820000C406A3F9 -:0414830009234609EA -:04148400861300C407 -:041485008A1500675D -:041486004615CE1128 -:041487000AC79F638E -:04148800073347F1EE -:04148900079302F7CC -:04148A0096BA4AF0D4 -:04148B0000E6D7039D -:04148C000AE7E56323 -:04148D000464478329 -:04148E0006A3CFD111 -:04148F004789000485 -:041490000633AA7DF8 -:041491000593025766 -:04149200963620D09A -:0414930082154A1064 -:041494007FF6761356 -:04149500EEB61DE3AF -:04149600FFF7061343 -:0414970002560633C0 -:041498009636458DB2 -:0414990082754A50BE -:04149A0000B61A631B -:04149B00E60146021E -:04149C000EF4F493C3 -:04149D004609BDE956 -:04149E00B5D14582FD -:04149F00E60146021A -:0414A0000FB4F493FE -:0414A1004605B5E95E -:0414A2000793BFC528 -:0414A30006630200DA -:0414A400079300F6B4 -:0414A5001CE3040040 -:0414A6000793E6F6CC -:0414A70016233010C8 -:0414A800479100F474 -:0414A9000593AAA954 -:0414AA000363400098 -:0414AB00EB6316B623 -:0414AC00059302C5DD -:0414AD0007631000C1 -:0414AE00059314B6D8 -:0414AF0018E320001E -:0414B0004709E4B64E -:0414B10000E416231A -:0414B2009863470DE7 -:0414B300478300E784 -:0414B400F7B5046420 -:0414B500072347853D -:0414B600478300F474 -:0414B70008A305740D -:0414B800A0FD00F49F -:0414B900800607930F -:0414BA001207896329 -:0414BB0010E367854E -:0414BC000793E2F6BA -:0414BD0016232020B2 -:0414BE00478D00F462 -:0414BF0005B7A209C2 -:0414C0000A630008B3 -:0414C100E36312B619 -:0414C20065C104C537 -:0414C30012B60063FA -:0414C40002C5E36317 -:0414C50008636791C0 -:0414C60067A110F614 -:0414C700DEF619E351 -:0414C8002020079346 -:0414C90000F41623F2 -:0414CA000923479D0E -:0414CB0047B500F42D -:0414CC0000F40A23FB -:0414CD0007B7B75D49 -:0414CE000B630002AA -:0414CF0007B70EF657 -:0414D00016E300041B -:0414D1000793DCF6AB -:0414D200B77520309A -:0414D300004007B717 -:0414D4000EF60963A4 -:0414D50002C7E06307 -:0414D600001007B744 -:0414D7000EF60063AA -:0414D800002007B732 -:0414D900DAF615E347 -:0414DA0016234791FD -:0414DB00079300F47F -:0414DC00BF7D078049 -:0414DD00010007B74C -:0414DE000CF60F6396 -:0414DF00020007B749 -:0414E0000EF604639D -:0414E100008007B7C9 -:0414E200D8F613E342 -:0414E300204007930B -:0414E40000F41623D7 -:0414E50009234791FF -:0414E60047F900F4CE -:0414E7004671BF513A -:0414E80002C70733FD -:0414E90000041623C2 -:0414EA0096BA8B958E -:0414EB0000A6D7037D -:0414EC008B7D468529 -:0414ED0000E408A36C -:0414EE0000D79B6325 -:0414EF0004644683C8 -:0414F0004789CE99C1 -:0414F10000F40923D7 -:0414F20007A3478580 -:0414F300D71100F419 -:0414F400541650A694 -:0414F50054864532A2 -:0414F60006C1011317 -:0414F70007238082C5 -:0414F800B7DD00F468 -:0414F900000406A342 -:0414FA000793B52D72 -:0414FB001623201084 -:0414FC00B5B100F492 -:0414FD003010079311 -:0414FE0000F41623BD -:0414FF0009234799DD -:04150000BDE100F455 -:04150100201007931C -:0415020000F41623B8 -:04150300BFC5479584 -:041504001020079319 +:041403000347C68352 +:041404006741DE3A24 +:0414050000D71733C2 +:041406000357C6833F +:041407000737C0BA29 +:041408001733002076 +:04140900C2BA00D78C +:04140A000331071390 +:04140B006761C4BA97 +:04140C0070F707135B +:04140D006761C6BA93 +:04140E007107071348 +:04140F006761C8BA8F +:041410007117071336 +:041411006761CABA8B +:041412007127071324 +:041413006761CCBA87 +:041414007137071312 +:04141500C703CEBA81 +:04141600C78304374D +:0414170066E504473B +:04141800C43EC03AD4 +:0414190080868793AF +:04141A0067DDC23E8A +:04141B0060C787938C +:04141C0009A3CA2E28 +:04141D004701020180 +:04141E006D840413C2 +:04141F0080868693AA +:04142000D03E42F187 +:041421000187179395 +:04142200C63E87E15A +:0414230002500793D9 +:0414240000F7156355 +:04142500C63E57FD6B +:0414260007B3A691D1 +:04142700461502570D +:041428004BDC97B64C +:041429000187D31351 +:04142A00749383F53F +:04142B00666301F300 +:04142C00558206F6E9 +:04142D0000279613EB +:04142E00962ECE32F6 +:04142F0086024210DF +:04143000025703B3A9 +:04143100100006138E +:041432006621DE321F +:041433000613C0B22A +:04143400C2B2200020 +:041435001C100593EF +:041436000076863383 +:0414370082154A10C0 +:041438007FF67613B2 +:0414390006B61B6375 +:04143A0067E5D43E50 +:04143B00808787938C +:04143C0077B793BE2D +:04143D008593000192 +:04143E00851E62475E +:04143F00D63A461D36 +:0414400000EFD21EC9 +:0414410066E511301B +:0414420057A25392C8 +:041443008693573203 +:0414440042F180866B +:041445004622E90151 +:041446004792E229BE +:0414470007F107059D +:04144800B78DC23E5C +:0414490077B7D23E61 +:04144A008593000185 +:04144B00461D62C711 +:04144C00D43A851EEB +:04144D000E1000EF8E +:04144E00579266E566 +:04144F008693572207 +:0414500042F180865F +:0414510045A2E919AE +:041452009863460550 +:04145300B7F100C528 +:04145400200006135B +:041455006641DE32DC +:041456004662C0B278 +:0414570000C4F333A7 +:04145800FA030DE3A3 +:041459004572461280 +:04145A0010904E0C94 +:04145B0000A604B330 +:04145C00FE84A60361 +:04145D0046031088AA +:04145E00060A000674 +:04145F002603962AA0 +:041460008E6DFD464A +:041461004552DA59BD +:04146200FFF5C5933A +:0414630096E38985FE +:0414640005B3F8A52F +:0414650045420257A3 +:04146600498C95B662 +:04146700F5938195E3 +:0414680005F97FF50E +:04146900F6A5EBE316 +:04146A000923458588 +:04146B00172300B48F +:04146C0008A30004CD +:04146D000A2300044A +:04146E00CC1000049A +:04146F000763658921 +:04147000E46326B655 +:04147100059314C506 +:041472000B63080000 +:04147300E26322B658 +:0414740045C10EC59B +:0414750022B6016337 +:041476000AC5EF6351 +:041477000663459132 +:0414780045A11CB6B8 +:04147900F2B61BE3C9 +:04147A0006234605FA +:04147B00460300C460 +:04147C001F630504E1 +:04147D0046711E0690 +:04147E0002C7063368 +:04147F0055839636C5 +:04148000061300E669 +:0414810065635770D8 +:0414820096131EB6E9 +:04148300516301D7D9 +:04148400460D1EC033 +:0414850000C406A3F6 +:0414860009234609E7 +:04148700861300C404 +:041488008A1500675A +:041489004615CE1125 +:04148A000AC79F638B +:04148B00073347F1EB +:04148C00079302F7C9 +:04148D0096BA4AF0D1 +:04148E0000E6D7039A +:04148F000AE7E56320 +:041490000464478326 +:0414910006A3CFD10E +:041492004789000482 +:041493000633AA7DF5 +:041494000593025763 +:04149500963620D097 +:0414960082154A1061 +:041497007FF6761353 +:04149800EEB61DE3AC +:04149900FFF7061340 +:04149A0002560633BD +:04149B009636458DAF +:04149C0082754A50BB +:04149D0000B61A6318 +:04149E00E60146021B +:04149F000EF4F493C0 +:0414A0004609BDE953 +:0414A100B5D14582FA +:0414A200E601460217 +:0414A3000FB4F493FB +:0414A4004605B5E95B +:0414A5000793BFC525 +:0414A60006630200D7 +:0414A700079300F6B1 +:0414A8001CE304003D +:0414A9000793E6F6C9 +:0414AA0016233010C5 +:0414AB00479100F471 +:0414AC000593AAA951 +:0414AD000363400095 +:0414AE00EB6316B620 +:0414AF00059302C5DA +:0414B00007631000BE +:0414B100059314B6D5 +:0414B20018E320001B +:0414B3004709E4B64B +:0414B40000E4162317 +:0414B5009863470DE4 +:0414B600478300E781 +:0414B700F7B504641D +:0414B800072347853A +:0414B900478300F471 +:0414BA0008A305740A +:0414BB00A0FD00F49C +:0414BC00800607930C +:0414BD001207896326 +:0414BE0010E367854B +:0414BF000793E2F6B7 +:0414C00016232020AF +:0414C100478D00F45F +:0414C20005B7A209BF +:0414C3000A630008B0 +:0414C400E36312B616 +:0414C50065C104C534 +:0414C60012B60063F7 +:0414C70002C5E36314 +:0414C80008636791BD +:0414C90067A110F611 +:0414CA00DEF619E34E +:0414CB002020079343 +:0414CC0000F41623EF +:0414CD000923479D0B +:0414CE0047B500F42A +:0414CF0000F40A23F8 +:0414D00007B7B75D46 +:0414D1000B630002A7 +:0414D20007B70EF654 +:0414D30016E3000418 +:0414D4000793DCF6A8 +:0414D500B775203097 +:0414D600004007B714 +:0414D7000EF60963A1 +:0414D80002C7E06304 +:0414D900001007B741 +:0414DA000EF60063A7 +:0414DB00002007B72F +:0414DC00DAF615E344 +:0414DD0016234791FA +:0414DE00079300F47C +:0414DF00BF7D078046 +:0414E000010007B749 +:0414E1000CF60F6393 +:0414E200020007B746 +:0414E3000EF604639A +:0414E400008007B7C6 +:0414E500D8F613E33F +:0414E6002040079308 +:0414E70000F41623D4 +:0414E80009234791FC +:0414E90047F900F4CB +:0414EA004671BF5137 +:0414EB0002C70733FA +:0414EC0000041623BF +:0414ED0096BA8B958B +:0414EE0000A6D7037A +:0414EF008B7D468526 +:0414F00000E408A369 +:0414F10000D79B6322 +:0414F20004644683C5 +:0414F3004789CE99BE +:0414F40000F40923D4 +:0414F50007A347857D +:0414F600D71100F416 +:0414F700541650A691 +:0414F800548645329F +:0414F90006C1011314 +:0414FA0007238082C2 +:0414FB00B7DD00F465 +:0414FC00000406A33F +:0414FD000793B52D6F +:0414FE001623201081 +:0414FF00B5B100F48F +:04150000301007930D +:0415010000F41623B9 +:0415020009234799D9 +:04150300BDE100F452 +:041504002010079319 :0415050000F41623B5 -:041506000793B5C9C9 -:04150700BFC1202020 -:041508002020079305 -:041509000793BDADDA -:04150A00BFF92020E5 -:04150B00BD59470D72 -:04150C0020300793F1 -:04150D000793BF6D14 -:04150E00B59520303F +:04150600BFC5479581 +:041507001020079316 +:0415080000F41623B2 +:041509000793B5C9C6 +:04150A00BFC120201D +:04150B002020079302 +:04150C000793BDADD7 +:04150D00BFF92020E2 +:04150E00BD59470D6F :04150F0020300793EE -:041510000793B7E1A5 -:04151100162320403D -:04151200478D00F40D -:0415130000F40923B4 -:0415140002800793B7 -:041515000793BDF18A -:041516001623204038 -:04151700479500F400 -:0415180000F40923AF -:04151900B5E947E108 -:04151A0020400793D3 -:04151B0000F416239F -:04151C0009234799BF -:04151D0047D100F4BE -:04151E001111BD6585 -:04151F000185179398 -:04152000C826CA22ED -:0415210087E1CC068C -:0415220084AE842AE5 -:041523000007DD637D -:0415240005134581E5 -:0415250037D507703F -:0415260000A101A37C -:04152700EE634785A3 -:04152800741306A78B -:041529002E9507F400 -:04152A0045014591A1 -:04152B002E81268166 -:04152C000184D793CC -:04152D0000F102A324 -:04152E000104D7934A -:04152F0000F10323A1 -:041530000084D793C9 -:0415310000F103A31F -:04153200008102230F -:0415330000910423FC -:041534000400071395 -:0415350009500793BF -:0415360000E4096361 -:041537000480071312 -:04153800146347856C -:04153900079300E430 -:04153A004599087057 -:04153B0004A30048BD -:04153C0024ED00F1A9 -:04153D002EB1451571 -:04153E004585842A31 -:04153F00003105135F -:04154000078324F504 -:04154100D66300313C -:041542002E8D0007E3 -:0415430006E34785EF -:04154400E011FEF5BF -:0415450045032641F3 -:0415460040E200314E -:0415470044C2445204 -:04154800808201712B -:04154900FD8101130C -:04154A004581C02AED -:04154B0004900513F0 -:04154C00D022D206D1 -:04154D00F0EFCE26C7 -:04154E00C901F45F7C -:04154F005092450170 -:0415500044F254020B -:0415510002810113FF -:04155200842A8082E5 -:041553002611451503 -:04155400458584AA9B -:041555000071051309 -:0415560047032C51CA -:041557000793007185 -:0415580016630FF017 -:041559002E1900F750 -:04155A0004E34785DA -:04155B00E091FEF528 -:04155C004703261506 -:04155D00079300717F -:04155E0011E30FE0A6 -:04155F0045C9FCF787 -:0415600024AD00288E -:04156100C783478273 -:04156200F713004734 -:04156300CF15002779 -:0415640000E145035A -:0415650000F14783C7 -:041566000121470315 -:041567000522890DC3 -:0415680047838D5DCB -:04156900050A01016D -:04156A0000D1440365 -:04156B008D5D839976 -:04156C00011147839F -:04156D00883D831D15 -:04156E008B990786C8 -:04156F00943E8FD93E -:0415700014650505F4 -:0415710000851533A9 -:041572008B91BF9DFD -:041573004503CB89D8 -:0415740047830101A7 -:041575000522011139 -:0415760047C58D5D7B -:041577004781B7CD24 -:04157800BFF1450179 -:04157900FDC101139C -:04157A00CC2665D93D -:04157B0084AA4629CF -:04157C004F058593FF -:04157D00D00600682C -:04157E0024E1CE2274 -:04157F00C03E478D96 -:041580002C2122C137 -:0415810045A924A9AB -:041582002AC900680A -:04158300A0234581DB -:041584000513000447 -:04158500F0EF04007F -:041586004785E65F50 -:041587001B63842A34 -:0415880005930EF5C4 -:0415890005131AA08C -:04158A00F0EF0480FA -:04158B00C22AE51F6C -:04158C000885176354 -:04158D00002845915C -:04158E0047032A5590 -:04158F00479200A1DE -:041590000CF71A63D7 -:0415910000B147035B -:041592000AA0079311 -:041593000CF71463DA -:041594003E8005137D -:04159500241D2AFDEA -:041596001963478509 -:0415970005B700F59F -:0415980005134000F7 -:04159900F0EF0E90D1 -:04159A00F575E15FA3 -:04159B0047852C0153 -:04159C0002F50663EB -:04159D002C394401A0 -:04159E0017FD47826C -:04159F000FF7F793B8 -:0415A000CBD9C03EA5 -:0415A1004785DC3569 -:0415A200008482231C -:0415A3008526C09C3D -:0415A400E95FF0EF1C -:0415A500C488157D64 -:0415A600A0412A69CD -:0415A7000513458162 -:0415A800F0EF07A0B9 -:0415A900F579DD9F54 -:0415AA00002845913F -:0415AB0047832281CF -:0415AC004431008145 -:0415AD000407F793A5 -:0415AE004411FFDD08 -:0415AF004581BF6D46 -:0415B0000E90051381 -:0415B100DB7FF0EFFD -:0415B2000410079387 -:0415B30000A46563C8 -:0415B400079344094C -:0415B50005130E907C -:0415B600C23E0FA082 -:0415B7002A792A9DC6 -:0415B80017634785E9 -:0415B900451200F5E2 -:0415BA00F0EF458188 -:0415BB00F965D91FD6 -:0415BC00E1112271A6 -:0415BD002A79440142 -:0415BE00051345814B -:0415BF00F0EF07B092 -:0415C000C111D7DF9F -:0415C1000593440149 -:0415C20005132000ED -:0415C300F0EF050040 -:0415C400D13DD6DF60 -:0415C500B78D440199 -:0415C60020CDF43D03 -:0415C70000143513C4 -:0415C8004472508297 -:0415C900011344E2E4 -:0415CA0080820241D8 -:0415CB001121451C89 -:0415CC00CA06C82261 -:0415CD00C22EC6263E -:0415CE00440DC03ACE -:0415CF0002C7E1630B -:0415D0004783CF1965 -:0415D10084B6004597 -:0415D200E3918BA175 -:0415D30085B20626B1 -:0415D40005100513E6 -:0415D500D27FF0EFE2 -:0415D6004409C909F2 -:0415D7008522204504 -:0415D800444240D277 -:0415D900016144B2B6 -:0415DA0005138082F3 -:0415DB0020D50640D1 -:0415DC000513458529 -:0415DD00289D00B194 -:0415DE0000B147030E -:0415DF000FF007936F -:0415E00000F7166397 -:0415E100478528E52D -:0415E200FEF504E32B -:0415E3004703222177 -:0415E400079300B1B8 -:0415E50012E30FE01E -:0415E6004782FCF745 -:0415E70020200413A9 -:0415E8008C1D8C05C5 -:0415E90080410442F7 -:0415EA0085A6C4818D -:0415EB00283D450151 -:0415EC0045124582DD -:0415ED0085A2282586 -:0415EE00280D45017E -:0415EF00BF7944017B -:0415F0000006263794 -:0415F100019C05B79D -:0415F20000021537A7 -:0415F300A80606132D -:0415F400CC0585930A -:0415F50002050513D3 -:0415F600D9BFA06F4A -:0415F70085AA862E0D -:0415F80000021537A1 -:0415F90002050513CF -:0415FA00E39FA06F5C -:0415FB0085AA862E09 -:0415FC00000215379D -:0415FD0002050513CB -:0415FE00DFDFA06F1C -:0415FF00676180821E -:041600006A8757831B -:04160100F7F7F7936D -:0416020083C107C2D7 -:041603006AF714234B -:041604000002173792 -:041605000EF7202399 -:041606006761808216 -:041607006A87578314 -:041608000807E79355 -:041609006AF7142345 -:04160A00000217378C -:04160B000EF7202393 -:04160C00363780826B -:04160D0005B70029F4 -:04160E001537019CEF -:04160F0006130002BC -:0416100085932E068A -:041611000513CC05EC -:04161200A06F0205BE -:04161300F06FD29F03 -:041614006765F73FD0 -:04161500C0872783E0 -:04161600679DE38564 -:041617009787879397 -:0416180002F505339F -:04161900C4061151A1 -:04161A00C0A724231E -:04161B008B7FA0EF32 -:04161C00450140A2A2 -:04161D008082013195 -:04161E00808245057C -:04161F00C40611519B -:041620008C7FA0EF2C -:04162100A78367E54F -:0416220040A2C0879B -:0416230000F5353366 -:04162400808201318E -:04162500A42367E5AE -:041626008082C007F7 -:041627001463470100 -:04162800450100E692 -:0416290007B3808201 -:04162A00070500E5CB -:04162B0000E586B39D -:04162C000007C78369 -:04162D00FFF6C6837B -:04162E00FED783E37D -:04162F0040D78533E8 -:04163000C7B380823A -:041631008B8D00A5F8 -:0416320000C50733B5 -:04163300478DE78177 -:0416340002C7E9639D -:04163500716387AAAC -:04163600C6830CE576 -:04163700078500051E -:041638008FA30585F2 -:04163900EAE3FED70B -:04163A008082FEE7C5 -:04163B000005C6835D -:04163C000585078594 -:04163D00FED78FA3A2 -:04163E00FEE7EAE3F6 -:04163F00011144024F -:04164000769380829B -:0416410087AA00353F -:04164200C683CA9100 -:041643000785000512 -:041644008FA30585E6 -:04164500F693FED743 -:04164600B7FD0037B5 -:04164700FFC77693D0 -:04164800FE06861301 -:0416490006C7F56378 -:04164A00C022117138 -:04164B00A30349C0EC -:04164C00A38300056F -:04164D00CBC0008589 -:04164E00A0234D8008 -:04164F00A30300678A -:04165000CF80004502 -:04165100A2234DC0C3 -:04165200A283006708 -:04165300A30300C528 -:041654008593010574 -:04165500CFC00245BB -:04165600FFC5A40325 -:041657000077A42351 -:041658000057A6236E -:041659000067A8235B -:04165A000247879329 -:04165B00FE87AE2335 -:04165C00FAC7EEE3F8 -:04165D00F8D7F2E3E5 -:04165E00079141901F -:04165F00AE23059120 -:04166000BFCDFEC735 +:041510000793BF6D11 +:04151100B59520303C +:0415120020300793EB +:041513000793B7E1A2 +:04151400162320403A +:04151500478D00F40A +:0415160000F40923B1 +:0415170002800793B4 +:041518000793BDF187 +:041519001623204035 +:04151A00479500F4FD +:04151B0000F40923AC +:04151C00B5E947E105 +:04151D0020400793D0 +:04151E0000F416239C +:04151F0009234799BC +:0415200047D100F4BB +:041521001111BD6582 +:041522000185179395 +:04152300C826CA22EA +:0415240087E1CC0689 +:0415250084AE842AE2 +:041526000007DD637A +:0415270005134581E2 +:0415280037D507703C +:0415290000A101A379 +:04152A00EE634785A0 +:04152B00741306A788 +:04152C002E9507F4FD +:04152D00450145919E +:04152E002E81268163 +:04152F000184D793C9 +:0415300000F102A321 +:041531000104D79347 +:0415320000F103239E +:041533000084D793C6 +:0415340000F103A31C +:04153500008102230C +:0415360000910423F9 +:041537000400071392 +:0415380009500793BC +:0415390000E409635E +:04153A00048007130F +:04153B001463478569 +:04153C00079300E42D +:04153D004599087054 +:04153E0004A30048BA +:04153F0024ED00F1A6 +:041540002EB145156E +:041541004585842A2E +:04154200003105135C +:04154300078324F501 +:04154400D663003139 +:041545002E8D0007E0 +:0415460006E34785EC +:04154700E011FEF5BC +:0415480045032641F0 +:0415490040E200314B +:04154A0044C2445201 +:04154B008082017128 +:04154C00FD81011309 +:04154D004581C02AEA +:04154E0004900513ED +:04154F00D022D206CE +:04155000F0EFCE26C4 +:04155100C901F45F79 +:04155200509245016D +:0415530044F2540208 +:0415540002810113FC +:04155500842A8082E2 +:041556002611451500 +:04155700458584AA98 +:041558000071051306 +:0415590047032C51C7 +:04155A000793007182 +:04155B0016630FF014 +:04155C002E1900F74D +:04155D0004E34785D7 +:04155E00E091FEF525 +:04155F004703261503 +:04156000079300717C +:0415610011E30FE0A3 +:0415620045C9FCF784 +:0415630024AD00288B +:04156400C783478270 +:04156500F713004731 +:04156600CF15002776 +:0415670000E1450357 +:0415680000F14783C4 +:041569000121470312 +:04156A000522890DC0 +:04156B0047838D5DC8 +:04156C00050A01016A +:04156D0000D1440362 +:04156E008D5D839973 +:04156F00011147839C +:04157000883D831D12 +:041571008B990786C5 +:04157200943E8FD93B +:0415730014650505F1 +:0415740000851533A6 +:041575008B91BF9DFA +:041576004503CB89D5 +:0415770047830101A4 +:041578000522011136 +:0415790047C58D5D78 +:04157A004781B7CD21 +:04157B00BFF1450176 +:04157C00FDC1011399 +:04157D00CC2665D93A +:04157E0084AA4629CC +:04157F004FC585933C +:04158000D006006829 +:0415810024E1CE2271 +:04158200C03E478D93 +:041583002C2122C134 +:0415840045A924A9A8 +:041585002AC9006807 +:04158600A0234581D8 +:041587000513000444 +:04158800F0EF04007C +:041589004785E65F4D +:04158A001B63842A31 +:04158B0005930EF5C1 +:04158C0005131AA089 +:04158D00F0EF0480F7 +:04158E00C22AE51F69 +:04158F000885176351 +:041590000028459159 +:0415910047032A558D +:04159200479200A1DB +:041593000CF71A63D4 +:0415940000B1470358 +:041595000AA007930E +:041596000CF71463D7 +:041597003E8005137A +:04159800241D2AFDE7 +:041599001963478506 +:04159A0005B700F59C +:04159B0005134000F4 +:04159C00F0EF0E90CE +:04159D00F575E15FA0 +:04159E0047852C0150 +:04159F0002F50663E8 +:0415A0002C3944019D +:0415A10017FD478269 +:0415A2000FF7F793B5 +:0415A300CBD9C03EA2 +:0415A4004785DC3566 +:0415A5000084822319 +:0415A6008526C09C3A +:0415A700E95FF0EF19 +:0415A800C488157D61 +:0415A900A0412A69CA +:0415AA00051345815F +:0415AB00F0EF07A0B6 +:0415AC00F579DD9F51 +:0415AD00002845913C +:0415AE0047832281CC +:0415AF004431008142 +:0415B0000407F793A2 +:0415B1004411FFDD05 +:0415B2004581BF6D43 +:0415B3000E9005137E +:0415B400DB7FF0EFFA +:0415B5000410079384 +:0415B60000A46563C5 +:0415B7000793440949 +:0415B80005130E9079 +:0415B900C23E0FA07F +:0415BA002A792A9DC3 +:0415BB0017634785E6 +:0415BC00451200F5DF +:0415BD00F0EF458185 +:0415BE00F965D91FD3 +:0415BF00E1112271A3 +:0415C0002A7944013F +:0415C1000513458148 +:0415C200F0EF07B08F +:0415C300C111D7DF9C +:0415C4000593440146 +:0415C50005132000EA +:0415C600F0EF05003D +:0415C700D13DD6DF5D +:0415C800B78D440196 +:0415C90020CDF43D00 +:0415CA0000143513C1 +:0415CB004472508294 +:0415CC00011344E2E1 +:0415CD0080820241D5 +:0415CE001121451C86 +:0415CF00CA06C8225E +:0415D000C22EC6263B +:0415D100440DC03ACB +:0415D20002C7E16308 +:0415D3004783CF1962 +:0415D40084B6004594 +:0415D500E3918BA172 +:0415D60085B20626AE +:0415D70005100513E3 +:0415D800D27FF0EFDF +:0415D9004409C909EF +:0415DA008522204501 +:0415DB00444240D274 +:0415DC00016144B2B3 +:0415DD0005138082F0 +:0415DE0020D50640CE +:0415DF000513458526 +:0415E000289D00B191 +:0415E10000B147030B +:0415E2000FF007936C +:0415E30000F7166394 +:0415E400478528E52A +:0415E500FEF504E328 +:0415E6004703222174 +:0415E700079300B1B5 +:0415E80012E30FE01B +:0415E9004782FCF742 +:0415EA0020200413A6 +:0415EB008C1D8C05C2 +:0415EC0080410442F4 +:0415ED0085A6C4818A +:0415EE00283D45014E +:0415EF0045124582DA +:0415F00085A2282583 +:0415F100280D45017B +:0415F200BF79440178 +:0415F3000006263791 +:0415F400019C05B79A +:0415F50000021537A4 +:0415F600A80606132A +:0415F700CC05859307 +:0415F80002050513D0 +:0415F900D8FFA06F08 +:0415FA0085AA862E0A +:0415FB00000215379E +:0415FC0002050513CC +:0415FD00E2DFA06F1A +:0415FE0085AA862E06 +:0415FF00000215379A +:0416000002050513C7 +:04160100DF1FA06FD8 +:04160200676180821A +:041603006B47578357 +:04160400F7F7F7936A +:0416050083C107C2D4 +:041606006AF71A2342 +:04160700000217378F +:041608000EF7202396 +:041609006761808213 +:04160A006B47578350 +:04160B000807E79352 +:04160C006AF71A233C +:04160D000002173789 +:04160E000EF7202390 +:04160F003637808268 +:0416100005B70029F1 +:041611001537019CEC +:0416120006130002B9 +:0416130085932E0687 +:041614000513CC05E9 +:04161500A06F0205BB +:04161600F06FD1DFC1 +:041617006765F73FCD +:04161800C14727831C +:04161900679DE38561 +:04161A009787879394 +:04161B0002F505339C +:04161C00C40611519E +:04161D00C0A72A2315 +:04161E008ABFA0EFF0 +:04161F00450140A29F +:041620008082013192 +:041621008082450579 +:04162200C406115198 +:041623008BBFA0EFEA +:04162400A78367E54C +:0416250040A2C147D7 +:0416260000F5353363 +:04162700808201318B +:04162800AA2367E5A5 +:041629008082C007F4 +:04162A0014634701FD +:04162B00450100E68F +:04162C0007B38082FE +:04162D00070500E5C8 +:04162E0000E586B39A +:04162F000007C78366 +:04163000FFF6C68378 +:04163100FED783E37A +:0416320040D78533E5 +:04163300C7B3808237 +:041634008B8D00A5F5 +:0416350000C50733B2 +:04163600478DE78174 +:0416370002C7E9639A +:04163800716387AAA9 +:04163900C6830CE573 +:04163A00078500051B +:04163B008FA30585EF +:04163C00EAE3FED708 +:04163D008082FEE7C2 +:04163E000005C6835A +:04163F000585078591 +:04164000FED78FA39F +:04164100FEE7EAE3F3 +:04164200011144024C +:041643007693808298 +:0416440087AA00353C +:04164500C683CA91FD +:04164600078500050F +:041647008FA30585E3 +:04164800F693FED740 +:04164900B7FD0037B2 +:04164A00FFC77693CD +:04164B00FE068613FE +:04164C0006C7F56375 +:04164D00C022117135 +:04164E00A30349C0E9 +:04164F00A38300056C +:04165000CBC0008586 +:04165100A0234D8005 +:04165200A303006787 +:04165300CF800045FF +:04165400A2234DC0C0 +:04165500A283006705 +:04165600A30300C525 +:041657008593010571 +:04165800CFC00245B8 +:04165900FFC5A40322 +:04165A000077A4234E +:04165B000057A6236B +:04165C000067A82358 +:04165D000247879326 +:04165E00FE87AE2332 +:04165F00FAC7EEE3F5 +:04166000F8D7F2E3E2 :04166100079141901C :04166200AE2305911D -:04166300EBE3FEC7F0 -:04166400E4E3FED7E6 -:041665008082F4E7A4 -:04166600011380826A -:04166700D6A6F7C14B -:04166800DA86C13E1F -:04166900D8A267E1BB -:04166A00DEBADCB652 -:04166B005C87A48371 -:04166C000005DC6336 -:04166D0008B0079327 -:04166E00557DC09C4A -:04166F00544650D6B7 -:04167000011354B658 -:04167100808208412A -:04167200208007933A -:0416730000F1182347 -:04167400CA2AC22A92 -:04167500C19947814F -:04167600FFF5879362 -:04167700CC3EC63E61 -:0416780057FD18B44E -:041679008526842E10 -:04167A001923004CE4 -:04167B00C03600F184 -:04167C0057FD2601EF -:04167D0000F55563BC -:04167E0008B0079316 -:04167F00DC5DC09CD2 -:0416800080234792EA -:04168100BF5D000742 -:04168200167DC60506 -:0416830007B3470161 -:0416840086B300E544 -:04168500C78300E532 -:04168600C683000710 -:041687009663000660 -:04168800046300D720 -:04168900070500C78A -:04168A008533F3FDB4 -:04168B00808240D742 -:04168C008082450112 -:04168D00CA0987AA55 -:04168E00C703058504 -:04168F000785FFF5D7 -:041690008FA3167D91 -:04169100FB65FEE710 -:041692009363963E8A -:04169300808200C78A -:041694008FA3078594 -:04169500BFD5FE07B8 -:0416960087AA95AAE0 -:0416970000B78563B0 -:041698000007C7037D -:041699008533E701AD -:04169A00808240A763 -:04169B00B7FD07850B -:04169C00A783C5D586 -:04169D001151FFC523 -:04169E00C406C2229A -:04169F008413C026CA -:0416A000D363FFC54C -:0416A100943E00076C -:0416A20000EF84AA27 -:0416A300676115F076 -:0416A40064872783AD -:0416A500EF81863A11 -:0416A60000042223F7 -:0416A700648724230D -:0416A80040A2441206 -:0416A90044828526CC -:0416AA00006F01319B -:0416AB007063141044 -:0416AC00401402F4F0 -:0416AD0000D407332B -:0416AE0000E7966358 -:0416AF0043DC43983D -:0416B000C018973691 -:0416B1002423C05CD2 -:0416B200BFD96486B2 -:0416B30043D887BAD7 -:0416B4007DE3C319F6 -:0416B5004394FEE478 -:0416B60000D78633A0 -:0416B70000861F6327 -:0416B80096B2401096 -:0416B9008633C3941D -:0416BA001BE300D757 -:0416BB004310FAC717 -:0416BC0096B2435847 -:0416BD00C3D8C39437 -:0416BE007563B76534 -:0416BF0047B100C46B -:0416C000BF79C09C92 -:0416C10006B340101C -:0416C200166300C4E7 -:0416C300431400D7F5 -:0416C40096B243583F -:0416C500C058C01435 -:0416C600B759C3C08D -:0416C70011418082CB -:0416C8008493C2261F -:0416C90098F100355F -:0416CA00C422C6066A -:0416CB0047B104A17E -:0416CC0004F4FB63C4 -:0416CD00EA6344B1D7 -:0416CE00C02A04B476 -:0416CF000AD000EF4E -:0416D00026836761A5 -:0416D1004782648761 -:0416D2006487061310 -:0416D300E431843644 -:0416D4000413646136 -:0416D500401864C491 -:0416D600853EEB0161 -:0416D700C03E45814B -:0416D8007F8000EF20 -:0416D900C00847827C -:0416DA0085A6853E1E -:0416DB0000EFC03E1E -:0416DC00577D7EA018 -:0416DD0015634782C8 -:0416DE00473106E5A5 -:0416DF00853EC398E9 -:0416E00006B000EF61 -:0416E100D8E3A02981 -:0416E20047B1FA040E -:0416E3004501C11CE0 -:0416E400442240B2AA -:0416E50001414492E9 -:0416E60040188082A6 -:0416E7004E638F05BA -:0416E80045AD020703 -:0416E90000E5F663BF -:0416EA00943AC01856 -:0416EB00A029C0046E -:0416EC00926340586D -:0416ED00C218028697 -:0416EE0000EF853E46 -:0416EF0005130310CC -:0416F000071300B428 -:0416F10099610044B7 -:0416F20040E507B315 -:0416F300943ED3F15D -:0416F400C0188F0982 -:0416F500C2D8BF7523 -:0416F60086A2B7C54C -:0416F700BF8540402B -:0416F80000350413A2 -:0416F90003E39871FE -:0416FA0005B3FC85B3 -:0416FB00853E40A444 -:0416FC00279DC03E28 -:0416FD004782577D4C -:0416FE00FAE51AE30C -:0416FF00A303BFBDC5 -:04170000111100853E -:04170100CC06C82624 -:04170200C232CA2203 -:04170300E36384AE6A -:04170400D60308669A -:04170500771300C591 -:04170600CB3D480689 -:0417070048C882AAA2 -:041708004080470DC9 -:0417090002A70733F9 -:04170A004509498CB8 -:04170B0040B407B32C -:04170C004433C03E64 -:04170D00851302A797 -:04170E00953E0016EE -:04170F0000A473635C -:041710007613842A9E -:04171100C636400692 -:041712008516C63D35 -:04171300C41685A2D1 -:04171400ECFFF0EF07 -:0417150046B242A2F4 -:04171600CD2D47315D -:04171700488C4602B2 -:04171800C42AC636E3 -:04171900C5FFF0EF29 -:04171A0000C4D6032E -:04171B0046B243226D -:04171C00B7F6761393 -:04171D000806661341 -:04171E0000C496234A -:04171F00A823478232 -:04172000C8C00064D9 -:041721008C1D933E4A -:041722000064A0239C -:041723008336C480C5 -:041724000066F36305 -:041725004592833630 -:04172600861A408857 -:041727002701C01ABC -:04172800430244989C -:04172900073345013C -:04172A00C4984067B8 -:04172B00933A409815 -:04172C000064A02392 -:04172D008516A80D68 -:04172E00C416862235 -:04172F00832A2F05D5 -:0417300046B242A2D9 -:04173100488CFD459E -:04173200C016851642 -:04173300DA5FF0EF9A -:041734004731428275 -:0417350000E2A0230B -:0417360000C4D70311 -:041737006713557D62 -:0417380096230407E9 -:0417390040E200E4A6 -:04173A0044C244520F -:04173B008082017136 -:04173C0000C5D7030A -:04173D00F78101131C -:04173E00DEA6C12240 -:04173F0001F107931A -:04174000C22AC306F0 -:041741007713C02E2C -:0417420084B208075E -:04174300FF87F41315 -:041744004998CB1DD8 -:041745000593EB0D10 -:04174600C63604009F -:04174700E03FF0EFA0 -:0417480046B24782DC -:04174900CB88C388FE -:04174A004712E91940 -:04174B00557D47B1D0 -:04174C00409AC31CE0 -:04174D0054F6440A00 -:04174E0008810113FA -:04174F0047828082CB -:041750000400071377 -:041751000713CBD8D7 -:041752000CA30200E2 -:04175300071300E494 -:041754002A23030041 -:041755000D2300045C -:04175600CA3600E4AB -:0417570006138726C8 -:041758004683025072 -:04175900C29900072A -:04175A000AC69863C0 -:04175B00409703337D -:04175C00020302631F -:04175D00451245826A -:04175E008626869ABB -:04175F00C61AC83AA4 -:04176000E7FFF0EFC0 -:04176100026356FDCC -:0417620048541CD5F6 -:041763004742433284 -:04176400C854969A35 -:0417650000074683B0 -:041766001A06896373 -:0417670000170493D0 -:041768002023577D66 -:04176900262300042F -:04176A00C05800045F -:04176B00000424232F -:04176C00040401A3CD -:04176D0004042C2321 -:04176E000004C5832B -:04176F00000187B737 -:041770008513461582 -:041771002B7DA547E0 -:0417720000148713C5 -:04177300E539401400 -:041774000106F61361 -:041775000613C60988 -:0417760001A30200C9 -:04177700F61304C49D -:04177800C609008618 -:0417790002B00613A1 -:04177A0004C401A3FF -:04177B000004C5831E -:04177C0002A00613AE -:04177D0004C58163BB -:04177E008726445422 -:04177F004525458136 -:04178000460342A931 -:041781000313000747 -:041782000613001733 -:041783007963FD0683 -:04178400C99506C538 -:04178500A805C4549B -:04178600B7A10705FB -:04178700000187B71F -:04178800A5478613D8 -:0417890040C506331E -:04178A0015334505C9 -:04178B008EC900C53E -:04178C0084BAC01447 -:04178D004652B751B8 -:04178E000046059379 -:04178F00CA2E42100C -:0417900002064963A1 -:041791004603C450F7 -:0417920006930007B3 -:04179300116302E0FC -:04179400460306D62C -:0417950006930017A0 -:041796001B6302A02F -:0417970046D202D65E -:0417980086130709A4 -:041799004294004630 -:04179A00C163CA322B -:04179B00C05402062E -:04179C000633A081EF -:04179D00E69340C0CF -:04179E00C45000260D -:04179F00B7E1C014DA -:0417A000025686B3B4 -:0417A100871A4585D9 -:0417A200BFA596B297 -:0417A300B7C556FD73 -:0417A40022230705F0 -:0417A5004581000476 -:0417A600452546810E -:0417A700460342A90A -:0417A8000313000720 -:0417A900061300170C -:0417AA007763FD065E -:0417AB00F1E106C59D -:0417AC00000745836A -:0417AD00000184B7FC -:0417AE008513460D4C -:0417AF00C63AA5C4CD -:0417B000473221D1CA -:0417B1008493CD014F -:0417B2008D05A5C438 -:0417B3000400069395 -:0417B40000A696B342 -:0417B50007054008DC -:0417B600C0088D5585 -:0417B700000745835F -:0417B8000001853770 -:0417B90005134619B5 -:0417BA000493A605E9 -:0417BB000C230017E4 -:0417BC00294900B403 -:0417BD000737C52DF8 -:0417BE00071300000D -:0417BF00E70D00072B -:0417C0004752401438 -:0417C1001006F69385 -:0417C2000711CE91AC -:0417C3004858CA3A7E -:0417C400973E47A263 -:0417C500B599C858B2 -:0417C600025686B38E -:0417C700871A4585B3 -:0417C800BFB596B261 -:0417C900B7DD072160 -:0417CA00451246027C -:0417CB00000166B7FC -:0417CC0086930858A0 -:0417CD0085A2BFE64C -:0417CE000000009780 -:0417CF00000000E72F -:0417D00047A2C42A3E -:0417D10094E3577DC9 -:0417D2004782FCE767 -:0417D300D703557D66 -:0417D400771300C7C0 -:0417D5001EE3040704 -:0417D6004848DC079C -:0417D7004602BBD932 -:0417D80066B7451299 -:0417D90008580001AB -:0417DA00BFE686934D -:0417DB002A0585A2B4 -:0417DC001101BFC177 -:0417DD008332CA2663 -:0417DE00459084B6F8 -:0417DF00CC2249943B -:0417E000842ACE0683 -:0417E100D36387AE99 -:0417E20086B200C605 -:0417E30000D32023EC -:0417E4000437C603FD -:0417E5000685C601AE +:04166300BFCDFEC732 +:041664000791419019 +:04166500AE2305911A +:04166600EBE3FEC7ED +:04166700E4E3FED7E3 +:041668008082F4E7A1 +:041669000113808267 +:04166A00D6A6F7C148 +:04166B00DA86C13E1C +:04166C00D8A267E1B8 +:04166D00DEBADCB64F +:04166E005D47A483AD +:04166F000005DC6333 +:0416700008B0079324 +:04167100557DC09C47 +:04167200544650D6B4 +:04167300011354B655 +:041674008082084127 +:041675002080079337 +:0416760000F1182344 +:04167700CA2AC22A8F +:04167800C19947814C +:04167900FFF587935F +:04167A00CC3EC63E5E +:04167B0057FD18B44B +:04167C008526842E0D +:04167D001923004CE1 +:04167E00C03600F181 +:04167F0057FD2601EC +:0416800000F55563B9 +:0416810008B0079313 +:04168200DC5DC09CCF +:0416830080234792E7 +:04168400BF5D00073F +:04168500167DC60503 +:0416860007B347015E +:0416870086B300E541 +:04168800C78300E52F +:04168900C68300070D +:04168A00966300065D +:04168B00046300D71D +:04168C00070500C787 +:04168D008533F3FDB1 +:04168E00808240D73F +:04168F00808245010F +:04169000CA0987AA52 +:04169100C703058501 +:041692000785FFF5D4 +:041693008FA3167D8E +:04169400FB65FEE70D +:041695009363963E87 +:04169600808200C787 +:041697008FA3078591 +:04169800BFD5FE07B5 +:0416990087AA95AADD +:04169A0000B78563AD +:04169B000007C7037A +:04169C008533E701AA +:04169D00808240A760 +:04169E00B7FD078508 +:04169F00A783C5D583 +:0416A0001151FFC520 +:0416A100C406C22297 +:0416A2008413C026C7 +:0416A300D363FFC549 +:0416A400943E000769 +:0416A50000EF84AA24 +:0416A600676115F073 +:0416A70065472783E9 +:0416A800EF81863A0E +:0416A90000042223F4 +:0416AA0064872A2304 +:0416AB0040A2441203 +:0416AC0044828526C9 +:0416AD00006F013198 +:0416AE007063141041 +:0416AF00401402F4ED +:0416B00000D4073328 +:0416B10000E7966355 +:0416B20043DC43983A +:0416B300C01897368E +:0416B4002A23C05CC9 +:0416B500BFD96486AF +:0416B60043D887BAD4 +:0416B7007DE3C319F3 +:0416B8004394FEE475 +:0416B90000D786339D +:0416BA0000861F6324 +:0416BB0096B2401093 +:0416BC008633C3941A +:0416BD001BE300D754 +:0416BE004310FAC714 +:0416BF0096B2435844 +:0416C000C3D8C39434 +:0416C1007563B76531 +:0416C20047B100C468 +:0416C300BF79C09C8F +:0416C40006B3401019 +:0416C500166300C4E4 +:0416C600431400D7F2 +:0416C70096B243583C +:0416C800C058C01432 +:0416C900B759C3C08A +:0416CA0011418082C8 +:0416CB008493C2261C +:0416CC0098F100355C +:0416CD00C422C60667 +:0416CE0047B104A17B +:0416CF0004F4FB63C1 +:0416D000EA6344B1D4 +:0416D100C02A04B473 +:0416D2000AD000EF4B +:0416D30026836761A2 +:0416D400478265479D +:0416D500654706134C +:0416D600E431843641 +:0416D7000413646133 +:0416D80040186584CD +:0416D900853EEB015E +:0416DA00C03E458148 +:0416DB007F8000EF1D +:0416DC00C008478279 +:0416DD0085A6853E1B +:0416DE0000EFC03E1B +:0416DF00577D7EA015 +:0416E00015634782C5 +:0416E100473106E5A2 +:0416E200853EC398E6 +:0416E30006B000EF5E +:0416E400D8E3A0297E +:0416E50047B1FA040B +:0416E6004501C11CDD +:0416E700442240B2A7 +:0416E80001414492E6 +:0416E90040188082A3 +:0416EA004E638F05B7 +:0416EB0045AD020700 +:0416EC0000E5F663BC +:0416ED00943AC01853 +:0416EE00A029C0046B +:0416EF00926340586A +:0416F000C218028694 +:0416F10000EF853E43 +:0416F20005130310C9 +:0416F300071300B425 +:0416F40099610044B4 +:0416F50040E507B312 +:0416F600943ED3F15A +:0416F700C0188F097F +:0416F800C2D8BF7520 +:0416F90086A2B7C549 +:0416FA00BF85404028 +:0416FB00003504139F +:0416FC0003E39871FB +:0416FD0005B3FC85B0 +:0416FE00853E40A441 +:0416FF00279DC03E25 +:041700004782577D48 +:04170100FAE51AE308 +:04170200A303BFBDC1 +:04170300111100853B +:04170400CC06C82621 +:04170500C232CA2200 +:04170600E36384AE67 +:04170700D603086697 +:04170800771300C58E +:04170900CB3D480686 +:04170A0048C882AA9F +:04170B004080470DC6 +:04170C0002A70733F6 +:04170D004509498CB5 +:04170E0040B407B329 +:04170F004433C03E61 +:04171000851302A794 +:04171100953E0016EB +:0417120000A4736359 +:041713007613842A9B +:04171400C63640068F +:041715008516C63D32 +:04171600C41685A2CE +:04171700ECFFF0EF04 +:0417180046B242A2F1 +:04171900CD2D47315A +:04171A00488C4602AF +:04171B00C42AC636E0 +:04171C00C5FFF0EF26 +:04171D0000C4D6032B +:04171E0046B243226A +:04171F00B7F6761390 +:04172000080666133E +:0417210000C4962347 +:04172200A82347822F +:04172300C8C00064D6 +:041724008C1D933E47 +:041725000064A02399 +:041726008336C480C2 +:041727000066F36302 +:04172800459283362D +:04172900861A408854 +:04172A002701C01AB9 +:04172B004302449899 +:04172C000733450139 +:04172D00C4984067B5 +:04172E00933A409812 +:04172F000064A0238F +:041730008516A80D65 +:04173100C416862232 +:04173200832A2F05D2 +:0417330046B242A2D6 +:04173400488CFD459B +:04173500C01685163F +:04173600DA5FF0EF97 +:041737004731428272 +:0417380000E2A02308 +:0417390000C4D7030E +:04173A006713557D5F +:04173B0096230407E6 +:04173C0040E200E4A3 +:04173D0044C244520C +:04173E008082017133 +:04173F0000C5D70307 +:04174000F781011319 +:04174100DEA6C1223D +:0417420001F1079317 +:04174300C22AC306ED +:041744007713C02E29 +:0417450084B208075B +:04174600FF87F41312 +:041747004998CB1DD5 +:041748000593EB0D0D +:04174900C63604009C +:04174A00E03FF0EF9D +:04174B0046B24782D9 +:04174C00CB88C388FB +:04174D004712E9193D +:04174E00557D47B1CD +:04174F00409AC31CDD +:0417500054F6440AFD +:0417510008810113F7 +:0417520047828082C8 +:041753000400071374 +:041754000713CBD8D4 +:041755000CA30200DF +:04175600071300E491 +:041757002A2303003E +:041758000D23000459 +:04175900CA3600E4A8 +:04175A0006138726C5 +:04175B00468302506F +:04175C00C299000727 +:04175D000AC69863BD +:04175E00409703337A +:04175F00020302631C +:041760004512458267 +:041761008626869AB8 +:04176200C61AC83AA1 +:04176300E7FFF0EFBD +:04176400026356FDC9 +:0417650048541CD5F3 +:041766004742433281 +:04176700C854969A32 +:0417680000074683AD +:041769001A06896370 +:04176A0000170493CD +:04176B002023577D63 +:04176C00262300042C +:04176D00C05800045C +:04176E00000424232C +:04176F00040401A3CA +:0417700004042C231E +:041771000004C58328 +:04177200000187B734 +:04177300851346157F +:041774002B7DA6071C +:0417750000148713C2 +:04177600E5394014FD +:041777000106F6135E +:041778000613C60985 +:0417790001A30200C6 +:04177A00F61304C49A +:04177B00C609008615 +:04177C0002B006139E +:04177D0004C401A3FC +:04177E000004C5831B +:04177F0002A00613AB +:0417800004C58163B8 +:04178100872644541F +:041782004525458133 +:04178300460342A92E +:041784000313000744 +:041785000613001730 +:041786007963FD0680 +:04178700C99506C535 +:04178800A805C45498 +:04178900B7A10705F8 +:04178A00000187B71C +:04178B00A607861314 +:04178C0040C506331B +:04178D0015334505C6 +:04178E008EC900C53B +:04178F0084BAC01444 +:041790004652B751B5 +:041791000046059376 +:04179200CA2E421009 +:04179300020649639E +:041794004603C450F4 +:0417950006930007B0 +:04179600116302E0F9 +:04179700460306D629 +:04179800069300179D +:041799001B6302A02C +:04179A0046D202D65B +:04179B0086130709A1 +:04179C00429400462D +:04179D00C163CA3228 +:04179E00C05402062B +:04179F000633A081EC +:0417A000E69340C0CC +:0417A100C45000260A +:0417A200B7E1C014D7 +:0417A300025686B3B1 +:0417A400871A4585D6 +:0417A500BFA596B294 +:0417A600B7C556FD70 +:0417A70022230705ED +:0417A8004581000473 +:0417A900452546810B +:0417AA00460342A907 +:0417AB00031300071D +:0417AC000613001709 +:0417AD007763FD065B +:0417AE00F1E106C59A +:0417AF000007458367 +:0417B000000184B7F9 +:0417B1008513460D49 +:0417B200C63AA68409 +:0417B300473221D1C7 +:0417B4008493CD014C +:0417B5008D05A68474 +:0417B6000400069392 +:0417B70000A696B33F +:0417B80007054008D9 +:0417B900C0088D5582 +:0417BA00000745835C +:0417BB00000185376D +:0417BC0005134619B2 +:0417BD000493A6C526 +:0417BE000C230017E1 +:0417BF00294900B400 +:0417C0000737C52DF5 +:0417C100071300000A +:0417C200E70D000728 +:0417C3004752401435 +:0417C4001006F69382 +:0417C5000711CE91A9 +:0417C6004858CA3A7B +:0417C700973E47A260 +:0417C800B599C858AF +:0417C900025686B38B +:0417CA00871A4585B0 +:0417CB00BFB596B25E +:0417CC00B7DD07215D +:0417CD004512460279 +:0417CE00000166B7F9 +:0417CF00869308589D +:0417D00085A2C0A688 +:0417D100000000977D +:0417D200000000E72C +:0417D30047A2C42A3B +:0417D40094E3577DC6 +:0417D5004782FCE764 +:0417D600D703557D63 +:0417D700771300C7BD +:0417D8001EE3040701 +:0417D9004848DC0799 +:0417DA004602BBD92F +:0417DB0066B7451296 +:0417DC0008580001A8 +:0417DD00C0A6869389 +:0417DE002A0585A2B1 +:0417DF001101BFC174 +:0417E0008332CA2660 +:0417E100459084B6F5 +:0417E200CC22499438 +:0417E300842ACE0680 +:0417E400D36387AE96 +:0417E50086B200C602 :0417E60000D32023E9 -:0417E700F69343949E -:0417E800C69102069E -:0417E9000003268350 -:0417EA002023068929 -:0417EB00A28300D302 -:0417EC0083930007DC -:0417ED00F2930197DB -:0417EE0083630062AF -:0417EF00439006021B -:0417F0000437C68371 -:0417F1000206761363 -:0417F20000D036B33A -:0417F3008613EA412E -:0417F40085A604378B -:0417F500C41A85226B -:0417F600C03AC23EF5 -:0417F70056FD970202 -:0417F80006D50863A7 -:0417F90043224792AE -:0417FA0043944511BE -:0417FB0000032603BE -:0417FC008A9947CCB3 -:0417FD00470243015B -:0417FE0000A6976347 -:0417FF0040C583332B -:04180000000353632B -:0418010047944301C4 -:0418020054634B9050 -:041803008E9100D6EC -:041804004281933654 -:04180500126307E97A -:04180600450106533F -:041807000285A81D91 -:04180800260347D498 -:041809008E910003B9 -:04180A00F8D2DBE352 -:04180B00861E46856A -:04180C00852285A606 -:04180D00C63EC81AF1 -:04180E00C21EC4161C -:04180F009702C03A42 -:04181000470256FD38 -:0418110042A243921A -:04181200434247B254 -:04181300FCD519E304 -:0418140040F2557DCC -:0418150044D2446213 -:041816008082610566 -:0418170000D785B3BE -:0418180003000513B1 -:0418190004A581A3FE -:04181A000457C58327 -:04181B00001686131A -:04181C000689963E65 -:04181D0004B601A369 -:04181E004685BF99A3 -:04181F0085A6863ED6 -:04182000C616852241 -:04182100C23EC41AE5 -:041822009702C03A2F -:0418230001E356FD8A -:0418240042B2FCD5FB -:041825004792432281 -:0418260047020285EE -:041827001101BFAD3F -:04182800842ECC221C -:041829004603C2327E -:04182A00CA26018445 -:04182B0084B6CE06AB -:04182C000693C02A35 -:04182D00859306E0B9 -:04182E000863043512 -:04182F00E4631ED67A -:04183000069306C64F -:041831000463063016 -:04183200ED630AD682 -:04183300046300C684 -:0418340006932006F1 -:041835000C630580BB -:04183600031316D6AC -:041837000123042461 -:04183800A87904C4C3 -:0418390006400693CC -:04183A0000D606636B -:04183B00069006937A -:04183C00FED615E3DC -:04183D00230340142D -:04183E00F613000796 -:04183F00051308067F -:04184000C249004356 -:0418410000032683F7 -:041842008637C3081A -:04184300D863000165 -:041844000713000680 -:0418450006B302D014 -:0418460001A340D0EA -:04184700061304E49C -:041848004729A68600 -:041849000693A07DE5 -:04184A000A630730F6 -:04184B00EF631AD657 -:04184C00069300C639 -:04184D00006306F03E -:04184E00069306D621 -:04184F001EE307008D -:041850004014F8D672 -:041851000206E69312 -:04185200A809C0140D -:0418530007500693A1 -:0418540004D6036350 -:04185500078006936F -:04185600F8D611E3CC -:04185700078006936D -:0418580000018637CE -:0418590004D402A30E -:04185A00A7C6061304 -:04185B004314A0FD95 -:04185C00042403134A -:04185D0000468613A8 -:04185E004298C310D9 -:04185F0004E4012379 -:04186000AABD4705D1 -:041861000406F61370 -:0418620000032683D6 -:04186300DE35C308A3 -:0418640086C106C271 -:041865004008BF9DDB -:041866007293431422 -:0418670083130805DA -:04186800866300464D -:041869002023000236 -:04186A00429400673D -:04186B007513A80148 -:04186C00202304052C -:04186D00D9750067C2 -:04186E000006D68317 -:04186F0006F0051367 -:0418700000018737B5 -:041871000CA60D6351 -:04187200A68706132C -:0418730001A347295D -:0418740040480404E0 -:041875004863C408F8 -:041876002303000543 -:0418770073130004E3 -:041878002023FFB377 -:04187900E29900648C -:04187A00CD11832EDB -:04187B00F533832E90 -:04187C00137D02E6F0 -:04187D004503953258 -:04187E00002300053E -:04187F00D53300A3BA -:04188000F26302E627 -:0418810046A10AE68C -:0418820000D71E630A -:041883008B05401879 -:041884004054CB11F0 -:041885004763481855 -:04188600071300D76D -:041887000FA30300A8 -:04188800137DFEE3EB -:04188900406585B37E -:04188A004692C80CAE -:04188B008726450265 -:04188C0085A2081019 -:04188D00F0EFC41A9A -:04188E00577DD3DFD0 -:04188F001563432278 -:04189000557D0CE591 -:04189100446240F27B -:04189200610544D2D6 -:0418930002A38082AA -:04189400863704C4CB -:041895000613000135 -:041896004008A686DA -:041897000007230320 -:04189800080572933A -:04189900000326839F -:04189A008163031152 -:04189B002023020202 -:04189C007713006757 -:04189D00C70100156A -:04189E0002056513C7 -:04189F004741C008F5 -:0418A0004008F6B94D -:0418A100FDF57513C9 -:0418A200B791C00832 -:0418A3000405729333 -:0418A4000067202396 -:0418A500FC028FE3CF -:0418A60082C106C233 -:0418A7000613BFD98C -:0418A8004721A687A7 -:0418A90086AAB72D27 -:0418AA004010B7999A -:0418AB004848431452 -:0418AC000806729325 -:0418AD00004683135B -:0418AE00000287634A -:0418AF00006720238B -:0418B000C30842988F -:0418B1002023A8093F -:0418B2007613006742 -:0418B300429804064D -:0418B4001023DA6DB6 -:0418B500282300A73D -:0418B600832E000479 -:0418B7004314B7B966 -:0418B80086134581CD -:0418B900C310004612 -:0418BA000006A3037E -:0418BB00851A4050FA -:0418BC002849C41AD9 -:0418BD00C5014322FC -:0418BE004065053349 -:0418BF004058C04885 -:0418C00001A3C818A0 -:0418C100B71504044F -:0418C20045924814EF -:0418C300861A45023A -:0418C400577D948236 -:0418C500F2E507E35E -:0418C6008B09401832 -:0418C7004742EB1594 -:0418C80051E344485C -:0418C900853AF2E585 -:0418CA004592BF3153 -:0418CB004685450207 -:0418CC00C63A861A78 -:0418CD009482C41A23 -:0418CE0004E356FDDC -:0418CF004732F0D5D7 -:0418D00007054322A3 -:0418D10046424454F3 -:0418D20040E38E91D0 -:0418D300BFC1FED7BC -:0418D40003134701B2 -:0418D500B7FD0194C6 -:0418D600C2221151C8 -:0418D7006465C0265E -:0418D800852E84AA2B -:0418D9002623C406F8 -:0418DA0020FDC00429 -:0418DB00166357FD3C -:0418DC00278300F569 -:0418DD00C391C0C42F -:0418DE0040A2C09CC8 -:0418DF0044824412E9 -:0418E00080820131D0 -:0418E1000FF5F59377 -:0418E2001463962ACB -:0418E300450100C5F6 -:0418E4004783808234 -:0418E5008DE300058A -:0418E6000505FEB73F -:0418E700F363B7FDF3 -:0418E80086B304A51A -:0418E9007F6300C554 -:0418EA00459302D54B -:0418EB004781FFF63C -:0418EC00936317FDEE -:0418ED00808200F500 -:0418EE0000F6873346 -:0418EF0000074303A8 -:0418F00000C7873373 -:0418F1000023972A0F -:0418F200B7DD0067F7 -:0418F30000F5873342 -:0418F4000007468320 -:0418F50000F50733C0 -:0418F600002307853F -:0418F70017E300D71C -:0418F8008082FEF6F6 -:0418F900BFE547817F -:0418FA0080828082E6 -:0418FB0085B2E5814C -:0418FC00F2EFF06FA8 -:0418FD00C8061131D7 -:0418FE00C426C62214 -:0418FF00F0EFEA110B -:041900004781E72F05 -:04190100443240C26A -:04190200853E44A238 -:04190300808201518C -:04190400C032842E3B -:04190500203D84AA53 -:0419060087A246026C -:04190700FEC574E3C2 -:04190800852685B2F9 -:04190900F0EFC23207 -:04190A0087AAEF8F2A -:04190B004612DD6142 -:04190C00C02A85A2C6 -:04190D00C8EFF0EF40 -:04190E00852685A203 -:04190F00E34FF0EFC3 -:04191000B7C947828A -:04191100FFC5A783E4 -:04191200FFC7851373 -:041913000007D56391 -:04191400419C95AAB3 -:041915008082953EF9 -:04191600C422114195 -:04191700278364615D -:04191800C606650496 -:04191900832AC22635 -:04191A006504041349 -:04191B004501EB8512 -:04191C0046014581BA -:04191D0047014681B7 -:04191E000D600293C3 -:04191F000000007351 -:041920005C6384AAD6 -:041921002881000514 -:04192200409004B33A -:04192300557DC10429 -:04192400442240B267 -:0419250001414492A6 -:04192600C0088082F3 -:0419270045814008AE -:04192800951A4601C5 -:0419290047014681AB -:04192A00029347815C -:04192B0000730D60D8 -:04192C0084AA000089 -:04192D0000055963F5 -:04192E002831C01A82 -:04192F0004B34302B8 -:04193000C10440901E -:04193100401C54FD05 -:04193200933E557D0E -:04193300FC6492E3DB -:04193400853EC00428 -:0419350067E1BF7532 -:041936005C87A50322 -:0419370000008082AA -:0419380000000000AB -:0419390000000000AA -:04193A0000000000A9 +:0417E7000437C603FA +:0417E8000685C601AB +:0417E90000D32023E6 +:0417EA00F69343949B +:0417EB00C69102069B +:0417EC00000326834D +:0417ED002023068926 +:0417EE00A28300D3FF +:0417EF0083930007D9 +:0417F000F2930197D8 +:0417F10083630062AC +:0417F2004390060218 +:0417F3000437C6836E +:0417F4000206761360 +:0417F50000D036B337 +:0417F6008613EA412B +:0417F70085A6043788 +:0417F800C41A852268 +:0417F900C03AC23EF2 +:0417FA0056FD9702FF +:0417FB0006D50863A4 +:0417FC0043224792AB +:0417FD0043944511BB +:0417FE0000032603BB +:0417FF008A9947CCB0 +:041800004702430157 +:0418010000A6976343 +:0418020040C5833327 +:041803000003536328 +:0418040047944301C1 +:0418050054634B904D +:041806008E9100D6E9 +:041807004281933651 +:04180800126307E977 +:04180900450106533C +:04180A000285A81D8E +:04180B00260347D495 +:04180C008E910003B6 +:04180D00F8D2DBE34F +:04180E00861E468567 +:04180F00852285A603 +:04181000C63EC81AEE +:04181100C21EC41619 +:041812009702C03A3F +:04181300470256FD35 +:0418140042A2439217 +:04181500434247B251 +:04181600FCD519E301 +:0418170040F2557DC9 +:0418180044D2446210 +:041819008082610563 +:04181A0000D785B3BB +:04181B0003000513AE +:04181C0004A581A3FB +:04181D000457C58324 +:04181E000016861317 +:04181F000689963E62 +:0418200004B601A366 +:041821004685BF99A0 +:0418220085A6863ED3 +:04182300C61685223E +:04182400C23EC41AE2 +:041825009702C03A2C +:0418260001E356FD87 +:0418270042B2FCD5F8 +:04182800479243227E +:0418290047020285EB +:04182A001101BFAD3C +:04182B00842ECC2219 +:04182C004603C2327B +:04182D00CA26018442 +:04182E0084B6CE06A8 +:04182F000693C02A32 +:04183000859306E0B6 +:04183100086304350F +:04183200E4631ED677 +:04183300069306C64C +:041834000463063013 +:04183500ED630AD67F +:04183600046300C681 +:0418370006932006EE +:041838000C630580B8 +:04183900031316D6A9 +:04183A00012304245E +:04183B00A87904C4C0 +:04183C0006400693C9 +:04183D0000D6066368 +:04183E000690069377 +:04183F00FED615E3D9 +:04184000230340142A +:04184100F613000793 +:04184200051308067C +:04184300C249004353 +:0418440000032683F4 +:041845008637C30817 +:04184600D863000162 +:04184700071300067D +:0418480006B302D011 +:0418490001A340D0E7 +:04184A00061304E499 +:04184B004729A7463C +:04184C000693A07DE2 +:04184D000A630730F3 +:04184E00EF631AD654 +:04184F00069300C636 +:04185000006306F03B +:04185100069306D61E +:041852001EE307008A +:041853004014F8D66F +:041854000206E6930F +:04185500A809C0140A +:04185600075006939E +:0418570004D603634D +:04185800078006936C +:04185900F8D611E3C9 +:04185A00078006936A +:04185B0000018637CB +:04185C0004D402A30B +:04185D00A886061340 +:04185E004314A0FD92 +:04185F000424031347 +:0418600000468613A5 +:041861004298C310D6 +:0418620004E4012376 +:04186300AABD4705CE +:041864000406F6136D +:0418650000032683D3 +:04186600DE35C308A0 +:0418670086C106C26E +:041868004008BF9DD8 +:04186900729343141F +:04186A0083130805D7 +:04186B00866300464A +:04186C002023000233 +:04186D00429400673A +:04186E007513A80145 +:04186F002023040529 +:04187000D9750067BF +:041871000006D68314 +:0418720006F0051364 +:0418730000018737B2 +:041874000CA60D634E +:04187500A747061368 +:0418760001A347295A +:0418770040480404DD +:041878004863C408F5 +:041879002303000540 +:04187A0073130004E0 +:04187B002023FFB374 +:04187C00E299006489 +:04187D00CD11832ED8 +:04187E00F533832E8D +:04187F00137D02E6ED +:041880004503953255 +:04188100002300053B +:04188200D53300A3B7 +:04188300F26302E624 +:0418840046A10AE689 +:0418850000D71E6307 +:041886008B05401876 +:041887004054CB11ED +:041888004763481852 +:04188900071300D76A +:04188A000FA30300A5 +:04188B00137DFEE3E8 +:04188C00406585B37B +:04188D004692C80CAB +:04188E008726450262 +:04188F0085A2081016 +:04189000F0EFC41A97 +:04189100577DD3DFCD +:041892001563432275 +:04189300557D0CE58E +:04189400446240F278 +:04189500610544D2D3 +:0418960002A38082A7 +:04189700863704C4C8 +:041898000613000132 +:041899004008A74616 +:04189A00000723031D +:04189B000805729337 +:04189C00000326839C +:04189D00816303114F +:04189E0020230202FF +:04189F007713006754 +:0418A000C701001567 +:0418A10002056513C4 +:0418A2004741C008F2 +:0418A3004008F6B94A +:0418A400FDF57513C6 +:0418A500B791C0082F +:0418A6000405729330 +:0418A7000067202393 +:0418A800FC028FE3CC +:0418A90082C106C230 +:0418AA000613BFD989 +:0418AB004721A747E3 +:0418AC0086AAB72D24 +:0418AD004010B79997 +:0418AE00484843144F +:0418AF000806729322 +:0418B0000046831358 +:0418B1000002876347 +:0418B2000067202388 +:0418B300C30842988C +:0418B4002023A8093C +:0418B500761300673F +:0418B600429804064A +:0418B7001023DA6DB3 +:0418B800282300A73A +:0418B900832E000476 +:0418BA004314B7B963 +:0418BB0086134581CA +:0418BC00C31000460F +:0418BD000006A3037B +:0418BE00851A4050F7 +:0418BF002849C41AD6 +:0418C000C5014322F9 +:0418C1004065053346 +:0418C2004058C04882 +:0418C30001A3C8189D +:0418C400B71504044C +:0418C50045924814EC +:0418C600861A450237 +:0418C700577D948233 +:0418C800F2E507E35B +:0418C9008B0940182F +:0418CA004742EB1591 +:0418CB0051E3444859 +:0418CC00853AF2E582 +:0418CD004592BF3150 +:0418CE004685450204 +:0418CF00C63A861A75 +:0418D0009482C41A20 +:0418D10004E356FDD9 +:0418D2004732F0D5D4 +:0418D30007054322A0 +:0418D40046424454F0 +:0418D50040E38E91CD +:0418D600BFC1FED7B9 +:0418D70003134701AF +:0418D800B7FD0194C3 +:0418D900C2221151C5 +:0418DA006465C0265B +:0418DB00852E84AA28 +:0418DC002C23C406EF +:0418DD0020FDC00426 +:0418DE00166357FD39 +:0418DF00278300F566 +:0418E000C391C1846B +:0418E10040A2C09CC5 +:0418E20044824412E6 +:0418E30080820131CD +:0418E4000FF5F59374 +:0418E5001463962AC8 +:0418E600450100C5F3 +:0418E7004783808231 +:0418E8008DE3000587 +:0418E9000505FEB73C +:0418EA00F363B7FDF0 +:0418EB0086B304A517 +:0418EC007F6300C551 +:0418ED00459302D548 +:0418EE004781FFF639 +:0418EF00936317FDEB +:0418F000808200F5FD +:0418F10000F6873343 +:0418F20000074303A5 +:0418F30000C7873370 +:0418F4000023972A0C +:0418F500B7DD0067F4 +:0418F60000F587333F +:0418F700000746831D +:0418F80000F50733BD +:0418F900002307853C +:0418FA0017E300D719 +:0418FB008082FEF6F3 +:0418FC00BFE547817C +:0418FD0080828082E3 +:0418FE0085B2E58149 +:0418FF00F2EFF06FA5 +:04190000C8061131D3 +:04190100C426C62210 +:04190200F0EFEA1107 +:041903004781E72F02 +:04190400443240C267 +:04190500853E44A235 +:041906008082015189 +:04190700C032842E38 +:04190800203D84AA50 +:0419090087A2460269 +:04190A00FEC574E3BF +:04190B00852685B2F6 +:04190C00F0EFC23204 +:04190D0087AAEF8F27 +:04190E004612DD613F +:04190F00C02A85A2C3 +:04191000C8EFF0EF3D +:04191100852685A200 +:04191200E34FF0EFC0 +:04191300B7C9478287 +:04191400FFC5A783E1 +:04191500FFC7851370 +:041916000007D5638E +:04191700419C95AAB0 +:041918008082953EF6 +:04191900C422114192 +:04191A00278364615A +:04191B00C60665C4D3 +:04191C00832AC22632 +:04191D0065C4041386 +:04191E004501EB850F +:04191F0046014581B7 +:0419200047014681B4 +:041921000D600293C0 +:04192200000000734E +:041923005C6384AAD3 +:041924002881000511 +:04192500409004B337 +:04192600557DC10426 +:04192700442240B264 +:0419280001414492A3 +:04192900C0088082F0 +:04192A0045814008AB +:04192B00951A4601C2 +:04192C0047014681A8 +:04192D000293478159 +:04192E0000730D60D5 +:04192F0084AA000086 +:0419300000055963F2 +:041931002831C01A7F +:0419320004B34302B5 +:04193300C10440901B +:04193400401C54FD02 +:04193500933E557D0B +:04193600FC6492E3D8 +:04193700853EC00425 +:0419380067E1BF752F +:041939005D47A5035E +:04193A0000008082A7 :04193B0000000000A8 -:04193C00FFFFFFFFAB -:04193D00FFFFFFFFAA -:04193E000000FFFFA7 -:04193F000001052C72 -:04194000000105326B -:041941000001053864 -:041942000001053E5D -:04194300000104E0BB -:041944000001050099 -:041945000001050098 -:041946000001050097 +:04193C0000000000A7 +:04193D0000000000A6 +:04193E0000000000A5 +:04193F00FFFFFFFFA8 +:04194000FFFFFFFFA7 +:041941000000FFFFA4 +:041942000001052C6F +:041943000001053268 +:041944000001053861 +:041945000001053E5A +:04194600000104E0B8 :041947000001050096 :041948000001050095 :041949000001050094 -:04194A00000105048F -:04194B000001050A88 -:04194C00000129B2BB -:04194D0000012AAEBD -:04194E0000012AAEBC -:04194F0000012AC2A7 -:0419500000012AC2A6 -:0419510000012ACE99 -:0419520000012AD294 -:0419530000012AE085 -:0419540000012AE084 -:0419550000012AF86B -:0419560000012CC0A0 -:0419570000012CC699 -:0419580000012CC698 -:0419590000012CC09D -:04195A0000012CCA92 -:04195B0000012AF865 -:04195C0000012CC09A -:04195D0000012CC693 -:04195E000000000184 -:04195F00020503007A -:041960000000000281 -:041961000001669487 -:04196200000166A476 -:04196300000166B069 -:04196400000166BC5C -:04196500000166C84F -:04196600000166D442 -:04196700000166E035 -:04196800000166EC28 -:04196900000166F81B -:04196A00000167040D -:04196B000001671000 -:04196C0074204B4F49 -:04196D006E69206F10 -:04196E000000746998 -:04196F00736C6146EE -:041970007274206508 -:0419710065676769D6 -:0419720000000072FF -:04197300656D6954E1 -:041974000074756F17 -:04197500252E752581 -:041976006D75322E2B -:0419770000000073F9 +:04194A000001050093 +:04194B000001050092 +:04194C000001050091 +:04194D00000105048C +:04194E000001050A85 +:04194F00000129BEAC +:0419500000012ABAAE +:0419510000012ABAAD +:0419520000012ACE98 +:0419530000012ACE97 +:0419540000012ADA8A +:0419550000012ADE85 +:0419560000012AEC76 +:0419570000012AEC75 +:0419580000012B045B +:0419590000012CCC91 +:04195A0000012CD28A +:04195B0000012CD289 +:04195C0000012CCC8E +:04195D0000012CD683 +:04195E0000012B0455 +:04195F0000012CCC8B +:0419600000012CD284 +:041961000000000181 +:041962000205030077 +:04196300000000027E +:04196400000166A078 +:04196500000166B067 +:04196600000166BC5A +:04196700000166C84D +:04196800000166D440 +:04196900000166E033 +:04196A00000166EC26 +:04196B00000166F819 +:04196C00000167040B +:04196D0000016710FE +:04196E000001671CF1 +:04196F0074204B4F46 +:041970006E69206F0D +:041971000000746995 +:04197200736C6146EB +:041973007274206505 +:0419740065676769D3 +:0419750000000072FC +:04197600656D6954DE +:041977000074756F14 :04197800252E75257E :041979006D75322E28 -:04197A0075252F732D -:04197B00322E252EB5 -:04197C0000736D7512 -:04197D004353534F2E -:04197E007766202048 -:04197F007525202E7C -:04198000322E252EB0 -:04198100000061758C -:0419820074696E49CD -:0419830072726520F7 -:041984002020726F3E -:0419850000006425D5 -:0419860020202020DD -:0419870053204F4E4C -:0419880000434E5971 -:041989003431303293 -:04198A003130322D99 -:04198B006D20203972 -:04198C0073717261A0 -:04198D000000000056 -:04198E000D80600068 -:04198F0000402010E4 -:041990000804022025 -:0419910000004022F0 -:041992000000000051 -:041993000DC0600023 -:0419940000783C118A -:04199500070180E0E6 -:041996000000180E27 -:04199700000000004C -:041998000D8060005E -:0419990000301804FE -:04199A0002014060A6 -:04199B00000014062E -:04199C000000000047 -:04199D002520732569 -:04199E000063257548 -:04199F00252E752557 -:0419A0006B75322E03 -:0419A10025207A483B -:0419A2002E252E754B -:0419A3007A487532D7 -:0419A400000000003F -:0419A500747365549E -:0419A60074617020D8 -:0419A7006E72657483 -:0419A800000000003B -:0419A9003A31564138 -:0419AA00424752203E -:0419AB0000000053E5 +:04197A0000000073F6 +:04197B00252E75257B +:04197C006D75322E25 +:04197D0075252F732A +:04197E00322E252EB2 +:04197F0000736D750F +:041980004353534F2B +:041981007766202045 +:041982007525202E79 +:04198300322E252EAD +:041984000000617589 +:0419850074696E49CA +:0419860072726520F4 +:041987002020726F3B +:0419880000006425D2 +:0419890020202020DA +:04198A0053204F4E49 +:04198B0000434E596E +:04198C003431303290 +:04198D003130322D96 +:04198E006D2020396F +:04198F00737172619D +:041990000000000053 +:041991000D80600065 +:0419920000402010E1 +:041993000804022022 +:0419940000004022ED +:04199500000000004E +:041996000DC0600020 +:0419970000783C1187 +:04199800070180E0E3 +:041999000000180E24 +:04199A000000000049 +:04199B000D8060005B +:04199C0000301804FB +:04199D0002014060A3 +:04199E00000014062B +:04199F000000000044 +:0419A0002520732566 +:0419A1000063257545 +:0419A200252E752554 +:0419A3006B75322E00 +:0419A40025207A4838 +:0419A5002E252E7548 +:0419A6007A487532D4 +:0419A700000000003C +:0419A800747365549B +:0419A90074617020D5 +:0419AA006E72657480 +:0419AB000000000038 :0419AC003A31564135 -:0419AD00734752200A -:0419AE0000000042F3 +:0419AD00424752203B +:0419AE0000000053E2 :0419AF003A31564132 -:0419B0006250592008 -:0419B1000000725070 -:0419B2003A3256412E +:0419B0007347522007 +:0419B10000000042F0 +:0419B2003A3156412F :0419B3006250592005 :0419B400000072506D :0419B5003A3256412B -:0419B6007347522001 -:0419B70000000042EA -:0419B8003A33564127 -:0419B900424752202F -:0419BA00000056488B +:0419B6006250592002 +:0419B700000072506A +:0419B8003A32564128 +:0419B90073475220FE +:0419BA0000000042E7 :0419BB003A33564124 :0419BC00424752202C -:0419BD0000000053D3 +:0419BD000000564888 :0419BE003A33564121 -:0419BF0073475220F8 -:0419C00000000042E1 +:0419BF004247522029 +:0419C00000000053D0 :0419C1003A3356411E -:0419C20062505920F6 -:0419C300000072505E -:0419C4007473614C8B -:0419C50065737520B1 -:0419C60000000064B9 -:0419C700000000001C -:0419C800000000011A -:0419C900000000001A +:0419C20073475220F5 +:0419C30000000042DE +:0419C4003A3356411B +:0419C50062505920F3 +:0419C600000072505B +:0419C7007473614C88 +:0419C80065737520AE +:0419C90000000064B6 :0419CA000000000019 -:0419CB000000000018 -:0419CC000000010016 -:0419CD000101010013 -:0419CE000000010014 -:0419CF000000000014 -:0419D0000100000012 -:0419D100060B000001 -:0419D20001000044CC -:0419D3000000000010 -:0419D400000100000E -:0419D5008080000C02 -:0419D6001A1A1A803F -:0419D70000000A08FA -:0419D80000012F9843 -:0419D9000001302EAB -:0419DA0000013036A2 -:0419DB0000013026B1 -:0419DC0000013032A4 -:0419DD000001303A9B -:0419DE000001302AAA -:0419DF000001308053 -:0419E0000001303E94 -:0419E100000130428F -:0419E200000130468A -:0419E300000130804F -:0419E400000130804E -:0419E500000130804D -:0419E600000130804C -:0419E700000130804B -:0419E8000001349A2C -:0419E9000001308A3F -:0419EA000001317651 -:0419EB000001318640 -:0419EC00000131A025 -:0419ED00000131DEE6 -:0419EE00000131C201 -:0419EF00000131F4CE -:0419F00000013300BF -:0419F100000133526C -:0419F2000001337449 -:0419F300656E6F446A -:0419F40000000000EF -:0419F5006C69614672 -:0419F6000000646524 -:0419F700666F725055 -:0419F8002075252E03 -:0419F9000073392519 -:0419FA00756C3425AF -:0419FB0063256325D8 -:0419FC006C25202016 -:0419FD000000007571 -:0419FE00656E694C5D -:0419FF00746C756D22 -:041A0000646F6D2082 -:041A010000003A6542 -:041A02007365727026 -:041A03002D312073EE -:041A040000000035A9 -:041A050020787525AB -:041A060075736E7511 -:041A0700726F70701A -:041A0800006465749D -:041A0900666F725042 -:041A0A0020656C697E -:041A0B0064616F6C37 -:041A0C000000003A9C -:041A0D00736572701B -:041A0E0075252073A7 -:041A0F000075252D0C -:041A10000001690464 -:041A1100000169085F -:041A12000001690C5A +:0419CB000000000117 +:0419CC000000000017 +:0419CD000000000016 +:0419CE000000000015 +:0419CF000000010013 +:0419D0000101010010 +:0419D1000000010011 +:0419D2000000000011 +:0419D300010000000F +:0419D400060B0000FE +:0419D50001000044C9 +:0419D600000000000D +:0419D700000100000B +:0419D8008080000CFF +:0419D9001A1A1A803C +:0419DA0000000A08F7 +:0419DB0000012FA434 +:0419DC000001303A9C +:0419DD000001304293 +:0419DE0000013032A2 +:0419DF000001303E95 +:0419E000000130468C +:0419E100000130369B +:0419E2000001308C44 +:0419E3000001304A85 +:0419E4000001304E80 +:0419E500000130527B +:0419E6000001308C40 +:0419E7000001308C3F +:0419E8000001308C3E +:0419E9000001308C3D +:0419EA000001308C3C +:0419EB00000134A61D +:0419EC000001309630 +:0419ED000001318242 +:0419EE000001319231 +:0419EF00000131AC16 +:0419F000000131EAD7 +:0419F100000131CEF2 +:0419F20000013200BE +:0419F3000001330CB0 +:0419F4000001335E5D +:0419F500000133803A +:0419F600656E6F4467 +:0419F70000000000EC +:0419F8006C6961466F +:0419F9000000646521 +:0419FA00666F725052 +:0419FB002075252E00 +:0419FC000073392516 +:0419FD00756C3425AC +:0419FE0063256325D5 +:0419FF006C25202013 +:041A0000000000756D +:041A0100656E694C59 +:041A0200746C756D1E +:041A0300646F6D207F +:041A040000003A653F +:041A05007365727023 +:041A06002D312073EB +:041A070000000035A6 +:041A080020787525A8 +:041A090075736E750E +:041A0A00726F707017 +:041A0B00006465749A +:041A0C00666F72503F +:041A0D0020656C697B +:041A0E0064616F6C34 +:041A0F000000003A99 +:041A10007365727018 +:041A110075252073A4 +:041A12000075252D09 :041A13000001691055 -:041A14000001681055 -:041A1500000169144F -:041A1600000169184A -:041A17000001691C45 +:041A14000001691450 +:041A1500000169184B +:041A16000001691C46 +:041A17000001681C46 :041A18000001692040 -:041A19000001743024 -:041A1A00000169243A +:041A1900000169243B +:041A1A000001692836 :041A1B000001692C31 -:041A1C00000169302C -:041A1D000001693823 -:041A1E000001693C1E -:041A1F000001694415 -:041A20000001694C0C -:041A21000001695403 -:041A22000001695CFA -:041A23000001696CE9 -:041A24000001697CD8 -:041A25000001698CC7 -:041A26000001699CB6 -:041A2700000169ACA5 -:041A2800000169BC94 -:041A2900000169C48B -:041A2A00000169CC82 -:041A2B003EA93E2969 -:041A2C003EE93E69E8 -:041A2D003E993E1987 -:041A2E003ED93E5906 -:041A2F003EC93E3935 -:041A30003E1D3E4DCC -:041A31003E2D3EED1B -:041A32003EAD3ECDBA -:041A33003E653E6D61 -:041A34001C483E010B -:041A35001C501C180D -:041A36001CC81CD0DC -:041A37005ED85E58BF -:041A380000003EB9B3 -:041A3900736572500F -:041A3A000000007335 -:041A3B00666E6F4321 -:041A3C00006D72695E -:041A3D006D73694D0F -:041A3E006863746104 -:041A3F006572202C80 -:041A40000079727443 -:041A41000000003170 -:041A4200000000326E -:041A4300000000336C -:041A4400000000346A -:041A45000000003667 -:041A46000000003765 -:041A47000000003863 -:041A48000000003961 -:041A4900554E454D64 -:041A4A000000000098 -:041A4B0000004B4FFD -:041A4C004B43414285 +:041A1C000001743C15 +:041A1D00000169302B +:041A1E000001693822 +:041A1F000001693C1D +:041A20000001694414 +:041A2100000169480F +:041A22000001695006 +:041A230000016958FD +:041A240000016960F4 +:041A250000016968EB +:041A260000016978DA +:041A270000016988C9 +:041A280000016998B8 +:041A2900000169A8A7 +:041A2A00000169B896 +:041A2B00000169C885 +:041A2C00000169D07C +:041A2D00000169D873 +:041A2E003EA93E2966 +:041A2F003EE93E69E5 +:041A30003E993E1984 +:041A31003ED93E5903 +:041A32003EC93E3932 +:041A33003E1D3E4DC9 +:041A34003E2D3EED18 +:041A35003EAD3ECDB7 +:041A36003E653E6D5E +:041A37001C483E0108 +:041A38001C501C180A +:041A39001CC81CD0D9 +:041A3A005ED85E58BC +:041A3B0000003EB9B0 +:041A3C00736572500C +:041A3D000000007332 +:041A3E00666E6F431E +:041A3F00006D72695B +:041A40006D73694D0C +:041A41006863746101 +:041A42006572202C7D +:041A43000079727440 +:041A4400000000316D +:041A4500000000326B +:041A46000000003369 +:041A47000000003467 +:041A48000000003664 +:041A49000000003762 +:041A4A000000003860 +:041A4B00000000395E +:041A4C00554E454D61 :041A4D000000000095 -:041A4E0000005055EF -:041A4F004E574F445B +:041A4E0000004B4FFA +:041A4F004B43414282 :041A50000000000092 -:041A51005446454C66 -:041A52000000000090 -:041A53004847495265 -:041A5400000000543A -:041A55004F464E4961 -:041A5600000000008C -:041A57005F44434C59 -:041A58004B43414279 -:041A59004847494C65 -:041A5A000000005434 -:041A5B004E41435362 -:041A5C00454E494C5E -:041A5D00444F4D5F46 -:041A5E00000000453F -:041A5F004E4143535E -:041A6000454E494C5A -:041A61005059545F25 -:041A6200000000453B -:041A63004E4143535A -:041A6400454E494C56 -:041A6500544E495F33 -:041A66000000002B51 -:041A67004E41435356 -:041A6800454E494C52 -:041A6900544E495F2F -:041A6A000000002D4B +:041A510000005055EC +:041A52004E574F4458 +:041A5300000000008F +:041A54005446454C63 +:041A5500000000008D +:041A56004847495262 +:041A57000000005437 +:041A58004F464E495E +:041A59000000000089 +:041A5A005F44434C56 +:041A5B004B43414276 +:041A5C004847494C62 +:041A5D000000005431 +:041A5E004E4143535F +:041A5F00454E494C5B +:041A6000444F4D5F43 +:041A6100000000453C +:041A62004E4143535B +:041A6300454E494C57 +:041A64005059545F22 +:041A65000000004538 +:041A66004E41435357 +:041A6700454E494C53 +:041A6800544E495F30 +:041A69000000002B4E +:041A6A004E41435353 :041A6B00454E494C4F -:041A6C00544C554D34 -:041A6D00444F4D5F36 -:041A6E00000000452F -:041A6F005341485047 -:041A700000002B4502 -:041A71005341485045 -:041A720000002D45FE -:041A7300464F525038 -:041A74005F454C4935 -:041A75004B544F4837 -:041A760000005945CE -:041A77006F727245D3 -:041A780000000072F8 -:041A790053206F4E39 -:041A7A006163204440 -:041A7B00642064720D -:041A7C00002E74655F -:041A7D0061766E49D7 -:041A7E002064696C0B -:041A7F0064616568D1 -:041A8000000072658B -:041A810061766E49D3 -:041A82002064696C07 -:041A83002072646801 -:041A84000043524386 -:041A850061766E49CF -:041A86002064696C03 -:041A870061746164C1 -:041A88004352432062 -:041A89000000000059 -:041A8A0061647055CE -:041A8B0063206574FB -:041A8C0065636E61BF -:041A8D0064656C6CB4 -:041A8E000000000054 -:041A8F0073616C46CD -:041A900065722068F3 -:041A91006520646107 -:041A9200000072726C -:041A930073616C46C9 -:041A940072652068EF -:041A950020657361F4 -:041A96000072726503 -:041A970073616C46C5 -:041A980072772068D9 -:041A990020657469E7 -:041A9A0000727265FF -:041A9B0073616C46C1 -:041A9C0065762068E3 -:041A9D0020666972E4 -:041A9E006C696166A8 -:041A9F000000000043 -:041AA00061766E49B4 -:041AA1002064696CE8 -:041AA20067616D69A2 -:041AA30000000065DA -:041AA4004353534F06 -:041AA500000000003D -:041AA600696C6156B0 -:041AA7006974616499 -:041AA8006420676EE1 -:041AA9000061746103 -:041AAA00622075251C -:041AAB007365747972 -:041AAC000000000036 -:041AAD00252E752548 -:041AAE002575322E3A -:041AAF000073257328 -:041AB00061647055A8 -:041AB100676E69747F -:041AB2000057462073 -:041AB30061656C708D -:041AB40077206573BF -:041AB5002E746961C1 -:041AB60000002E2ED0 -:041AB7006972655695 -:041AB8006E69796674 -:041AB9006C662067D0 -:041ABA0000687361EC -:041ABB0061656C7085 -:041ABC0072206573BC -:041ABD006174736578 -:041ABE00000074723E -:041ABF007274655286 -:041AC000676E69796B -:041AC10064707520B8 -:041AC20000657461E6 -:041AC3006164705595 -:041AC400203F6574E6 -:041AC5002C593D312A -:041AC6004E3D32203F -:041AC700000000001B -:041AC8006D7269468C -:041AC900657261776A -:041ACA0064707520AF -:041ACB006465746179 -:041ACC000000000016 -:041ACD0000013D3A9D -:041ACE0000013D0ACC -:041ACF0000013CE4F2 -:041AD00000013CFCD9 -:041AD10000013DECE7 -:041AD20000013DECE6 -:041AD30000013EAE22 -:041AD40000013ED4FB -:041AD50000013EE0EE -:041AD60000013F1CB0 -:041AD70000013EF0DC -:041AD80000013E00CB -:041AD90000013E00CA -:041ADA0000013E4485 -:041ADB0000013E7E4A -:041ADC0000013E7E49 -:041ADD0064206425F8 -:041ADE0000000042C2 -:041ADF00252E752516 -:041AE0002075322E0D -:041AE1000000737519 -:041AE2006C207525DA -:041AE30073656E6950 -:041AE40000000000FE -:041AE5006C206F4EB4 -:041AE600006B6E69BA -:041AE7000001867400 -:041AE80000000002F8 -:041AE90000013BE4D9 -:041AEA00000172BCC9 -:041AEB00000172C8BC -:041AEC00000172D0B3 -:041AED0070207525CB -:041AEE006C65786942 -:041AEF000000007380 -:041AF00000018676F5 -:041AF1000000000EE3 -:041AF20000013C288B -:041AF300706D653C71 -:041AF400003E7974C3 -:041AF500203A7525F9 -:041AF6000000732554 -:041AF7002525752507 -:041AF80000000000EA -:041AF9006564695661 -:041AFA006E69206F82 -:041AFB006F72702076 -:041AFC003E20206305 -:041AFD0000000000E5 -:041AFE00706D615353 -:041AFF00676E696C39 -:041B000074706F206E -:041B01003E20202E34 -:041B020000000000DF -:041B0300636E795341 -:041B040074706F206A -:041B05002020202E4E -:041B06003E2020203D -:041B070000000000DA -:041B08007074754F31 -:041B09006F20747560 -:041B0A00202E7470A5 -:041B0B003E20202038 -:041B0C0000000000D5 -:041B0D006E6163534F -:041B0E00656E696C2B -:041B0F0074706F205F -:041B10003E20202E25 -:041B110000000000D0 -:041B120074736F5029 -:041B13006F72702D50 -:041B140020202E63FC -:041B15003E2020202E -:041B160000000000CB -:041B1700706D6F433B -:041B18006269746129 -:041B190074696C6916 -:041B1A003E202079D0 -:041B1B0000000000C6 -:041B1C006964754142 -:041B1D00706F206F56 -:041B1E006E6F697409 -:041B1F003E202073D1 -:041B200000000000C1 -:041B21007474655320 -:041B220073676E690E -:041B230074706F204B -:041B24003E2020201F -:041B250000000000BC -:041B2600616F4C3C63 -:041B27007270206454 -:041B28006C69666F0F -:041B2900003E2065F5 -:041B2A007661533C51 -:041B2B00727020654F -:041B2C006C69666F0B -:041B2D00003E2065F1 -:041B2E007365523C4D -:041B2F007320746546 -:041B300069747465FB -:041B31003E73676E2A -:041B320000000000AF -:041B33006B6E694C20 -:041B34006F7270203C -:041B3500693E2D6672 -:041B36007475706EE4 -:041B370000000000AA -:041B38006B6E694C1B -:041B3900706E692041 -:041B3A003E2D747553 -:041B3B00666F7270EF -:041B3C0000000000A5 -:041B3D0074696E4910 -:041B3E00206C61694D -:041B3F0075706E69E6 -:041B4000000000742D -:041B41006F74754107 -:041B420065746564FD -:041B4300692074633E -:041B44007475706ED6 -:041B4500000000009C -:041B46006F74754102 -:041B470031564120B2 -:041B4800472F5920AA -:041B49000000007325 -:041B4A006F747541FE -:041B4B0032564120AD -:041B4C00472F5920A6 -:041B4D000000007321 -:041B4E006F747541FA -:041B4F0033564120A8 -:041B5000472F5920A2 -:041B5100000000731D -:041B52002044434C9C -:041B530074204C426C -:041B54006F656D69E3 -:041B550000007475A3 -:041B56002044534F85 -:041B570062616E65F4 -:041B58000000656CB8 +:041A6C00544E495F2C +:041A6D000000002D48 +:041A6E00454E494C4C +:041A6F00544C554D31 +:041A7000444F4D5F33 +:041A7100000000452C +:041A72005341485044 +:041A730000002B45FF +:041A74005341485042 +:041A750000002D45FB +:041A7600464F525035 +:041A77005F454C4932 +:041A78004B544F4834 +:041A790000005945CB +:041A7A006F727245D0 +:041A7B0000000072F5 +:041A7C0053206F4E36 +:041A7D00616320443D +:041A7E00642064720A +:041A7F00002E74655C +:041A800061766E49D4 +:041A81002064696C08 +:041A820064616568CE +:041A83000000726588 +:041A840061766E49D0 +:041A85002064696C04 +:041A860020726468FE +:041A87000043524383 +:041A880061766E49CC +:041A89002064696C00 +:041A8A0061746164BE +:041A8B00435243205F +:041A8C000000000056 +:041A8D0061647055CB +:041A8E0063206574F8 +:041A8F0065636E61BC +:041A900064656C6CB1 +:041A91000000000051 +:041A920073616C46CA +:041A930065722068F0 +:041A94006520646104 +:041A95000000727269 +:041A960073616C46C6 +:041A970072652068EC +:041A980020657361F1 +:041A99000072726500 +:041A9A0073616C46C2 +:041A9B0072772068D6 +:041A9C0020657469E4 +:041A9D0000727265FC +:041A9E0073616C46BE +:041A9F0065762068E0 +:041AA00020666972E1 +:041AA1006C696166A5 +:041AA2000000000040 +:041AA30061766E49B1 +:041AA4002064696CE5 +:041AA50067616D699F +:041AA60000000065D7 +:041AA7004353534F03 +:041AA800000000003A +:041AA900696C6156AD +:041AAA006974616496 +:041AAB006420676EDE +:041AAC000061746100 +:041AAD006220752519 +:041AAE00736574796F +:041AAF000000000033 +:041AB000252E752545 +:041AB1002575322E37 +:041AB2000073257325 +:041AB30061647055A5 +:041AB400676E69747C +:041AB5000057462070 +:041AB60061656C708A +:041AB70077206573BC +:041AB8002E746961BE +:041AB90000002E2ECD +:041ABA006972655692 +:041ABB006E69796671 +:041ABC006C662067CD +:041ABD0000687361E9 +:041ABE0061656C7082 +:041ABF0072206573B9 +:041AC0006174736575 +:041AC100000074723B +:041AC2007274655283 +:041AC300676E697968 +:041AC40064707520B5 +:041AC50000657461E3 +:041AC6006164705592 +:041AC700203F6574E3 +:041AC8002C593D3127 +:041AC9004E3D32203C +:041ACA000000000018 +:041ACB006D72694689 +:041ACC006572617767 +:041ACD0064707520AC +:041ACE006465746176 +:041ACF000000000013 +:041AD00000013D468E +:041AD10000013D16BD +:041AD20000013CF0E3 +:041AD30000013D08C9 +:041AD40000013DF8D8 +:041AD50000013DF8D7 +:041AD60000013EBA13 +:041AD70000013EE0EC +:041AD80000013EECDF +:041AD90000013F28A1 +:041ADA0000013EFCCD +:041ADB0000013E0CBC +:041ADC0000013E0CBB +:041ADD0000013E5076 +:041ADE0000013E8A3B +:041ADF0000013E8A3A +:041AE00064206425F5 +:041AE10000000042BF +:041AE200252E752513 +:041AE3002075322E0A +:041AE4000000737516 +:041AE5006C207525D7 +:041AE60073656E694D +:041AE70000000000FB +:041AE8006C206F4EB1 +:041AE900006B6E69B7 +:041AEA0000018680F1 +:041AEB0000000002F5 +:041AEC0000013BF0CA +:041AED00000172C8BA +:041AEE00000172D4AD +:041AEF00000172DCA4 +:041AF00070207525C8 +:041AF1006C6578693F +:041AF200000000737D +:041AF30000018682E6 +:041AF4000000000EE0 +:041AF50000013C347C +:041AF600706D653C6E +:041AF700003E7974C0 +:041AF800203A7525F6 +:041AF9000000732551 +:041AFA002525752504 +:041AFB0000000000E7 +:041AFC00656469565E +:041AFD006E69206F7F +:041AFE006F72702073 +:041AFF003E20206302 +:041B000000000000E1 +:041B0100706D61534F +:041B0200676E696C35 +:041B030074706F206B +:041B04003E20202E31 +:041B050000000000DC +:041B0600636E79533E +:041B070074706F2067 +:041B08002020202E4B +:041B09003E2020203A +:041B0A0000000000D7 +:041B0B007074754F2E +:041B0C006F2074755D +:041B0D00202E7470A2 +:041B0E003E20202035 +:041B0F0000000000D2 +:041B10006E6163534C +:041B1100656E696C28 +:041B120074706F205C +:041B13003E20202E22 +:041B140000000000CD +:041B150074736F5026 +:041B16006F72702D4D +:041B170020202E63F9 +:041B18003E2020202B +:041B190000000000C8 +:041B1A00706D6F4338 +:041B1B006269746126 +:041B1C0074696C6913 +:041B1D003E202079CD +:041B1E0000000000C3 +:041B1F00696475413F +:041B2000706F206F53 +:041B21006E6F697406 +:041B22003E202073CE +:041B230000000000BE +:041B2400747465531D +:041B250073676E690B +:041B260074706F2048 +:041B27003E2020201C +:041B280000000000B9 +:041B2900616F4C3C60 +:041B2A007270206451 +:041B2B006C69666F0C +:041B2C00003E2065F2 +:041B2D007661533C4E +:041B2E00727020654C +:041B2F006C69666F08 +:041B3000003E2065EE +:041B31007365523C4A +:041B32007320746543 +:041B330069747465F8 +:041B34003E73676E27 +:041B350000000000AC +:041B36006B6E694C1D +:041B37006F72702039 +:041B3800693E2D666F +:041B39007475706EE1 +:041B3A0000000000A7 +:041B3B006B6E694C18 +:041B3C00706E69203E +:041B3D003E2D747550 +:041B3E00666F7270EC +:041B3F0000000000A2 +:041B400074696E490D +:041B4100206C61694A +:041B420075706E69E3 +:041B4300000000742A +:041B44006F74754104 +:041B450065746564FA +:041B4600692074633B +:041B47007475706ED3 +:041B48000000000099 +:041B49006F747541FF +:041B4A0031564120AF +:041B4B00472F5920A7 +:041B4C000000007322 +:041B4D006F747541FB +:041B4E0032564120AA +:041B4F00472F5920A3 +:041B5000000000731E +:041B51006F747541F7 +:041B520033564120A5 +:041B5300472F59209F +:041B5400000000731A +:041B55002044434C99 +:041B560074204C4269 +:041B57006F656D69E0 +:041B580000007475A0 :041B59002044534F82 -:041B5A0074617473CB -:041B5B00642073751A -:041B5C002E7073690B -:041B5D000000000084 -:041B5E00706D493C21 -:041B5F002074726F0D -:041B600074746573C1 -:041B61003E20202ED4 -:041B6200000000007F -:041B63002E77463C57 -:041B64006470752014 -:041B65002065746122 -:041B66003E202020DD -:041B6700000000007A -:041B68006E776F44E1 -:041B69006D61732D0A -:041B6A006E696C70C4 -:041B6B00000000670F -:041B6C0070617753DA -:041B6D0066656C201D -:041B6E0069722F74F5 -:041B6F00007468672F -:041B70002D6572501D -:041B71002043444188 -:041B72006E696167D0 -:041B7300000000006E -:041B74006C6C7546DA -:041B75002058542080 -:041B760075746573AA -:041B770000000070FA -:041B7800203356417F -:041B790065746E69B8 -:041B7A0063616C72C5 -:041B7B0078696665BA -:041B7C000000000065 -:041B7D00203356417A -:041B7E0020657375F6 -:041B7F00203156417A -:041B80000042475286 -:041B810061666544F0 -:041B820020746C75EA -:041B8300494D44483C -:041B8400434956205B -:041B8500000000005C -:041B8600616E6150DB -:041B8700696E6F73A1 -:041B8800616820630D -:041B890000006B638A -:041B8A0069726F48C5 -:041B8B00746E6F7A8B -:041B8C006D206C61FB -:041B8D00006B736115 -:041B8E0074726556B2 -:041B8F006C616369B9 -:041B900073616D20F0 -:041B91000000006BE5 -:041B92006B73614DC3 -:041B93006C6F6320F0 -:041B94000000726F6C +:041B5A0062616E65F1 +:041B5B000000656CB5 +:041B5C002044534F7F +:041B5D0074617473C8 +:041B5E006420737517 +:041B5F002E70736908 +:041B60000000000081 +:041B6100706D493C1E +:041B62002074726F0A +:041B630074746573BE +:041B64003E20202ED1 +:041B6500000000007C +:041B66002E77463C54 +:041B67006470752011 +:041B6800206574611F +:041B69003E202020DA +:041B6A000000000077 +:041B6B006E776F44DE +:041B6C006D61732D07 +:041B6D006E696C70C1 +:041B6E00000000670C +:041B6F0070617753D7 +:041B700066656C201A +:041B710069722F74F2 +:041B7200007468672C +:041B73002D6572501A +:041B74002043444185 +:041B75006E696167CD +:041B7600000000006B +:041B77006C6C7546D7 +:041B7800205854207D +:041B790075746573A7 +:041B7A0000000070F7 +:041B7B00203356417C +:041B7C0065746E69B5 +:041B7D0063616C72C2 +:041B7E0078696665B7 +:041B7F000000000062 +:041B80002033564177 +:041B810020657375F3 +:041B82002031564177 +:041B83000042475283 +:041B840061666544ED +:041B850020746C75E7 +:041B8600494D444839 +:041B87004349562058 +:041B88000000000059 +:041B8900616E6150D8 +:041B8A00696E6F739E +:041B8B00616820630A +:041B8C0000006B6387 +:041B8D0069726F48C2 +:041B8E00746E6F7A88 +:041B8F006D206C61F8 +:041B9000006B736112 +:041B910074726556AF +:041B92006C616369B6 +:041B930073616D20ED +:041B94000000006BE2 :041B95006B73614DC0 -:041B960069726220EE -:041B97006E74686799 -:041B980000737365FE -:041B990065766552B6 -:041B9A0020657372DD -:041B9B000046504C64 -:041B9C005949443C23 -:041B9D0074616C20E3 -:041B9E006574202E1C -:041B9F00003E74731D -:041BA0006E616353BC -:041BA100656E696C98 -:041BA20000000073CC -:041BA300202E6C5331 -:041BA400657274737F -:041BA5006874676E8B -:041BA600000000003B -:041BA700202E6C532D -:041BA8007262796884 -:041BA90073206469D8 -:041BAA00002E727423 -:041BAB00202E6C5329 -:041BAC006874656D87 -:041BAD000000646F61 +:041B96006C6F6320ED +:041B97000000726F69 +:041B98006B73614DBD +:041B990069726220EB +:041B9A006E74686796 +:041B9B0000737365FB +:041B9C0065766552B3 +:041B9D0020657372DA +:041B9E000046504C61 +:041B9F005949443C20 +:041BA00074616C20E0 +:041BA1006574202E19 +:041BA200003E74731A +:041BA3006E616353B9 +:041BA400656E696C95 +:041BA50000000073C9 +:041BA600202E6C532E +:041BA700657274737C +:041BA8006874676E88 +:041BA9000000000038 +:041BAA00202E6C532A +:041BAB007262796881 +:041BAC0073206469D5 +:041BAD00002E727420 :041BAE00202E6C5326 -:041BAF0065746C618C -:041BB00074616E727C -:041BB10000676E69F2 -:041BB200202E6C5322 -:041BB30067696C6191 -:041BB4006E656D6E7F -:041BB50000000074B8 -:041BB600202E6C531E -:041BB70020746C61C9 -:041BB80065746E6979 -:041BB9006C61767273 -:041BBA000000000027 -:041BBB00202E6C5319 -:041BBC006570797463 +:041BAF006874656D84 +:041BB0000000646F5E +:041BB100202E6C5323 +:041BB20065746C6189 +:041BB30074616E7279 +:041BB40000676E69EF +:041BB500202E6C531F +:041BB60067696C618E +:041BB7006E656D6E7C +:041BB80000000074B5 +:041BB900202E6C531B +:041BBA0020746C61C6 +:041BBB0065746E6976 +:041BBC006C61767270 :041BBD000000000024 -:041BBE004320203C64 -:041BBF006F74737557 -:041BC0006C53206DD5 -:041BC1003E20202E74 -:041BC200000000001F -:041BC3007030343218 -:041BC4003838322F4C -:041BC50072702070AA -:041BC6000000636F49 -:041BC700703438330B -:041BC8003030342F56 -:041BC90072702070A6 -:041BCA000000636F45 -:041BCB006930383411 -:041BCC003637352F44 -:041BCD0072702069A9 -:041BCE000000636F41 -:041BCF007030383406 -:041BD0003637352F40 -:041BD100727020709E -:041BD2000000636F3D -:041BD3006930363906 -:041BD4003830312F45 -:041BD50070206930E3 -:041BD60000636F72C7 -:041BD700656E694C82 -:041BD8006D207832D2 -:041BD9000065646FD0 +:041BBE00202E6C5316 +:041BBF006570797460 +:041BC0000000000021 +:041BC1004320203C61 +:041BC2006F74737554 +:041BC3006C53206DD2 +:041BC4003E20202E71 +:041BC500000000001C +:041BC6007030343215 +:041BC7003838322F49 +:041BC80072702070A7 +:041BC9000000636F46 +:041BCA007034383308 +:041BCB003030342F53 +:041BCC0072702070A3 +:041BCD000000636F42 +:041BCE00693038340E +:041BCF003637352F41 +:041BD00072702069A6 +:041BD1000000636F3E +:041BD2007030383403 +:041BD3003637352F3D +:041BD400727020709B +:041BD5000000636F3A +:041BD6006930363903 +:041BD7003830312F42 +:041BD80070206930E0 +:041BD90000636F72C4 :041BDA00656E694C7F -:041BDB006D207833CE +:041BDB006D207832CF :041BDC000065646FCD :041BDD00656E694C7C -:041BDE006D207834CA +:041BDE006D207833CB :041BDF000065646FCA :041BE000656E694C79 -:041BE1006D207835C6 +:041BE1006D207834C7 :041BE2000065646FC7 :041BE300656E694C76 -:041BE40066207835CA -:041BE500616D726F4D -:041BE6000000007487 -:041BE70078363532E5 -:041BE8002030343243 -:041BE900657073614F -:041BEA000000746320 -:041BEB006D205854BD -:041BEC000065646FBD -:041BED00494D4448D2 -:041BEE0043544920F3 -:041BEF0000000000F2 -:041BF0006C616E4175 -:041BF1007320676F87 -:041BF20020636E7985 -:041BF3000046504C0C -:041BF4006C616E4171 -:041BF5007320676F83 -:041BF60020636E7981 -:041BF70000687456B8 -:041BF8006E79734847 -:041BF9006F74206382 -:041BFA006172656C43 -:041BFB000065636EB0 -:041BFC006E79735635 -:041BFD006874206385 -:041BFE006873657231 -:041BFF0000646C6FA3 -:041C00004C502D48CF -:041C01007250204CB1 -:041C02006F432D659A -:041C03000074736195 -:041C04004C502D48CB -:041C05006F50204CB0 -:041C0600432D747383 -:041C07007473616F22 -:041C080000000000D8 -:041C090070303834CB -:041C0A00206E6920BF -:041C0B00706D617324 -:041C0C000072656C91 -:041C0D0070303034CF -:041C0E00206E6920BB -:041C0F00706D617320 -:041C10000072656C8D -:041C11006F6C6C4147 -:041C1200565420778D -:041C130050482050C5 -:041C140078324C4C8A -:041C150000000000CB -:041C16006F6C6C4142 -:041C1700707520774D -:041C1800706D617317 -:041C19007832656C4C -:041C1A0000000000C6 -:041C1B007664413C6E -:041C1C006974202E99 -:041C1D00676E696D18 -:041C1E003E20202024 -:041C1F0000000000C1 -:041C20006564695638 -:041C2100504C206F94 -:041C22000000004678 -:041C23005062505962 -:041C24006E69207253 -:041C25006C6F43207D -:041C26000061705396 -:041C270072502F5276 -:041C280066666F205D -:041C2900007465736B -:041C2A0020592F47C7 -:041C2B007366666F07 -:041C2C0000007465DB -:041C2D0062502F4290 -:041C2E0066666F2057 -:041C2F000074657365 -:041C300072502F526D -:041C3100696167205E -:041C32000000006E40 -:041C330020592F47BE -:041C34006E6961670D -:041C350000000000AB -:041C360062502F4287 -:041C37006961672058 -:041C38000000006E3A -:041C39002D65725053 -:041C3A0020434441BE -:041C3B006E69614726 -:041C3C0000000000A4 -:041C3D002D6275534C -:041C3E00656E696CFA -:041C3F0073203120BD -:041C400000007274BA -:041C41002D62755348 -:041C4200656E696CF6 -:041C430073203220B8 -:041C440000007274B6 -:041C45002D62755344 -:041C4600656E696CF2 -:041C470073203320B3 -:041C480000007274B2 -:041C49002D62755340 -:041C4A00656E696CEE -:041C4B0073203420AE -:041C4C0000007274AE -:041C4D002D6275533C -:041C4E00656E696CEA -:041C4F0073203520A9 -:041C500000007274AA -:041C51002D62755338 -:041C5200756C6F63DB -:041C530031206E6D61 -:041C54007274732013 -:041C5500000000008B -:041C56002D62755333 -:041C5700756C6F63D6 -:041C580032206E6D5B -:041C5900727473200E -:041C5A000000000086 -:041C5B002D6275532E -:041C5C00756C6F63D1 -:041C5D0033206E6D55 -:041C5E007274732009 -:041C5F000000000081 -:041C60002D62755329 -:041C6100756C6F63CC -:041C620034206E6D4F -:041C63007274732004 -:041C6400000000007C -:041C65002D62755324 -:041C6600756C6F63C7 -:041C670035206E6D49 -:041C680072747320FF -:041C69000000000077 -:041C6A002D6275531F -:041C6B00756C6F63C2 -:041C6C0036206E6D43 -:041C6D0072747320FA -:041C6E000000000072 -:041C6F0073202E4868 -:041C70006C706D61C6 -:041C710074617265C3 -:041C72000000006509 -:041C730073202E4864 -:041C74007461722EF7 -:041C75006461206521 -:041C76000000006A00 -:041C770073202E4860 -:041C78006C636E79B2 -:041C790000006E6594 -:041C7A0062202E486E -:041C7B00706B6361C6 -:041C7C006863726FB8 -:041C7D000000000063 -:041C7E0061202E486B -:041C7F0076697463AB -:041C800000000065FB -:041C810073202E5648 -:041C82006C636E79A8 -:041C830000006E658A -:041C840062202E5656 -:041C8500706B6361BC -:041C86006863726FAE -:041C87000000000059 -:041C880061202E5653 -:041C890076697463A1 -:041C8A0000000065F1 -:041C8B00706D6153C4 -:041C8C00676E696CAA -:041C8D0061687020FA -:041C8E00000065737A -:041C8F0063616C42DF -:041C90000000006BE5 -:041C910065756C42C7 -:041C9200000000004E -:041C930065657247CA -:041C94000000006EDE -:041C95006E617943C0 -:041C9600000000004A -:041C9700006465522E -:041C98006567614DCE -:041C99000061746E04 -:041C9A006C6C6559B0 -:041C9B000000776F5F -:041C9C0074696857A8 -:041C9D0000000065DE -:041C9E000066664F27 -:041C9F0072727543A5 -:041CA00020746E65D9 -:041CA10075706E6983 -:041CA20000000074CA -:041CA300206C6C4104 +:041BE4006D207835C3 +:041BE5000065646FC4 +:041BE600656E694C73 +:041BE70066207835C7 +:041BE800616D726F4A +:041BE9000000007484 +:041BEA0078363532E2 +:041BEB002030343240 +:041BEC00657073614C +:041BED00000074631D +:041BEE006D205854BA +:041BEF000065646FBA +:041BF000494D4448CF +:041BF10043544920F0 +:041BF20000000000EF +:041BF3006C616E4172 +:041BF4007320676F84 +:041BF50020636E7982 +:041BF6000046504C09 +:041BF7006C616E416E +:041BF8007320676F80 +:041BF90020636E797E +:041BFA0000687456B5 +:041BFB006E79734844 +:041BFC006F7420637F +:041BFD006172656C40 +:041BFE000065636EAD +:041BFF006E79735632 +:041C00006874206381 +:041C0100687365722D +:041C020000646C6F9F +:041C03004C502D48CC +:041C04007250204CAE +:041C05006F432D6597 +:041C06000074736192 +:041C07004C502D48C8 +:041C08006F50204CAD +:041C0900432D747380 +:041C0A007473616F1F +:041C0B0000000000D5 +:041C0C0070303834C8 +:041C0D00206E6920BC +:041C0E00706D617321 +:041C0F000072656C8E +:041C100070303034CC +:041C1100206E6920B8 +:041C1200706D61731D +:041C13000072656C8A +:041C14006F6C6C4144 +:041C1500565420778A +:041C160050482050C2 +:041C170078324C4C87 +:041C180000000000C8 +:041C19006F6C6C413F +:041C1A00707520774A +:041C1B00706D617314 +:041C1C007832656C49 +:041C1D0000000000C3 +:041C1E007664413C6B +:041C1F006974202E96 +:041C2000676E696D15 +:041C21003E20202021 +:041C220000000000BE +:041C23006564695635 +:041C2400504C206F91 +:041C25000000004675 +:041C2600506250595F +:041C27006E69207250 +:041C28006C6F43207A +:041C29000061705393 +:041C2A0072502F5273 +:041C2B0066666F205A +:041C2C000074657368 +:041C2D0020592F47C4 +:041C2E007366666F04 +:041C2F0000007465D8 +:041C300062502F428D +:041C310066666F2054 +:041C32000074657362 +:041C330072502F526A +:041C3400696167205B +:041C35000000006E3D +:041C360020592F47BB +:041C37006E6961670A +:041C380000000000A8 +:041C390062502F4284 +:041C3A006961672055 +:041C3B000000006E37 +:041C3C002D65725050 +:041C3D0020434441BB +:041C3E006E69614723 +:041C3F0000000000A1 +:041C40002D62755349 +:041C4100656E696CF7 +:041C420073203120BA +:041C430000007274B7 +:041C44002D62755345 +:041C4500656E696CF3 +:041C460073203220B5 +:041C470000007274B3 +:041C48002D62755341 +:041C4900656E696CEF +:041C4A0073203320B0 +:041C4B0000007274AF +:041C4C002D6275533D +:041C4D00656E696CEB +:041C4E0073203420AB +:041C4F0000007274AB +:041C50002D62755339 +:041C5100656E696CE7 +:041C520073203520A6 +:041C530000007274A7 +:041C54002D62755335 +:041C5500756C6F63D8 +:041C560031206E6D5E +:041C57007274732010 +:041C58000000000088 +:041C59002D62755330 +:041C5A00756C6F63D3 +:041C5B0032206E6D58 +:041C5C00727473200B +:041C5D000000000083 +:041C5E002D6275532B +:041C5F00756C6F63CE +:041C600033206E6D52 +:041C61007274732006 +:041C6200000000007E +:041C63002D62755326 +:041C6400756C6F63C9 +:041C650034206E6D4C +:041C66007274732001 +:041C67000000000079 +:041C68002D62755321 +:041C6900756C6F63C4 +:041C6A0035206E6D46 +:041C6B0072747320FC +:041C6C000000000074 +:041C6D002D6275531C +:041C6E00756C6F63BF +:041C6F0036206E6D40 +:041C700072747320F7 +:041C7100000000006F +:041C720073202E4865 +:041C73006C706D61C3 +:041C740074617265C0 +:041C75000000006506 +:041C760073202E4861 +:041C77007461722EF4 +:041C7800646120651E +:041C79000000006AFD +:041C7A0073202E485D +:041C7B006C636E79AF +:041C7C0000006E6591 +:041C7D0062202E486B +:041C7E00706B6361C3 +:041C7F006863726FB5 +:041C80000000000060 +:041C810061202E4868 +:041C820076697463A8 +:041C830000000065F8 +:041C840073202E5645 +:041C85006C636E79A5 +:041C860000006E6587 +:041C870062202E5653 +:041C8800706B6361B9 +:041C89006863726FAB +:041C8A000000000056 +:041C8B0061202E5650 +:041C8C00766974639E +:041C8D0000000065EE +:041C8E00706D6153C1 +:041C8F00676E696CA7 +:041C900061687020F7 +:041C91000000657377 +:041C920063616C42DC +:041C93000000006BE2 +:041C940065756C42C4 +:041C9500000000004B +:041C960065657247C7 +:041C97000000006EDB +:041C98006E617943BD +:041C99000000000047 +:041C9A00006465522B +:041C9B006567614DCB +:041C9C000061746E01 +:041C9D006C6C6559AD +:041C9E000000776F5C +:041C9F0074696857A5 +:041CA00000000065DB +:041CA1000066664F24 +:041CA20072727543A2 +:041CA30020746E65D6 :041CA40075706E6980 -:041CA5000000737454 -:041CA60042734752EC -:041CA7000000000039 -:041CA80050625059DD -:041CA90000000072C5 -:041CAA000000733291 -:041CAB00000073358D -:041CAC000073303160 -:041CAD00000073338D -:041CAE00007330335C -:041CAF002D706F54D1 -:041CB0007466656C85 -:041CB100000000002F -:041CB200746E6543A4 -:041CB3000000726556 -:041CB40074746F4293 -:041CB500722D6D6FB0 -:041CB600746867697E -:041CB7000000000029 -:041CB8002066664FED -:041CB9002073662806 -:041CBA003639203D5A -:041CBB00297A486BCF -:041CBC000000000024 -:041CBD002020783239 -:041CBE002073662801 -:041CBF003834203D58 -:041CC000297A486BCA -:041CC100000000001F -:041CC20000706F54EB -:041CC30074746F4284 -:041CC40000006D6F40 -:041CC50069726F4889 -:041CC600746E6F7A4F -:041CC70000006C614C -:041CC8007472655677 -:041CC9006C6163697E -:041CCA000000000016 -:041CCB0069726F4883 -:041CCC002B202E7A21 -:041CCD0072655620C6 -:041CCE0000002E7470 -:041CCF007473754372 -:041CD00000006D6F34 -:041CD100746C754D6D -:041CD200696C706960 -:041CD300697461636C -:041CD40000006E6F2F -:041CD500746275536D -:041CD6007463617260 -:041CD700006E6F69C3 -:041CD8006F7475416F -:041CD9000000000007 -:041CDA0000006E4F49 -:041CDB00494D4448E3 -:041CDC004752282023 -:041CDD000000294298 +:041CA50000000074C7 +:041CA600206C6C4101 +:041CA70075706E697D +:041CA8000000737451 +:041CA90042734752E9 +:041CAA000000000036 +:041CAB0050625059DA +:041CAC0000000072C2 +:041CAD00000073328E +:041CAE00000073358A +:041CAF00007330315D +:041CB000000073338A +:041CB1000073303359 +:041CB2002D706F54CE +:041CB3007466656C82 +:041CB400000000002C +:041CB500746E6543A1 +:041CB6000000726553 +:041CB70074746F4290 +:041CB800722D6D6FAD +:041CB900746867697B +:041CBA000000000026 +:041CBB002066664FEA +:041CBC002073662803 +:041CBD003639203D57 +:041CBE00297A486BCC +:041CBF000000000021 +:041CC0002020783236 +:041CC10020736628FE +:041CC2003834203D55 +:041CC300297A486BC7 +:041CC400000000001C +:041CC50000706F54E8 +:041CC60074746F4281 +:041CC70000006D6F3D +:041CC80069726F4886 +:041CC900746E6F7A4C +:041CCA0000006C6149 +:041CCB007472655674 +:041CCC006C6163697B +:041CCD000000000013 +:041CCE0069726F4880 +:041CCF002B202E7A1E +:041CD00072655620C3 +:041CD10000002E746D +:041CD200747375436F +:041CD30000006D6F31 +:041CD400746C754D6A +:041CD500696C70695D +:041CD6006974616369 +:041CD70000006E6F2C +:041CD800746275536A +:041CD900746361725D +:041CDA00006E6F69C0 +:041CDB006F7475416C +:041CDC000000000004 +:041CDD0000006E4F46 :041CDE00494D4448E0 -:041CDF00435928201D -:041CE00034724362B5 -:041CE100002934346E -:041CE200004956441B -:041CE30000373A3854 -:041CE4007373615065 -:041CE5007572687438 -:041CE60000000000FA -:041CE700656E694C71 -:041CE8002820783206 -:041CE90029626F629B -:041CEA0000000000F6 -:041CEB00656E694C6D -:041CEC00000078324A -:041CED00656E694C6B -:041CEE0032207832F6 -:041CEF0033783034E2 -:041CF000000030368A -:041CF100656E694C67 -:041CF20032207833F1 -:041CF30033783034DE -:041CF4000000303686 -:041CF500656E694C63 -:041CF60047207833D8 -:041CF70072656E653F -:041CF800000063691C -:041CF900656E694C5F -:041CFA0028207833F3 -:041CFB006563616C50 -:041CFC000000296457 -:041CFD00656E694C5B -:041CFE0028207834EE -:041CFF0029626F6285 -:041D000000000000DF -:041D0100656E694C56 -:041D02000000783332 -:041D0300656E694C54 -:041D0400000078342F -:041D0500656E694C52 -:041D0600000078352C -:041D0700303239310C -:041D080038303178C6 -:041D090000000030A6 -:041D0A00303036310E -:041D0B0030323178C9 +:041CDF004752282020 +:041CE0000000294295 +:041CE100494D4448DD +:041CE200435928201A +:041CE30034724362B2 +:041CE400002934346B +:041CE5000049564418 +:041CE60000373A3851 +:041CE7007373615062 +:041CE8007572687435 +:041CE90000000000F7 +:041CEA00656E694C6E +:041CEB002820783203 +:041CEC0029626F6298 +:041CED0000000000F3 +:041CEE00656E694C6A +:041CEF000000783247 +:041CF000656E694C68 +:041CF10032207832F3 +:041CF20033783034DF +:041CF3000000303687 +:041CF400656E694C64 +:041CF50032207833EE +:041CF60033783034DB +:041CF7000000303683 +:041CF800656E694C60 +:041CF90047207833D5 +:041CFA0072656E653C +:041CFB000000636919 +:041CFC00656E694C5C +:041CFD0028207833F0 +:041CFE006563616C4D +:041CFF000000296454 +:041D0000656E694C57 +:041D010028207834EA +:041D020029626F6281 +:041D030000000000DC +:041D0400656E694C53 +:041D0500000078332F +:041D0600656E694C51 +:041D0700000078342C +:041D0800656E694C4F +:041D09000000783529 +:041D0A003032393109 +:041D0B0038303178C3 :041D0C0000000030A3 -:041D0D003032393106 +:041D0D00303036310B :041D0E0030323178C6 :041D0F0000000030A0 -:041D1000656E654750 -:041D11002063697270 -:041D120000333A342C -:041D130078323135BC -:041D14002030343215 -:041D15006974706F0E -:041D160000002E6D2E -:041D170078343833B1 -:041D18002030343211 -:041D19006974706F0A -:041D1A0000002E6D2A -:041D1B0078303233B7 -:041D1C00203034320D -:041D1D006974706F06 -:041D1E0000002E6D26 -:041D1F0078363532AB -:041D20002030343209 -:041D21006974706F02 -:041D220000002E6D22 -:041D2300656E65473D -:041D2400206369725D -:041D2500393A3631E0 -:041D260000000000B9 -:041D27004D352E32D6 -:041D280028207A48AD -:041D29002978616D47 -:041D2A0000000000B5 -:041D2B00484D3031BE -:041D2C006D28207A84 -:041D2D0000296465C0 -:041D2E00484D3333B6 +:041D10003032393103 +:041D110030323178C3 +:041D1200000000309D +:041D1300656E65474D +:041D1400206369726D +:041D150000333A3429 +:041D160078323135B9 +:041D17002030343212 +:041D18006974706F0B +:041D190000002E6D2B +:041D1A0078343833AE +:041D1B00203034320E +:041D1C006974706F07 +:041D1D0000002E6D27 +:041D1E0078303233B4 +:041D1F00203034320A +:041D20006974706F03 +:041D210000002E6D23 +:041D220078363532A8 +:041D23002030343206 +:041D24006974706FFF +:041D250000002E6D1F +:041D2600656E65473A +:041D2700206369725A +:041D2800393A3631DD +:041D290000000000B6 +:041D2A004D352E32D3 +:041D2B0028207A48AA +:041D2C002978616D44 +:041D2D0000000000B2 +:041D2E00484D3031BB :041D2F006D28207A81 -:041D300000296E69AF -:041D310020414756B0 -:041D3200783034369B -:041D330040303034D8 -:041D34000000303744 -:041D350020414756AC -:041D36007830323798 -:041D370040303034D4 -:041D38000000303740 -:041D39002056544498 -:041D3A007030383499 -:041D3B0000000000A4 -:041D3C004153455674 -:041D3D0030343620E8 -:041D3E00303834788D -:041D3F0000303640FA -:041D40002E63655257 -:041D410031303620E7 -:041D4200000000009D +:041D300000296465BD +:041D3100484D3333B3 +:041D32006D28207A7E +:041D330000296E69AC +:041D340020414756AD +:041D35007830343698 +:041D360040303034D5 +:041D37000000303741 +:041D380020414756A9 +:041D39007830323795 +:041D3A0040303034D1 +:041D3B00000030373D +:041D3C002056544495 +:041D3D007030383496 +:041D3E0000000000A1 +:041D3F004153455671 +:041D400030343620E5 +:041D4100303834788A +:041D420000303640F7 :041D43002E63655254 -:041D440039303720DB +:041D440031303620E4 :041D4500000000009A -:041D4600484D353996 -:041D47004828207A8E -:041D48002056544489 -:041D490000294949DB -:041D4A00484D353398 -:041D4B004828207A8A -:041D4C002056544485 -:041D4D000000294920 -:041D4E00484D363195 -:041D4F004528207A89 -:041D50002956544478 -:041D5100000000008E -:041D52007A484D3945 -:041D530044532820AD -:041D540000295654B8 -:041D55006D20642574 -:041D56000000005633 -:041D57002020202008 -:041D580000007525ED -:041D5900000187DA24 -:041D5A000000002461 -:041D5B0000013BCA7E -:041D5C006420642576 -:041D5D0000006765B6 -:041D5E00252E752594 -:041D5F000075322EAB -:041D60006520642571 -:041D61006972746EC1 -:041D620000007365A5 -:041D63006F706D69C7 -:041D640064657472CC -:041D6500000000007A -:041D66006F706D49E4 -:041D6700203F747233 -:041D68002C593D3184 -:041D69004E3D322099 -:041D6A000000000075 -:041D6B0064616F4CF4 -:041D6C0020676E6915 -:041D6D0074746573B2 -:041D6E0073676E69C0 -:041D6F000000000070 -:041D70004452535531 -:041D71000041544198 -:041D72006573753CE4 -:041D730000003E64CA -:041D740000017500F5 -:041D7500000020004A -:041D760020002CE538 -:041D7700E926F4FD68 -:041D780038BC200053 -:041D79000000000066 -:041D7A000001750CE3 -:041D7B000000200044 -:041D7C002000323ED3 -:041D7D00F113FA0460 -:041D7E003B612000A5 -:041D7F000000000060 -:041D80000001514EBF -:041D81000001514EBE -:041D8200000150B458 -:041D830000015144C6 -:041D840000015242C6 -:041D85000001514EBA -:041D86007830323748 -:041D870000303034C4 -:041D88007830343645 -:041D890000303034C2 -:041D8A00303036318E -:041D8B003034327846 -:041D8C00C80000008B -:041D8D0007FE00F05D -:041D8E000FCA20C098 -:041D8F003210039675 -:041D9000002000022D -:041D91003038323183 -:041D9200303432783F -:041D9300A0000000AC -:041D9400061800F03D -:041D95000FAA20C0B1 -:041D960032100348BC -:041D97000001020243 -:041D98007830363930 -:041D990000303432B0 -:041D9A0078000000CD -:041D9B00049200F0BE -:041D9C000F8020C0D4 -:041D9D0032100336C7 -:041D9E00000004023B -:041D9F007832313530 -:041DA00000303432A9 -:041DA10040000000FE -:041DA20002AA00F0A1 -:041DA3000E4D20C001 -:041DA40032100332C4 -:041DA50000420810E0 -:041DA6007834383322 -:041DA70000303432A2 -:041DA8003000000007 -:041DA900020000F044 -:041DAA000E3B20C00C -:041DAB0032100325CA -:041DAC00008410207F -:041DAD007830323325 -:041DAE00003034329B -:041DAF002800000008 -:041DB00001AA00F094 -:041DB1000E3120C00F -:041DB2003210031FC9 -:041DB30001082040C3 -:041DB4007836353216 -:041DB5000030343294 -:041DB6002000000009 -:041DB700015500F0E2 -:041DB8000E2720C012 -:041DB90032100319C8 -:041DBA000210408053 -:041DBB00703034321E -:041DBC000000000023 -:041DBD005A080000C0 -:041DBE00035A00F0D4 -:041DBF000F3920C0F8 -:041DC0003210033E9C -:041DC1000000000E10 -:041DC2003030363156 -:041DC300303432780E -:041DC400C800004C07 -:041DC50007FE00F025 -:041DC60029CA2700FF -:041DC700321003963D -:041DC80000200002F5 -:041DC900303832314B -:041DCA0038383278FB -:041DCB00A000000074 -:041DCC0006180120D4 -:041DCD000FAA270032 -:041DCE003210034884 -:041DCF00000102020B -:041DD00078303639F8 -:041DD100003838326C -:041DD2007800000095 -:041DD3000492012055 -:041DD4000F80270055 -:041DD500321003368F -:041DD6000000040203 -:041DD70078323135F8 -:041DD8004C30343225 -:041DD9004000004284 -:041DDA0002AA00F069 -:041DDB00294D270067 -:041DDC00321003328C -:041DDD0000420810A8 -:041DDE0078343833EA -:041DDF004C3034321E -:041DE000300000428D -:041DE100020000F00C -:041DE200293B270072 -:041DE3003210032592 -:041DE4000084102047 -:041DE50078303233ED -:041DE6004C30343217 -:041DE700280000428E -:041DE80001AA00F05C -:041DE9002931270075 -:041DEA003210031F91 -:041DEB00010820408B -:041DEC0078363532DE -:041DED004C30343210 -:041DEE00200000428F -:041DEF00015500F0AA -:041DF0002927270078 -:041DF1003210031990 -:041DF200021040801B -:041DF30070383832DA -:041DF40000000000EB -:041DF5005A17000079 -:041DF6000360012065 -:041DF7001345270069 -:041DF8003210033F63 -:041DF9000000000ED8 -:041DFA0078303834D1 -:041DFB00003036334B -:041DFC003C000000A7 -:041DFD00025801681F -:041DFE000A3F2EE08A -:041DFF004410032663 -:041E00000000000ED0 -:041E010078303432CF -:041E02000030363343 -:041E030020000000BB -:041E0400012C016844 -:041E05000A182EE0A9 -:041E0600441003126F -:041E07000000810056 -:041E080070343833C7 -:041E090000000000D5 -:041E0A003E00000096 -:041E0B0002800180D0 -:041E0C001D3234E06F -:041E0D004410033E3C -:041E0E000000000EC2 -:041E0F003030363108 -:041E100030303478C2 -:041E1100C800000005 -:041E120007D0019064 -:041E130022783820D9 -:041E1400501002F078 -:041E150000000200C7 -:041E160078303237B7 -:041E17000030303433 -:041E18005A0000006C -:041E190003840190AD -:041E1A00224038200A -:041E1B005010026001 -:041E1C000000000CB6 -:041E1D0078303436AF -:041E1E00003030342C -:041E1F00500000006F -:041E2000032001900A -:041E21002230382013 -:041E220050100260FA -:041E23000000000CAF -:041E240078303436A8 -:041E2500003438331A -:041E26005000000068 -:041E27000320018013 -:041E28003F303D808A -:041E290050100260F3 -:041E2A000000000EA6 -:041E2B0069303834AE -:041E2C0000000000B2 -:041E2D005A06000051 -:041E2E00035A00F063 -:041E2F000F3941A086 -:041E30007210033EEB -:041E31000001020F9B -:041E320070303834A0 -:041E330000000000AB -:041E34005A0200004E -:041E3500035A01E06B -:041E36001E3C41A06D -:041E37009410063EBF -:041E38000000000C9A -:041E39007830343693 -:041E3A000030383408 -:041E3B005001000052 -:041E3C00032001E09E -:041E3D00213041A06F -:041E3E00941002609A -:041E3F000000000C93 -:041E4000783034368C -:041E41000032313505 -:041E4200500000004C -:041E43000320020076 -:041E44001C30470007 -:041E45009410026093 -:041E46000000000C8C -:041E4700693637358C -:041E48000000000096 -:041E49005A15000026 -:041E4A000360012010 -:041E4B0013454E20CD -:041E4C007210033FCE -:041E4D000001020F7F -:041E4E00703637357E -:041E4F00000000008F -:041E50005A11000023 -:041E510003600240E8 -:041E520027444E20B3 -:041E530084100540B2 -:041E54000000000C7E -:041E55007830303879 -:041E560000303036F2 -:041E57006400000023 -:041E58000420025808 -:041E590017584E8048 -:041E5A0010100480E0 -:041E5B00000000047F -:041E5C007030323779 -:041E5D000000000081 -:041E5E00A0040000DC -:041E5F00067202D035 -:041E600014DC5DC071 -:041E61001810052828 -:041E62000000000478 -:041E630034323031B4 -:041E6400383637785D -:041E650080000000F9 -:041E66000540030030 -:041E67001DA064C096 -:041E680010100688C8 -:041E69000000000471 -:041E6A0030383231A9 -:041E6B003230317868 -:041E6C00A00000349E -:041E6D0006980400CF -:041E6E0026F885408D -:041E6F0010100370DC -:041E7000000000046A -:041E7100783034365B -:041E72006930363964 -:041E7300500000001B -:041E7400032001E066 -:041E75002130834055 -:041E7600B410026042 -:041E77000000000D5A -:041E7800303830319D -:041E790000000069FC -:041E7A00F00500006F -:041E7B000898021CA5 -:041E7C0010948CA092 -:041E7D00B810052C68 -:041E7E000000000D53 -:041E7F003038303196 -:041E800000000070EE -:041E8100F01000005D -:041E82000898043880 -:041E830024948CA077 -:041E84001810052C01 -:041E85000000000455 -:041E86003030363191 -:041E8700303231784C -:041E8800C80000305E -:041E8900087004B029 -:041E8A002EFF9C404B -:041E8B00101003F13F -:041E8C00000000044E -:041E8D007665642FE3 -:041E8E006370652FE9 -:041E8F006F635F71AD -:041E90006F72746E8B -:041E910072656C6C9E -:041E9200615F305FFD -:041E93006D5F6C769D -:041E940000006D6578 -:041E95002B302D239E -:041E96000000002028 -:041E9700004C6C6827 -:041E980045676665CF -:041E990000004746B8 -:041E9A00333231307E -:041E9B00373635346D -:041E9C00424139384E -:041E9D00464544432F -:041E9E000000000040 -:041E9F003332313079 -:041EA0003736353468 -:041EA1006261393809 -:041EA20066656463AA -:041EA300000000003B -:041EA4001A808080A0 -:041EA50000081A1AFD +:041D46002E63655251 +:041D470039303720D8 +:041D48000000000097 +:041D4900484D353993 +:041D4A004828207A8B +:041D4B002056544486 +:041D4C0000294949D8 +:041D4D00484D353395 +:041D4E004828207A87 +:041D4F002056544482 +:041D5000000029491D +:041D5100484D363192 +:041D52004528207A86 +:041D53002956544475 +:041D5400000000008B +:041D55007A484D3942 +:041D560044532820AA +:041D570000295654B5 +:041D58006D20642571 +:041D59000000005630 +:041D5A002020202005 +:041D5B0000007525EA +:041D5C00000187E615 +:041D5D00000000245E +:041D5E0000013BD66F +:041D5F006420642573 +:041D600000006765B3 +:041D6100252E752591 +:041D62000075322EA8 +:041D6300652064256E +:041D64006972746EBE +:041D650000007365A2 +:041D66006F706D69C4 +:041D670064657472C9 +:041D68000000000077 +:041D69006F706D49E1 +:041D6A00203F747230 +:041D6B002C593D3181 +:041D6C004E3D322096 +:041D6D000000000072 +:041D6E0064616F4CF1 +:041D6F0020676E6912 +:041D700074746573AF +:041D710073676E69BD +:041D7200000000006D +:041D7300445253552E +:041D74000041544195 +:041D75006573753CE1 +:041D760000003E64C7 +:041D77000001750CE6 +:041D78000000200047 +:041D790020002CE535 +:041D7A00E926F4FD65 +:041D7B0038BC200050 +:041D7C000000000063 +:041D7D0000017518D4 +:041D7E000000200041 +:041D7F002000323ED0 +:041D8000F113FA045D +:041D81003B612000A2 +:041D8200000000005D +:041D83000001515AB0 +:041D84000001515AAF +:041D8500000150C049 +:041D860000015150B7 +:041D87000001524EB7 +:041D88000001515AAB +:041D89007830323745 +:041D8A0000303034C1 +:041D8B007830343642 +:041D8C0000303034BF +:041D8D00303036318B +:041D8E003034327843 +:041D8F00C800000088 +:041D900007FE00F05A +:041D91000FCA20C095 +:041D92003210039672 +:041D9300002000022A +:041D94003038323180 +:041D9500303432783C +:041D9600A0000000A9 +:041D9700061800F03A +:041D98000FAA20C0AE +:041D990032100348B9 +:041D9A000001020240 +:041D9B00783036392D +:041D9C0000303432AD +:041D9D0078000000CA +:041D9E00049200F0BB +:041D9F000F8020C0D1 +:041DA00032100336C4 +:041DA1000000040238 +:041DA200783231352D +:041DA30000303432A6 +:041DA40040000000FB +:041DA50002AA00F09E +:041DA6000E4D20C0FE +:041DA70032100332C1 +:041DA80000420810DD +:041DA900783438331F +:041DAA00003034329F +:041DAB003000000004 +:041DAC00020000F041 +:041DAD000E3B20C009 +:041DAE0032100325C7 +:041DAF00008410207C +:041DB0007830323322 +:041DB1000030343298 +:041DB2002800000005 +:041DB30001AA00F091 +:041DB4000E3120C00C +:041DB5003210031FC6 +:041DB60001082040C0 +:041DB7007836353213 +:041DB8000030343291 +:041DB9002000000006 +:041DBA00015500F0DF +:041DBB000E2720C00F +:041DBC0032100319C5 +:041DBD000210408050 +:041DBE00703034321B +:041DBF000000000020 +:041DC0005A080000BD +:041DC100035A00F0D1 +:041DC2000F3920C0F5 +:041DC3003210033E99 +:041DC4000000000E0D +:041DC5003030363153 +:041DC600303432780B +:041DC700C800004C04 +:041DC80007FE00F022 +:041DC90029CA2700FC +:041DCA00321003963A +:041DCB0000200002F2 +:041DCC003038323148 +:041DCD0038383278F8 +:041DCE00A000000071 +:041DCF0006180120D1 +:041DD0000FAA27002F +:041DD1003210034881 +:041DD2000001020208 +:041DD30078303639F5 +:041DD4000038383269 +:041DD5007800000092 +:041DD6000492012052 +:041DD7000F80270052 +:041DD800321003368C +:041DD9000000040200 +:041DDA0078323135F5 +:041DDB004C30343222 +:041DDC004000004281 +:041DDD0002AA00F066 +:041DDE00294D270064 +:041DDF003210033289 +:041DE00000420810A5 +:041DE10078343833E7 +:041DE2004C3034321B +:041DE300300000428A +:041DE400020000F009 +:041DE500293B27006F +:041DE600321003258F +:041DE7000084102044 +:041DE80078303233EA +:041DE9004C30343214 +:041DEA00280000428B +:041DEB0001AA00F059 +:041DEC002931270072 +:041DED003210031F8E +:041DEE000108204088 +:041DEF0078363532DB +:041DF0004C3034320D +:041DF100200000428C +:041DF200015500F0A7 +:041DF3002927270075 +:041DF400321003198D +:041DF5000210408018 +:041DF60070383832D7 +:041DF70000000000E8 +:041DF8005A17000076 +:041DF9000360012062 +:041DFA001345270066 +:041DFB003210033F60 +:041DFC000000000ED5 +:041DFD0078303834CE +:041DFE000030363348 +:041DFF003C000000A4 +:041E0000025801681B +:041E01000A3F2EE086 +:041E0200441003265F +:041E03000000000ECD +:041E040078303432CC +:041E05000030363340 +:041E060020000000B8 +:041E0700012C016841 +:041E08000A182EE0A6 +:041E0900441003126C +:041E0A000000810053 +:041E0B0070343833C4 +:041E0C0000000000D2 +:041E0D003E00000093 +:041E0E0002800180CD +:041E0F001D3234E06C +:041E10004410033E39 +:041E11000000000EBF +:041E12003030363105 +:041E130030303478BF +:041E1400C800000002 +:041E150007D0019061 +:041E160022783820D6 +:041E1700501002F075 +:041E180000000200C4 +:041E190078303237B4 +:041E1A000030303430 +:041E1B005A00000069 +:041E1C0003840190AA +:041E1D002240382007 +:041E1E0050100260FE +:041E1F000000000CB3 +:041E200078303436AC +:041E21000030303429 +:041E2200500000006C +:041E23000320019007 +:041E24002230382010 +:041E250050100260F7 +:041E26000000000CAC +:041E270078303436A5 +:041E28000034383317 +:041E29005000000065 +:041E2A000320018010 +:041E2B003F303D8087 +:041E2C0050100260F0 +:041E2D000000000EA3 +:041E2E0069303834AB +:041E2F0000000000AF +:041E30005A0600004E +:041E3100035A00F060 +:041E32000F3941A083 +:041E33007210033EE8 +:041E34000001020F98 +:041E3500703038349D +:041E360000000000A8 +:041E37005A0200004B +:041E3800035A01E068 +:041E39001E3C41A06A +:041E3A009410063EBC +:041E3B000000000C97 +:041E3C007830343690 +:041E3D000030383405 +:041E3E00500100004F +:041E3F00032001E09B +:041E4000213041A06C +:041E41009410026097 +:041E42000000000C90 +:041E43007830343689 +:041E44000032313502 +:041E45005000000049 +:041E46000320020073 +:041E47001C30470004 +:041E48009410026090 +:041E49000000000C89 +:041E4A006936373589 +:041E4B000000000093 +:041E4C005A15000023 +:041E4D00036001200D +:041E4E0013454E20CA +:041E4F007210033FCB +:041E50000001020F7C +:041E5100703637357B +:041E5200000000008C +:041E53005A11000020 +:041E540003600240E5 +:041E550027444E20B0 +:041E560084100540AF +:041E57000000000C7B +:041E58007830303876 +:041E590000303036EF +:041E5A006400000020 +:041E5B000420025805 +:041E5C0017584E8045 +:041E5D0010100480DD +:041E5E00000000047C +:041E5F007030323776 +:041E6000000000007E +:041E6100A0040000D9 +:041E6200067202D032 +:041E630014DC5DC06E +:041E64001810052825 +:041E65000000000475 +:041E660034323031B1 +:041E6700383637785A +:041E680080000000F6 +:041E6900054003002D +:041E6A001DA064C093 +:041E6B0010100688C5 +:041E6C00000000046E +:041E6D0030383231A6 +:041E6E003230317865 +:041E6F00A00000349B +:041E700006980400CC +:041E710026F885408A +:041E720010100370D9 +:041E73000000000467 +:041E74007830343658 +:041E75006930363961 +:041E76005000000018 +:041E7700032001E063 +:041E78002130834052 +:041E7900B41002603F +:041E7A000000000D57 +:041E7B00303830319A +:041E7C0000000069F9 +:041E7D00F00500006C +:041E7E000898021CA2 +:041E7F0010948CA08F +:041E8000B810052C65 +:041E81000000000D50 +:041E82003038303193 +:041E830000000070EB +:041E8400F01000005A +:041E8500089804387D +:041E860024948CA074 +:041E87001810052CFE +:041E88000000000452 +:041E8900303036318E +:041E8A003032317849 +:041E8B00C80000305B +:041E8C00087004B026 +:041E8D002EFF9C4048 +:041E8E00101003F13C +:041E8F00000000044B +:041E90007665642FE0 +:041E91006370652FE6 +:041E92006F635F71AA +:041E93006F72746E88 +:041E940072656C6C9B +:041E9500615F305FFA +:041E96006D5F6C769A +:041E970000006D6575 +:041E98002B302D239B +:041E99000000002025 +:041E9A00004C6C6824 +:041E9B0045676665CC +:041E9C0000004746B5 +:041E9D00333231307B +:041E9E00373635346A +:041E9F00424139384B +:041EA000464544432C +:041EA100000000003D +:041EA2003332313076 +:041EA3003736353465 +:041EA4006261393806 +:041EA50066656463A7 :041EA6000000000038 -:041EA7000000000037 -:041EA80000017A3487 +:041EA7001A8080809D +:041EA80000081A1AFA :041EA9000000000035 :041EAA000000000034 -:041EAB000001035CD3 -:041EAC000001041C11 -:041EAD00000101CE61 -:041EAE00000101EA44 -:041EAF0000010236F6 -:041EB00000800000AE -:041EB10000800000AD -:041EB200000000002C -:041EB300000000002B -:041EB400000000002A +:041EAB0000017A4078 +:041EAC000000000032 +:041EAD000000000031 +:041EAE000001035CD0 +:041EAF000001041C0E +:041EB000000101CE5E +:041EB100000101EA41 +:041EB20000010236F3 +:041EB30000800000AB +:041EB40000800000AA :041EB5000000000029 :041EB6000000000028 :041EB7000000000027 @@ -7889,629 +7889,629 @@ :041ED000000000000E :041ED100000000000D :041ED200000000000C -:041ED3000001017495 -:041ED400008000008A -:041ED5000100000008 -:041ED6000002010005 +:041ED300000000000B +:041ED400000000000A +:041ED5000000000009 +:041ED6000001017492 :041ED7000080000087 -:041ED8000000000105 -:041ED9000000008085 -:041EDA000001000003 -:041EDB000000010002 -:041EDC000000000002 -:041EDD00020E0409E4 -:041EDE003D0E00C8ED -:041EDF003F6E0383CC -:041EE0003ED03DAC07 -:041EE1000000038377 -:041EE200026404B2E0 -:041EE3003C9300E943 -:041EE4003F5604164B -:041EE5003E9F3D4996 -:041EE60000000416DE -:041EE700017804E595 -:041EE8003CCE00816B -:041EE9003FAE038382 -:041EEA003F333D49FC -:041EEB00000003836D -:041EEC0001B405B880 -:041EED003C490093D9 -:041EEE003F9F0416F8 -:041EEF003F103CD98B -:041EF00000000416D4 -:041EF1000001727802 -:041EF2000001727CFD -:041EF3000001728CEC -:041EF40000016694EF -:041EF500000166A4DE -:041EF600000166B0D1 -:041EF700000166BCC4 -:041EF800000166C8B7 -:041EF900000166D4AA -:041EFA00000166E09D -:041EFB00000166EC90 -:041EFC00000166F883 -:041EFD000001670475 -:041EFE000001671068 -:041EFF00000174402A -:041F00000001744C1C -:041F01000001745C0B -:041F02000001746CFA -:041F03000001747CE9 -:041F04000001748CD8 -:041F05000001744023 -:041F06000001744C16 -:041F07000001745C05 -:041F08000001746CF4 -:041F09000001747CE3 -:041F0A000001741C42 -:041F0B000001742835 -:041F0C000001743428 -:041F0D0000017278E5 -:041F0E00000172B4A8 -:041F0F00000172B0AB -:041F1000000172B8A2 -:041F11000001723C1D -:041F12000001724414 -:041F13000001724C0B -:041F14000001725402 -:041F15000001725CF9 -:041F160000017260F4 -:041F170000017268EB -:041F180000017270E2 -:041F1900000171BC96 -:041F1A0000000002C1 -:041F1B00000187E258 -:041F1C000AF0012C9A -:041F1D00000139087E -:041F1E00000171CC81 -:041F1F0000000002BC -:041F2000000187E84D -:041F210000130000A9 -:041F22000001390879 -:041F2300000171DC6C -:041F240000000002B7 -:041F2500000187EA46 -:041F260000FF000AAE -:041F27000001390874 -:041F2800000171E85B -:041F290000000002B2 -:041F2A00000187D655 -:041F2B0000FF0001B2 -:041F2C00000139086F -:041F2D00000171F846 -:041F2E0000000002AD -:041F2F00000187E046 -:041F3000078000C85E -:041F3100000139086A -:041F32000001720434 -:041F330000000002A8 -:041F3400000187DC45 -:041F350000070001A0 -:041F36000001390865 -:041F37000001721023 -:041F380000000002A3 -:041F3900000187E636 -:041F3A00003F000163 -:041F3B000001390860 -:041F3C00000172200E -:041F3D00000000029E -:041F3E00000187DE39 -:041F3F0004B000A04A -:041F4000000139085B -:041F41000001722CFD -:041F42000000000299 -:041F4300000187D83A -:041F4400001F00007A -:041F45000001390856 -:041F460000016DA089 -:041F47000000000096 -:041F48000001877E8F -:041F49000001000192 -:041F4A000001858885 -:041F4B0000016DB074 -:041F4C000000000091 -:041F4D000001877F89 -:041F4E00000100018D -:041F4F000001859078 -:041F500000016DC05F -:041F5100000000018B -:041F52000001878083 -:041F53000018000072 -:041F540000013BAE9F -:041F550000016DD04A -:041F56000000000087 -:041F57000001877985 -:041F58000001000183 -:041F5900000185906E -:041F5A0000016DE035 -:041F5B000000000082 -:041F5C000001877A7F -:041F5D00000100017E -:041F5E000001859069 -:041F5F0000016DF41C -:041F6000000000007D -:041F61000001877B79 -:041F62000001000179 -:041F63000001859064 -:041F640000016E0406 -:041F65000000000177 -:041F6600000187816E -:041F6700001F000057 -:041F680000013ADE5C -:041F690000016E18ED -:041F6A000000000073 -:041F6B000001877C6E -:041F6C00000100016F -:041F6D00000185905A -:041F6E00000170F40A -:041F6F00000000016D -:041F70000001875095 -:041F7100001000005C -:041F720000013AB67A -:041F730000017104F4 -:041F74000000000168 -:041F7500000187518F -:041F76000010000057 -:041F770000013AB675 -:041F780000017114DF -:041F79000000000163 -:041F7A000001875289 -:041F7B000010000052 -:041F7C0000013AB670 -:041F7D0000017124CA -:041F7E00000000015E -:041F7F000001875383 -:041F8000001000004D -:041F810000013AB66B -:041F820000017134B5 -:041F83000000000159 -:041F8400000187547D -:041F85000010000048 -:041F860000013AB666 -:041F870000017144A0 -:041F88000000000154 -:041F89000001875577 -:041F8A000010000043 -:041F8B0000013AB661 -:041F8C000001715887 -:041F8D00000000014F -:041F8E000001875671 -:041F8F00001000003E -:041F900000013AB65C -:041F91000001716C6E -:041F9200000000014A -:041F9300000187576B -:041F94000010000039 -:041F950000013AB657 -:041F96000001718055 -:041F97000000000145 -:041F98000001875865 -:041F99000010000034 -:041F9A0000013AB652 -:041F9B00000171943C -:041F9C000000000140 -:041F9D00000187595F -:041F9E00001000002F -:041F9F0000013AB64D -:041FA000000171A823 -:041FA100000000013B -:041FA2000001875A59 -:041FA300001000002A -:041FA40000013AB648 -:041FA50000016BE4E8 -:041FA6000000000334 -:041FA700000186248B -:041FA8000000000035 -:041FA9000000000034 -:041FAA0000016BF8CF -:041FAB00000000032F -:041FAC0000018604A6 -:041FAD000000000030 -:041FAE00000000002F -:041FAF0000016C0CB5 -:041FB000000000032A -:041FB1000001861C89 -:041FB200000000002B -:041FB300000000002A -:041FB40000016C209C -:041FB5000000000325 -:041FB600000185F4AD -:041FB7000000000026 -:041FB8000000000025 -:041FB90000016C3483 -:041FBA000000000320 -:041FBB000001860C8F -:041FBC000000000021 -:041FBD000000000020 -:041FBE0000016C486A -:041FBF00000000031B -:041FC000000185FC9B -:041FC100000000001C -:041FC200000000001B -:041FC30000016C5C51 -:041FC4000000000316 -:041FC500000185DCB6 -:041FC6000000000017 -:041FC7000000000016 -:041FC80000016C7038 -:041FC9000000000311 -:041FCA00000185D4B9 -:041FCB000000000012 -:041FCC000000000011 -:041FCD0000016C841F -:041FCE00000000030C -:041FCF000001861473 -:041FD000000000000D -:041FD100000000000C -:041FD20000016F0C8F +:041ED8000100000005 +:041ED9000002010002 +:041EDA000080000084 +:041EDB000000000102 +:041EDC000000008082 +:041EDD000001000000 +:041EDE0000000100FF +:041EDF0000000000FF +:041EE000020E0409E1 +:041EE1003D0E00C8EA +:041EE2003F6E0383C9 +:041EE3003ED03DAC04 +:041EE4000000038374 +:041EE500026404B2DD +:041EE6003C9300E940 +:041EE7003F56041648 +:041EE8003E9F3D4993 +:041EE90000000416DB +:041EEA00017804E592 +:041EEB003CCE008168 +:041EEC003FAE03837F +:041EED003F333D49F9 +:041EEE00000003836A +:041EEF0001B405B87D +:041EF0003C490093D6 +:041EF1003F9F0416F5 +:041EF2003F103CD988 +:041EF30000000416D1 +:041EF40000017284F3 +:041EF50000017288EE +:041EF60000017298DD +:041EF700000166A0E0 +:041EF800000166B0CF +:041EF900000166BCC2 +:041EFA00000166C8B5 +:041EFB00000166D4A8 +:041EFC00000166E09B +:041EFD00000166EC8E +:041EFE00000166F881 +:041EFF000001670473 +:041F00000001671065 +:041F01000001671C58 +:041F02000001744C1A +:041F0300000174580D +:041F040000017468FC +:041F050000017478EB +:041F060000017488DA +:041F070000017498C9 +:041F08000001744C14 +:041F09000001745807 +:041F0A0000017468F6 +:041F0B0000017478E5 +:041F0C0000017488D4 +:041F0D000001742833 +:041F0E000001743426 +:041F0F000001744019 +:041F100000017284D6 +:041F1100000172C099 +:041F1200000172BC9C +:041F1300000172C493 +:041F1400000172480E +:041F15000001725005 +:041F160000017258FC +:041F170000017260F3 +:041F180000017268EA +:041F19000001726CE5 +:041F1A0000017274DC +:041F1B000001727CD3 +:041F1C00000171C887 +:041F1D0000000002BE +:041F1E00000187EE49 +:041F1F000AF0012C97 +:041F2000000139146F +:041F2100000171D872 +:041F220000000002B9 +:041F2300000187F43E +:041F240000130000A6 +:041F2500000139146A +:041F2600000171E85D +:041F270000000002B4 +:041F2800000187F637 +:041F290000FF000AAB +:041F2A000001391465 +:041F2B00000171F44C +:041F2C0000000002AF +:041F2D00000187E246 +:041F2E0000FF0001AF +:041F2F000001391460 +:041F30000001720436 +:041F310000000002AA +:041F3200000187EC37 +:041F3300078000C85B +:041F3400000139145B +:041F35000001721025 +:041F360000000002A5 +:041F3700000187E836 +:041F3800000700019D +:041F39000001391456 +:041F3A000001721C14 +:041F3B0000000002A0 +:041F3C00000187F227 +:041F3D00003F000160 +:041F3E000001391451 +:041F3F000001722CFF +:041F4000000000029B +:041F4100000187EA2A +:041F420004B000A047 +:041F4300000139144C +:041F440000017238EE +:041F45000000000296 +:041F4600000187E42B +:041F4700001F000077 +:041F48000001391447 +:041F490000016DAC7A +:041F4A000000000093 +:041F4B000001878A80 +:041F4C00000100018F +:041F4D000001859476 +:041F4E0000016DBC65 +:041F4F00000000008E +:041F50000001878B7A +:041F5100000100018A +:041F52000001859C69 +:041F530000016DCC50 +:041F54000000000188 +:041F55000001878C74 +:041F5600001800006F +:041F570000013BBA90 +:041F580000016DDC3B +:041F59000000000084 +:041F5A000001878576 +:041F5B000001000180 +:041F5C000001859C5F +:041F5D0000016DEC26 +:041F5E00000000007F +:041F5F000001878670 +:041F6000000100017B +:041F61000001859C5A +:041F620000016E000C +:041F6300000000007A +:041F6400000187876A +:041F65000001000176 +:041F66000001859C55 +:041F670000016E10F7 +:041F68000000000174 +:041F69000001878D5F +:041F6A00001F000054 +:041F6B0000013AEA4D +:041F6C0000016E24DE +:041F6D000000000070 +:041F6E00000187885F +:041F6F00000100016C +:041F70000001859C4B +:041F710000017100FA +:041F7200000000016A +:041F73000001875C86 +:041F74000010000059 +:041F750000013AC26B +:041F760000017110E5 +:041F77000000000165 +:041F78000001875D80 +:041F79000010000054 +:041F7A0000013AC266 +:041F7B0000017120D0 +:041F7C000000000160 +:041F7D000001875E7A +:041F7E00001000004F +:041F7F0000013AC261 +:041F800000017130BB +:041F8100000000015B +:041F82000001875F74 +:041F8300001000004A +:041F840000013AC25C +:041F850000017140A6 +:041F86000000000156 +:041F8700000187606E +:041F88000010000045 +:041F890000013AC257 +:041F8A000001715091 +:041F8B000000000151 +:041F8C000001876168 +:041F8D000010000040 +:041F8E0000013AC252 +:041F8F000001716478 +:041F9000000000014C +:041F91000001876262 +:041F9200001000003B +:041F930000013AC24D +:041F9400000171785F +:041F95000000000147 +:041F9600000187635C +:041F97000010000036 +:041F980000013AC248 +:041F99000001718C46 +:041F9A000000000142 +:041F9B000001876456 +:041F9C000010000031 +:041F9D0000013AC243 +:041F9E00000171A02D +:041F9F00000000013D +:041FA0000001876550 +:041FA100001000002C +:041FA20000013AC23E +:041FA300000171B414 +:041FA4000000000138 +:041FA500000187664A +:041FA6000010000027 +:041FA70000013AC239 +:041FA80000016BF0D9 +:041FA9000000000331 +:041FAA00000186307C +:041FAB000000000032 +:041FAC000000000031 +:041FAD0000016C04BF +:041FAE00000000032C +:041FAF000001861097 +:041FB000000000002D +:041FB100000000002C +:041FB20000016C18A6 +:041FB3000000000327 +:041FB400000186287A +:041FB5000000000028 +:041FB6000000000027 +:041FB70000016C2C8D +:041FB8000000000322 +:041FB900000186009D +:041FBA000000000023 +:041FBB000000000022 +:041FBC0000016C4074 +:041FBD00000000031D +:041FBE000001861880 +:041FBF00000000001E +:041FC000000000001D +:041FC10000016C545B +:041FC2000000000318 +:041FC300000186088B +:041FC4000000000019 +:041FC5000000000018 +:041FC60000016C6842 +:041FC7000000000313 +:041FC800000185E8A7 +:041FC9000000000014 +:041FCA000000000013 +:041FCB0000016C7C29 +:041FCC00000000030E +:041FCD00000185E0AA +:041FCE00000000000F +:041FCF00000000000E +:041FD00000016C9010 +:041FD1000000000309 +:041FD2000001862064 :041FD300000000000A -:041FD4000001876120 -:041FD5000004000103 -:041FD6000001845C26 -:041FD70000016F1C7A -:041FD8000000000005 -:041FD900000187621A -:041FDA0000040001FE -:041FDB00000184700D -:041FDC0000016F2C65 -:041FDD000000000000 -:041FDE000001876314 -:041FDF0000030001FA -:041FE00000018484F4 -:041FE10000016F3C50 -:041FE20000000000FB -:041FE300000187640E -:041FE40000010001F7 -:041FE500000185A0D2 -:041FE60000016F4C3B -:041FE70000000000F6 -:041FE8000001876508 -:041FE90000010001F2 -:041FEA0000018598D5 -:041FEB0000016F5C26 -:041FEC0000000000F1 -:041FED000001875C0C -:041FEE0000040001EA -:041FEF0000017BFC76 -:041FF00000016F6815 -:041FF10000000000EC -:041FF2000001875D06 -:041FF30000050001E4 -:041FF40000017C105C -:041FF50000016F7404 -:041FF60000000000E7 -:041FF7000001875E00 -:041FF80000040001E0 -:041FF90000017BFC6C -:041FFA0000016F80F3 -:041FFB0000000000E2 -:041FFC000001875FFA -:041FFD0000040001DB -:041FFE0000017BFC67 -:041FFF0000016F8CE2 -:0420000000000000DC -:0420010000018760F3 -:0420020000020001D7 -:0420030000017C2834 -:0420040000016F9CCC -:0420050000000000D7 -:0420060000018766E8 -:0420070000010001D3 -:0420080000018580CE -:0420090000016FACB7 -:04200A0000000000D2 -:04200B000001876BDE -:04200C0000020001CD -:04200D00000184CC7E -:04200E0000016FB4AA -:04200F0000000000CD -:042010000001876CD8 -:0420110000010001C9 -:0420120000018590B4 -:0420130000016E2832 -:0420140000000001C7 -:0420150000018767D8 -:0420160000FF0000C7 -:0420170000013B98F1 -:0420180000016E381D -:0420190000000001C2 -:04201A0000018768D2 -:04201B00003F000082 -:04201C0000013B98EC -:04201D0000016E4808 -:04201E0000000000BE -:04201F000001876ACB -:0420200000070000B5 -:0420210000017C44FA -:0420220000016E54F7 -:0420230000000001B8 -:0420240000018769C7 -:04202500000F0000A8 -:0420260000013ADE9D -:0420270000016E64E2 -:0420280000000001B3 -:042029000001877DAE -:04202A00001F000093 -:04202B0000013ADE98 -:04202C0000016E70D1 -:04202D0000000004AB -:04202E000001263255 -:04202F0000016B9CA5 -:0420300000000000AC -:042031000001702416 -:0420320000000000AA -:042033000001876DB4 -:0420340000020001A5 -:04203500000184948E -:042036000001703401 -:0420370000000000A5 -:042038000001876EAE -:0420390000010001A1 -:04203A00000185B06C -:04203B0000017044EC -:04203C0000000000A0 -:04203D000001876FA8 -:04203E00000100019C -:04203F000001859087 -:0420400000017058D3 -:04204100000000009B -:0420420000018770A2 -:042043000001000197 -:042044000001859082 -:042045000001706CBA -:042046000000000393 -:04204700000185CC43 -:0420480000017564BA -:0420490000013892C8 -:04204A0000016E80A3 -:04204B000000000091 -:04204C0000018748C0 -:04204D00000200018C -:04204E00000184A069 -:04204F0000016E8C92 -:04205000000000018B -:042051000001874EB5 -:04205200000F00007B -:0420530000013B72DB -:0420540000016E9C7D -:042055000000000186 -:042056000001874AB4 -:04205700001C000069 -:0420580000013ADA6F -:0420590000016EAC68 -:04205A000000000082 -:04205B000001874BAE -:04205C00000100017E -:04205D00000185C039 -:04205E0000016EB857 -:04205F00000000007D -:042060000001874CA8 -:042061000001000179 -:042062000001859064 -:0420630000016EC842 -:042064000000000078 -:042065000001874FA0 -:042066000001000174 -:04206700000185B837 -:0420680000016ED82D -:042069000000000073 -:04206A000001874D9D -:04206B00000100016F -:04206C00000185905A -:04206D0000016EEC14 -:04206E00000000006E -:04206F00000187499C -:042070000003000168 -:04207100000184AC3A -:0420720000016EF803 -:042073000000000366 -:04207400000185E4FE -:042075000000000067 -:042076000000000066 -:0420770000016C9860 -:042078000000000460 -:0420790000012398A7 -:04207A0000016BC036 -:04207B000000000061 -:04207C0000016CA84B -:04207D00000000045B -:04207E00000123FA40 -:04207F0000016BC031 -:04208000000000005C -:0420810000016CB836 -:042082000000000456 -:0420830000012D74B7 -:042084000000000058 -:042085000000000057 -:0420860000016CCC1D -:042087000000000154 -:042088000001878943 -:04208900000A010147 -:04208A0000013BFE18 -:04208B0000016CE004 -:04208C000000000050 -:04208D00000186CAFE -:04208E00000100014C -:04208F000001859037 -:0420900000016CF4EB -:04209100000000004B -:04209200000186754E -:04209300000A00013E -:0420940000017BD0FC -:0420950000016D04D5 -:042096000000000046 -:042097000001874479 -:042098000002000141 -:0420990000017BC403 -:04209A0000016D18BC -:04209B000000000041 -:04209C00000186AA0F -:04209D00000100013D -:04209E00000185A810 -:04209F0000016D28A7 -:0420A000000000003C -:0420A1000001856F46 -:0420A2000001000138 -:0420A300000185A80B -:0420A40000016D3892 -:0420A5000000000037 -:0420A6000001867C33 -:0420A7000001000133 -:0420A800000185A806 -:0420A90000016D487D -:0420AA000000000032 -:0420AB00000186B6F4 -:0420AC00000300012C -:0420AD0000017C347E -:0420AE0000016D5868 -:0420AF00000000002D -:0420B0000001857432 -:0420B1000001000129 -:0420B2000001859014 -:0420B30000016D6457 -:0420B4000000000028 -:0420B500000185752C -:0420B6000003000122 -:0420B7000001844C54 -:0420B80000016D783E -:0420B900000000041F -:0420BA00000142D00F -:0420BB000000000021 -:0420BC000000000020 -:0420BD0000016D8C25 -:0420BE00000000041A -:0420BF00000134AC3C -:0420C000000000001C -:0420C100000000001B -:0420C20000016FC0EA +:041FD4000000000009 +:041FD50000016F1880 +:041FD6000000000007 +:041FD7000001876D11 +:041FD8000004000100 +:041FD9000001846817 +:041FDA0000016F286B +:041FDB000000000002 +:041FDC000001876E0B +:041FDD0000040001FB +:041FDE000001847CFE +:041FDF0000016F3856 +:041FE00000000000FD +:041FE1000001876F05 +:041FE20000030001F7 +:041FE30000018490E5 +:041FE40000016F4841 +:041FE50000000000F8 +:041FE60000018770FF +:041FE70000010001F4 +:041FE800000185ACC3 +:041FE90000016F582C +:041FEA0000000000F3 +:041FEB0000018771F9 +:041FEC0000010001EF +:041FED00000185A4C6 +:041FEE0000016F6817 +:041FEF0000000000EE +:041FF00000018768FD +:041FF10000040001E7 +:041FF20000017C0866 +:041FF30000016F7406 +:041FF40000000000E9 +:041FF50000018769F7 +:041FF60000050001E1 +:041FF70000017C1C4D +:041FF80000016F80F5 +:041FF90000000000E4 +:041FFA000001876AF1 +:041FFB0000040001DD +:041FFC0000017C085C +:041FFD0000016F8CE4 +:041FFE0000000000DF +:041FFF000001876BEB +:0420000000040001D7 +:0420010000017C0856 +:0420020000016F98D2 +:0420030000000000D9 +:042004000001876CE4 +:0420050000020001D4 +:0420060000017C3425 +:0420070000016FA8BD +:0420080000000000D4 +:0420090000018772D9 +:04200A0000010001D0 +:04200B000001858CBF +:04200C0000016FB8A8 +:04200D0000000000CF +:04200E0000018777CF +:04200F0000020001CA +:04201000000184D86F +:0420110000016FC09B +:0420120000000000CA +:0420130000018778C9 +:0420140000010001C6 +:042015000001859CA5 +:0420160000016E3423 +:0420170000000001C4 +:0420180000018773C9 +:0420190000FF0000C4 +:04201A0000013BA4E2 +:04201B0000016E440E +:04201C0000000001BF +:04201D0000018774C3 +:04201E00003F00007F +:04201F0000013BA4DD +:0420200000016E54F9 +:0420210000000000BB +:0420220000018776BC +:0420230000070000B2 +:0420240000017C50EB +:0420250000016E60E8 +:0420260000000001B5 +:0420270000018775B8 +:04202800000F0000A5 +:0420290000013AEA8E +:04202A0000016E70D3 +:04202B0000000001B0 +:04202C00000187899F +:04202D00001F000090 +:04202E0000013AEA89 +:04202F0000016E7CC2 +:0420300000000004A8 +:042031000001263E46 +:0420320000016BA896 +:0420330000000000A9 +:042034000001703007 +:0420350000000000A7 +:0420360000018779A5 +:0420370000020001A2 +:04203800000184A07F +:0420390000017040F2 +:04203A0000000000A2 +:04203B000001877A9F +:04203C00000100019E +:04203D00000185BC5D +:04203E0000017050DD +:04203F00000000009D +:042040000001877B99 +:042041000001000199 +:042042000001859C78 +:0420430000017064C4 +:042044000000000098 +:042045000001877C93 +:042046000001000194 +:042047000001859C73 +:0420480000017078AB +:042049000000000390 +:04204A00000185D834 +:04204B0000017570AB +:04204C000001389EB9 +:04204D0000016E8C94 +:04204E00000000008E +:04204F0000018754B1 +:042050000002000189 +:04205100000184AC5A +:0420520000016E9883 +:042053000000000188 +:042054000001875AA6 +:04205500000F000078 +:0420560000013B7ECC +:0420570000016EA86E +:042058000000000183 +:0420590000018756A5 +:04205A00001C000066 +:04205B0000013AE660 +:04205C0000016EB859 +:04205D00000000007F +:04205E00000187579F +:04205F00000100017B +:04206000000185CC2A +:0420610000016EC448 +:04206200000000007A +:042063000001875899 +:042064000001000176 +:042065000001859C55 +:0420660000016ED433 +:042067000000000075 +:042068000001875B91 +:042069000001000171 +:04206A00000185C428 +:04206B0000016EE41E +:04206C000000000070 +:04206D00000187598E +:04206E00000100016C +:04206F000001859C4B +:0420700000016EF805 +:04207100000000006B +:04207200000187558D +:042073000003000165 +:04207400000184B82B +:0420750000016F04F3 +:042076000000000363 +:04207700000185F0EF +:042078000000000064 +:042079000000000063 +:04207A0000016CA451 +:04207B00000000045D +:04207C00000123A498 +:04207D0000016BCC27 +:04207E00000000005E +:04207F0000016CB43C +:042080000000000458 +:042081000001240630 +:0420820000016BCC22 +:042083000000000059 +:0420840000016CC427 +:042085000000000453 +:0420860000012D80A8 +:042087000000000055 +:042088000000000054 +:0420890000016CD80E +:04208A000000000151 +:04208B000001879534 +:04208C00000A010144 +:04208D0000013C0A08 +:04208E0000016CECF5 +:04208F00000000004D +:04209000000186D6EF +:042091000001000149 +:042092000001859C28 +:0420930000016D00DB +:042094000000000048 +:04209500000186813F +:04209600000A00013B +:0420970000017BDCED +:0420980000016D10C6 +:042099000000000043 +:04209A00000187506A +:04209B00000200013E +:04209C0000017BD0F4 +:04209D0000016D24AD +:04209E00000000003E +:04209F00000186B600 +:0420A000000100013A +:0420A100000185B401 +:0420A20000016D3498 +:0420A3000000000039 +:0420A4000001857B37 +:0420A5000001000135 +:0420A600000185B4FC +:0420A70000016D4483 +:0420A8000000000034 +:0420A9000001868824 +:0420AA000001000130 +:0420AB00000185B4F7 +:0420AC0000016D546E +:0420AD00000000002F +:0420AE00000186C2E5 +:0420AF000003000129 +:0420B00000017C406F +:0420B10000016D6459 +:0420B200000000002A +:0420B3000001858023 +:0420B4000001000126 +:0420B5000001859C05 +:0420B60000016D7048 +:0420B7000000000025 +:0420B800000185811D +:0420B900000300011F +:0420BA000001845845 +:0420BB0000016D842F +:0420BC00000000041C +:0420BD00000142DC00 +:0420BE00000000001E +:0420BF00000000001D +:0420C00000016D9816 +:0420C1000000000417 +:0420C200000134B82D :0420C3000000000019 -:0420C400000187751B -:0420C5000003000113 -:0420C600000184BCD5 -:0420C70000016FD0D5 -:0420C8000000000113 -:0420C9000001877219 -:0420CA00001F0000F3 -:0420CB0000013B4E87 -:0420CC0000016FE0C0 -:0420CD00000000010E -:0420CE000001877313 -:0420CF0000FF00000E -:0420D00000013B0AC6 -:0420D10000016FF0AB -:0420D2000000000109 -:0420D300000187740D -:0420D40000C80A0036 -:0420D50000013B0AC1 -:0420D6000001700095 -:0420D7000000000104 -:0420D8000001877705 -:0420D90000050000FE -:0420DA0000013AF4D3 -:0420DB000001701080 -:0420DC0000000001FF -:0420DD0000018778FF -:0420DE0000050000F9 -:0420DF0000013AF4CE -:0420E000000170800B -:0420E10000000000FB -:0420E20000018776FC -:0420E30000050001F3 -:0420E400000184D89B -:0420E5000001708CFA -:0420E60000000000F6 -:0420E70000018771FC -:0420E80000020001F1 -:0420E900000184F07E -:0420EA000001709CE5 -:0420EB0000000001F0 -:0420EC0000018782E6 -:0420ED0000FF0000F0 -:0420EE0000013ADED5 -:0420EF00000170A8D4 -:0420F00000000001EB -:0420F10000018783E0 -:0420F20000FF0000EB -:0420F30000013ADED0 -:0420F400000170B4C3 -:0420F50000000001E6 -:0420F60000018784DA -:0420F70000FF0000E6 -:0420F80000013ADECB -:0420F900000170C0B2 -:0420FA0000000001E1 -:0420FB0000018785D4 -:0420FC0000FF0000E1 -:0420FD0000013ADEC6 -:0420FE00000170CCA1 -:0420FF0000000001DC -:0421000000018786CD -:0421010000FF0000DB -:0421020000013ADEC0 -:04210300000170D88F -:0421040000000001D6 -:0421050000018787C7 -:0421060000FF0000D6 -:0421070000013ADEBB -:04210800000170E47E -:0421090000000001D1 -:04210A0000018788C1 -:04210B00000F0000C1 -:04210C0000013ADEB6 -:04210D00000185EC5C -:04210E0000000000CD -:04210F0000000000CC -:0421100000000000CB +:0420C4000000000018 +:0420C50000016FCCDB +:0420C6000000000016 +:0420C700000187810C +:0420C8000003000110 +:0420C900000184C8C6 +:0420CA0000016FDCC6 +:0420CB000000000110 +:0420CC000001877E0A +:0420CD00001F0000F0 +:0420CE0000013B5A78 +:0420CF0000016FECB1 +:0420D000000000010B +:0420D1000001877F04 +:0420D20000FF00000B +:0420D30000013B16B7 +:0420D40000016FFC9C +:0420D5000000000106 +:0420D60000018780FE +:0420D70000C80A0033 +:0420D80000013B16B2 +:0420D9000001700C86 +:0420DA000000000101 +:0420DB0000018783F6 +:0420DC0000050000FB +:0420DD0000013B00C3 +:0420DE000001701C71 +:0420DF0000000001FC +:0420E00000018784F0 +:0420E10000050000F6 +:0420E20000013B00BE +:0420E3000001708CFC +:0420E40000000000F8 +:0420E50000018782ED +:0420E60000050001F0 +:0420E700000184E48C +:0420E80000017098EB +:0420E90000000000F3 +:0420EA000001877DED +:0420EB0000020001EE +:0420EC00000184FC6F +:0420ED00000170A8D6 +:0420EE0000000001ED +:0420EF000001878ED7 +:0420F00000FF0000ED +:0420F10000013AEAC6 +:0420F200000170B4C5 +:0420F30000000001E8 +:0420F4000001878FD1 +:0420F50000FF0000E8 +:0420F60000013AEAC1 +:0420F700000170C0B4 +:0420F80000000001E3 +:0420F90000018790CB +:0420FA0000FF0000E3 +:0420FB0000013AEABC +:0420FC00000170CCA3 +:0420FD0000000001DE +:0420FE0000018791C5 +:0420FF0000FF0000DE +:0421000000013AEAB6 +:04210100000170D891 +:0421020000000001D8 +:0421030000018792BE +:0421040000FF0000D8 +:0421050000013AEAB1 +:04210600000170E480 +:0421070000000001D3 +:0421080000018793B8 +:0421090000FF0000D3 +:04210A0000013AEAAC +:04210B00000170F06F +:04210C0000000001CE +:04210D0000018794B2 +:04210E00000F0000BE +:04210F0000013AEAA7 +:04211000000185F84D :0421110000000000CA :0421120000000000C9 -:04211300000172A8AD -:04211400000172ACA8 -:04211500000172B0A3 -:0421160000017278DA -:0421170000017390C0 -:04211800000173ACA3 -:042119000001740449 -:04211A000001740C40 -:04211B000001741437 -:04211C0000017390BB -:04211D00000173AC9E -:04211E00000173B495 -:04211F00000173C484 -:04212000000173D473 -:0421210000017390B6 -:042122000001739CA9 -:04212300000173E460 -:04212400000173F44F -:0421250000017360E2 -:04212600000174E45C -:04212700000174F04F -:0421280000017278C8 -:0421290000017360DE -:04212A0000017368D5 -:04212B000001731428 -:04212C00000173201B -:04212D000001732C0E -:04212E000001733CFD -:04212F000001749C9B -:04213000000174AC8A -:04213100000174B87D -:0421320000017278BE -:042133000001736CC8 -:0421340000017378BB -:0421350000017388AA -:0421360000017360D1 -:0421370000017278B9 -:042138000001751815 -:042139000001752804 -:04213A0000017538F3 -:04213B0000017548E2 -:04213C000001750029 -:04213D000001750C1C -:04213E0000017360C9 -:04213F00000000009C -:04214000000000009B -:04214100000000009A +:0421130000000000C8 +:0421140000000000C7 +:0421150000000000C6 +:04211600000172B49E +:04211700000172B899 +:04211800000172BC94 +:0421190000017284CB +:04211A000001739CB1 +:04211B00000173B894 +:04211C00000174103A +:04211D000001741831 +:04211E000001742028 +:04211F000001739CAC +:04212000000173B88F +:04212100000173C086 +:04212200000173D075 +:04212300000173E064 +:042124000001739CA7 +:04212500000173A89A +:04212600000173F051 +:04212700000174003F +:042128000001736CD3 +:04212900000174F04D +:04212A00000174FC40 +:04212B0000017284B9 +:04212C000001736CCF +:04212D0000017374C6 +:04212E000001732019 +:04212F000001732C0C +:0421300000017338FF +:0421310000017348EE +:04213200000174A88C +:04213300000174B87B +:04213400000174C46E +:0421350000017284AF +:0421360000017378B9 +:0421370000017384AC +:04213800000173949B +:042139000001736CC2 +:04213A0000017284AA +:04213B000001752406 +:04213C0000017534F5 +:04213D0000017544E4 +:04213E0000017554D3 +:04213F000001750C1A +:04214000000175180D +:042141000001736CBA :042142000000000099 :042143000000000098 :042144000000000097 @@ -8533,57 +8533,60 @@ :042154000000000087 :042155000000000086 :042156000000000085 -:04215700000164D649 -:04215800000185609D -:04215900000185609C -:04215A0000108010E1 -:04215B0001008000FF -:04215C00000240003D -:04215D00000001017C -:04215E0000028000FB -:04215F00000220005A -:0421600000017448BE -:042161000001738C7A -:04216200000172E026 -:04216300000172F411 -:04216400000172788C -:04216500000173689A -:042166000001739071 -:042167000001739C64 -:04216800000173906F -:04216900000173AC52 -:04216A000001729866 -:04216B00000172A05D -:04216C00000174C436 -:04216D00000174D425 -:04216E0000017308F1 -:04216F000001730CEC -:0421700000017344B3 -:0421710000017354A2 -:04217200000184FCE8 -:04217300000000095F -:0421740000017C6486 -:042175000000000363 -:0421760000017D18CF -:04217700000000055F -:0421780000017D5491 -:042179000000000B57 -:04217A0000017DB82B -:04217B000000000957 -:04217C0000017E944C -:04217D000000000D51 -:04217E0000017F4895 -:04217F000000000656 -:042180000001804C8E -:042181000000000555 -:04218200000180C414 -:04218300000000094F -:0421840000018128AD -:042185000000000F47 -:04218600000181DCF7 -:04218700000000064E -:0421880000018308C7 -:042189000000000949 -:04218A00000183804D -:04218B00C896554B52 +:042157000000000084 +:042158000000000083 +:042159000000000082 +:04215A00000164E23A +:04215B000001856C8E +:04215C000001856C8D +:04215D0000108010DE +:04215E0001008000FC +:04215F00000240003A +:042160000000010179 +:0421610000028000F8 +:042162000002200057 +:0421630000017454AF +:04216400000173986B +:04216500000172EC17 +:042166000001730001 +:04216700000172847D +:04216800000173748B +:042169000001739C62 +:04216A00000173A855 +:04216B000001739C60 +:04216C00000173B843 +:04216D00000172A457 +:04216E00000172AC4E +:04216F00000174D027 +:04217000000174E016 +:0421710000017314E2 +:0421720000017318DD +:0421730000017350A4 +:042174000001736093 +:0421750000018508D8 +:04217600000000095C +:0421770000017C7077 +:042178000000000360 +:0421790000017D24C0 +:04217A00000000055C +:04217B0000017D6082 +:04217C000000000B54 +:04217D0000017DC41C +:04217E000000000954 +:04217F0000017EA03D +:042180000000000D4E +:0421810000017F5486 +:042182000000000653 +:04218300000180587F +:042184000000000552 +:04218500000180D005 +:04218600000000094C +:04218700000181349E +:042188000000000F44 +:04218900000181E8E8 +:04218A00000000064B +:04218B0000018314B8 +:04218C000000000946 +:04218D000001838C3E +:04218E00C896554B4F :00000001FF diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 0005947..56d3891 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -687,7 +687,7 @@ void program_mode() osd_x_size = (video_modes[cm.id].v_active > 700) ? 1 : 0; osd_y_size = osd_x_size; } else { - osd_x_size = 1 - cm.tx_pixelrep + (cm.fpga_hmultmode == FPGA_H_MULTMODE_OPTIMIZED_1X); + osd_x_size = 1 - cm.tx_pixelrep + (cm.fpga_hmultmode == FPGA_H_MULTMODE_OPTIMIZED_1X) + (cm.fpga_vmultmode > FPGA_V_MULTMODE_3X); osd_y_size = 0; } osd->osd_config.x_size = osd_x_size;