From d548d532720d737df4df87eb915afcc2f67202bb Mon Sep 17 00:00:00 2001 From: marqs Date: Sat, 5 Nov 2016 15:39:36 +0200 Subject: [PATCH] Add dedicated SPI mode option to i2c_opencores. --- ip/i2c_opencores/i2c_master_bit_ctrl.v | 9 +- ip/i2c_opencores/i2c_master_byte_ctrl.v | 5 +- ip/i2c_opencores/i2c_master_top.v | 3 +- ip/i2c_opencores/i2c_opencores.v | 8 +- ip/i2c_opencores/i2c_opencores_hw.tcl | 17 +- .../mem_init/sys_onchip_memory2_0.hex | 1294 ++++++++--------- software/sys_controller_bsp/settings.bsp | 6 +- sys.qsys | 4 +- sys.sopcinfo | 8 +- 9 files changed, 681 insertions(+), 673 deletions(-) diff --git a/ip/i2c_opencores/i2c_master_bit_ctrl.v b/ip/i2c_opencores/i2c_master_bit_ctrl.v index 35c6e0e..b51e146 100644 --- a/ip/i2c_opencores/i2c_master_bit_ctrl.v +++ b/ip/i2c_opencores/i2c_master_bit_ctrl.v @@ -132,6 +132,9 @@ module i2c_master_bit_ctrl( scl_i, scl_o, scl_oen, sda_i, sda_o, sda_oen, spi_miso ); + // parameters + parameter dedicated_spi = 0; + // // inputs & outputs // @@ -587,7 +590,7 @@ module i2c_master_bit_ctrl( begin c_state <= #1 spi_wr_b; scl_oen <= #1 1'b0; // set SCL low - sda_oen <= #1 din; // set SDA + sda_oen <= #1 dedicated_spi ? din : 1'b1; // keep SDA tri-stated by default to avoid generating I2C start condition sda_chk <= #1 1'b0; // don't check SDA output end @@ -595,7 +598,7 @@ module i2c_master_bit_ctrl( begin c_state <= #1 spi_wr_c; scl_oen <= #1 1'b0; // keep SCL low - sda_oen <= #1 din; // keep SDA + sda_oen <= #1 din; // set/keep SDA sda_chk <= #1 1'b0; // don't check SDA output end @@ -612,7 +615,7 @@ module i2c_master_bit_ctrl( c_state <= #1 idle; cmd_ack <= #1 1'b1; scl_oen <= #1 1'b1; // tri-state SCL - sda_oen <= #1 din; // keep SDA + sda_oen <= #1 dedicated_spi ? din : 1'b1; // tri-state SDA by default to release bus for I2C mode sda_chk <= #1 1'b0; // don't check SDA output end diff --git a/ip/i2c_opencores/i2c_master_byte_ctrl.v b/ip/i2c_opencores/i2c_master_byte_ctrl.v index 1c39c9a..a25bd07 100644 --- a/ip/i2c_opencores/i2c_master_byte_ctrl.v +++ b/ip/i2c_opencores/i2c_master_byte_ctrl.v @@ -76,6 +76,9 @@ module i2c_master_byte_ctrl ( clk, rst, nReset, ena, clk_cnt, start, stop, read, write, ack_in, spi_mode, din, cmd_ack, ack_out, dout, i2c_busy, i2c_al, scl_i, scl_o, scl_oen, sda_i, sda_o, sda_oen, spi_miso ); + // parameters + parameter dedicated_spi = 0; + // // inputs & outputs // @@ -149,7 +152,7 @@ module i2c_master_byte_ctrl ( // // hookup bit_controller - i2c_master_bit_ctrl bit_controller ( + i2c_master_bit_ctrl #(.dedicated_spi(dedicated_spi)) bit_controller ( .clk ( clk ), .rst ( rst ), .nReset ( nReset ), diff --git a/ip/i2c_opencores/i2c_master_top.v b/ip/i2c_opencores/i2c_master_top.v index 5ee04c6..1e17e43 100644 --- a/ip/i2c_opencores/i2c_master_top.v +++ b/ip/i2c_opencores/i2c_master_top.v @@ -79,6 +79,7 @@ module i2c_master_top( // parameters parameter ARST_LVL = 1'b0; // asynchronous reset level + parameter dedicated_spi = 0; // // inputs & outputs @@ -232,7 +233,7 @@ module i2c_master_top( assign ien = ctr[6]; // hookup byte controller block - i2c_master_byte_ctrl byte_controller ( + i2c_master_byte_ctrl #(.dedicated_spi(dedicated_spi)) byte_controller ( .clk ( wb_clk_i ), .rst ( wb_rst_i ), .nReset ( rst_i ), diff --git a/ip/i2c_opencores/i2c_opencores.v b/ip/i2c_opencores/i2c_opencores.v index bfed1b9..3c28cee 100644 --- a/ip/i2c_opencores/i2c_opencores.v +++ b/ip/i2c_opencores/i2c_opencores.v @@ -11,7 +11,7 @@ module i2c_opencores scl_pad_io, sda_pad_io, spi_miso_pad_i ); -parameter always_drive_io = 0; +parameter dedicated_spi = 0; // Common bus signals input wb_clk_i; // WISHBONE clock @@ -43,7 +43,7 @@ wire scl_padoen_o; assign wb_cyc_i = wb_stb_i; assign scl_pad_i = scl_pad_io; -assign scl_pad_io = scl_padoen_o ? (always_drive_io ? 1'b1 : 1'bZ) : scl_pad_o; +assign scl_pad_io = scl_padoen_o ? (dedicated_spi ? 1'b1 : 1'bZ) : scl_pad_o; wire sda_pad_i; wire sda_pad_o; @@ -51,7 +51,7 @@ wire sda_pad_io; wire sda_padoen_o; assign sda_pad_i = sda_pad_io; -assign sda_pad_io = sda_padoen_o ? (always_drive_io ? 1'b1 : 1'bZ) : sda_pad_o; +assign sda_pad_io = sda_padoen_o ? (dedicated_spi ? 1'b1 : 1'bZ) : sda_pad_o; // Avalon doesn't have an asynchronous reset // set it to be inactive and just use synchronous reset @@ -61,7 +61,7 @@ wire arst_i; assign arst_i = 1'b1; // Connect the top level I2C core -i2c_master_top i2c_master_top_inst +i2c_master_top #(.dedicated_spi(dedicated_spi)) i2c_master_top_inst ( .wb_clk_i(wb_clk_i), .wb_rst_i(wb_rst_i), .arst_i(arst_i), diff --git a/ip/i2c_opencores/i2c_opencores_hw.tcl b/ip/i2c_opencores/i2c_opencores_hw.tcl index 3a94774..6c79f9b 100644 --- a/ip/i2c_opencores/i2c_opencores_hw.tcl +++ b/ip/i2c_opencores/i2c_opencores_hw.tcl @@ -18,7 +18,7 @@ package require -exact qsys 13.1 # # module i2c_opencores # -set_module_property DESCRIPTION "I2C Master Peripheral from opencores.org" +set_module_property DESCRIPTION "I2C Master Peripheral from opencores.org, plus SPI master (CPOL=1, CPHA=1) functionality using the same bus." set_module_property NAME i2c_opencores set_module_property VERSION 13.0 set_module_property INTERNAL false @@ -59,13 +59,14 @@ add_fileset_file timescale.v VERILOG PATH timescale.v # # parameters # -add_parameter always_drive_io INTEGER 1 -set_parameter_property always_drive_io DEFAULT_VALUE 0 -set_parameter_property always_drive_io DISPLAY_NAME "Always drive IO lines (no tristate)" -set_parameter_property always_drive_io DISPLAY_HINT boolean -set_parameter_property always_drive_io TYPE INTEGER -set_parameter_property always_drive_io UNITS None -set_parameter_property always_drive_io HDL_PARAMETER true +add_parameter dedicated_spi INTEGER 1 +set_parameter_property dedicated_spi DEFAULT_VALUE 0 +set_parameter_property dedicated_spi DISPLAY_NAME "Dedicated SPI mode" +set_parameter_property dedicated_spi DISPLAY_HINT boolean +set_parameter_property dedicated_spi TYPE INTEGER +set_parameter_property dedicated_spi UNITS None +set_parameter_property dedicated_spi HDL_PARAMETER true +set_parameter_property dedicated_spi DESCRIPTION "Enables higher speed by always driving clock&data lines (no tristate) and by outputting data on falling clk edge without delay." # # display items diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index c2d579a..c99e824 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index 8fef5c9..9dcdcbe 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,9 +2,9 @@ hal default - Oct 27, 2016 1:02:30 AM - 1477519350374 - /home/markus/Code/ossc/software/sys_controller_bsp + Oct 30, 2016 9:24:15 PM + 1477855455847 + ./ settings.bsp ../../sys.sopcinfo default diff --git a/sys.qsys b/sys.qsys index fd0da45..62677eb 100644 --- a/sys.qsys +++ b/sys.qsys @@ -323,14 +323,14 @@ kind="i2c_opencores" version="13.0" enabled="1"> - + - + - + java.lang.Integer - 1477519081 + 1477851066 false true false @@ -1613,7 +1613,7 @@ parameters are a RESULT of the module parameters. --> path="i2c_opencores_0"> - + int 0 false @@ -2240,7 +2240,7 @@ parameters are a RESULT of the module parameters. --> path="i2c_opencores_1"> - + int 1 false