1
0
mirror of https://github.com/marqs85/ossc.git synced 2024-10-24 02:26:37 +00:00
ossc/software/sys_controller_bsp/libhal_bsp.a
marqs 9d496383c3 optimize clock network
* replace all clock muxes with a single cycloneive_clkctrl to minimize skew
* use a single dynamically configured PLL to comply with cycloneive_clkctrl
2019-10-06 23:54:32 +03:00

31 KiB