This commit is contained in:
cmosher 2011-06-24 21:12:12 +00:00
parent e4b86377e5
commit cb855b7f3f
1 changed files with 2 additions and 1 deletions

View File

@ -351,7 +351,8 @@ void step() {
void init() {
std::cout << "initializing CPU..." << std::endl;
segs[VCC].state = true;
std::cout << " VCC" << std::endl;
setHigh(VCC);
std::cout << " 'RESET" << std::endl;
setLow(RES);
std::cout << " 'CLK0" << std::endl;