verilog-apple-one/rtl/uart
2018-10-21 22:23:23 +11:00
..
async_tx_rx.v Made core neater and trying to get naming better 2018-01-29 21:00:38 +11:00
uart.v fixed dumb error with uart setup 2018-10-21 22:23:23 +11:00