From e9f35094d7b732249ea7dcb0833c85a8d8a86570 Mon Sep 17 00:00:00 2001 From: Thiago Auler dos Santos Date: Tue, 28 Nov 2017 01:25:38 -0200 Subject: [PATCH] adjusting xcode project --- .../UserInterfaceState.xcuserstate | Bin 122360 -> 122696 bytes .../xcdebugger/Expressions.xcexplist | 3 + .../xcdebugger/Breakpoints_v2.xcbkptlist | 60 ++++++++++++++++-- 3 files changed, 57 insertions(+), 6 deletions(-) diff --git a/apple1.xcodeproj/project.xcworkspace/xcuserdata/thiago.xcuserdatad/UserInterfaceState.xcuserstate b/apple1.xcodeproj/project.xcworkspace/xcuserdata/thiago.xcuserdatad/UserInterfaceState.xcuserstate index 1b187a1bdf888eb4e8a4fc10c3fb6227d8461734..afadfebdda6ee68182ba7de80c684ade3723bd91 100644 GIT binary patch delta 46354 zcmagm2Y3`!*TC_a>}Hp~GeeRsGYf$Lp_9-%1Og!t5=cVmgb+gSy>BjHK@`h~tD^KG z7En>KAy^RsMG%lKA|fE&0t)i|cQ&EC`aIwJJim1^GkeZG=iZs!Y?k->2hJ})ay}7b zTkL8=^BnXXx`*{(UR`K||C3tf-89&?$lpzB%JQrBwN%dS^k@44Q0ec<}gwZ-+RYlrJA z*Iw5?*AdrI*D=>|*H5lmI`rIZq-L@O~$tWqhUR90#$b(FeFW2K4G zR7qDdlx|9QB~!^#vXvf6j?z=$6zZ>Vpo zo7A_|&(zP=FVyYom+B7nD|L_hT|nKh9#T)KXVhQRU)2lh74@nnX|fif*)+QrsW~*K zRzfSOxiydG)qI++8Jb_KpjFiBXmz!ET7s6S)z=zm4YfvED=kTDr*+g)v@9)K>!Ian zJ+=PYAZ?U3S{tK{)y8S#wVB#1ZMG(~hqQ;a1=^EZ)>E3PJ*_R)p4XOYuWGMp8?=qu z>)IRIo7%hD7HzxsrM5%cr+ugG*A8jNwG-M|?VNUA`&GN3UDU3Yk0@^|Z!aHN-cjCJ zzC={*sD!A7QB5{YFPRh(SmIvcUgKWte%1Y&`wjPI_q*=*+#k7raR1~!?LO;1=f3E^ zvJY_s(J*r3Z#CY5u-D7xSJ(WCFJ=HulJ+(ZEp8B4~ zp4Ogro{pX_p6;GZPmZUbXMkt0XP9SsXPRf0XRc?y=aEjHMV_ZU&wG}7R(sZY zUh}-++3b1Gv(@vdXNTt-&pyu~&k4^d&sonU&o$2t&uy>GThi8s^y;A`mX=u7c+@}>GZ`?~njd|iF%z8qiAl4pFqe7$`meWQG%ePeuM zedB!NeG_~WeKUQte6xLXd;wpf?TfVn_@Ax+R z-u1obd*Ang?^EArzR!IJec$^I`40Pz_>TIH`HuTe_|Eu#@tyUZ^PTto>bu~(txLMB zN9Z=)u1D$)y`1jS6}_?^r&rOd>ecjky}DjQuc_D98|V%7MtWntiJq!=*1PCwde?xS zu4m}o^j>;zy^lUhAFYqk$Lizs@%jYM8hxTZQ=jD-tIyUS*Pqaz)SuE#{b_x%{*1m< zU#2hD-_$qhZ|QIA@93NLclG!5kM&RV@AUop0sWxo@gVMx@~|oJI*F;4^f?F#JXZqoNUOR5EH9HH}(EZKICS#%OCK8SRYrMzYbt z=xC%E8AdmwyOC*R8QDe;W2iCA7;cO(MjE4x(Z(2KiZRt#U_4?hG#)h`GZq<-m+NXg zVLV&%RpU8hnX%khVZ3d;V{A6wHQqDcH$E^vG`1KY1&po6HsfRC6JwXL$N1LRZyYiX z8$TMSjGv6t#?Qt@Bw$=}Z3-rv!mv^hzfbSKFj^?UOrn zOzD)`xl3Bt^o(xZGqbX@a(eda-KTHA{^siPjY{-P&q|%xrC_>wsQeZpGCJD&+Q!>v*&eVxZF|P{tnGQ*659*5rM6|Z6}DBjHMVuO z^|n`RuSwSbBINLYr2$3%E&7jbqnR1qIn;`!(SypoENrg{+q;Ejh3$h)WnzXzl$<4O z4+z_{h4JP_k8jgrPs{o8OmpuGN8}lsHoQ1jc1{rg>90sjqjB`Y(hca;MhbZ(yX-HUm{6L4jr9pc3hrlOL28FXDqKH zrvVNxN>x_7(p?#@Zm#aaHe1-{2wOnd3Rkh zWD48UX6==4sR5S=B`XxR$5y!J3frPkvXlp14@*^6xQO-m3Ky}SD2&g^8#iuLL1MS! z^ov|i+x)qS&xLK-3fFdFqwz%@ zC{Df8^|e%GrE7s}H~kT|6`{>N*5=B>_@0>sqsLAvm{@%Bcdmn>llO<3xr&oQCoeFo zuc;R};W~MD3_l9ny1QdI?K*RJ4B=TQj(6U5;qJ383fua-&${BedjCTC-E}>b`w!Qj z!uE==y}B~AAVSNaFg{l?53Fg^({)>s$t3kD)K@4G!nUC>es5@vDUnkCD#f8Vl@h|X zQP|!Twzmtb6^%hDt+*s@l{7>eDh(^FP*|}rc9o(?v5F>auZOO|H_TCMt5tF<`oGRH zIIDuNZ3>WN=%1~vPGF;fc61JVfwriC#k_@ATZMUhfAKqu8^wA21o5|OO zEsCEuRS8H{)+y7J>BBjD6*E{bl7fNn5GB zqP!|>KM32&mC6Q%)$pURoqFn3f5KbRN6QOasJ6%B+Qx?O%llnEm(DH9aQT(@l=oe+ z%7;?2uw4+gv%)sr?EGr0KHH>^Rw^GWOvpK5J5NJgwOw_1%G&TzRqImD%KSk6(7DqowAzE;_H zLiwRbdRC9jw3W&UsZsb+`7t!Pr_3*3s}grc`ITF~@{4j-Ij5W#Hme<^>P!!|4};Z#eq%+wNQxsBCQ%c)B7RjI1NZY#Pf z)hH={r5dfq2)kX_op&xs)vNmd_aag&NU>_HIeTLjZ&kI%zmC?lj=sOqsGOiC-gnnf z8>)>%BWWb;rB|p;guRSe(yiB5TZD4AR9gvqSz%Z1=5DJd|0_C|n3`gCc)hAOP3`ut zqun{0WzKs&-qlO(t@e>ht9|7hwZA&ReDC$1(F4^y_6$<<)xqrTs}7Yes>95xZwwBM zP)8OmD7#PCV^~na_DiAHngw+n%Ss)uP7rptuzUWWWu;EHmX+Q6|1PTo>YeeFPzS4X z8PZVG^=6FwA!c3eFYHl;Ev%KME>s`QRpQODir4J%rs8-kw7SAS&VMt?@woa#t`ZkI zs-@*kA3J$c_Jo3hmFknBv#j0bk8f%|Q(Y=md0Bm0U93K%2GwWP=hWxbCF%?6i)Oh^ zmFq57SEwu1RqASWjk;D{r@q9!%U)AB+X!bn;p`@yxx!f}oI*I~3FrJx12(C$_O|*V zZx+;d)XnO<>U-+@>IbHHD=KP>`jNU--KKu5ej@CN!p>~>+O+| z3iWGYZ^%pY-RnTzXWn@G`3?tEUe)e-Pof@Hk4sfnsYleK>M>z&EbL8%z4dk5b7wR$gp(?9Q4kXw|}xSrN% zrL{6zS*@Jr6802f?{-H|9STyNAT_mGR*)W6kQ`y}Srp{r2j%4YjkRXHkZDac z`qNw3`>xcQYb}JmzpzicuRB`XLP^-J340&2?v{2jce88HM^G(FSmlYq=WF94zc3?p)+rzBZK0SR1SrXhVd(K-hU4x=I@+#c3mieHd?H?ZeGJ z?gX>J)<$s?v?>2_vb0f()utCV3$59a=EAMj>doO2(gMOhrm)3#+FUs!bX(WvY4f!Q zvj=C+uU*AGT)ek7$pD`nOPfRM@%JC$7{MX^#v0Bw?RyW^Ajf z6um@Pm!$TL7BpvXs~q{P_MFs+t=M3iTlTlqmS`{Bw|cc@+M3YRF4tCQD=Bleuum8E z8NxnO*k=j*>^0h2ZJqX#wqARAlmFuia_3Fj+jnk}+B?EtSlqw&Lj8MR`#{)*u+P8S zzmK#}{`WSheM&z*Hy`+;F9}jo|3F=CM!e^f(Y|(?MZ>_}(@h){bZ$vVtA?!~HyD98X3;SYWe@57YYqh_%o2Kov ztK~~d`5RTaQu$KlOP4QGzHIq&PFQwU;V04-NdN+xr!@2 ztLx-Rd4on3WKEhde9X{QQHfCvq(;5cv%){`l}bm2p3>NK?W|}$xtZBuXC-T^rP+ID z9c!zNxo~GyYpb34_Rd6WtAlxdXJc!tlUZ$7_`EJ=uU##yed*@2yBb+r-Oatb;;gM~ z^RJ?AaNwKdIL{!LA5Yo__lH{sgmn6Z2O$Lf1mpxTWhuZRxus@%xR5!ctahp%=iMEbDV!pbkmbLYmd2~-W@Dpa~ZxgJ2 zrrGw}D%RFBZ0S)MJ<~g67N>a53Rl4#`)#!O+P7t`(_S#Qep|sxw#+)}wT|BUwuE(b zrCDlkxKnG))_a>+`(83<>n*eTzVPrin?3i1 zd-lHh*uHSQE#}Aj!n3x`ytXgF8o{U52r8So-?_~W-?^+PUzokW3rF5zp8U>VK0T>x zhvIs6S?3$3y5C(cD?2GOyZFF2oEo0Oy=LkC;c4A(Hr*d?{`cm9{oz3zF`wFB!%B19 z+_pbl%1QIr{o%p>WL7>9USem={s+S6oii662zUR2`9aaX%jVewek;l~v-H7OYwHg) z;b3@1ZkPoJ!%O?7x!_<0>*#Is)q~btM@N_k4_c#&jx=u_v_=(O!c6?$iV|Jg%=z9L zeRMf<-uFqN=SHjMj_<98L`Ru*4#k-j50$j`yZNcMwdFGh9J0n3?Kc-43O_&A{NPYH zPn>!3kTreL)y$HI!{^m7n;j1Ct8L~T4nL!wx#)0sJoU{_4qJ;lx{-PNu(i6Po0`>+ z)C^5pG_Pc=QNLODh&#GlW`~Zcy^3Zby0vwrmRa#=jJfQH*BV=rx#dVWXR>+Wh}H4v z6w`Y&9JRCg#!;&*0GVpY-A)G^gMBgc$A7Jg=LGxeCY z*rNNH(~eo4kIpq;J7&#f^dR%pv2bq+%&6nxs)m`Jj$3mYJ<^(HZy(G~-g=@YZ#PvI_o&QZ7d#7|Myh`%(~7wz9^ z9{s7hRT*z4sjRBG;&hZ5ciOsxMSp9yIvuX!JGNrYM^Bfso_~;M`Mjy=DY;p_v$8vM zEnY{5tr(R;F=BF4(>rG5w(F6amVD>F7JbZY{ioY>{hVZ_{J}cCjrqjc%I55!D_Q$^ zEo2zseLH^+UkE>&SAGsp$yu}I8S7Ob`d72?OlNB_m&|X@q*+^6&5zG}%zw^BnQ6ah z)}G%vtG4<0FV@8zeVsFFo5z2NwKDyECsQ@E-q~=Q|1rCtwVE3vn~$7r6^apKH#2?> zN4j+HX=&NZ{P%9_j0wX?PqMqAx1cizewQ{GHGZ%tfG3}dNoK7Za? zz%gFN+S)qb;Czp1{Ax{9OhvM_wf0x$45sW-IrF_=y`d4u@TQjDB$`Q=E1F9$Iir%Y zy5@H2(7R_wW^&OC#8f9;_(?4x=xP3WO@$eer8y7k30FWOVi+;uVDO2Y2|jI)WA z#DB@UMZ~ma7}g~e!*2k0jxH@a+OeqCX!HD~@SsweiSVG}FT1RMq?s))r&wDVbj)pz zyIR^@f7!Z>Vlu6=!+R80YP-y&%-+Q#?qQV|IyUD@l$m;^oE4*&l`*_GJi@-_vMYnF zV*{*;#$Am!J6sMvs2p_H{pSz zoGbqHnAv|=_lcN?Nx&1TnIHcV9`wRsp;NAG4&MO?7GtgR=_@&6>6v452| z5B}-1_B>7c(4I0{*KXOpbK7Mk-|2aflbf4?>*3BmPhH8@Q(iRlZia(Yy;0uUv)pWT zBg@)abvOGrH^SN17M&g*-umFKNNad5YZ!sQ!d-hcm=PXFY4f|k!i#5PxU#Bd{NLdP z{U&9E^FH*qVNJr@Rzo_O-T!W4p8h*LMemxo|L$*%Zg|@6ayY`u?QqVciz~<@ttXsdAq=$&`Ozw*tEt{< z7;GrZ)_pAIbn#>bpOWS9Z2b~S(cOCT`Otc88EhXRtJcpK?`v#uafBT1?3K`YNx@4I zavAHq-x#xX&yD#r)bsSvt9N_y%>>0nNztOO_9 z!((ytmnFG!@M5G~F8CSytT;(_xkm5tJ|FrYLb=N@6F7}$j)t_mXwuMbh)}4Se5q*=9QxVElL#)wsCN4 zDLEzB-^l=8DJ5ICMR&8%&RlEAEsMueI@qnWY`rORw+TK_S`HW2F8FzA*?LWLcL+Mm z$Q?uL$IS;V+>J7VWy{EM!I@=>p4KJ!dKuZe+q%<(H_OQBR)X%qjIy%zTI^rp%Xm8*IjazU|cy_v%>bV!gdeJF1c}VN;$crwUPYW(r znuKM;H=bOcTH{;JXd_e{NQa(9%P*m{?#!n zd_w6cxr{fZLw2{Gxjf!k4}6Y5kZyO*2@m_RP?q+=&~wUXCZ!j@32;AQot<&#>@u#b z?9BGvito;D-lay#ecCLR@|WNF5XAkg`?>o*c5%PpUdqQP?iWSmM=RXRMC7*nJ_2*E z4$g>{7pm*r>qBR~BqBdv;eJ^}eiD4Lf*j{}Z{X7l_eK%$v5Lk*nMvy0-*tx#c$1wz|KOs%%tT?rrXm-JiHWb${mm-2H`n zyZcM`4)<5?o$g)k-R`f0Pq^ho{~q_Z?!E4P?(f|D-3QzU-QT+pxevRKxR1JzxsL~r zbIRS1E8VApK9Ahyo*lmg2j9QrLU6VGQy>Ev{4j#CFha(v4 zyZ0%c(!mk;?@)qo-M=F`_|N@2e8EooeK9HqpSpiXmEh6)chm@0Gvp3xZBJe1##2W` zo>}3kCnA3d%}tfq2272op@=+N*kXU^Pc@z&>+;dB*|7gu;PMC2uFIDxFtaI!_@<^LMaFP^?4^4CJCgoxZJBCqW8 z+%qf&RPHZ9BSu1aUbwJbhjlB zi^!YB<6amF{-}t&^yY4o2w{Vmyj8>2U9}9RN-*n4Hmd_&+t_Av?`wAlOpn5(HwYv zUgK^wzi@bqqs4}zRT2*0-Dr1%Rk^zyPlc9actX83y|wSu>8&FiMxZ!aLMU3IaQOc# zT8+Dfp1!Bh=H86Z>r9llg}0@*mAAFGjkm2g$=lA`-ka?0;O*#5@pkg2dOLf&c+N( z3#TNUWrWi!oHc~A!F{j3-eKWCG(|XKgO}rFaraM9-qGPBj>_S`x!0{YC~xBM{JO*L z`7@z+LeV)@ivBKN;m+UX?>%d3(OLY0nA+TP?~z$WN2=#44fwl$UH^o!qwmikiq5E+ ztJLOj4A;k=8BPN*N;Hbic6&w=NQ4m1ols3t#f&w*t{2O0;zsV=v^XQZo(4m2&Q zL~qMU2rL*jG-}Br}MMqnQ{$fZg zV@LB1r3usT@5H-BC$-I0W&|5olk2Xs2W}qMUXGMoDBhy*z7oFD)}VZ) ztU>kXyE8t%YV@OU4EXn`ModveuumPiLX$zl!9_e+I0}Sgh;R%Qj$wRj$LGKQTRRTE zYINVXc0%dP$cC@BuUbq2IG(>h;hX=n@|T43 z1wQm`4d>&#ZRC3)l<(tEz8C*hxbl7RoP6Q?Iy8puzAt?{d|&x?`gZwt3&%3ySS}nZ zgkz;}tP+mZ!m(zZ?;GD9W_+)2pYJ;#Z>81>$2x1q8S^W`@v2QYUi;t4_`!E7JS4sq z#*khLO$Og4V>0+^;{RTK<)V~{_e{q{U+5C_UGiP_UGZJ@UGx3s;}Ya;-s{5ghH$(o z9GisWE#Y|k{_fl=Y*y~R8^b%B+q4Qzhf^M8%TV)lev66mgHhsTs< zjp^G^OL9Uj+57Jy)h_;0quy7~4~?Rq-d`V}=jsFXJbjRGd?y?%iUY!NP&mF9jzhw6 zc%432F9=<|`Y^VJ3C9uPI9hb|p5P}|%!yE+xHsvO^zdb?hcDY>q4tC?+vCC6NwVH) zj{aaMYd|m5g+5oGr_a~91Dq5N7Sk!=;LdYeIDQt6GsU%sOMmQtO8=#3H3aqN!=*oG zm3}r<`U|1b&jpXPl#Na+bUs*Er?1pk>8tfM`dWRR{*rL~DjXMt7VOg=-c%#^&L8M`iF4* zDIC{@-kMDNGX%-gfgUC>*y#Kin>E=u!PdIOB0E<3FKG zZ<)2;tlN(_?X-R&l=5f&jQ)#$RzIho*MAjGSvVtv(lsk79-SCrgUDO0qvjgp4SV2n~m zX`_r$)+lGV3`IE03THXtbO|Ths&Hz;S)O(q<&7vKI`k$$+Q^mej1o?_aC!=xZ5~ix zR%G3%Y*Y=8qKY+&Xqs)rGq=u|;DQEne7aHBXdKE`&qy#5jrv9dqoL7AIDNvY3#TER ze&MVjoE3#LcAe40XsWzmG&fopEsa*fSxGo6hi-b#s=`@KIOB!0deN0${4!#6GP;Db zceb*}g?iA{>Oqx%_aJ_X8d3M|P0z?N282e|)97XNHu@NSjebUd;pA(4wS=>_aMlsd zy24pcI1}z$c1A&=>%MnG&cx7bLK%87)|e0;&3J1x_36dEFG5|7X+~iv<8)(&G1Hi3 z%r@p20pV;YoOGnIa5fRnrou^=o3As3F*m&O459B4&KAPSn}hJu3;h&cdu3()N#p5o zPX0XstDse=1J76;Xl=dnX~y%$3zD|NSR$NlR~Rn}XHxKNid->srSXcSy=1I1RvT-K zwZ=N*C1btuvT(K+&Sc^2Ae5#u;bFpe6>gtMn`_F8G2 zFla_^;p`J^)>*C*IAfgq*9lyJoY1c@F0So@XRZ5x@da|(xc0BJ`5le3dB+&n_Q7rU zoqfZ&6)N~I<8R}pa1IpCJmDO)%J|2)%@^XF`NA0x5%$T!Q=R28UHuLze~sViFX1og zFXb;SoP&jPq;QTE&WXY~_0A`>{&Ieen0~&z?dMu75Y8dOIdql3yg$kxEu6!IbGUGh z2rlg+FK$@;UF`5L&;APj%K!DuIDZv?RpA^ZoTG(vOmJYD>5H>+cMR(8R(Np;$d9W^+pr_0ZkcD2v$ zICjjW(2mX9(&cmI1AO!>x0Tz;$#NIIvDjVCl6%O#<-T%%Iakh;XUR{=Z_C@|UGmrR z9{!QkA^E6$T>e2mC7+hh$Y=TIQk5d&BC1BzjA#_mI-*NNdPL8Nei0)h#zstym=*C< z#4`~~A~r<45%F2X4*v1fKI@-PU9(BHXq(4o*ecj!TiPnyYVq%=8rxdi+S=OLl5MHB z?onH!wnlvxwKwWO)Ul`^qb@~Vjk+Cek1iAKijIn|5nVevDLN}UC%SL2AYZPS(!a3y zmh4aQ^Lgo7<~!Bj*{}N3{9IDZ`ZU46k8%zb&KbfvbFII;bkN`3pD7)b4zdV%1#-?3 z&N;!I`SRL8U#YaepT9r<%B=3B;iC)q4&ttxFC|Cr7tYz%hqV4&|G=E|tmM2&1uOlz zQlkOcV+ZF=kIkDDn~=~vp{a7t`u4Veu#~?-Dq9$FcPS3@kKogo(7qLZmg?N1&tm*z z?wlEV=77@v3D(~j!eJ&$AHA$Rs5Rvym1O@6|4eU7|7>qNe?Zu-@?BfuND_`j;e0?i z9};}l;^cilciV-0`|<9t%l`RA*ZG4*zb^a#Xf})ci{@)KS{ELc?JW}+*h3|hq zxbT}rXFMAE9H3?J4ck> zyJ@-m1(4Xi8DC*1zQZxle)lEZlq3(`@?B*`ch;GrTKfW$^VW`mX+FJdW{gVymrM&jLxWNZNI z!Po*?XzY|EUScGFM=+=U&Y;ZG=KY&9xiWB$|tONfqI0xpkf`kaz;XnzfC=c!f72NPbM?JJaThOHn zS%ml2q!CT#UkGOvQA}#B4kW=DgA) zAVDP(R3bs8=dcvaccqnB4Jxg~3a|7n=v<}!_+FAKYanUmR-o9*Nl0e?E7PvZR8ctq zfq5W!Wr9~;f)}w2E5MXgeia+=I#^JZ-@+Db!zcI*7jOwza7~ips)6psO#%hRQDEGB zJjVRTJ%K|s`?lxu<8p~gLPPsSMVAFR8*CUs!~x^DysSsXl2zM_!@h#7vJF)Zc9=% z88(o(S_!nnNQ}l<6BToHL9t096#Vk z{DcdjrPZ$DH%W^3qarFH4mn_*#4}a#R2WZ%@o#}O5x*55<5PSN3W}#7{?sYOQ&9W? z=0BcR#-GH`_yyW|cL|rFJqBP2ait18PT`H;oA`kf>aou59iNH=CcHMF?LLJmYBB-Vw z)zoW^wrGcBbOjx)mx*l52F2DBmeI#gOjUgftWSaUYoie@ zsow<6&;qSMMfItuJ{8rcqWbBemGygL00v?Z2IDb2jwfM)#Py%WUi^()xGhNyWYFmb z4Zw6YXafpt5TL>a1aCm_27S>VxyS?6G@zOWV=xXAFbT6kOB>9^e7uH@cmtd8vm`a7 ziw&8ohOGLA6xc8stcivh=#DJ(VE!9YQ9~+fNJR~)sNoRM%7)`H1=BDCv#8%ETmNt4GFW_@*#}4eoKa$j#E;eSW8dG6oDs0>jtck`$F&rZ?8Whx+f*Mm$V+v|K zhxu?`cT@Awlp z@V6v2uM67PoVjXFh0Uq3`J-S>G!NoAEWwMQqUI!PPBG0l;03$PHZ&{iy%R$IZev?56> zlC;_bs%S;vR#ef7>%A4hTZMu%U9JAYE!>u*)?6K}?I;Tc1s{FHV%|VIjA66o0ez;lC>dO8SH8}K?0DciND9!ZB&tX%fl?>~+Lh#i4nLT$BoZdoLIN6~F-Vq_ zhru9O63LQCmNXjcum}6F9|v(*lG>Go26D6`N1z=Gqa9VWBXB#aXx9(~Z%6QUw6I+= zQjm%?q@ySLpg(f)Fc#u5aEEL6i6pgmLIIs?Pv_cGM0+~bo+8@UK|Lfg|Ltj4`&MX+ zc3{5Rldyd^^gu841?JHP|MlL?+o3zLUq6vkjYCSn#s=gq}@yoT5DCb+95pOK^vwb2N4t^=LxKoK42 zR0oRakcn*MAQyvBfT0L5UmZx;VKQc5HVQ$q4zFMXNY;U59Z1$;Gp!Kq?bR=#^is(28#O_G!j&!i&BIdv2lWdrH2G3#zR%0F3V=wmOAPz}V zN*Q!VAJDlJI+sEbDRe4@B2uPeI%a}yr96a3@FeY7>eN-i7|K)YeACEBnl0wzAePLL#x zBx$URG^$7=a2i#lT>!z;Lc!@^+AT@yDj@=qa6&C8VH_2@C;g*Q5jVckD92BMreu_XoVpdj*%FR zZYRtV!?cMBVo6?Xn@9O29k9fjG-V|Hj>&$<57Djif=uY77RMEW&2;QCG-DzR>6m&)!GSD4; z&>sUa2n(?YPv9whE=ifCP#$zHlg?#QL?)feq=?J})JH?+Ka+N4wnck%0P~ee!pto6 zLSGC3$ubwD1QO94!Jrm^dN2zis&Ig>>kAKK?i$0$^7?tnvG}h9F|};*5PHmiv9Q=hjCPra$Lwpf6%!c zI+sHcIdm$AB64P67UqC%z`ZG=_f8PJH^F<; z!QMx396#U`PU9l3;5YmsNqs6Z|9vX6Q3ch|8%wbcw5|`W>q8ZNXjLDo=<^xAz?Yy~ zeZIp%90JqThlG93;8$G2RgkQ&AF&`;Uvl*&SKk`Q5Ag6P9>lJsR= z^recv1nx@}eJ_LHeM7@S#0Aq7ynJ4H;Mf)<%CW<^FWF|9i}T{}0(9 zNq-jJ04f;ZKuMGa0S8n<9I7H7HBcXo&=k$l3T;5b0aP|19o;Y;vp}T-3c*z{;JPH` zvet4bAlJ_P=c;Tl>RbaAz&zzLPr0>F7l~+q#voyC5~waW6;zf>vfQbd0g~mCESF@t z^RNX!<18pLmrmv~bptD+I%+Zh14%M40aP)NzyqmbAZ;5+@PPy$*b{xwAGsKW!5EEk zn25<(iWOLeHTYhV^8Ba8+dQIZCgMmZ>8T?}Gf3}WF8>I!lUBFCT}pol@l4Gf}+L1RJi zK?EN}3kT7aL31%54`BhG!eTs&=kY1Nz?b+6w&1k+VOzJk{gc$Ng7%f3N%C^1&?AeXx&g+H<=7zEFp>=;*)TV{V+Lj;0D<|~gbzWIVI&#Gx)??k!w5W#B8D9Y!H0!{ z)4^dEaRt}#2d+!fa2a+uQIg=p>!T5xpczKt4ZIIpH=NcDr;6cpYB*I4KZrv(0=hN) z49?+KFkQn*IQ%c%mZT98hy=+-B%lGvHG*6t$TcF+l7}f+hLu>2wO}!h_#P)gk`W{s z!MYeh6(a~dk}5{pK=6?SA4vyCmPZUc(BVfl)Ie?2MNjlWKMY|0M?T5M0h|D>8%gU% zQpHGGHIgbu-o!tWL{p?uB~b=0s9?HAk#JN+R6#syf@Gt5pchCsie#fmHYyKG@ENva z2lGE_CmUbmmL!dKfFz?yGMaTUnkq&Ucr;avt`35aCirMtIJzlXpcUGp9l9VL-I0Y^ z2tZ&S-of9JG{z1(H^#}qF;p>zR*j*GF_jR9s-RtC644Ni!F-J&;h1EkA`KZJ*_aua zjZi$2jUm~X2eA!j@hdLkGOkI|*vhDd07=G@WGo9~ELDsp@K~xC+Z6;KOYpI@aBP1J z#2^%4D8^wTreGRYU^Uj_B^;5Yaj~cYIya8ajiZQhbZQ($jO)n!kL$!nXV9*3Ip~eP zV7|tYaNKZ=!FWsp$;K_iN|0#%m`D*5i93-ZCI&(5iNu~5poJ6H;bpvvjd%m^V+*$76P(9IT*g&N znp71dFcEZa5}lhw5tHcDB#M~y1fIgvpj(rcV-?nb>6%2oNt>AeN$;}p0X_oBCY{Bv zAlW36O$sIZU6Ll(Lof72f8=5ip2YJY$z+mDW?f9Ch{?pAOc9em1hFR*domrIybIsp zTYSg-Pd>oL3H*rDI3r0@6qH9a+~|zQ@hoWF6k0chDyGn>DO54#ZEVJSpj%Tu$CvmD zOxF|=PWc|k@B>bPTvN+2|5H_vY%0m7l5DCE*_e$&%*A{>gm!#7VX;d+dPEDhV zX@_wX$3eHIox=rO0@F2(gwt+G()0*Kq6A1by#X47T+_)lom_$GZP=KOl~{vySdUk6 z1V4c!(@8R&bupbPW)OG=Rm^aJ;4=t5gAUG!ffqU|AQm-H8}*QgKIo5J%8MHRCMJc}x3)dIn15quUcoYexY(H8B|0qN+D zY~+CWv*uzx9>jb2N0MecLFZ-%Xx(h8m`$r@Q^o8msD|pGU9%ga37UcVnoYvlDM&*G zGC{K0vr!0=%_iAwlFeR#Pw^`*;R>$d4@sI+6?K{aIV71wk~u7lIaD!+z;mc#PB##I z4#DTp!Z`zxj{*$C2u#EjOvg;D#yYIWD>zPqIMfE63(&dH8=lZFJpqacguee1=)y)< z(5^sl^uqu!UjY&ZMqxZAVJb)#Scx?tS%72#k_9&47fC8q5rr6dprbRgK#oFk6tXS~ zDWWj+VpB*Jg_A+>LV_34!ommeFdo5UcpT4S36^3xc3>C2#vX}(9fwZn4mv03oS+Cn zrvycaF&Kvlpj%=N0)mHmV7>$i#gljj&*24-Ol-$jAekVU2qoJmNps7hF`A(TTA?k* zVj4&?mn3rwK@oF_JC`EnJ`ZBgC3avgEu8x@Uc*MbiMOx?+wdtq$3n^FQwcHa^0~AlbZMaS0@wN3waL zWY;BWetq=C01U(+6yRyR2$IYv$^12-i21~wPZ9ICg4qAp#9fDJRd4YE-!G^Lh;+vU z(w#$tbPguAs7Tn2q8?wA?v7z-m;r_uN*abzhVDihB&0i(`{CYy?s=Zid-n6p?7e>L zyVjoL5uNUy-Ccs+x3h~q>^J}J2RX?Z&T%0KdSoXTdB{gAh7ynHdWf!vE_z6+$0C-n zj1@?$M=G1yigEQ&u*YGJbBeR5)ia#cM-CM0saQ|NdKTn0y3&mv^rA0IS&Je)73pa& zdhW-AdupQRX*|5=cU7vRR&TX>*ERp%^h%CROTJt;{!f4|30HQ!Wl%@ zM|6F3(MME$bYI(6|QUG($de!A#a77y>|;r&F|uMW@CfW|cCMPA`G-rzTS(2G8- z2!j40G9bBdf6?{VMSoHC*G2zgl%N#i>K{W5YGF6}E7-p|t$B%8QLKMgx}jKq#riAO zzdwI+h3nkpHur*HKye=b`Tqb#1}HMXVhqs501qCZivcg=;R8H;fCvY)qXV7zgwNK|kQ(gFJkY z2nY419|IW7P~sWSB&M*1?bx3|yMtixQ?%d}BsW-cgEcW&QiC-y_$$8QJES%ESMwj- z-9=B#Yp{ZYhZDzGCZO2hO>9N6!HNxjTx?$u49P-uYEg%JG~jD~LXjbg46zqOG%>_; zhiGERI6QmEB=a94!XdMn%Y1%kF{@d}pQLh)o80DZ5DYEFmvljLLnSv<6GJ66R1-r- zGMX_+YiJ@fn2B)>Rd480^B=m3HLORmp;x$$VnY=h`ncGGAQ)DKcX^+7w5JoJn1~|7 z6d7hOhG}A$=MK}vu(f#hFwY()!C|}D%YMR#d>r8n=eWqFAQ+yDyyT}KFEE1fh;F#C z4A;eQNey4ha#oUpw1#hH8#^$r;R+5v$|=rr9<{BST~$6IslEWHuKysY5;L z^Bv1rhv-I%Zlo?oN@}DoMjq!Rr;*mktK8rg#x+vGQE7Raj66#gs#6QKMyWNbzWI;p z!ekQg17wsX88s7KjndU9agEZ^C>@RR@Xhn3qZJ&jo6#a0{a+Bo1*G8##1^NMxa=qxr(m3dafMMVPO&(} z;uMQhEKadF#o`o;Q!CEH;?`n>aU0A(ZleobjnUN@1;*%TjE=@wz%e=)6NQJ5DMB$k zcuXa#QVpXUQ=7&#qb0F?&yW1fFU;dMb|79u@fwQHZ2s{&h|iD6;uVZnFuo*ZP%K`t zc*Wusi}&#OS9zVcc!!?!p&tVn#87k?zYRaI;`gu*3CI6~S3KU&llU89AGZ+mSP6`k zz*q^4wV`95LBwMnaI6E4b-=N@9IMN*Eoen+UZMvBQGDzWCa{1Mwr~(*9eW-J80!FI zuM<{$?A;(3_awG;Tt>`zTxKlQxE$o70*a1nPgfKgm&^*xaoi@hvV+~|cH9Z<(>R64 zo#P^xxf%rH)fz9|@c0j1IQ{sUEMzGjI^N00Ta@u49KV;Nc))n49{&J|O_11xv`A<| zDQX~<2_NwZpYs*pVjm``F+q(9D_PAt^Pljii;ZmN0A@5nOcTU3!D%O$(*!?6CR&<_ z5j;l&Uf^Zg@CLSfqGl#4I8nigo#{eP`p}PoIK)JUnCK7_&xL(l3W7;Gm{f#XG{a0M zy~^uYv`O#Mk&pQlolR0`(l`9Z6eKojC!(HYtds5{sY#NWEUC$onw*np3Zs$9;i5h& zQ4d{BZj1v=Zb@q#WO7?{H@Q6yG5I4LV)7R_#N^&A<}mkzU`l%OQ=C$ir2>_yN>em9 zMRVaPx|^cADeuz`9Zva#ANYx1_?7O6a>_7_l#N)zDF->iF-~%t zYux0H`A>O#;(vJ*1XDAT2k}gm%v2pr)xlI9Ox3|uD)U%~c_u8wmL{aI1sx@9LstoZ zagsCWDB%)U5N*PZAV`#CVi{^s8;4DF*hHx%I&h-5C-F7&PkhtGJG{pSSgOR%n1AAr z{7g5@KCw6b7{Ekkun31tbjU>WPh7_)95!(~yV!%nCW<}rE{}p>T8OmfKkZ2u&ybm{ zBXr^D_+NJrw<{4xkzBTrJJt%>3?GTr*Fn6 zgcQt3%TuIBvooG0JK;zl#VAP`$`gaR&9G!MbU)*HKBEWYNW@cT%wi6@ouRuKx|^Z9 z8N1nsjh}IZV_ZgaGc-3tb2BtI<6rYna=Ij^OEQKeTc1>j>gY7-BYH6mohHpkq)8%8 z5^0j6Ns1;ZnxtrwqDg14kV$5pq-c_&Ns1;ZnxtrwL}wPpGS2K|{xkc#@Zg#Ic@zY* z#4{@^_Fz_S3J^gw&mpN<4misLW;LJ@O?i3Ev- zWF!yyDMS?ZV{TD2GFMu2B{jDNvAn=Le2CQMYH04q=0EoM%$-Ui z(-Gs``7FdT&0Wf7_F((xI_SI{7~wo4oL3p?&U2`FFY`9-_=50IAEKHks(DjTWS+R@ zt-`A|Z#`bMd7H3Q^Y(HGNzFTsWu140>)hgQ5X^rPDb3G7CLCtIbmmKEelbd5yz?8G z|NJH{n$wb3G4J_rA*%Uenm-sbou5J~#xeg!5G=?`QEE~L3%Z~op0~jB7PLa-3v|4o z4R7!{U-AvoUeLw-7yRtP7#947*cbGs9|I8k0x2xmhG-Y+Y+*GNSlAKcS~#3Z%)xRk zT!^tOT*gXPvy~m}W*-MR!ZC#xo(O{9vk;5ozbpE?g1`S41dA-$qG$1zEE40Q+~|Cf z&KDJ@6lGb$ANOanBO>EOF1$G(3S6mws&iOD*40 zCzV#P%xkqQ8?||!SQKCOHf{NU_H^PKI@5)p31fuIx+9Hc4!pb&8d%;HUt2yKBU-)+ z2`%4Z{>!(!*oDnregIJ|7uE9f{DbXYZo8M?41yIRU-2db5%mgDuJE;$1&P8aR#u`a z)v1F<`FG_vY4M!3o-R(W=cXQw>=_rFpsS4tl8BeE2or06uo za;21^JQb;jLMiVd=9B@9VG>iBhDK6EoU(x5F^UwkO<9L@QyeVC!BYOl!NMu`gJ88o zthRuwGmwcaynxxS?!YH}&R3ZEYBOK0+tt6&gZ?G))YWu zYa%F343B^R)0)~Ybhf4eX0+x-Ug0&~ScOC5&ss4Q_Lfhdc^`)HFPa@ug9!`G+f9=N5N)z<)uoF~k$3BLkVpLUwYImjXl(O%aMyin2WZ^Pi2C zUBpm>+SH=~jcG8g_>ynvOc#E}m^c1L4|>y&fed;4h2e~1 z4C9!{6cU-iERvbe?<`?Ct60N&Hn54U>|i(hILHx>agsBf<06*{U-NO3JKX2rAn=@E zQ(B%PJRm}RVFHS743jcj2% zyV%Qqj&p%aL9kgmo29Z@0-GyPg9bFlo@}-sn?J@uH#^{F2i&}f6!YJ_77yEeo_~U1 zOD-aaMmk%hvPCLey3wCOINTP8+Tu`KjBKk>ZB0)RZ1z@%*xHIVyn&RqN@uI$TmN7i zI|*O+@qqt=U|Rt^U|VtAxUDT8py0OA#N*~|Zr2f?mP=D#bKi@a2!4$t!umTi}1+m*l^=CPH1 z9OPaQ>`udzXkxefcUR+O-bQ-6HL<%N16jfvyso?d;TCswx~CAuD2bc*xOtD8_gI!a z=C#MN>{-le%ze)Zbg}1B5bS-1oLIiSlGrPWy^`45j!*f5J`809lGrPWy^`2_k?>6) zcYL! z!9i&rEKem~=Y1UHpd=1T;-DlBE@iFxAGFa2b#qWR2X%8uq=!U$NTi1pKUAA~c)+30 z_>%s3$f41A&>@K&@|;6Pa_Bbqg5a=m9(I7k5;<(Fhc$87SP%b(oj7bK4$leu(7|CH z96rZ2baF)TBT+m@LyY9e3pl`$&U7J(1uWtSXYh=_@)AuETJQ?5@h$(OD^r+7IN8Sm zEY)A9gW#y>kLD&H=6JLz9&+>>e#U{1PD1gcvq@zqd+>AQ*b{g?kBR?Sb!uV$$E0@5 zGmZ^oEEBMV;bXg8?8WSkKSg@V5fB);GWjX1_la+W0TYK_dexw^c@qm-!Iw`G_x;=S_zk=Y@ z(_|$FRq*rU)bqTCgP&@L9XTbjQ&Vy8Dfgao@97L=7w73*)Sv;4`3l8Po7HJIofh-y zxrq4m;~S3$!5I;s$wGE2Q=59|{EYk0e2ebSOvK^O{KF# zu6RYx_D4)-_v5sG7r-+8U7U`5$v4boA&a>m1n1IXgy-zbxmS?FIU_sgAm_&5@aN8Q zJ_yd2CC2>E*KqMOJ?PEvtYQs!g5W}c2VbZ~BbwrX7rcHKM0;TqyV(~67mea#J__f4GGm_@|ut|5MFHO@5>sJxL)I3v($A8F`la=vW|sr7?mlHvP)ie23XzG5afKe?<&e%GNuU5tDb+rRu;GV1VS&qY8{Wl1%Il#5_SjuZnXihH%GYp5l78cz#(Os{Eh_BbB zJ5G7sH(uYuUJeAoja)O)f#$r~pE(mTuK_;^B65ghp?-GxOZcgJt5Zv;8 zx6+yat#&RxMHjcWqtLCxL2$be#VE=9e9UJgvxMbAa3?c)$dBaiNa2p;?)-^o-Psic zccbu(yHdORC0(#@ca8S$-zrVuPgXKLJuVLKtc~X6XrLh{NM=3g5aUUJe2mss`U2_4@WSXyOgXnARA@Bj5AL_dN1FkIr%hfBhE< zn(-2^vXr&_5rjfTC`$!?r7r_G!Wqs5p)?h#NgcZ5>uCmakdyenw56y_3_sG1o@@<5 z;k5f)_~s`Hpx_h5`JC_Z%}=C|%H|;SaC;6~WT?I4sfme+Wbx#%S03a)aGhe7BWQ9mQ3Q=VS5nD5=jXpkj4F36wKmaS$t0x-;>4nWR0REW%w3f&)O9S%IZMjtWK0o zMA<}?O+?u`Bb98T%(e^NWjh*#vU^B&U1hJz5XLZ$8%QVnqac*yCEns)=3tCDmIa}l z&ybTme8krnWlq2UFO*ZEoMOtU!+g>s89x0rL+cc2! ziw^U|@&Yf@hJK9381fiH9l7n32CLaYUNgZB9=LO$Egatq6N4hZ< zoflleE{5 z^dTLPT%oV|j_>KuAdI8XP)0DC1f=0Ns)q_KW*I9n?r@=XF3h{o3Cya{<5QYdq3b~? zLMjm%F#m{5h(97bkrbvp7B-?P7B-?5l8R_Tb6U|F2af27)FM9SGrr`1<{#13g$N^h z(g&LzF`QA1L9`L`SjZxD5TSzz9Ykzm3)?w@*dxRqA@&HdM_k|rx4FlIAQY*INKHf< zL1ZrTkIYK}N>Cb|MCv56F7;`Ijv`;+W!hjQk-CV~MWhi#enV%vpb@_dJ``yjk;V~e z6pH@L|i?gyc0 zoki;`T36A!iq=)Mc|{i@is#T>w6`SM!bR8Pc^c9LokeRbT2s-Qihd728=^nKYa9I) znv3oo_F>_o2QZK!3`b|tTd-KsyV%PC4s(;LPLJld#J?I zVILW>I3+}0;$_0vtv^OQ~ zO-XxG(%zJ`Hzn;&NqbY$KmQXdDe975GXDjkvLSR{HXRwr zgaT!=6HO6{Qwk#}TLHz(#-P)(@8J+-6Hu(I=a$PsEt(OF5tn;~H+Y-2ZYcLYUt*if z^=A+kuG|Pl6VG@iF_#7Cu-sBskiuI2U;~$fP7fcuX@M>)yuiz}L8leo;x{x< z!2v5=3_=yN;@)t@cU`pQQ@-L`zUOD8Q_+nTyEBZDj6y0E<4DA-TX6~IU2zp_SdT&# zbzD)m6%S+P70tZjNi<#YEa%O?qSI8$LNy$wl7f|{vmH@ZdJu#vOQf_`>&R2jnzW+II$y04=9DqGpXZuTLKDo4n>;|-{Gh3nknE@oftzaUiIysMjcbsbmFMP3RJK{U_N z0!>sOjAGS2xB8`DYgm#RvAn~3e8eY6qsEW)p+7pWq4OGM zUSl-zjAu4FtT7LTYv{7Z5|*)&6ix@Bn$J*?Hh8;gP9eP3$9DFxAE&N)46)XHeA8K^ zQBxYV%)M3)a+8mOL}Ko>%)OQ_YgI#+wRBlam$e$8(^}2YXf2J_`i|i^V7S)NAXNJa z++5rIYQIZ6I`9#eul84bOJ{n}3lFHRgW8GAU=~uSJ)hr^K>`(V7=)Tjp}7>AOQE?GnoFU1 zZp^!RX>{AXIjyiK&24-0HfXB(+qC5aEPC_K=HL7W%)9yj=t?(4)x0--(P{Gu=&1R2 zjHvm&Ak-o&B{A<7(rV$ME!y!ZUGS_Hp4Gy$TJ&Ks-jo(2iNhYX2v7Hs#BAoG;}+Ms z$sO(op_b{;bxU2h)OE}Hw8p4fzQ&vAs-*+8Y)?l%<6AV<(gFNd?NH0nDB9BUwwywu z`L~?mVkQe&!=G$q3p?4vehzYyD_EYE5@=~0EguD;R!`x8tsJnG1GbV(tA;pKE9tiK zCba6$AcismhiMgW{;kHjkU*<>I7F+(EW=@1t!D$9aG+MVf>3N>bRYXV3dDB6EMtu@ zR=2TYj}?1tUj`udSl!1e8msfziRe6b0n5TZR*R3sM>zw2!60c?J@xAkrqWd*ntkEd6Az;U=E8&VJ(~4g(4k1vx5V7xW-L9yTjce)X}p#K1~KPnZMuj z80zTc9rIHNC+`?dDHQDJ2TI2nS|aw2FYzkk@Aww)@;)D8@j8CYr*y$VJO0A27->hN z>}a<-Zee>6>XbfsIn*hai##|$CkN>C3Le<09cJ6>yXcR_zV M_y7GT)M@?y0aIDnKmY&$ delta 46315 zcmaId2Y3|K_wezV>}Hp~GeeTyot=e1fY5tyA@o2BB%znk0)$>dFUh5-hz&+uyA%OI zii!$~QWPt_BZw3M1r<o36m-3+;i@o$!4>DzRi7WtNW=K$AsX- zMgA)C)HmDw;L1zzwDGj{wDTl+I(a&KdU$$zdU<+#`gr2&q>cI z&$pfno{OGKo*zBGcy4+AR-8(t;!;W|nqnwHrHoQJPpP6*Rq87Zl!i)6B|&MWbXR&P zJ(XTcZ>5jYSLvtpSF)6BWvDVr8LdoFrYh5v>B?;7DP@teSTU8Sl_knEN=SKDS*yIR ztW(x28LD)>6lnZKXOY+m%n0PnF%ue&w)oL^+{+rJPp2QO+nAmAvnj zAC;e!Ka@X}zm&g~+iFp@m|9#dp_Wujt5K@1mQ|~$Rn=;01GS;rRBfdus_oS-YF9N~ z?W^`v`>R9Maq47shMK3&Rp+UXsE@0Q)FtY3>YM6Y>L&GV^&RzHb+fufeNTN~-Ky?Z z_o#c-ed>PofO=3puAa(MzgEwxSJbQOHT8yiQ@y4Bp}DmpT2ZZ-R$MDlDn~1+mC`(# zqLtCgYOz{5t-KbeRnRJGm9+X=1FfOfNNcP$(VA+_wB}k{t)rHtrD&;IZ>^8kSL>(s z*M?|0+C(i^o1{(Frf5^OIoe!pp7x0Lg!ZKNl$Q3a_MG;-_JX!ldsTZ&+oZj%y`#OW zZPvDE+q93hz1lu)zxI`OQah!c(Jp8gwQJgS?Pu*5?S^(!yH&bK>7u2Jl`dYoMCp>H zOGVXM_nP;*_onw( z?;qYjz5jUc_#8f`uZXXxucWV(PxF=bd3`>g;S2i8`O5n$`Qm-meARumeRX_|e2sn0 zeQkY7z7$`&ucxn9Zx z+rBNnZN448Pkmqd4)~7wPWsOJF8QwbuKRxT{ppwdE`Kq<;*as`{#bt{e^q~Ne?xy$ ze=Bo-iN1O6e*W|RZ~Yhi7yUo^ulld)vL2y3bf+GvyL7i+L|1fG*YpZ{MZJ<9uUFQq z=v7P2EizxPCLh(S>-F^pdPBXVo}_ouJL}1Mik_;c={@wGdM|yXK1v_0kI~2K9h5x^hNq&-PE7f^Ooq(=pp?TeW|`oU#_pv-_hUIH|tyU_w@Jmt@;Q0 zhx#`CQ~fjjbN!@#O8;6vt$(AR(a-AV^vn7c{RjQF{*QhqAO++=M8FYn21*1<2FeCv z1LXqc195>0fr^1jftrC@f!cxAfi{7*fp&rRfewL=fuum!Kzd+EULZR#G%zeMJdhI@ z5f~Ym7|0Dw3OpQmB(NaxXkcOBvB2YjCj!p|LV-1bwSm_I>jLWo8v+{xZv?gk-V3}R z*b~?r*caFzI1o4(IOJRBn;bYCI2HIha5``;a6RyI;FrLSz|Fv~rBVXF1^y|zE^xbXWjNvu%d`7HM&M0rh84ZkvMkAxK(ZpzKG&7nTZH;zD52L5i%jj+NG5Q+) zjQ&QJF~AsZ-ZaiT;X*^{tG8P-A@shF3SZ=H` z)*7!Hn~b-OcZ_$9&Bk_Phw-`bg>l$8VjMM&^)|jSzBeu#SBxKwAB~@k-;GjWDFn*|est%GU7j9_N4XRueWU$B30 zP;hW?Sa5i7RB&`~{HA`MHL^U(bgMlh8aFTps&SFsM-R*yv*`(Sy=?7iQF>bNn^uXf z+q7-hzC*{PPMwoeQq#J0P0z^e*1bp1UcLL6$4fUbqoSTLS436Kn{2NBr$@ zI7&FmI;uHZIy$v>3~-Ee6?!F zn$MG`o7zjKS}HI>?WY7582 ze7|sv@0mG#LiWHRv2_l6s(Wfk#XY6MM|13>g*#h?cTP4JEUn{c@9AKExU`1c(fna) z?P7x(HL0E5xKX`2watUe%GOBsbd@T+>PhjWdeS^ygkz>~%o2{-!jbo?CtZq@;ym4i zBR{`!eq-Sf=J;iKYClhwq%HIG7mhj0JOhMdt|>1!(ua7mr3y=z=EonFib};8*Kp5R zslqBxj%S2tq-T_8v}cTP%omP_gyUi1ctkiB2*;zVJmWm$?IgLLNy4#EI2MO9JuMtd z%(2VgR%d(itz>z^@z^qt5RS*KWFzK#9+oOB^APKaWgcQZnIGSM;J9(4vg;IPf6Viw zRAIU2anBRN@sw~ZvNj*LH=oLn?~;)-W^mz|&v>4_m*F|#F!SRI554Gl$y~IeV%{>( zihHNLDjd(;J7u+J&ApMV6^_uo2CVmNv<|Tlo?T|=W{}n$QuA)=w>|Gm6;^uQkv{iq z_H6OICmhe`w-SyQgyTiwcxk0)tLFpHhn{V!XS;B`EF3F^W0i2M7LGNvCSVSIbx_`? zp3m=%>!rKB`?g;GlKD2i~rAsm~8rW-3IMXTPzTU7N4r=#E&RRhxC<*K0uh0`gV z?z?xV8mm_L-@8VwD8;Jr=9-NaGOMe#|8=yEbyPTuu%w-?{J37}Im3qMcF)P4Qn2XM zMrz{+o-ArJwS_gn=E7NgncC7U_eR+|t*zm-QQHb9QIvbb>7aK0SHffxrkdm5sOZm7 zd;IHYPmcCBH@*??$x;WX1Eu2XAi29bM9nrYzR@Fkm^z$2IqC>?Bzp&`W2CFvtqE1z(38z;$egFTJqUM|OTY;4OR!Y8fOua9$ zx`5PUq#km2sfTbH`I2x3^QCa2Cs+aM5aEom47{BS+RIEhm*df`= z)u*Kf_w6*dzE#Zij2g;P;tJ1mELWd3e}1dB{=B+Us<2jlL48quNqt#;MO~^cQIQYAHHlS)yOMBM5$;C9-Cnq}g?p%Q4;Sv7O$#@v za?=mgk9moqeyDC!x2rqUo$5!zSxq>r3ug`CtSOwegtPW4b(i{y`l#pC3>6?hf^&S?S&9+nrXwd2r2~Q!h#tR;cII zZ`BLJ*-$te3un_6>Lv9%^?TuDDRmIeE)Pcf$&B2bSp4pNr~YDg-TcCR-~Vp@zWGqg zKQ)P$1L|Mu-|B7kAN7uKwh+#i!kHkPt%Ngir6y|;nnQDHk;2(pINJy(i?p3^wzqx^ z)-jj87q_YEdu8R8s>Y4BQq#23T9g*8#Rz9d;Yu(2@&|^b3h$ zauxHd4}I0sv`ij1S{JRWmaZ|REa4m=oCAe((5qTEt-IDk>nWUrg>$rUjxmGVwr6H( z0}G!&+92T^QtaPVmhF{l&F7BN9um&6`OS`K3;0DQNh+>A zsx8zW(;n|NF?&?@;M|2NaP||<$#IF>_uTN!H+L00*0oF874AswJMDYzvT!aG&c}rF@fF$++K<{#rup&k z=D%8ze-q9{cVB;Kf0X8CTc!P}{iXe_-PZom?g-~%;iSz^3+EEyd`37!t4hnIBg{s- zesO!G!5dY%+@|KAG>j+_RV}J|RE?;bQMICKZ<_E~jw7;ORQ;$1=GiYBq&JFcoTYeD zGg2ny4jeQpJ0o{O&X{2UBa{C`79+`PMk1!cup?UKWRet z#F;Y_)7x~+C_E>@9JafhouIY(`0g6^Ry%Y1?uzzSNAvRTI`&p)v+SOR_ExIddr$bh zuI6KVn%Vm@%{_Y>*jqi!ntOd_nZ3pB?|SoHoW0f0?7p{_y*0pmbZ>&aHQ3y@x3ax8 z)ck92IAe}kabGynC^Ktcef#KG^VxmjQA}W8jCo;S8GAqP4(#t_Z%sA3?{92x%`naV z&F!t(=8^qX?JZ$C4uor*XI42-$=>%cTftIkJyP4H7q;S2Gw(on5RaQ{4%D?zd&)d} zpqjm9n##d&xM$3SgSG8_&zUbB446+IENXxEBHvZ8w_Y(nJy^N2H3iG+ zcAE7mc$zL~i z&=xcHbQyF1*Q#Ayck|-cjf-2&>SeFUIP-_E{iWL{^~~znF0t+1dmy^6nRz-KC(E35 zIy{C!=DO2%%cHZ+bEoYoj2>=!zo~A=8)?U@Xuk1HlsV{|Xgk{&bLKbpv__9N*M4I! z&gfk8;5Xs+PBEQl!tth?P0rZ&S@bM(+?jAC`R0pf!a3%eht7n1^pNQ~8}9xBv(Z_5 z5l25}=9~@Zc+w1=ZExpYY@RRJT4L5XXD_knXU*Z~>e)wMFqfVSFOHYZ-RHtNmYMST zaFwr`wa^o>G7sI)Zm@O`byK>wde=$7vlWfJBJ1!QpW1i+*-MoCUl>OaV^Y4q{Xy2N7e|gO| zm%_{al3DVm*Iarjoc(gacV08{yMTS&kEZ@ZW%JG@mznXM&)##*9QU35;Ew*q9Dl>Q zRyDu=uDrbpezjLYYcuwTO6J+`ikgkT_uALoGN1Z6eCEvWW9&VD-Mx1E_u*^*x$j!- zvVDWb$OYr!cY@31tPC+u`>d*DC~vO6T*8VK<2JWlZeec~TYROJeHyQZ_?eezpJoky z;+3GiU$OVsvIgjDpO}%Em6Dj*vEX4AQ`$b**dG0zEA}{JVyM5peW~9*TGu)n-7Pge zEjc+WIW4VA;j2qSl(>>qrFgLDlWd_GrRm4 z|y+=(acv}bLaKy(Mhe-6VrPYPD-DG0(M?6XO8*VzP)1lldGj2 zpBLg>*PH7MbK@@_)A@^ilgA99gk(F%F!S+W+Suogu+MFF_gvQv`{s)oZI-=}VxK#X zDePo#O*D7h=xA?EW(g+QThq)wH{04o~8une}IB`;3jxxWWVU zSSdIC8Sd*_=AJ)O?c?uIPak`03*+u-Z*4Wd{wv+y+7>Exx4zMT+mGCsox~5EciVob#C&EZ-0p8j__ClluX*KmxZJ&r-a6YGliIFFa#Cu$!sT?pt~$}G zx*CIxO3cVe>X4e%F11@q;VFj;qIt}V|AZd`#|kbosE!NhNeWw;gxjr{&@&}5g~^s;&&Ff*L><$Tu5=sZnb^4 z)Haz(X{m*S{Ijq+`*5k`#MbSS3yAW1uy!%4IE8Tys-5Rgs{%l2~ z(~}ZgCl|Kg>#{3uZB=Z99*vZh&>CmBI&aZ}P{l)6oN{HWY2Fg|pUvJR+M=AY|TEq*}d3Hs>#JmrlfVhn?0KTbg|F%+2=ODcW&rmSGZf=086KQsCE(A z7dq*Z?dPtyjCBjtGMC+CFy4jmGti4S`&Z4rt?!C2{Vmo=s&?`mcqM;#03r0|n8{+;0?-!Nr zyTIG9pxwo-AqV}|uM}Brl3CUTQ|N7Cm)bL2D(4gr#TJ+K(AZ+KeJgvLhn_4ZXW64k zur@n{niiMsXNtGAHM$zs`O%^0iWi*UF7#e;xtV=_$Iu_eW&0GrC16v4k8K+E_x?c{{=W&D5J2s$Eh}wNK&=9ZqT;I$2V#6MDa- zY`=o^_6{8>DYv$B^|Q|Pg<5HJrHe<74fQQ0m$Z)z2#qNv+m9FT;LyHOa$kGz&`>Q; z!DQ#yv73a-m698Vc6emBeZr{F9*^A9PCm9EIVS`{FY_CCXrv++vk&nu3FB&GU0ZPI z14S-w2bg5l*~{LXdUtSDL!(sLep%w35t^mS>2{Rac9eGaqG%cIlG|q`r6v{r{^u3e z*{wq(G&y`5%?sse;m3gY;m|2f&aeaV_5{P}85$TRCxzzl#(}+;_bu3)5#D>bv}`{E zy-$VyE-m-A$7fo>+Jq9KMEDI#|~@E)9ZZD>QZ zyii^5-DsV)K}7Cc=6yp%eiVAckmG{hw|OVU`;LhGnD=PpH18JcJuL5gQd{pFRc@8{kxykB~Ed-r(vdiQzv zdk=UIdJlOIdyj;6dF496W8UN56W*`9C%vbJ7PlLKe!_hsvCG9Mr>&2gF7mQK7DXU)sSL5a7nFDZ$oZZs;;j-bK|QgBEMVa zYYlmsUl;hKtND=vi{r0}Eldp51)QqGtL$arK8JIhKxi492aQ}|*S*gCXEG33NRVI%e z*0%6ZUtQaMOz_U(tkwrMflbh4)w5b$@hl3jwZP78|+5koA;XVR-SMb{I4=us|M2%neJMNCn?-Z`m z1=0L&qWOym7k{ez*Uj&X^*s_=R!)w}Q~jl_%k9U8KT5b_3NQCsm-~dv`(Kw=^tCCR z(sLs6NjVMe_^nAD_CXW(*HMD9#0}))q4x@TWbM^r_f)=U+-RJ^@YnQ zjMm7C)>ycL{~OH;R_k8VFWzgqKhe*#XQNWu-`d~C-`3yG-`?NB-_h?)@^|ug_9y#O z{Hgvle;0pOf4V=zpBdUyUT%_So!{Nx!{5{2%ir7I$KTiA&)?sl>uLK z_7C+B^A8uUcEXh@Tv@_3M!2R4*F(Z(3fEHMdR@5Q5w0D=^`&sJ`p*d072*0_xE;dn z5pEiE-ygL6qr-pDa>ZsT^@iq*GM&xh%Zwd2n7^q`JYmE_s?9S{WvDqDXNHmF_q!S<~wIHj@G zzNVoaadLRLk?{qKgjHx^#iNKdea)>v<%H`SZz&Gi;~OW_(TT;qgm zyl_nru8G2xD_oQAHpJ?O-d_2ihD5YGL)o%=~E_e)mpr$V*b$?+-rtNJ=C=}LW-zFL1x zU!$+pd9Xe$TuX%O8Q}^E*R#U)oNztAT3@ekuxsDI)+XV4LAdzXr1fDg{`|<-M)93Binae9MfJi@ z+UY;)H?2|pq+ivq>DTq2^{=qSl+(xLvA6ZzU)g1lnTU(Za=Rz|F$7 zMY!G*uJ?s&t8jhrK=py(|E%l}t>R0_d4c#q)o{itcE)W~AE<6szx`j;D<=x3AW$dJ z#2Q51K)pcyK!ZTTK%)S!3w8?EN5b{7aP1PVPlW4J;ri^sLA3gxL42OSc|v`ecT57E z0x989@X5Gm=Ty6 zm?d1tgzLC)oe-|CgzKbmof59EAIvxZfAXC!%=ctqaX8;1JKs0fA}e^LhUfL!z)~yW zbAjgrF9co;ycBpj@QQGq6)xIwUbuLHc0sr<3fH9v6R!TBgx?j;>zjeM!wFezg!tYn z@Lj9G%m12J)%9)R#BL4n%fRZu2Z0X*+XCAII|4fcOz988^`mh8BwSa8>zZ&~7p|XI z2X+NMu~v296Slq-u3v=fM!^lwecKT?Rrvl2d>3Gld@lJ? z;SI)jyyBAzXW{q2pW%`4fyr~iEn6e`n~}I9>}8f>NJbH|8nO{#I1Hx|X}Aoxa65%N zQn+2h&2|ytE-Ku`c;FeutgJ>!wn|!$I5$5nt=rmN%Kom@-P=0P@EeAm*9h2oOK@8o zWvtJxx=a461!}1%rDQ=Dj0#3|YdjT=N=Cd<*{EVvHL3}>BHXHQYr{fngX!t%<1k?|wM?7ItH#FgrWjL=X~uM8hH&$NMVeJzxN8V^P2sL3+_i){ut|x4Z_`0 zxD%EeZy0Y1cPrse3}q+FRr0nNTmN;!hxQ3=^5f!KEqK=McVXjp8oT~=_NVsQ?X0sO z+VQ~IUmE+Yx_29UjJ?9$LAW~#chU-Dzj44gDBPWddx(f|XNEi}@|YCkxHNdBal-h@ zIBA?Rz83Dz!rfK4Sq?pgyYJn1K8-U5zsj#L&Kl>8^TM4h+$^fp6~+bQBA=jhrwMl# zK13J#GDTjJS2*aa#xMVM$qnPCHH>uO&Jga*{P?(5_TVL{YizgHlX6B4DZKtK+4 z6k9PuiajJ%=i<^*%``P;;pX~jvRl@lSMrPGyYu~g60K%N`_zog?5VjmI%W@?JS~*o zMSiY?(k8S0*fF`SM-3c2a`SIp8*M5mh2;Ml^|N9g!B19?>Ua zK*ZRHi4ij*@*|#!cs}Blh_@o%iTFHXZ^V&^;}Pd0u0;Iqa60@B!x8H!@2Jq+QOQxu zQQy(j(c010(caO~k>cnUwKMADsL!L0MV*W~7j-G>M$~W7j_4xMN_6RHUv!P=+R^Qz zdqnq+&I-MdEtl;uFu(8xZZIYolq#$;&IWx!zi~bo2=WwR{`(1cf0lr7X9@R!RmKJB zcrZ3tPC70fXF2c*d-p)$9vqT~%B%9K($i|e>OE33YUbvQ&gK(`Uv~Ouyx@i$WWNs@ ztQoA;JvF24z})QR!J5`*pi9?nP^(UzT6JsJX%ss!H@0rQrnMW|@5cx0N`se4CG#Wh zuFPP=U}N6yu=XtrHWBV&1@CqQn-`urpi=nKR`y%@;q5lkwzbL={`6q`U>NxErU(Z=_!5MBgm91I!?nUaM!3fb_XOe274FG=;PKus%)#`6XT?af{x>`?Hmuv!`Vbu|G38IeWsvU~X_y=!41P9T5ossL~ry3iM>G*ryo9ybh!5~FKEY@B0taviM{o=$K=s}$ z_(PI>JPv(Dkf-v63Vk)v44sgSRCEDT;A2oe2IXT=zG2A0NQ}lfOvGeN!wkFt2Iiwf zKIYs{C;e3JAB3@(jeN{u{{8dVU_SkifC~MOfdGCg^uK~-Sb>#TjWu{3ROi1bNxF`f z=mWZ`F9uVj(KlsepJ? z1*5~hN6 z5}eKa2L&6ff#Abn{)3O>DKLk@XYd?e#4A`1)Pp90(rA zS2%@h_)C%~Tq;AC%9LjQ%g~ZCw4@9zDZ`O69gu+$$O8i@vkLFwW1PT`xQgre1vl{< z{*a`y^+3nUb_d-k%T$%6sIu>33*N^E*oK`XC`*vCcO)s6xr=4d#j@yPS#+@sD7GS) zn%F9+2Bs&rAsVA8NEF)=t&oIdFfFlNFb%XhmUR+Ko>)2^I~NQjmM)YljXaW+3!*Hl zqX8PB37Vk=+JiYS*9jyjM}l%BDAx=9!F-n+gdw2Pa;)%ji$LefEy1%m1S{!nP;7Y_ zPUgQn?J7?djK71J>jEXKIiScBI= z;&?k>C1g}8w3KKC2Q!ouwQ-NwKJcLK^C?3NS z(9#Oe<3)Ue&+r9y;~zp@E^y@j`N8fS10-%3(^3|J@eOjSG;##3Q@E?5)sbT?jL zF6M)R;wdPeg5oJC{u$;!o>s;$!z#RnwOEIvIF7Gy3M7s{D@m1gv_U&`KoV$m0X_jOt^6hS;8*;PKk%0%RjCQu zScR#oLWNbhzRF`@O;ictIlO?EKtWX~s0syDp`a=oKqsrbhi%w_ypQ;@3qRo+e#Q-u zxXLX_s#+I)&<|M{2wGj$1k+XZ6;NSSDy&NIssyjP6(3?dc7kH6QcTr-IDkVqg44`@ zRa#p0JT6F5wUY2aMQOALZLG#zRinacR9Nj*uqLW)#GBZJcR)qesHhqRRimJ4pMp+S zJBVXAfs^=JlB!3-jiStd_2O)hxVnN)n21T3f@z@D)n5nmRedw4usRi1CwO&&S3iuS zIF7GCG1V!i`XzjiEBFz=f{s@I6Msumjf${VXpJhUhW?<9HJGa!R9J%wYkUCKM2*kz z1$JXEsHg@N)u5spR8->|(8(H?aTV9`3vNnM%^=F69O6LYnw2pKc?VA3TqO)Cc$g|fS+&;KZ9y&QccZ&B&n8!2slv^bhMU+D6~KV643@ccWTka zyjsjvEh?-UKjPOszWk0q@E2}NQe7&lOGS05s4f-NEd^Rx*N-xY zMR`;}3ewOO86a`po>+{n_z>H%6Lh-n4KQ7G??_TT3apn$h4lztkKpwJ2%;>?fokee zO}(0^jk>6h7NDi|TB9vSV=TsFB36Me)?=#bvFhtlV0|Z86ZJh%Q5wY)Mi-=Gm2O+YmbsHQ=CbVMg4qZ?>xgWl+i`FI!$un^lMsUcl#$W%3?!iH4X&;VaVENhPBtEhp%~8mHy**pC@et;&*24- zxbae)l%ysOM8b`tpw&&9f$3_}0aVz83Y!qT3Bj8TMmB~a2NctUVwz0GR7}TA%xC_a z(9$N4;xW8~&3F%6aaEF<(#EFDRZ}W#N`+06!J25=1HI4({Xj)cN!FBNnvTJEOazIV z3e3a9Sb(=cuBMxr-=-vMO0uRbq^7qdsaZMD-e%kh%_@V%(~S9QMpey7(u^d{MuJM3 z5xCi0%m=}n5xm)A(9LGg;(5@?W=vtT*YG+v;0=6*ys!E44bDnZ^U~-ETG*WR(0mA} zqB-qqP8H2(BOi0H5KmwcOgsY;HeZHSSc7#SS@YvK334?jS92@Zx02K%$o#ix&qfkD zgB99>1=E7Av|w6Vkfa4kT08}+XhGl>RMCR_y#>Kr5WEG`)#818i0$C+Xt4`>aR7&L z6#qz4OBoKZmRdH(Eat!ELu}Bxmb9)VRkWm4EvcgA3arFx(5{x7@GiE1>1s*BmY-rb z_TeB%*79%Mk)#BYC6FwEWC=yk8Y3|Vo+SU5DB(;$c0p_a>3EL=$h7SRdtWA3)fn;q+)`nzl((xGH!4|xa z53n6q@jJ-T#>#O=lG;*4TjI8*h_?Pbz7V`E!Q0ZpwpCCaHBkrk&>RV9jkXw#ahL#} zYHe5JNBjyp*Otz;qlk8NsvSkN(-4Iiltp<|L_B!YUTR0eb`8)JEzk-iYc~>OK(clu zYe%wnQ}8BE;xx|S94<&w`_eE#lJ+EN&$?(&5$%cFo+8?}1hLx_yFDFjpUV8V@5)97 zx}zrsVhDyI2aB-;Av}jYlGH(k4m#I?&UK)O4s@ymMRaI{CTNDX=zva02GiAnd>wit z3xk;d4%uvwtiw|p-#&FX9;PNK(fLIN?H3G)5vw(vc(`Sr;8Cq9cJjQbfnW zAa+M$ccgyoGOCacqf8)qJy2D#S3@|OR*fUV*}pACVb8OcRItyIeaTgonz1k zLqO{~)4I-7(V13tri#u2b1@%utMei}jc34gbtYlwSFr}`un{Edd=jTYuFmA@Y~{Kn zNy)Lye{vEV$w);PWMB>!f+Wc#NoHLnQ$;d?lc^$kJqVsm@MJoe{2_MWBYc9-Z~%vK z3@0QhMTQfspOhkKhJ30@SpZs>LhDkfB866^P({iryoR-)T`BM4J!}Qjl|sUlFR%{> zaRekwxg$xb5g=JA$x=y{S{&^#2IDailaM!+FRSqeNRmpDR2D`mRiqL)l`8mO>!ehI zrxHAs7N(xZMSO=V_z}P27XHF*NlL4PDyW7U7=V@706LdO=h7%5FO62EQAOHr?8Sc2 zuC!D524}&1rI9f0DsJF6`~i}6sepKptP9DykgQ8>jDU$}@GPFkOW1>>AV-%|%zqaa zMi;8+Lf|e`(dBm#ybHm*(!#E86hjGkph8Cwu_%wuNJST0nUp$$luIR@iFvP_a?k}PvN z-o|O1#d%!7caqdC24z8#ZY1f(y68p`-H6+bBDy7l*xiWTjShC}%KUfBWTQKJp$~>& z7)D?emf%@Dj~B6DlDbDB2s+oD&UL4V?sTd2$y*PxU zIDwOr)XxDIil7)uz=I^PHu`ZZ_oJ)*rZNBhX0kz&ek{CxRM78Je1Y8{V83(t78mgy zF5?&cid*;-w{b_3`jfCfmGv(L1x?T#RNB84xC{Dk0c)*41@zy={P#b|2BYr(HNFA! z)Sr3k{{yb#XWYPVAYqmas>>=0D$62SRwFb8$+Ae6MY60mn1xkX1B%R|lUYn%)){<{ zADI6vl4M;6RSY2T0IC>3+XfJP0Ko@%p(BX0D31!Lf!e5t2I!B07>sN@i_^FSIyZpM z4WNhtbZQ_)40Iqbk}oWZfwXI2X~e*X07y8n5~`sl>VRYe`yvY@8%VN&BpWy!%ds2# zZ~&}}fvk%`EWAM_L5@M>7!(bP7)0E>K~yoQ76?9w;Dc!4pair=TXaAY($Nh)(HnE| z5FWv!_)wAtN1!<9++aF4m?8$#slgO6xH77uI_TEm#%RX;4{pH*^EH@+gFB-OGSD3) z8!RvnBpXb!!6X~}I6lL5+`zB+9e+yFkSeGPk_;iq5Z1*IiWoxNArvtr6T}`u>>&g5 z_%Z~;kb_Ye18$EY(=iLyIj`Y$tj9*2m!#}?)CQf)rgPa8kxi$vDIz-sY3K^NmE8{m zFbGUnHubFH23j|a)(xYI zVRUL3RScVeTucVt8a4;>@i3UKVI&;31kd9oECsoS?PmUm?PG&v!$>yFN_Je5hO20b z7DzxM+F~MRf+WL9GMsfWoGOMBcsNB2e;EWHPVnJ$aQFtiiA{JHTd)(m@EN|a0{wzt z@jL#Iq@3y)kLjRwIkYZ^Dst#l4proY@El$M-O5>wwO9wHD~E(R?_)bY!Y3eE&UM@X zxpK&rW97>GTardJL>2~N2!?{iIO16>14%}ZWCZJC1XYY6@Cd3H@i7QKg5V?Q;E2OG zh7&l2)3}7o_z_nnX`~lA43uI1M|Nf78N32oH7QWY9v*R`~cgq17Bbd_TwO! zu8|}hc?K8o9j<_6qoUyh$wrZE6v;-FLm$k;!&t!lk6Osa6ZjCHf+V9zGHM^FVibW# zQN^h5LGV#la9TL(7XHF*Ng6F70>x1ZDoUd{5|D^Cn2fF11v)qSvpl{~#b{bJnkq)0 z!?(DItN0l=@f(=0(Igz>L{XH02P7NQ6fHoqF(ey9vN7$Ek2P3_4R`~aa2`J(k0fJA zGKPgQmMX>)cq~Wze~?bZ#s~ zjH6TIC}Nz8`5#w=jbfl(<6_`P0L<4o5{|2any7>NAlbMq3PxL`QJcI>U zh{v%*k|so=6zJRpIyZqLCeWz~6fvP1YM>VA)`VuT{{Aze6_~FHB%F|n40J~?kZi&{ zJPeXeAlU?xO?V1l;s$=hE&Pewk~Fb88h|7dNivajF_9uB5_cj+OzZ(-PbBulJX$z$ z7)D?eSOgQtV>)IbA9L_JHsB4sg^QAuTNU*{=W^*>E=AB=Qv?nLH4cPbk*FdHPxU4wNXSuV+PNtXK#u1V4)9|8!XEXt!RdV?gBNHU3aF^M83 z5qA&XtIaXpd4&X43;n2mh zWI8pODke|D6ifr%nmiwm;88GLlSw%FS-ga$SOIcP-pBk;J_wRcCfQ^w*-1&75``9M zh1O_`4wwwCnL?5&B$>jxnDR6TJcS~rECa!(5PS+9obo2##=CeATd@nD;Y;N0;mfbM zg+KAPBu%Y_T+9Nkn@a1ZQpHp{HI*u+K93jiGU(RSwOEghV7jJ~aO#Kn2%q3{kZkG= z{04GOCD&AP}p7t^R>8iA)##k5aB@M#2}MhB-I z!&f+kZ*Ufu@guI`XGxl_BZ#sn$NW#vWaBw32d$e<>!wr1bXql?DyDD8PJ9fyHGMx0 z;Ru+n=_H(f9^c^#eges6_z(ceW{_+~IaEM@Jd8*2SRP-Vz#{Cx7a++DlFVRT%%F-H z1fD?^GkyTUXIQ~$;f%lVk0i~M;6NlwK}8f|kbu@`i}sj?ZTJjyZe|{>n@JTjY1K@s zn0W!0@I7eP%$xWfe}MU#Ny1rflz;~sNH(hlT7hJ#z}TViVrQMOdv%0?($3*$N0go8Yr);p|w%p&}}yD(ayjnxGkmU>I^R5-;Oh{0KTX zo6gO)79E|+qli4~^FMjT*(eFxmFI_nGGM;)NSIe0bxgBlCLkBH zkdL{Tj}3SeoA3_4m!!Eh&=7QPE}ff85p(I(T#A^Rfo|x50T_&-7!IauF8St8X8z~S zU}H7}NH%vJHiBexNj8^cbGP6ZNtzcx8N{MIDk2m8K$3YRnU@WUm`B`s6fut}o=5C? z#GXe7=RJwVcp4$=;B#1xm3R$naTv#N0w*PDeo3UG4`|(dS~s66=F_S9lQ9+3F%$Fg z2o~aTFkSOWIR6DK#R{wfx#s_0OLqZfWx2%-{5hZ~(nv{6fpjAs(m56uCMs#?wRKRW zyBT^IhM|WRL~_8PLFsNp5D9}&;QP(@eRr+j@vJ-NeV@Jmd%wpe*EKhDTYK%sVZ9vI zEAy};A@nXxW17)|RJK+ z2RO`8PH>9fxx`ie3ZY*KVu+)Z`S*L438WypexmEAi+-Z&r;C2;SkF)F;1~9=598|R zzQq}!eRX#*1r^Gc$NMPB4LP^VT>f5tvIB=L;Bl`{<`Sz#{G5C{}0@} zf9B0a*#CA210rN48~2ir0u<%}n$m(+wBbwEvKh%G3=rJ_T?`P_09_3DmEX94xCUI~ zCjVhK20C!yedHlO1##HG#x%oW106QdVFTmoO&SYX%u<$fj?Dl4f1pDKI%J^57^I6q zZahdAgYL)82f6tm5e_OzY06T8O4On*4QNC+-rz0XA&IkGLUMy7H%JqMB{f(RgL9hy z;N0XzT!V`fO)Ta$*nxwq@DR1Bhrg4{`G$A{^41c09u4wC4q0=2bc|kRjNgAtU%HgrPYofaHcs zZm1@PN@}PkhE}5nHIdfPCgwl1xi>8_ub~bc`V`OcA{}wq(EbdMWuz`fN^0b1e8E>}Xr#184qz}tF|Lsg965<( zrZW>~C5+td%|0A9(qSVr4?7;hsG>BZC9P@8BYa6O95TuwqwK{fO^kBmQJNT)ikpve z^HCBU^*ulEBdb}u*jR@pIxNv)i4IG2SfaBMpW|DMFtLyM zC-(D3SBbhxbU>nx5_Obl0TXqQcmg+1Jj1VK-uO@c;W|c_cq@c)*~m^#a#NdnG@udh zvlKfpPDA4~G;W9akJG_8i#JYW;~Y57f#c3|5r>U)*f@ucbJ%z{A779n6r%(!X+t|6 z;W65y!|{Xhtu%flqml6VIe5g!`#u@JD8b7T#5`UC<0UX&0^@Dy_^pU|yaLB7aJ&L1 z=yHNCC*&X(dB{%-9>w7ko}eQi;{Fo`l8CWRn27==C}6@u5*$8Z85^*z6SiQ+6Lw&! zCU`t2?Bz-b6CFD7K^o(riSP0y<~XrGgBZ#PbUQH#`!vzP6K61+dCbRI6Q!FlsZ1|cnJG2 z*%_0aG5ITc(9`@U_x7eA0~m`LO%~H+F-=zOWOJJAOJuU8nS6}XAxz0iUhbzLMTo_L zQye&@2DNBLOIp*8?!3?Eq%cdDQ_OhENp6IYl#M)?X_6Z!xp9(3OOjGj8FZGUvm^&4 zRpnt`L1IaRanmFTB`skYl1h?PlH!w$Gf4wU$2q|%63ig!RtQrAx|*thsoBYiLZ%ic znm80OwKR&DS`kG|HM*%HohrGhQkwb``#HloF7O9e_%np$yU|>-=8`p+kgU7pC}PlI zvRNh9r2)E2ZcZ!O63-(%!OOf#XS(qQZ()Cu#hd&E=A1l|B&LzdEasBNkLI7e+M9K3 zz*Z)2W*-Of$R&#_Syah7O8y;VnsyiWAgO6Nk<>I@O)G&$rj-ze z1x=gBf&?#%5#6*O_>oO)Wd~+9&3;T%(6pn7bDEJ&6Xmpj_?O!uq(m^jlpKgPMIk8) zNl{2jS?o-TB2w&3N^KtHF%n+%(vjEbf(=a(Wy&XrF-3$aJ?KdvZz`VL6#z{m7tG>J@LGE>bzHH8_dDpggf;!9nR z=u$ktq`Oc=49bsjAD-K=fqNyiZr1)tx))!M|jNq=X^tdL^WqPqZor(%`vMvGjaDh z%klj%XBBJF#T-S?QS=;X%~9OkygWb^9>PI$AHz9w2Qrc=NNKK==4Sqh73V<|Fs~l%=}33p-!DwDoMq2+|I7 zgk${9C9Yym(ynteg!vkoFRl5KnjfwE`Eit@8g&rcd>zf#(fk&)#vJEcnEASz{~WLJ zF1}dif6C{4i4^DerY{2+#AIf$9EHw57s3J~TwsI?67KaP-UX^!P=>11rWsuk)dEp1 z_ymV6kk*3XSkeV!8P6mv)dGvUU>=fMu$ZN!^Aj7{!hR&RKuQaaoBx7ez4?o4h-bln zAuO~|3yV;c5=2v$3RFgs3(b9DC%U7cg$h~dsaWW+g`!`0BZNhoT4ZMy6+q;R+;5Tl zEsCKerOkg)Id3e|BFnQ#*Na-xhIquis69{9ffsn0SCGh}ktDGUoh_E+Vh1d)hwB%2 z<0HP|JM7kCkNRR`S!~A^k1+qmqFbEIbVRs#4rwf8F{eUU5{tu^ICO~vm;B68PH-A2 zE;-Nd=zPh)+zw%BgaM2tk#S7GIZNl0V566s)6(UvAsrDe-Gq59)z#92nAg(dA$%{! z?=9>1r71^6RSmum`WiDFgqGg#E?O|UC z%f-07A@8Ho<-VSmAIA5=4|yp-EDryn3e~Ae9qQAPHpKH7?J>e1o<$lzDENmfA*?88 z{wtpJ#t&EYz>HQ5Lqsb)HY=u*!VG33sTGo1@guA8{IA$R1|t8l60aiaA0_#tpZ$25 zzd~4P6f3ilot)&OfcdW!>BPE*XVZ56Lg>>idf_DH4~Y} zdfao(ujaqzoHxIr%Qe?SSgWJ8=CszF)|%7WJmjYYI$Z1UwMMnJ9Ew0Ri~ z8+^cLOdKe;c=ei8JVHg}`V-Pn>g#Pb;Kd72Kqz{|W!XS(qQ zZ}T1>@-d(BC7Rv%ExqW=0Q28C*qdRDWDMh&$P|*9&P?Wz#zK~`j1{b6E$hi(Guzn7 zF7|SO!yMxzXE?_N^WXS~H&^(JYuw~k2%7>~$wqc^l85{hqzJ``B9>B=p*)qSN)2jJ zmj*mcQ(DlPb|TsIC{OSd&+?dBns4}yKJ;f0Lm9zn5}Ckc zrjo)85@vgu#{w4fJwLFLHKg+s;@Gs69sI%`_H&4%oZvLSa-NG^=1>0N2LEvXRB1UN@eR~bl?RPw^dPF6}8pKwi?w| zquP3fn)hIBbV=c8Gt+Ym8xv`R@?fj-S|pYIpq3-&_x2r(M`-2X@+l zolR-SqrAoc_!QUgl+w;L9J=!`$3ply3pvP5Z5q>zS9l%w_&M|6|NVKmH=|g}YSwXp zlbi|Rmk9Tfi<(%rUo6`%?_*DX`HDoQlEMbI^D~;*<@#NB5lva7w@VYdp5^%jFW)f; z&+D#btYtmt`ICP_*zMxoF5d0p-F9WSaqYG%yL&PabKgA|P3&IIeok;YgguhjBZ)nd z*i(f%)aPjuUiR`TwtJ5x_DEvS_pBkEQ~b`Q5cWPm9A>%qDPBUHd%tA>gIUN5?9blg z{D$lIDPo@@_9geE#5W%6J~T`4C7eOHdK4UvYg1g@J)P9|ch0`BxF` z`O!>fDn@bsEWd?tp)A#q$c5L<|H22}{Eua<#SUE1_3w9a4=s6&_6%So_T~5O?Biev z7jvV#i$(F-iwe2;0t&u30>xbX2?bx2#2T_4elEgGla)CcW_*1NZTC_hc+Mhic$PjjNfWsmD6-61! zoBv|5dNt|E$Z?G-_eIdoaWaMu1W5i z6s}3`+Hl0-O$+$UELUk6mD3o z8%A~GY6v&$(F{9tQ`a~B{H6nMO6aD9Zc6CirnKWxCMS5A!EAK&uekrqLI+-@GjmwN zvJh_h*{vK{xLaL#hxb{7+1}b3!tEl&Qi|^Q`R$MJ)ZEVe`Hm3o&&)zkFk&NLWr#KrTcURycYV#T2qWHVh z*~*R($yNkSWh)gDBH225^A_*2g7svC$UVBhNB8&m;60zvgP#111MfK!BKOvy0S_~X z(J21jOI+vQ5Xs(xM|lE2%dWBP8q0nGzyBeUT@3f>`o0%JWn!&v5e<;{zd|MU7y#1c@>t|XY%??UZ2T(k$=oT?~M@2 z*BBqj7mtGSDJY+U@`)&)x#yEm{-(4;l=&y2yZp0oll;26KMOC>mDiEr{nELAONbPR zq73Ev9AhldoBifr;Dk4)L!@9$8u2hqa8N-p71W_$L?0>Gk=N)#ci!Y}+_0e06inb- zZiPr8qbQV%yxdPAieNDdNu-d53pJyKiwZr%yL`Y$e1e-65@8`R7ruwmR6;_96;asd z3lC)kqp?_pCoq|*Sg^t~n2pD+@B$X|JwLFLqa^6Quek2sR>5a%92pGWw zO=(Um+9II`1~M3n_`pauv6uZELMKJVTr>tn6n&7gyu=$QqNv1*O1Nk$k|?T(qRY*{ z=#So9LDaqx{Xe0Tjrz1k5yc+iae6TvMHCyuICgLtMHG`}F=-Zm03$1|h~gEfOefys zLq6s+zSLduuW_T|$xLS^=2mJApR0MFX6BfwP}FjOFV%D zO1w{hrZ5+yC}9*O62wqqIV;$QgGxB0ghNW4!)W|1A`+E_tYjlQh0tYGY06QNDpcno z8q%0%wBUJOq9d=+iLT}!C61{7@hRes>dOGkJIcJHMv%rb6dAROHSEFsqYh#RqQoC1 z{-{4N&ZyfV5*^_#JVDWtiq1(vicpLa<{z!%Xp0vuw&*(4rxERV6cI*0#j`xeYjmMI zqV_V=>m~iOfRm(PEDld$iP}m$5eU;`L;(30*|%BH9R|EmHKa zoaY)hLnKBgF*=Fy=*8saesmNQMJ%N-k{C_IXd=c4Vw%vLR%j$fBQeGiV;nIFW)Y*K zm^XO`ixOibF}jG+Ma&?KBE~3UY<)};(?~^EF}jK|o*3Q4tY8(!6JtCv`!SxFLuf2U zV==}PV>~f`p{azJ%&z_mk=VPrmmKIWHjW1=Ls=?N8J)%IELK;sx{B3RY#ZA1G#$`g z?7MuxNBoaZ`2vl_YAjY$vBS(iR#&m3naosD&|R$Wh1k`sWgQ#Xh|Xel7OSgRUB&7u z*0^GS=Mq=ZU7V*R&cemzCJ*^3h{obH7N@DW3g#cDtGKGv#d90?FuIF-1`8MW0x!~$ z*U(wqSjIDnB#b0Z(Q!r+XC!eJEzY9FS+uz2XeLfGaTY$|RORB!4>Pr@(7*WJh3L`36o=Rw>WDRQ46eB8WL?zqOgFy^oIHSzJ zRDlpF$1Fb%1fraWXemX{L^TpynQPFGOv>Go)__!|CrBEZFxnN|CV0# z!GYy>V@E28vO;s-q(6fgO(N2$FdN1B)$EZ9BCfEE6|7_{nyp|w6)y0H`B(5|P{HF; z!IwdW%mZ$PNJUFnF%S7Lf{KNZPDSZdEKW4BwB#K|;-HF0L!{E(l%g87s7nKy&@qrJ>tOd$}DVl^tH$ z0hJY2*@jm(qRP6jycykB{)Igp#GlheDj(wnW?1>p5b+Pbkt({clAnU;yoyJzis-B8 zx{3p;)SxD{sfP}$G@>!OtkQxv7>;DB91fAHSty6%sy@y0B)sJ16}ljasxGSf7GLl+ zy05DHs=BYLd%r?GQdReUeR`y-?yKs)>MGW<9-Cct6J}jiw^emp)$UZi5hB$TU#%5y zG7N)hlPcS59kZtO&LNmQ?dEw3(#>gHVCoU2Qr`os9* z@f*=2)t}@Up5sMcMzhslqca1{zxph1c47%@6vYi{NTS9^e8!g~@EyJBhg51vrG`}e zw)030Y1CNC1~#&V?fi`HYiP2@L4M_sg})RIK4dCbQ(wU)4+3?xxYBel*V ziCU6Ks3nS8qNw#Z=3V<9vXhfMn0M_06ygDNT-$tW>!h|KYtKf^wf_l`I+CbU0eexW z2DPY9Bbv~R_H^VO-Z%d`=3M6!KIbc>P)7=NhBFc)sFTQe%)8EHrZSC9TnUl7g>g__ zMb+&~DvMc;@zq_86zcwjYwFsMy7r-N=JQ`y6m@l8_g`*@NIenPy9-IwyN_HHM|bsN zD2Wl&D?>RdQkf_Df+RL@Aw=rury3HdulxEfX~UyDPJ)Z-`!cBCnfK9secjjZO+U=P z{t%?$mx@Q~>$?7QW+3|db4g2D~fCI2p=*IX*7^P1Kl^+&d=uGV6Qj( z$-L+YmZE{3Xz*W%G|WM6@=*XWH!MmCB+;-6)iCRZX5Fw3^=QDun0dpl3`TJcozqal zjilG8Ie!0Rq|r0pJjaWeU!zXAs8M(9MWgQ+!D#G3qY2o7Ms}c43X*8_J-TbOf>o?x z9qY+p6Muxr!-Y`X!>`brx#s`yRt|8K6P)HZ%gxy4^hNY3Omr)^WL}; zw!X0>8aJf{t!al5G&bwTPomkz9kA$)72i0)EEOVr%K~YMGaU6rmWN2)}ka($Y08 z?M2I4G^0IF(}5RwnOEtI-(V4G`7xjIW#)guH++X~Tk5vuKs?_qRp0VXh_ot68>H51 z2*Z#-t5hV=%09GOh^L~}a(-kL(r6`(R!2C_Df4e-{;kZp)dh6lTGy>}5k)Me&`s;| zRH7<&rM2$-+U-c|w#4%&Pw*7a@;qkVTDPq&bZZH=)=2AQjJUO8TAwlh*0)2X&3#nC zP21F_F^}M8ZQQJlo3(ifk+tc@8@$cCNUhD+NUe?3+UU5=Pi(}~)n+?~&~+PKx6yUm zLP)V~S#kRn+mW`F(N$Xow5>~hn$Q|uwN*e{2e*9-hqnEIPxzd#$h=QqEM(hpOk@h4 z@wPLV&0Lm}&SoUgHo-jF?&2USY^%bynH8Q1k#-iVoub-_x19xQ_X?fp%IhemonqR( zj|AHFLJ{o-Fc`(O8_Remp`dn~xE3Pux{t4D{_zfoZ;V;Sn`OM%;~z)t@w$$G2?xh} zZsXrX?C~GcgFf_U5JMS*?&EbI@38o(=sbQND_F+{HnN3Z%s+mQH~TT8cnQQ?$oPx= z6C#~-)X5>8Mv}xdQklhE=Cg=3h_#cOcXIPiZr*7dJNOy*>RgN#NUZaxXrOaHbL%`1 zQFWexLppzt8+LZX&TiN_gWc@o0Eaoo3C^R~&X>5tP5$Lph;+$@L%T!~izlf|8Ol?M zsw9ZNi>2#g>AKXXHEnqWW9Xv$F1qhBnnV=Y^<|8r>)X7CadZ`P*Jb>`N;J^*?-1#h zg}b_UnAYa F{{iYW#a#dZ diff --git a/apple1.xcodeproj/project.xcworkspace/xcuserdata/thiago.xcuserdatad/xcdebugger/Expressions.xcexplist b/apple1.xcodeproj/project.xcworkspace/xcuserdata/thiago.xcuserdatad/xcdebugger/Expressions.xcexplist index e632633..2ee4213 100644 --- a/apple1.xcodeproj/project.xcworkspace/xcuserdata/thiago.xcuserdatad/xcdebugger/Expressions.xcexplist +++ b/apple1.xcodeproj/project.xcworkspace/xcuserdata/thiago.xcuserdatad/xcdebugger/Expressions.xcexplist @@ -19,6 +19,9 @@ + + diff --git a/apple1.xcodeproj/xcuserdata/thiago.xcuserdatad/xcdebugger/Breakpoints_v2.xcbkptlist b/apple1.xcodeproj/xcuserdata/thiago.xcuserdatad/xcdebugger/Breakpoints_v2.xcbkptlist index c76fda1..231df13 100644 --- a/apple1.xcodeproj/xcuserdata/thiago.xcuserdatad/xcdebugger/Breakpoints_v2.xcbkptlist +++ b/apple1.xcodeproj/xcuserdata/thiago.xcuserdatad/xcdebugger/Breakpoints_v2.xcbkptlist @@ -10,7 +10,7 @@ ignoreCount = "0" continueAfterRunningActions = "No" filePath = "src/memory.c" - timestampString = "533361320.421968" + timestampString = "533530123.956421" startingColumnNumber = "9223372036854775807" endingColumnNumber = "9223372036854775807" startingLineNumber = "101" @@ -26,11 +26,11 @@ ignoreCount = "0" continueAfterRunningActions = "No" filePath = "src/6502.c" - timestampString = "532651865.108152" + timestampString = "533530123.956485" startingColumnNumber = "9223372036854775807" endingColumnNumber = "9223372036854775807" - startingLineNumber = "164" - endingLineNumber = "164"> + startingLineNumber = "160" + endingLineNumber = "160"> + + + + + + + + + + + +