From 19632c05dccaafed6637d035bcaa5cc63e273ee7 Mon Sep 17 00:00:00 2001 From: freitz85 Date: Sun, 27 Aug 2017 12:21:26 +0200 Subject: [PATCH] inited signal added to cpld --- .gitignore | 345 +++++---- VHDL/AppleIISd.vhd | 799 ++++++++++----------- VHDL/appleiisd.jed | 1666 ++++++++++++++++++++++++++++++++++++++++++++ 3 files changed, 2239 insertions(+), 571 deletions(-) create mode 100644 VHDL/appleiisd.jed diff --git a/.gitignore b/.gitignore index 3537d79..09e99cd 100644 --- a/.gitignore +++ b/.gitignore @@ -1,173 +1,172 @@ -#ignore OS noise - -Thumbs.db -.DS_Store - -# Ignore list for Eagle, a PCB layout tool - -# Backup files -*.s#? -*.b#? -*.l#? - -# Eagle project file -# It contains a serial number and references to the file structure -# on your computer. -# comment the following line if you want to have your project file included. -eagle.epf - -# Autorouter files -*.pro -*.job - -# CAM files -*.$$$ -*.cmp -*.ly2 -*.l15 -*.sol -*.plc -*.stc -*.sts -*.crc -*.crs - -*.dri -*.drl -*.gpi -*.pls - -*.drd -*.drd.* - -*.info - -*.eps - -*.?$? - -# file locks introduced since 7.x -*.lck - -# Xilinx ISE -# intermediate build files -*.bgn -*.bit -*.bld -*.cmd_log -*.drc -*.ll -*.lso -*.msd -*.msk -*.ncd -*.ngc -*.ngd -*.ngr -*.pad -*.par -*.pcf -*.prj -*.ptwx -*.rbb -*.rbd -*.stx -*.syr -*.twr -*.twx -*.unroutes -*.ut -*.xpi -*.xst -*_bitgen.xwbt -*_envsettings.html -*_map.map -*_map.mrp -*_map.ngm -*_map.xrpt -*_ngdbuild.xrpt -*_pad.csv -*_pad.txt -*_par.xrpt -*_summary.html -*_summary.xml -*_usage.xml -*_xst.xrpt - - -*.log -*.svf -*.scr -*.cmd -*.bak -*.lso -*.elf -*.ace -*~ -*# -*.swp -*.ini -*.html -*.vhi -*.wdb -*.stx -*.xmsgs -*.xreport -*.exe -*.cmd_log -*_beh.prj -*.ncd -isim -db -incremental_db -work -*.cr.mti -vsim.wlf -transcript -webtalk.log -webtalk_impact.xml -pepExtractor.prj -impact.xsl -impact_impact.xwbt - -spi6502b_html*/ -__projnav*/ - -# iMPACT generated files -_impactbatch.log -impact.xsl -impact_impact.xwbt -ise_impact.cmd -webtalk_impact.xml - -# Core Generator generated files -xaw2verilog.log - -# project-wide generated files -*.gise -par_usage_statistics.html -usage_statistics_webtalk.html -webtalk.log -webtalk_pn.xml - -# generated folders -iseconfig/ -xlnx_auto_0_xdb/ -xst/ -_ngo/ -_xmsgs/ -VHDL/appleiisd_html* -VHDL/*.vhf -VHDL/*.dhp -VHDL/*.gyd -VHDL/*.jed -VHDL/*.mfd -VHDL/*.pnx -VHDL/*.rpt -VHDL/*.untf -VHDL/*.vm6 -VHDL/*.xml -VHDL/*.err -VHDL/*.lfp - -Hardware/SD_A2\.b\$1 +#ignore OS noise + +Thumbs.db +.DS_Store + +# Ignore list for Eagle, a PCB layout tool + +# Backup files +*.s#? +*.b#? +*.l#? + +# Eagle project file +# It contains a serial number and references to the file structure +# on your computer. +# comment the following line if you want to have your project file included. +eagle.epf + +# Autorouter files +*.pro +*.job + +# CAM files +*.$$$ +*.cmp +*.ly2 +*.l15 +*.sol +*.plc +*.stc +*.sts +*.crc +*.crs + +*.dri +*.drl +*.gpi +*.pls + +*.drd +*.drd.* + +*.info + +*.eps + +*.?$? + +# file locks introduced since 7.x +*.lck + +# Xilinx ISE +# intermediate build files +*.bgn +*.bit +*.bld +*.cmd_log +*.drc +*.ll +*.lso +*.msd +*.msk +*.ncd +*.ngc +*.ngd +*.ngr +*.pad +*.par +*.pcf +*.prj +*.ptwx +*.rbb +*.rbd +*.stx +*.syr +*.twr +*.twx +*.unroutes +*.ut +*.xpi +*.xst +*_bitgen.xwbt +*_envsettings.html +*_map.map +*_map.mrp +*_map.ngm +*_map.xrpt +*_ngdbuild.xrpt +*_pad.csv +*_pad.txt +*_par.xrpt +*_summary.html +*_summary.xml +*_usage.xml +*_xst.xrpt + + +*.log +*.svf +*.scr +*.cmd +*.bak +*.lso +*.elf +*.ace +*~ +*# +*.swp +*.ini +*.html +*.vhi +*.wdb +*.stx +*.xmsgs +*.xreport +*.exe +*.cmd_log +*_beh.prj +*.ncd +isim +db +incremental_db +work +*.cr.mti +vsim.wlf +transcript +webtalk.log +webtalk_impact.xml +pepExtractor.prj +impact.xsl +impact_impact.xwbt + +spi6502b_html*/ +__projnav*/ + +# iMPACT generated files +_impactbatch.log +impact.xsl +impact_impact.xwbt +ise_impact.cmd +webtalk_impact.xml + +# Core Generator generated files +xaw2verilog.log + +# project-wide generated files +*.gise +par_usage_statistics.html +usage_statistics_webtalk.html +webtalk.log +webtalk_pn.xml + +# generated folders +iseconfig/ +xlnx_auto_0_xdb/ +xst/ +_ngo/ +_xmsgs/ +VHDL/appleiisd_html* +VHDL/*.vhf +VHDL/*.dhp +VHDL/*.gyd +VHDL/*.mfd +VHDL/*.pnx +VHDL/*.rpt +VHDL/*.untf +VHDL/*.vm6 +VHDL/*.xml +VHDL/*.err +VHDL/*.lfp + +Hardware/SD_A2\.b\$1 diff --git a/VHDL/AppleIISd.vhd b/VHDL/AppleIISd.vhd index ccccf56..17977e2 100644 --- a/VHDL/AppleIISd.vhd +++ b/VHDL/AppleIISd.vhd @@ -1,398 +1,401 @@ ----------------------------------------------------------------------------------- --- Company: n/a --- Engineer: A. Fachat --- --- Create Date: 12:37:11 05/07/2011 --- Design Name: SPI65B --- Module Name: SPI6502B - Behavioral --- Project Name: CS/A NETUSB 2.0 --- Target Devices: CS/A NETUSB 2.0 --- Tool versions: --- Description: An SPI interface for 6502-based computers (or compatible). --- modelled after the SPI65 interface by Daryl Rictor --- (see http://sbc.rictor.org/io/65spi.html ) --- This implementation here, however, is a complete reimplementation --- as the ABEL language of the original implementation is not supported --- by ISE anymore. --- Also I added the interrupt input handling, replacing four of the --- original SPI select outputs with four interrupt inputs --- Also folded out the single MISO input into one input for each of the --- four supported devices, reducing external parts count again by one. --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Revision 0.02 - removed spiclk and replaced with clksrc and clkcnt_is_zero combination, --- to drive up SPI clock to half of input clock (and not one fourth only as before) --- unfortunately that costed one divisor bit to fit into the CPLD --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - -use AddressDecoder.ALL; - --- Uncomment the following library declaration if using --- arithmetic functions with Signed or Unsigned values ---use IEEE.NUMERIC_STD.ALL; - - --- Uncomment the following library declaration if instantiating --- any Xilinx primitives in this code. ---library UNISIM; ---use UNISIM.VComponents.all; - -entity AppleIISd is - Port ( data : inout STD_LOGIC_VECTOR (7 downto 0); - nrw : in STD_LOGIC; - nirq : out STD_LOGIC; - nreset : in STD_LOGIC; - addr : in STD_LOGIC_VECTOR (1 downto 0); - nphi2 : in STD_LOGIC; - ndev_sel : in STD_LOGIC; - extclk : in STD_LOGIC; - spi_miso: in std_logic; - spi_mosi : out STD_LOGIC; - spi_sclk : out STD_LOGIC; - spi_Nsel : out STD_LOGIC; - wp : in STD_LOGIC; - card : in STD_LOGIC; - led : out STD_LOGIC; - - a8 : in std_logic; - a9 : in std_logic; - a10 : in std_logic; - nio_sel : in std_logic; - nio_stb : in std_logic; - b8 : out std_logic; - b9 : out std_logic; - b10 : out std_logic; - noe : out std_logic; - ng : out std_logic - ); - - constant DIV_WIDTH : integer := 3; - -end AppleIISd; - -architecture Behavioral of AppleIISd is - - -- interface signals - signal selected: std_logic; - signal reset: std_logic; - signal int_out: std_logic; - signal is_read: std_logic; - signal int_din: std_logic_vector (7 downto 0); - signal int_dout: std_logic_vector (7 downto 0); - - signal int_mosi: std_logic; - signal int_miso: std_logic; - signal int_sclk: std_logic; - - -------------------------- - -- internal state - signal spidatain: std_logic_vector (7 downto 0); - signal spidataout: std_logic_vector (7 downto 0); - signal spiint: std_logic; -- spi interrupt state - - -- spi register flags - signal tc: std_logic; -- transmission complete; cleared on spi data read - signal ier: std_logic; -- enable general SPI interrupts - signal bsy: std_logic; -- SPI busy - signal frx: std_logic; -- fast receive mode - signal tmo: std_logic; -- tri-state mosi - signal ece: std_logic; -- external clock enable; 0=phi2, 1=external clock - signal cpol: std_logic; -- shift clock polarity; 0=rising edge, 1=falling edge - signal cpha: std_logic; -- shift clock phase; 0=leading edge, 1=rising edge - - signal divisor: std_logic_vector(DIV_WIDTH-1 downto 0); - - signal slavesel: std_logic; -- slave select output (0=selected) - signal slaveinten: std_logic; -- slave interrupt enable (1=enabled) - - -------------------------- - -- helper signals - - -- shift engine - signal start_shifting: std_logic; -- shifting data - signal shifting2: std_logic; -- shifting data - signal shiftdone: std_logic; -- shifting data done - signal shiftcnt: std_logic_vector(3 downto 0); -- shift counter (5 bit) - - -- spi clock - signal clksrc: std_logic; -- clock source (phi2 or extclk) - signal divcnt: std_logic_vector(DIV_WIDTH-1 downto 0); -- divisor counter - - signal shiftclk : std_logic; - - component AddressDecoder - port ( A8 : in std_logic; - A9 : in std_logic; - A10 : in std_logic; - CLK : in std_logic; - NDEV_SEL : in std_logic; - NIO_SEL : in std_logic; - NIO_STB : in std_logic; - B8 : out std_logic; - B9 : out std_logic; - B10 : out std_logic; - NOE : out std_logic); - end component; - -begin - add_dec : AddressDecoder - port map (A8=>a8, - A9=>a9, - A10=>a10, - CLK=>extclk, - NDEV_SEL=>ndev_sel, - NIO_SEL=>nio_sel, - NIO_STB=>nio_stb, - B8=>b8, - B9=>b9, - B10=>b10, - NOE=>noe); - - - led <= not (bsy or not slavesel); --'0'; --shifting2; --shiftdone; --shiftcnt(2); - ng <= ndev_sel and nio_sel and nio_stb; - -------------------------- - - bsy <= start_shifting or shifting2; - - process(start_shifting, shiftdone, shiftclk) - begin - if (rising_edge(shiftclk)) then - if (shiftdone = '1') then - shifting2 <= '0'; - else - shifting2 <= start_shifting; - end if; - end if; - end process; - - process(shiftcnt, reset, shiftclk) - begin - if (reset = '1') then - shiftdone <= '0'; - elsif (rising_edge(shiftclk)) then - if (shiftcnt = "1111") then - shiftdone <= '1'; - else - shiftdone <= '0'; - end if; - end if; - end process; - - process(reset, shifting2, shiftcnt, shiftclk) - begin - if (reset='1') then - shiftcnt <= (others => '0'); - elsif (rising_edge(shiftclk)) then - if (shifting2 = '1') then - -- count phase - shiftcnt <= shiftcnt + 1; - else - shiftcnt <= (others => '0'); - end if; - end if; - end process; - - inproc: process(reset, shifting2, - shiftcnt, shiftclk, spidatain, int_miso) - begin - if (reset='1') then - spidatain <= (others => '0'); - elsif (rising_edge(shiftclk)) then - if (shifting2 = '1' and shiftcnt(0) = '1') then - -- shift in to input register - spidatain (7 downto 1) <= spidatain (6 downto 0); - spidatain (0) <= int_miso; - end if; - end if; - end process; - - outproc: process(reset, shifting2, spidataout, cpol, cpha, - shiftcnt, shiftclk) - begin - if (reset='1') then - int_mosi <= '1'; - int_sclk <= cpol; - else - -- clock is sync'd - if (rising_edge(shiftclk)) then - if (shifting2='0' or shiftdone = '1') then - int_mosi <= '1'; - int_sclk <= cpol; - else - -- output data directly from output register - case shiftcnt(3 downto 1) is - when "000" => int_mosi <= spidataout(7); - when "001" => int_mosi <= spidataout(6); - when "010" => int_mosi <= spidataout(5); - when "011" => int_mosi <= spidataout(4); - when "100" => int_mosi <= spidataout(3); - when "101" => int_mosi <= spidataout(2); - when "110" => int_mosi <= spidataout(1); - when "111" => int_mosi <= spidataout(0); - when others => int_mosi <= '1'; - end case; - int_sclk <= cpol xor cpha xor shiftcnt(0); - end if; - end if; - end if; - end process; - - - -- shift operation enable - shiften: process(reset, selected, nrw, addr, frx, shiftdone) - begin - -- start shifting - if (reset='1' or shiftdone='1') then - start_shifting <= '0'; - elsif (falling_edge(selected) and addr="00" and (frx='1' or nrw='0')) then - -- access to register 00, either write (nrw=0) or fast receive bit set (frx) - -- then both types of access (write but also read) - start_shifting <= '1'; - end if; - end process; - - -------------------------- - -- spiclk - spi clock generation - -- spiclk is still 2 times the freq. than sclk - clksrc <= nphi2 when (ece = '0') else extclk; - - -- is a pulse signal to allow for divisor==0 - --shiftclk <= clksrc when divcnt = "000000" else '0'; - shiftclk <= clksrc when bsy = '1' else '0'; - - clkgen: process(reset, divisor, clksrc) - begin - if (reset='1') then - divcnt <= divisor; - --spiclk <= '0'; - elsif (falling_edge(clksrc)) then - if (shiftclk = '1') then - divcnt <= divisor; - --spiclk <= not(spiclk); - else - divcnt <= divcnt - 1; - end if; - end if; - end process; - - -------------------------- - -- interrupt generation - int_out <= spiint and slaveinten; - - -------------------------- - -- interface section - -- inputs - reset <= not (nreset); - selected <= not(ndev_sel); -- and cpu_phi2; - is_read <= selected and nphi2 and nrw; - int_din <= data; - - int_miso <= (spi_miso and not slavesel); - - -- outputs - data <= int_dout when (is_read='1') else (others => 'Z'); -- data bus tristate - nirq <= '0' when (int_out='1') else 'Z'; -- wired-or - spi_sclk <= int_sclk; - spi_mosi <= int_mosi when tmo='0' else 'Z'; -- mosi tri-state - spi_Nsel <= slavesel; - - tc_proc: process (selected, shiftdone) - begin - if (shiftdone = '1') then - tc <= '1'; - elsif (falling_edge(selected) and addr="00" - --elsif (falling_edge(cpu_phi2) and selected='1' and addr="00" - --and nrw='1' -- both reads _and_ writes clear the interrupt - ) then - tc <= '0'; - end if; - end process; - - spiint <= tc and ier; - - -------------------------- - -- cpu register section - -- cpu read - cpu_read: process (is_read, addr, - spidatain, tc, ier, bsy, frx, tmo, ece, cpol, cpha, divisor, - slavesel, slaveinten, wp, card) - begin - if (is_read = '1') then - case addr is - when "00" => -- read SPI data in - int_dout <= spidatain; - when "01" => -- read status register - int_dout(0) <= cpha; - int_dout(1) <= cpol; - int_dout(2) <= ece; - int_dout(3) <= tmo; - int_dout(4) <= frx; - int_dout(5) <= bsy; - int_dout(6) <= ier; - int_dout(7) <= tc; - when "10" => -- read sclk divisor - int_dout(DIV_WIDTH-1 downto 0) <= divisor; - int_dout(7 downto 3) <= (others => '0'); - when "11" => -- read slave select / slave interrupt state - int_dout(0) <= slavesel; - int_dout(3 downto 1) <= (others => '0'); - int_dout(4) <= slaveinten; - int_dout(5) <= wp; - int_dout(6) <= card; - int_dout(7) <= '0'; - when others => - int_dout <= (others => '0'); - end case; - else - int_dout <= (others => '0'); - end if; - end process; - - -- cpu write - cpu_write: process(reset, selected, nrw, addr, int_din) - begin - if (reset = '1') then - cpha <= '0'; - cpol <= '0'; - ece <= '0'; - tmo <= '0'; - frx <= '0'; - ier <= '0'; - slavesel <= '1'; - slaveinten <= '0'; - divisor <= (others => '0'); - elsif (falling_edge(selected) and nrw = '0') then - --elsif (falling_edge(cpu_phi2) and selected='1' and nrw='0') then - case addr is - when "00" => -- write SPI data out (see other process above) - spidataout <= int_din; - when "01" => -- write status register - cpha <= int_din(0); - cpol <= int_din(1); - ece <= int_din(2); - tmo <= int_din(3); - frx <= int_din(4); - -- no bit 5 - ier <= int_din(6); - -- no bit 7; - when "10" => -- write divisor - divisor <= int_din(DIV_WIDTH-1 downto 0); - when "11" => -- write slave select / slave interrupt enable - slavesel <= int_din(0); - slaveinten <= int_din(4); - when others => - end case; - end if; - end process; - -end Behavioral; - +---------------------------------------------------------------------------------- +-- Company: n/a +-- Engineer: A. Fachat +-- +-- Create Date: 12:37:11 05/07/2011 +-- Design Name: SPI65B +-- Module Name: SPI6502B - Behavioral +-- Project Name: CS/A NETUSB 2.0 +-- Target Devices: CS/A NETUSB 2.0 +-- Tool versions: +-- Description: An SPI interface for 6502-based computers (or compatible). +-- modelled after the SPI65 interface by Daryl Rictor +-- (see http://sbc.rictor.org/io/65spi.html ) +-- This implementation here, however, is a complete reimplementation +-- as the ABEL language of the original implementation is not supported +-- by ISE anymore. +-- Also I added the interrupt input handling, replacing four of the +-- original SPI select outputs with four interrupt inputs +-- Also folded out the single MISO input into one input for each of the +-- four supported devices, reducing external parts count again by one. +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Revision 0.02 - removed spiclk and replaced with clksrc and clkcnt_is_zero combination, +-- to drive up SPI clock to half of input clock (and not one fourth only as before) +-- unfortunately that costed one divisor bit to fit into the CPLD +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +use AddressDecoder.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity AppleIISd is +Port ( data : inout STD_LOGIC_VECTOR (7 downto 0); + nrw : in STD_LOGIC; + nirq : out STD_LOGIC; + nreset : in STD_LOGIC; + addr : in STD_LOGIC_VECTOR (1 downto 0); + nphi2 : in STD_LOGIC; + ndev_sel : in STD_LOGIC; + extclk : in STD_LOGIC; + spi_miso: in std_logic; + spi_mosi : out STD_LOGIC; + spi_sclk : out STD_LOGIC; + spi_Nsel : out STD_LOGIC; + wp : in STD_LOGIC; + card : in STD_LOGIC; + led : out STD_LOGIC; + + a8 : in std_logic; + a9 : in std_logic; + a10 : in std_logic; + nio_sel : in std_logic; + nio_stb : in std_logic; + b8 : out std_logic; + b9 : out std_logic; + b10 : out std_logic; + noe : out std_logic; + ng : out std_logic + ); + + constant DIV_WIDTH : integer := 3; + +end AppleIISd; + +architecture Behavioral of AppleIISd is + + -- interface signals + signal selected: std_logic; + signal reset: std_logic; + signal int_out: std_logic; + signal is_read: std_logic; + signal int_din: std_logic_vector (7 downto 0); + signal int_dout: std_logic_vector (7 downto 0); + + signal int_mosi: std_logic; + signal int_miso: std_logic; + signal int_sclk: std_logic; + + -------------------------- + -- internal state + signal spidatain: std_logic_vector (7 downto 0); + signal spidataout: std_logic_vector (7 downto 0); + signal spiint: std_logic; -- spi interrupt state + signal inited: std_logic; -- card initialized + + -- spi register flags + signal tc: std_logic; -- transmission complete; cleared on spi data read + signal ier: std_logic; -- enable general SPI interrupts + signal bsy: std_logic; -- SPI busy + signal frx: std_logic; -- fast receive mode + signal tmo: std_logic; -- tri-state mosi + signal ece: std_logic; -- external clock enable; 0=phi2, 1=external clock + signal cpol: std_logic; -- shift clock polarity; 0=rising edge, 1=falling edge + signal cpha: std_logic; -- shift clock phase; 0=leading edge, 1=rising edge + + signal divisor: std_logic_vector(DIV_WIDTH-1 downto 0); + + signal slavesel: std_logic; -- slave select output (0=selected) + signal slaveinten: std_logic; -- slave interrupt enable (1=enabled) + + -------------------------- + -- helper signals + + -- shift engine + signal start_shifting: std_logic; -- shifting data + signal shifting2: std_logic; -- shifting data + signal shiftdone: std_logic; -- shifting data done + signal shiftcnt: std_logic_vector(3 downto 0); -- shift counter (5 bit) + + -- spi clock + signal clksrc: std_logic; -- clock source (phi2 or extclk) + signal divcnt: std_logic_vector(DIV_WIDTH-1 downto 0); -- divisor counter + + signal shiftclk : std_logic; + + component AddressDecoder + port ( A8 : in std_logic; + A9 : in std_logic; + A10 : in std_logic; + CLK : in std_logic; + NDEV_SEL : in std_logic; + NIO_SEL : in std_logic; + NIO_STB : in std_logic; + B8 : out std_logic; + B9 : out std_logic; + B10 : out std_logic; + NOE : out std_logic); + end component; + +begin + add_dec : AddressDecoder + port map (A8=>a8, + A9=>a9, + A10=>a10, + CLK=>extclk, + NDEV_SEL=>ndev_sel, + NIO_SEL=>nio_sel, + NIO_STB=>nio_stb, + B8=>b8, + B9=>b9, + B10=>b10, + NOE=>noe); + + + led <= not (bsy or not slavesel); --'0'; --shifting2; --shiftdone; --shiftcnt(2); + ng <= ndev_sel and nio_sel and nio_stb; + -------------------------- + + bsy <= start_shifting or shifting2; + + process(start_shifting, shiftdone, shiftclk) + begin + if (rising_edge(shiftclk)) then + if (shiftdone = '1') then + shifting2 <= '0'; + else + shifting2 <= start_shifting; + end if; + end if; + end process; + + process(shiftcnt, reset, shiftclk) + begin + if (reset = '1') then + shiftdone <= '0'; + elsif (rising_edge(shiftclk)) then + if (shiftcnt = "1111") then + shiftdone <= '1'; + else + shiftdone <= '0'; + end if; + end if; + end process; + + process(reset, shifting2, shiftcnt, shiftclk) + begin + if (reset='1') then + shiftcnt <= (others => '0'); + elsif (rising_edge(shiftclk)) then + if (shifting2 = '1') then + -- count phase + shiftcnt <= shiftcnt + 1; + else + shiftcnt <= (others => '0'); + end if; + end if; + end process; + + inproc: process(reset, shifting2, + shiftcnt, shiftclk, spidatain, int_miso) + begin + if (reset='1') then + spidatain <= (others => '0'); + elsif (rising_edge(shiftclk)) then + if (shifting2 = '1' and shiftcnt(0) = '1') then + -- shift in to input register + spidatain (7 downto 1) <= spidatain (6 downto 0); + spidatain (0) <= int_miso; + end if; + end if; + end process; + + outproc: process(reset, shifting2, spidataout, cpol, cpha, + shiftcnt, shiftclk) + begin + if (reset='1') then + int_mosi <= '1'; + int_sclk <= cpol; + else + -- clock is sync'd + if (rising_edge(shiftclk)) then + if (shifting2='0' or shiftdone = '1') then + int_mosi <= '1'; + int_sclk <= cpol; + else + -- output data directly from output register + case shiftcnt(3 downto 1) is + when "000" => int_mosi <= spidataout(7); + when "001" => int_mosi <= spidataout(6); + when "010" => int_mosi <= spidataout(5); + when "011" => int_mosi <= spidataout(4); + when "100" => int_mosi <= spidataout(3); + when "101" => int_mosi <= spidataout(2); + when "110" => int_mosi <= spidataout(1); + when "111" => int_mosi <= spidataout(0); + when others => int_mosi <= '1'; + end case; + int_sclk <= cpol xor cpha xor shiftcnt(0); + end if; + end if; + end if; + end process; + + + -- shift operation enable + shiften: process(reset, selected, nrw, addr, frx, shiftdone) + begin + -- start shifting + if (reset='1' or shiftdone='1') then + start_shifting <= '0'; + elsif (falling_edge(selected) and addr="00" and (frx='1' or nrw='0')) then + -- access to register 00, either write (nrw=0) or fast receive bit set (frx) + -- then both types of access (write but also read) + start_shifting <= '1'; + end if; + end process; + + -------------------------- + -- spiclk - spi clock generation + -- spiclk is still 2 times the freq. than sclk + clksrc <= nphi2 when (ece = '0') else extclk; + + -- is a pulse signal to allow for divisor==0 + --shiftclk <= clksrc when divcnt = "000000" else '0'; + shiftclk <= clksrc when bsy = '1' else '0'; + + clkgen: process(reset, divisor, clksrc) + begin + if (reset='1') then + divcnt <= divisor; + --spiclk <= '0'; + elsif (falling_edge(clksrc)) then + if (shiftclk = '1') then + divcnt <= divisor; + --spiclk <= not(spiclk); + else + divcnt <= divcnt - 1; + end if; + end if; + end process; + + -------------------------- + -- interrupt generation + int_out <= spiint and slaveinten; + + -------------------------- + -- interface section + -- inputs + reset <= not (nreset); + selected <= not(ndev_sel); -- and cpu_phi2; + is_read <= selected and nphi2 and nrw; + int_din <= data; + + int_miso <= (spi_miso and not slavesel); + + -- outputs + data <= int_dout when (is_read='1') else (others => 'Z'); -- data bus tristate + nirq <= '0' when (int_out='1') else 'Z'; -- wired-or + spi_sclk <= int_sclk; + spi_mosi <= int_mosi when tmo='0' else 'Z'; -- mosi tri-state + spi_Nsel <= slavesel; + + tc_proc: process (selected, shiftdone) + begin + if (shiftdone = '1') then + tc <= '1'; + elsif (falling_edge(selected) and addr="00" + --elsif (falling_edge(cpu_phi2) and selected='1' and addr="00" + --and nrw='1' -- both reads _and_ writes clear the interrupt + ) then + tc <= '0'; + end if; + end process; + + spiint <= tc and ier; + + -------------------------- + -- cpu register section + -- cpu read + cpu_read: process (is_read, addr, + spidatain, tc, ier, bsy, frx, tmo, ece, cpol, cpha, divisor, + slavesel, slaveinten, wp, card, inited) + begin + if (is_read = '1') then + case addr is + when "00" => -- read SPI data in + int_dout <= spidatain; + when "01" => -- read status register + int_dout(0) <= cpha; + int_dout(1) <= cpol; + int_dout(2) <= ece; + int_dout(3) <= tmo; + int_dout(4) <= frx; + int_dout(5) <= bsy; + int_dout(6) <= ier; + int_dout(7) <= tc; + when "10" => -- read sclk divisor + int_dout(DIV_WIDTH-1 downto 0) <= divisor; + int_dout(7 downto 3) <= (others => '0'); + when "11" => -- read slave select / slave interrupt state + int_dout(0) <= slavesel; + int_dout(3 downto 1) <= (others => '0'); + int_dout(4) <= slaveinten; + int_dout(5) <= wp; + int_dout(6) <= card; + int_dout(7) <= inited; + when others => + int_dout <= (others => '0'); + end case; + else + int_dout <= (others => '0'); + end if; + end process; + + -- cpu write + cpu_write: process(reset, selected, nrw, addr, int_din, inited) + begin + if (reset = '1') then + cpha <= '0'; + cpol <= '0'; + ece <= '0'; + tmo <= '0'; + frx <= '0'; + ier <= '0'; + slavesel <= '1'; + slaveinten <= '0'; + inited <= '0'; + divisor <= (others => '0'); + elsif (falling_edge(selected) and nrw = '0') then + --elsif (falling_edge(cpu_phi2) and selected='1' and nrw='0') then + case addr is + when "00" => -- write SPI data out (see other process above) + spidataout <= int_din; + when "01" => -- write status register + cpha <= int_din(0); + cpol <= int_din(1); + ece <= int_din(2); + tmo <= int_din(3); + frx <= int_din(4); + -- no bit 5 + ier <= int_din(6); + -- no bit 7; + when "10" => -- write divisor + divisor <= int_din(DIV_WIDTH-1 downto 0); + when "11" => -- write slave select / slave interrupt enable + slavesel <= int_din(0); + slaveinten <= int_din(4); + inited <= int_din(7); + when others => + end case; + end if; + end process; + +end Behavioral; + diff --git a/VHDL/appleiisd.jed b/VHDL/appleiisd.jed new file mode 100644 index 0000000..4418a52 --- /dev/null +++ b/VHDL/appleiisd.jed @@ -0,0 +1,1666 @@ +Programmer Jedec Bit Map +Date Extracted: Sun Aug 27 12:15:48 2017 + +QF46656* +QP44* +QV0* +F0* +X0* +J0 0* +N DEVICE XC9572XL-10-PC44* +N PPMAP 11 1* +N PPMAP 29 11* +N PPMAP 31 12* +N PPMAP 33 13* +N PPMAP 38 14* +N PPMAP 46 18* +N PPMAP 49 19* +N PPMAP 12 2* +N PPMAP 50 20* +N PPMAP 52 22* +N PPMAP 59 24* +N PPMAP 62 25* +N PPMAP 63 26* +N PPMAP 65 27* +N PPMAP 68 28* +N PPMAP 72 29* +N PPMAP 13 3* +N PPMAP 82 33* +N PPMAP 83 34* +N PPMAP 87 35* +N PPMAP 88 36* +N PPMAP 89 37* +N PPMAP 90 38* +N PPMAP 92 39* +N PPMAP 15 4* +N PPMAP 3 40* +N PPMAP 7 42* +N PPMAP 9 43* +N PPMAP 10 44* +N PPMAP 20 5* +N PPMAP 21 6* +N PPMAP 24 7* +N PPMAP 26 8* +N PPMAP 27 9* +L0000000 00000000 00000000 00000000 00000000* +L0000032 10000000 10000000 00000000 00000000* +L0000064 00000000 00000000 00000000 00000000* +L0000096 10000000 10000000 00000000 00000000* +L0000128 00000000 10000000 00000000 00000000* +L0000160 00000000 00000000 00000000 00000000* +L0000192 00000000 00000000 00000000 00000000* +L0000224 00000000 10000000 00000000 00000000* +L0000256 00000000 00000000 00000000 00000000* +L0000288 000000 100000 000000 000000* +L0000312 000000 000000 000000 000000* +L0000336 000000 100000 000000 000100* +L0000360 000000 000000 000000 000000* +L0000384 000000 100000 000000 000000* +L0000408 000000 100000 000000 000000* +L0000432 00000000 00000000 00000000 00000000* +L0000464 00000000 00000000 00000000 00000000* +L0000496 00000000 00000000 00000000 00000000* +L0000528 00000000 00000000 00000000 00000000* +L0000560 00000000 00000000 00000000 00000000* +L0000592 00000000 00000000 00000000 00000000* +L0000624 00000000 00000000 00000000 00000000* +L0000656 00000000 00000000 00000000 00000000* +L0000688 00000100 00000000 00000000 00000000* +L0000720 000000 000000 000000 000000* +L0000744 000000 000000 000000 000000* +L0000768 000000 000000 000000 000000* +L0000792 000000 000000 000000 000100* +L0000816 000000 000000 000000 000000* +L0000840 000000 000000 000000 000000* +L0000864 00000000 00000000 00000000 00000000* +L0000896 00000000 00000000 00000000 00000000* +L0000928 00000000 00000000 00000000 00000000* +L0000960 00000000 00000000 00000000 00000000* +L0000992 00000000 00000000 00000000 00000000* +L0001024 00000000 00000000 00000000 00000000* +L0001056 00000000 00000000 00000000 00000000* +L0001088 00000000 00000000 00000000 00000000* +L0001120 00000000 00000000 00000000 00000000* +L0001152 000000 000000 000000 000000* +L0001176 000000 000000 000000 000000* +L0001200 000000 100000 000000 000000* +L0001224 000000 000000 000000 000000* +L0001248 000000 000000 000000 000000* +L0001272 000000 000000 000000 000000* +L0001296 00000000 00000000 00000000 00000000* +L0001328 00000000 00000000 00000000 00000000* +L0001360 00000000 00000000 00000000 00000000* +L0001392 00000000 00000000 00000000 00000000* +L0001424 00000000 00000000 00000000 00000000* +L0001456 00000000 00000000 00000000 00000000* +L0001488 00000000 00000000 00000000 00000000* +L0001520 00000000 00000000 00000000 00000000* +L0001552 00000000 00000000 00000000 00000000* +L0001584 000000 000000 000000 000000* +L0001608 000000 000000 000000 000000* +L0001632 000000 000000 000000 000000* +L0001656 000000 000000 000000 000000* +L0001680 000000 000000 000000 000000* +L0001704 000000 000000 000000 000000* +L0001728 00000000 00000000 00000000 00000000* +L0001760 00000000 00000000 00000000 00000000* +L0001792 00000000 00000000 00000000 00000000* +L0001824 00000000 00000000 00000000 00000000* +L0001856 00000000 00000000 00000000 00000000* +L0001888 00000000 00000000 00000000 00000000* +L0001920 00000000 00000000 00000000 00000000* +L0001952 00000000 00000000 00000000 00000000* +L0001984 00000000 00000000 00000000 00000000* +L0002016 000000 000000 000000 000100* +L0002040 000000 000000 000000 000000* +L0002064 000000 000000 000000 000000* +L0002088 100000 000000 000000 000000* +L0002112 000000 000000 000000 000000* +L0002136 000000 000000 000000 000000* +L0002160 00000000 00000000 00000000 00000000* +L0002192 00000000 00001000 00000000 00000000* +L0002224 00000000 00000000 00000000 00000000* +L0002256 00000000 00000000 00000000 00000000* +L0002288 00000000 00000000 00000000 00000000* +L0002320 00000000 00000000 00000000 00000000* +L0002352 00000000 00000000 00000000 00010000* +L0002384 00000000 00000000 00000000 00000000* +L0002416 00000000 00000000 00000000 00000000* +L0002448 000000 000000 000000 000000* +L0002472 000000 000000 000000 000000* +L0002496 100000 000000 000000 000000* +L0002520 000000 000000 000000 000000* +L0002544 000000 000000 000000 000000* +L0002568 000000 000000 000000 000000* +L0002592 00000010 00000000 00000000 00000000* +L0002624 00000001 00000000 00000000 00000000* +L0002656 00000000 00000000 00000000 00000000* +L0002688 00000010 00000000 00000000 00000000* +L0002720 00000010 00000000 00000000 00000000* +L0002752 00111111 00000000 00000000 00000000* +L0002784 00111100 10000000 00000000 00000000* +L0002816 00111100 00000000 00000000 00000000* +L0002848 00101100 00000000 00000000 00000000* +L0002880 000001 000000 000000 000000* +L0002904 011000 000000 000000 000000* +L0002928 011000 000000 000000 000000* +L0002952 011000 000000 000000 000000* +L0002976 011000 000000 000000 000000* +L0003000 010000 000000 000000 000000* +L0003024 11111110 00000000 00000000 00000000* +L0003056 00000011 00000000 00000000 00000000* +L0003088 00000000 00000000 00000000 00000000* +L0003120 00000000 00000000 00000000 00000000* +L0003152 00000010 00000000 00000000 00000000* +L0003184 11000001 00000000 10000000 10000000* +L0003216 00000011 00000000 00000000 00000000* +L0003248 00000000 00000000 00000000 00000000* +L0003280 00000000 00000000 00000000 00000000* +L0003312 000000 000000 000000 000000* +L0003336 100111 000000 000000 000000* +L0003360 000000 000000 000000 000000* +L0003384 000000 000000 000000 000000* +L0003408 000000 000000 000000 000000* +L0003432 000000 000000 000000 000000* +L0003456 00000000 00000000 00000000 00000000* +L0003488 00000000 00000000 00000000 00000000* +L0003520 00000000 00000000 00000000 00000000* +L0003552 00000000 00000000 00000000 00000000* +L0003584 00000000 00000000 00000000 00000000* +L0003616 00000000 00000000 00000000 00000000* +L0003648 00000000 00000000 00000000 00000000* +L0003680 00000000 00000000 00000000 00000000* +L0003712 00000000 00000000 00000000 00000000* +L0003744 000000 000000 000000 000000* +L0003768 000000 000000 000000 000000* +L0003792 000000 000000 000000 000000* +L0003816 000000 000000 000000 000000* +L0003840 000000 000000 000000 000000* +L0003864 000000 000000 000000 000000* +L0003888 00000000 00000000 00000000 00000000* +L0003920 00000000 00000000 00000000 00000000* +L0003952 00000000 00000000 00000000 00000000* +L0003984 00000000 00000000 00000000 00000000* +L0004016 00000000 00000000 00000000 00000000* +L0004048 00111100 00000000 10100000 00000000* +L0004080 00111100 00000100 10100000 00000000* +L0004112 00111100 00000000 10100000 00000000* +L0004144 00101100 00000000 10100000 00000000* +L0004176 000001 000000 000000 000000* +L0004200 011000 000000 000000 000000* +L0004224 011000 000000 000000 000000* +L0004248 011000 000000 000000 000000* +L0004272 011000 000000 000000 000000* +L0004296 010000 000000 000000 000000* +L0004320 00000000 00000000 00000000 00000000* +L0004352 11110100 00000000 00000000 00011000* +L0004384 00000000 00000000 00000000 00011000* +L0004416 10000000 00000000 00000000 00000000* +L0004448 01110100 00000000 00000000 00000000* +L0004480 00110100 00000000 00000000 00000000* +L0004512 10000000 00000000 00000000 00000000* +L0004544 10011000 00000000 00000000 00000000* +L0004576 00101100 00000000 00000000 00000000* +L0004608 000001 000000 000000 000000* +L0004632 001000 000000 000000 000000* +L0004656 100001 000000 000000 001100* +L0004680 110000 000000 000000 011100* +L0004704 001000 000000 000000 000000* +L0004728 010001 000000 000000 000000* +L0004752 00000000 00000000 00000000 00000000* +L0004784 00001000 00000000 00000000 00000000* +L0004816 00000000 00000000 00000000 00000000* +L0004848 00000000 00000000 00000000 00000000* +L0004880 00001000 00000000 00000000 00000000* +L0004912 00001000 00000000 00000000 00000000* +L0004944 01000000 00000100 00000000 00010000* +L0004976 00100100 00000000 00000000 00000000* +L0005008 00000000 00000000 00100000 00000000* +L0005040 010000 000000 000000 000100* +L0005064 010000 000000 000000 000000* +L0005088 000110 000000 000000 000010* +L0005112 001000 000000 000000 000000* +L0005136 010000 000000 000000 000000* +L0005160 000110 000000 000000 000010* +L0005184 00000011 00000011 00000000 00000011* +L0005216 00100011 00000011 00000010 00000011* +L0005248 00000011 00000011 00000000 00000011* +L0005280 00000011 00000011 00000000 00000011* +L0005312 00000011 00000011 00000010 00000011* +L0005344 00000011 00000011 00000000 00000111* +L0005376 00000011 00000011 00000000 00000010* +L0005408 00000011 00000010 00000011 00000011* +L0005440 00000011 00000011 00000000 00000010* +L0005472 000000 000000 000000 000000* +L0005496 000000 000000 000000 100000* +L0005520 000000 000000 000000 000000* +L0005544 000000 000000 000000 000000* +L0005568 000000 000000 000000 000000* +L0005592 000000 000000 000000 000000* +L0005616 00000011 00000011 00000000 00000001* +L0005648 00000010 00000011 00000000 00000001* +L0005680 00000011 00000011 00000000 00000011* +L0005712 10000011 00000011 00000000 00000011* +L0005744 00100010 00000011 00000000 00000000* +L0005776 00000001 00000011 00000000 00000011* +L0005808 00000011 00000011 00000000 00000001* +L0005840 00001000 00000011 00000000 00000001* +L0005872 00000001 00000011 00000000 00000010* +L0005904 000000 000000 000000 000000* +L0005928 000000 000000 000000 000000* +L0005952 000000 010000 000000 000000* +L0005976 000000 000000 000000 000000* +L0006000 000000 000000 000000 000000* +L0006024 000000 000000 000000 000000* +L0006048 00000011 00000001 00000000 00000001* +L0006080 00000011 00000011 00000010 00000001* +L0006112 00000011 00000001 00000000 00000011* +L0006144 00000011 00000011 00000000 00000011* +L0006176 00000011 00000011 00000000 00000000* +L0006208 00000011 00000011 00000000 00000001* +L0006240 00000011 00000011 00000000 00000010* +L0006272 00000011 00000011 00000010 00000001* +L0006304 00000011 00000011 00000000 00000010* +L0006336 000000 000000 000000 000000* +L0006360 000000 000000 000000 000000* +L0006384 000000 000000 000000 000000* +L0006408 000000 000000 000000 000000* +L0006432 000000 000000 000000 000000* +L0006456 000000 000000 000000 000000* +L0006480 00000000 00000010 00000000 00000000* +L0006512 00000001 00100010 00000010 00000000* +L0006544 00000000 00000010 00000000 00000000* +L0006576 00000000 00000000 00000000 00000000* +L0006608 00000001 00000000 00000000 00000000* +L0006640 00000010 00000000 00000000 00000000* +L0006672 00000000 00000000 00000000 00000000* +L0006704 00000011 00000001 00000010 00000000* +L0006736 00000010 00000000 00000000 00000000* +L0006768 000000 000000 000000 000000* +L0006792 000000 000000 000000 000000* +L0006816 000000 000000 000000 000000* +L0006840 000000 000000 000000 000000* +L0006864 000000 000000 000000 000000* +L0006888 000000 000000 000000 000000* +L0006912 00000011 00000011 00000000 00000001* +L0006944 00000011 00000011 00000010 00000001* +L0006976 00000011 00000011 00000000 00000001* +L0007008 00000011 00000011 00000000 00000001* +L0007040 00000011 00000011 00000000 00000000* +L0007072 00000011 00000011 00000100 00000011* +L0007104 00000011 00000011 00000000 00000010* +L0007136 00000011 00000010 00000010 00000001* +L0007168 00000011 00000011 00000000 00000010* +L0007200 000000 000000 000000 000000* +L0007224 000000 000000 000000 000000* +L0007248 000000 000000 000000 001000* +L0007272 000000 000000 000000 000000* +L0007296 000000 000000 000000 000000* +L0007320 000000 000000 000000 000000* +L0007344 00000011 00000011 00000000 00000000* +L0007376 00000000 00000001 00000000 00000001* +L0007408 00000001 00000011 00000000 00000000* +L0007440 00000011 00000001 00000000 00000000* +L0007472 00000010 00000001 00000000 00000000* +L0007504 00000001 00000011 01000000 00000011* +L0007536 00000011 00100011 00000000 00000000* +L0007568 00000000 00000010 00000000 00000001* +L0007600 00000001 00000011 00000000 00000000* +L0007632 000000 000000 000000 000000* +L0007656 000000 000000 000000 000000* +L0007680 000000 000000 000000 000000* +L0007704 000000 000000 000000 001000* +L0007728 000000 000000 000000 000000* +L0007752 000000 000000 000000 000000* +L0007776 00000011 00000001 00000000 00000001* +L0007808 00010010 00000011 00000010 00000001* +L0007840 00000011 00000001 00000000 00010001* +L0007872 00000011 00000011 00000000 00000001* +L0007904 00000011 00000011 00000000 00000000* +L0007936 00000011 00000011 00000000 00000011* +L0007968 00000011 00000011 00000000 00000000* +L0008000 00000011 00000010 00000010 00000001* +L0008032 00000011 00000011 00000000 00000010* +L0008064 000000 000000 000000 000000* +L0008088 000000 000000 000000 000000* +L0008112 000000 000000 000000 000000* +L0008136 000000 000000 000000 000000* +L0008160 000000 000000 000000 000000* +L0008184 000000 000000 000000 000000* +L0008208 00000001 00000011 00000000 00000001* +L0008240 00000010 00000011 00000000 00010001* +L0008272 00000011 00000011 00000000 00000001* +L0008304 00000011 00000011 00000000 00000001* +L0008336 00010010 00000010 00000000 00000000* +L0008368 00000001 00000010 00000000 00000011* +L0008400 00000011 00000010 00000000 00000000* +L0008432 00000000 00000010 00000000 00000001* +L0008464 00000001 00000011 00000000 00000010* +L0008496 000000 000000 000000 000000* +L0008520 001000 000000 000000 000000* +L0008544 000000 001000 000000 000000* +L0008568 000000 000000 000000 000000* +L0008592 000000 000000 000000 000000* +L0008616 000000 000000 000000 000000* +L0008640 00000001 00000000 00000000 00000000* +L0008672 00000000 00000010 00000000 00000001* +L0008704 00000001 00000000 00000000 00000000* +L0008736 00000011 00000000 00000000 00000000* +L0008768 00000010 00000000 00000000 00000000* +L0008800 00000011 00000000 00000000 00000001* +L0008832 00000011 00000000 00000000 00000000* +L0008864 00000001 00000000 00000000 00000001* +L0008896 00000001 00000000 00000000 00000000* +L0008928 000000 000000 000000 000000* +L0008952 000000 000000 000000 000000* +L0008976 000000 000000 000000 000000* +L0009000 000000 000000 000000 000000* +L0009024 000000 000000 000000 000000* +L0009048 000000 000000 000000 000000* +L0009072 00000000 00000010 00000000 00000000* +L0009104 00000000 00010000 00000000 01000000* +L0009136 00000000 00000010 00000000 00000000* +L0009168 00000000 00000010 00000000 00000000* +L0009200 00000000 00000000 00000000 00000000* +L0009232 00000000 00000000 00000000 00100000* +L0009264 00000000 00000000 00000000 00000000* +L0009296 00000000 00000000 00000000 00000001* +L0009328 00000000 00000001 00000000 00000000* +L0009360 000000 000000 000000 000000* +L0009384 010000 000000 000000 000000* +L0009408 000000 000000 000000 000000* +L0009432 000000 000000 000000 000000* +L0009456 000000 000000 000000 000000* +L0009480 000000 000000 000000 000000* +L0009504 00000000 00000000 00000000 00000000* +L0009536 00000000 00000010 00000000 00000010* +L0009568 00000000 00000000 00000000 00000000* +L0009600 00000000 00000000 00000000 00000010* +L0009632 00000000 00000000 00000000 00000000* +L0009664 00000000 00000000 00000000 00000000* +L0009696 00000000 00000000 00000000 00000000* +L0009728 00000000 00000000 00000000 00000000* +L0009760 00000000 00000000 00000000 00000000* +L0009792 000000 000000 000000 000000* +L0009816 000000 000000 000000 000000* +L0009840 000100 000000 000000 000000* +L0009864 000000 000000 000000 000100* +L0009888 000000 000000 000000 000000* +L0009912 000000 000000 000000 000000* +L0009936 00000000 00000010 00000000 00000000* +L0009968 00000000 00000010 00000000 00000000* +L0010000 00000000 00000000 00000000 00000000* +L0010032 00000000 00000000 00000000 00000000* +L0010064 00000000 00000000 00000000 00000000* +L0010096 00000000 00000000 01000000 00000000* +L0010128 00000000 00010000 00000000 00000000* +L0010160 00000000 00000000 00000000 00000001* +L0010192 00000000 00000001 00000000 00000000* +L0010224 000000 000000 000000 000000* +L0010248 000000 000000 000000 000000* +L0010272 000000 000000 000000 000100* +L0010296 001000 000000 000000 000000* +L0010320 000000 000000 000000 000000* +L0010344 000100 000000 000000 000000* +L0010368 00000000 00000000 00000000 00000000* +L0010400 00001000 00000010 00000000 00001000* +L0010432 00000000 00000010 00000000 00000000* +L0010464 00000000 00000000 00000000 00000000* +L0010496 00000000 00000000 00000000 00000000* +L0010528 00000000 00000000 00000000 00000000* +L0010560 00000000 00000000 00000000 00000000* +L0010592 00000000 00000000 00000000 00000000* +L0010624 00000000 00000000 00000000 00000000* +L0010656 000000 000000 000000 000000* +L0010680 000000 000000 000000 000000* +L0010704 000000 000000 000000 000000* +L0010728 000000 000000 000000 000000* +L0010752 000000 000000 000000 000000* +L0010776 000000 000000 000000 000000* +L0010800 00000000 00000000 00000000 00000000* +L0010832 00000000 00000000 00000000 00000000* +L0010864 00000000 00000010 00000000 00001000* +L0010896 00000000 00000010 00000000 00000000* +L0010928 00001000 00000000 00000000 00000000* +L0010960 00000000 00000000 00000100 00000000* +L0010992 00000000 00000000 00000000 00000000* +L0011024 00100000 00000000 00000000 00000000* +L0011056 00000000 00000000 00000000 00000000* +L0011088 000000 000000 000000 000000* +L0011112 000000 000000 000000 000000* +L0011136 000000 000100 000000 000000* +L0011160 000000 000000 000000 000000* +L0011184 000000 000000 000000 000000* +L0011208 000000 000000 000000 000000* +L0011232 00000011 00000011 00000000 00000011* +L0011264 00000011 00000011 00000010 00000011* +L0011296 00000011 00000011 00000000 00000011* +L0011328 00000011 10000011 00000000 00000011* +L0011360 00000011 10000011 00000010 00000011* +L0011392 00000011 00000011 00000000 00000011* +L0011424 00000011 00000011 00000000 00000010* +L0011456 00000011 00000011 00000011 00000011* +L0011488 00000011 00000011 00000000 00000010* +L0011520 000000 100000 000000 000000* +L0011544 000000 000000 000000 000000* +L0011568 000000 000000 000000 000000* +L0011592 000000 000000 000000 000000* +L0011616 000000 000000 000000 000000* +L0011640 000000 100000 000000 000000* +L0011664 00000000 00000000 00000000 00000000* +L0011696 00000000 10000000 00000000 00000000* +L0011728 00000000 00000000 00000000 00000000* +L0011760 00000000 00000000 00000000 00000000* +L0011792 00000000 00000000 00000000 00000000* +L0011824 00000000 00000000 00000000 00000000* +L0011856 00000000 00001000 00000000 00000000* +L0011888 00000000 10000000 00000000 00000000* +L0011920 00000000 00000000 00000000 00000000* +L0011952 000000 000000 000000 000000* +L0011976 000000 000000 000000 000000* +L0012000 000000 100000 000000 000000* +L0012024 000000 000000 000000 000000* +L0012048 000000 100000 000000 000000* +L0012072 000000 000001 000000 000000* +L0012096 00000000 00000000 00000000 00000000* +L0012128 00000000 10000000 00000000 00000000* +L0012160 00000000 00000000 00000000 00000000* +L0012192 00000000 00000000 00000000 00000000* +L0012224 00000000 10000000 00000000 00000000* +L0012256 00000000 00000000 00000000 00000000* +L0012288 00000000 00000000 00000000 00000000* +L0012320 00000000 00000000 00000000 00000000* +L0012352 00000000 00000000 00000000 00000000* +L0012384 000000 000000 000000 000000* +L0012408 000000 000000 000000 000000* +L0012432 000000 000000 000000 000010* +L0012456 000000 000000 000000 000000* +L0012480 000000 100000 000000 000000* +L0012504 000000 100000 000000 000000* +L0012528 00000000 00000000 00000000 00000000* +L0012560 00000000 00000000 00000000 00000000* +L0012592 00000000 00000000 00000000 00000000* +L0012624 00000000 10000000 00000000 00000000* +L0012656 00000000 00000000 00000000 00000000* +L0012688 00000000 00000000 00000000 00000000* +L0012720 00000000 00000000 00000000 00000000* +L0012752 00000100 10000000 00000000 00000000* +L0012784 00000000 00001000 00000000 00000000* +L0012816 000000 100000 000000 000000* +L0012840 000000 000000 000000 000000* +L0012864 000000 100010 000000 000000* +L0012888 000000 000000 000000 000000* +L0012912 000000 000000 000000 000000* +L0012936 000000 000001 000000 000010* +L0012960 00000000 00000000 00000000 00000000* +L0012992 00000100 00000000 00000000 00000011* +L0013024 00000000 00000000 00000000 00000000* +L0013056 00000000 10000100 00000000 00000000* +L0013088 00000000 00000000 00000010 00000011* +L0013120 00000000 00000000 00000000 00000000* +L0013152 00000000 00000000 00000000 00000000* +L0013184 00000000 00000000 00000001 00000111* +L0013216 00000000 00000000 00000000 00010100* +L0013248 000000 000000 000000 000000* +L0013272 000000 000000 000000 000000* +L0013296 000000 100000 000000 000000* +L0013320 000000 000000 000000 000010* +L0013344 000000 100000 000000 000000* +L0013368 000000 100000 000000 000000* +L0013392 00000000 00000000 00000000 10000100* +L0013424 00000000 10000100 00000000 00000000* +L0013456 00000000 00000000 00000000 00000000* +L0013488 00000000 00000000 00000000 00011000* +L0013520 00000100 10000000 00000000 00000000* +L0013552 00100000 00000000 00000000 00000000* +L0013584 00000000 00000000 00000000 00000100* +L0013616 00000000 10000000 00000000 00000000* +L0013648 00000000 00001000 00000000 00000000* +L0013680 000000 100000 000000 000000* +L0013704 000000 000000 000000 000000* +L0013728 000000 000000 000000 000000* +L0013752 000000 000000 000000 000000* +L0013776 000000 000010 000000 001100* +L0013800 000000 000001 000000 000000* +L0013824 00000000 00000000 00000000 00000010* +L0013856 10000001 00000000 00000010 00000010* +L0013888 00000000 00000000 00000000 00000000* +L0013920 01111100 01000000 00000000 00000000* +L0013952 00000001 00000000 00000010 00000011* +L0013984 00000010 00000000 00000000 00000000* +L0014016 00000000 00000000 00000000 00000010* +L0014048 00000011 00000001 00000011 00000010* +L0014080 11000010 01000000 00000000 00000000* +L0014112 000000 000000 000000 000000* +L0014136 000000 000000 000000 000000* +L0014160 000000 000000 000000 000000* +L0014184 000000 000000 000000 001000* +L0014208 100111 000001 000000 000000* +L0014232 000000 000000 000000 000010* +L0014256 00000011 00000011 00000000 00000001* +L0014288 00000010 00000011 00000000 00000001* +L0014320 00000011 00000011 00000000 00000011* +L0014352 00000011 00000011 00000000 00000011* +L0014384 00000010 00000011 00000000 00000000* +L0014416 00111101 00000011 10100000 00000011* +L0014448 00111111 00000011 10100000 00000000* +L0014480 00111100 00000010 10100000 00000001* +L0014512 00101101 00000011 10100000 00000010* +L0014544 000001 000000 000000 000000* +L0014568 011000 000000 000000 000000* +L0014592 011000 000000 000000 001010* +L0014616 011000 000000 000000 000000* +L0014640 011000 000000 000000 000000* +L0014664 010000 000000 000000 000000* +L0014688 00000011 00000011 00000000 00000001* +L0014720 10001010 00000011 00000000 00000001* +L0014752 00000011 00000011 00000000 00000011* +L0014784 10000011 00000011 00000000 00000011* +L0014816 00001010 00000011 00000000 00000000* +L0014848 00000001 00000011 00000000 00000011* +L0014880 10000011 00000011 00000000 00000000* +L0014912 10110000 00000010 00000000 00000001* +L0014944 00101001 00000011 10100000 00000010* +L0014976 000001 000000 000000 000000* +L0015000 000000 000000 000000 000000* +L0015024 100110 000001 000000 000000* +L0015048 101000 000000 000000 000000* +L0015072 011000 000000 000000 000000* +L0015096 010110 000000 000000 000000* +L0015120 00000000 00000000 00000000 00000000* +L0015152 01110100 00000000 00000000 00000000* +L0015184 00000000 00000000 00000000 00000000* +L0015216 00000000 00000000 00000000 00000000* +L0015248 01110100 00000000 00000000 00000000* +L0015280 00111100 00000100 10100000 00000000* +L0015312 01000000 00000100 00000000 00000000* +L0015344 00001100 00000000 10100000 00000000* +L0015376 00000100 00000000 00000000 00000000* +L0015408 010000 000000 000000 000000* +L0015432 011000 000000 000000 000000* +L0015456 000001 000000 000000 000000* +L0015480 010000 000000 000000 000000* +L0015504 000000 000000 000000 000000* +L0015528 000001 000000 000000 000000* +L0015552 00000000 00000000 00000000 00000000* +L0015584 00000000 00000000 00000000 00100001* +L0015616 00000000 00000000 00000000 00000000* +L0015648 00000000 00000000 00000000 00000000* +L0015680 00000000 00000000 00000000 00000000* +L0015712 00000000 00000000 00000000 00000010* +L0015744 00000000 00000000 00000000 00000000* +L0015776 00000000 00000000 00000000 00000000* +L0015808 00000000 00000000 00000000 00000000* +L0015840 000000 000000 000000 000000* +L0015864 000000 000000 000000 000000* +L0015888 000000 000000 000000 000000* +L0015912 000000 000000 000000 000000* +L0015936 000000 000000 000000 000000* +L0015960 000000 000000 000000 000000* +L0015984 00000001 00000001 00000000 01000001* +L0016016 00000010 00000001 00000000 00000000* +L0016048 00000011 00000001 00000000 00000001* +L0016080 00000011 00000011 00000000 00000001* +L0016112 00000010 00000010 00000000 00000000* +L0016144 00000001 00000010 00000000 00000001* +L0016176 00000011 00000010 00000000 00000000* +L0016208 00000000 00000010 00000000 00000000* +L0016240 00000001 00000011 00000000 00000010* +L0016272 000000 000000 000000 000000* +L0016296 000000 000000 000000 000000* +L0016320 000000 000000 000000 000000* +L0016344 000000 000000 000000 000000* +L0016368 000000 000000 000000 000000* +L0016392 000000 000000 000000 000000* +L0016416 00000000 00000000 00000000 00000000* +L0016448 00000000 00000000 00000000 00000000* +L0016480 00000000 00000000 00000000 00000000* +L0016512 00000000 00000000 00000000 00000000* +L0016544 00001100 00000000 00000000 00000000* +L0016576 00000000 00000000 00000000 00000000* +L0016608 00000000 00000000 00000000 00000000* +L0016640 10000000 00000000 00000000 00000000* +L0016672 00000000 00000000 00000000 00000000* +L0016704 000000 000000 000000 000000* +L0016728 000000 000000 000000 000000* +L0016752 000000 000000 000000 000000* +L0016776 000000 000000 000000 000000* +L0016800 000000 000000 000000 000000* +L0016824 000000 000000 000000 000000* +L0016848 00000011 00000000 00000000 00000001* +L0016880 00001110 00000000 00000000 00000001* +L0016912 00000011 00000000 00000000 00000001* +L0016944 00000011 00000010 00000000 00000001* +L0016976 00000010 00000011 00000000 00000000* +L0017008 00000001 00000001 00000000 00000001* +L0017040 10000011 00000000 00000000 00000000* +L0017072 00000000 00000000 00000000 00000000* +L0017104 00000001 00000001 00000000 00000010* +L0017136 000000 000000 000000 000000* +L0017160 000000 000000 000000 000000* +L0017184 000000 000000 000000 000000* +L0017208 000000 000000 000000 000000* +L0017232 000000 000000 000000 000000* +L0017256 000000 000000 000000 000000* +L0017280 00000000 00000000 00000000 00100000* +L0017312 00000000 00000000 00000000 00000000* +L0017344 00000000 00000000 00000000 00000000* +L0017376 00000000 00000000 00000000 00000000* +L0017408 00000000 00000000 00000000 00000000* +L0017440 00000000 00000000 00000000 00001000* +L0017472 00000000 00000000 00000000 00000000* +L0017504 00000000 00000000 00000000 00000000* +L0017536 00000000 00000000 00000000 00000000* +L0017568 000000 000000 000000 000000* +L0017592 000000 000000 000000 000000* +L0017616 000000 000000 000000 000000* +L0017640 000000 000000 000000 000000* +L0017664 000000 000000 000000 000000* +L0017688 000000 000000 000000 000000* +L0017712 00000000 00000000 00000000 00000100* +L0017744 00000000 00000000 00000000 00000000* +L0017776 00000000 00000000 00000000 00000000* +L0017808 00000000 00000000 00000000 00000000* +L0017840 00000000 00000000 00000000 00000000* +L0017872 00000100 00000000 00000000 00000000* +L0017904 00000000 00000000 00000000 00000100* +L0017936 00000000 00000000 00000000 00000000* +L0017968 00000000 00000000 00000000 00000000* +L0018000 000000 000000 000000 000000* +L0018024 000000 000000 000000 000000* +L0018048 000000 000000 000000 000000* +L0018072 000000 000000 000000 000000* +L0018096 000000 000000 000000 000000* +L0018120 000000 000000 000000 000000* +L0018144 00000000 00000000 00000000 00000000* +L0018176 00000000 00000000 00000000 00000000* +L0018208 00000000 00000000 00000000 00000000* +L0018240 00000000 00000000 00000000 00000000* +L0018272 00000000 00000000 00000000 00000000* +L0018304 00000000 00000000 00000000 00000000* +L0018336 00000000 00000000 00000000 00000000* +L0018368 00000000 00000000 00000000 00000000* +L0018400 00000000 00000000 00000000 00000000* +L0018432 000000 000000 000000 000000* +L0018456 000000 000000 000000 000000* +L0018480 000000 000000 000000 000000* +L0018504 000000 000000 000000 000000* +L0018528 000000 000000 000000 000000* +L0018552 000000 000000 000000 000000* +L0018576 00000000 00000000 00000000 00000000* +L0018608 00000000 00000000 00000000 00000000* +L0018640 00000000 00000000 00000000 00000000* +L0018672 00000000 00000000 00000000 00000000* +L0018704 00000000 00000000 00000000 00000000* +L0018736 00000000 00000000 00000000 00000000* +L0018768 00000000 00000000 00000000 00000000* +L0018800 00000000 00000000 00000000 00000000* +L0018832 00000000 00000000 00000000 00000000* +L0018864 000000 000000 000000 000000* +L0018888 000000 000000 000000 000000* +L0018912 000000 000000 000000 000000* +L0018936 000000 000000 000000 000000* +L0018960 000000 000000 000000 000000* +L0018984 000000 000000 000000 000000* +L0019008 00000000 00000000 00000000 00000000* +L0019040 00000001 00000010 00000010 01000011* +L0019072 00000000 01000000 00000000 00000000* +L0019104 00000000 00000000 00000000 00000000* +L0019136 00000001 00000000 00000010 00000011* +L0019168 00000010 00000100 00000000 01100000* +L0019200 00000000 00000000 00000000 00000000* +L0019232 00000011 00000001 00000011 00000011* +L0019264 00000010 00000000 00000000 00000000* +L0019296 000000 000000 000000 000000* +L0019320 000000 000000 000000 000000* +L0019344 000010 000000 000000 000000* +L0019368 000000 000000 000000 000000* +L0019392 000000 000000 000000 000000* +L0019416 000000 000000 000000 000000* +L0019440 00000011 00000011 00000000 00000011* +L0019472 00000011 01000011 00000010 00000011* +L0019504 00000011 00000011 00000000 00000011* +L0019536 00000011 00000011 00000000 00000011* +L0019568 00000011 00000011 00000010 00000011* +L0019600 00000011 00000011 00000000 00000011* +L0019632 00000011 00000011 00000000 00000011* +L0019664 00000011 00000011 00000011 00000011* +L0019696 00000011 00000011 00000000 00000010* +L0019728 000000 000000 000000 000000* +L0019752 000000 000000 000000 000000* +L0019776 000000 000001 000000 000000* +L0019800 000000 000000 000000 000000* +L0019824 010000 000000 000000 000000* +L0019848 000010 000000 000000 000000* +L0019872 00000011 00000011 00000000 00000001* +L0019904 00000011 00000011 00000010 00000001* +L0019936 00000011 00000011 00000000 00000011* +L0019968 00000011 00000011 00000000 00000011* +L0020000 00000011 00000011 00000000 00000000* +L0020032 00000011 00000011 00000000 00000001* +L0020064 00000011 00000011 00000000 00000010* +L0020096 00000011 00000011 00000010 00000001* +L0020128 00000011 00000011 00000000 00000010* +L0020160 000000 000000 000000 000000* +L0020184 000000 000000 000000 000000* +L0020208 000001 000000 000000 000000* +L0020232 000000 000000 000000 000000* +L0020256 000000 000000 000000 000000* +L0020280 000000 000000 000000 000000* +L0020304 00000011 00000011 00000000 00000001* +L0020336 00000011 00000011 00000010 00000001* +L0020368 00000011 00000011 00000000 00000001* +L0020400 00000011 00000011 00000000 00000001* +L0020432 00000011 00000011 00000000 00000000* +L0020464 00000011 00000011 00000000 00000011* +L0020496 00000011 00000011 00000000 00000010* +L0020528 00000011 00000010 00000010 00000001* +L0020560 00000011 00000011 00000000 00000010* +L0020592 000000 000000 000000 000000* +L0020616 000000 000000 000000 000000* +L0020640 000000 000000 000000 000000* +L0020664 010000 000000 000000 000000* +L0020688 000000 000000 000000 000000* +L0020712 000001 000000 000000 000000* +L0020736 00000011 00000011 00000000 00000001* +L0020768 00000010 00000011 00000010 00000001* +L0020800 00000011 00000011 00000000 00000001* +L0020832 00000011 00000011 00000000 00000001* +L0020864 00000011 00000011 00000000 00000000* +L0020896 00000011 00000011 00000000 00000011* +L0020928 00000011 00000011 00000000 00000000* +L0020960 00000011 00000010 00000010 00000001* +L0020992 00000011 00000011 00000000 00000010* +L0021024 000000 000000 000000 000000* +L0021048 000000 000000 000000 000000* +L0021072 000000 000000 000000 000000* +L0021096 000000 000000 000000 000000* +L0021120 000000 000000 000000 000000* +L0021144 000000 000000 000000 000000* +L0021168 00000001 00000010 00000000 00000000* +L0021200 00000000 00000010 00000000 00000001* +L0021232 00000001 00000010 00000000 00000000* +L0021264 00000011 00000010 00000000 00000000* +L0021296 00000010 00000000 00000000 00000000* +L0021328 00000011 00000000 00000000 00000001* +L0021360 00000011 00000000 00000000 00000000* +L0021392 00000001 00000000 00000000 00000001* +L0021424 00000001 00000001 00000000 00000000* +L0021456 000000 000000 000000 000000* +L0021480 000000 000000 000000 000000* +L0021504 000000 000000 000000 000000* +L0021528 000000 000000 000000 000000* +L0021552 000000 000000 000000 000000* +L0021576 000000 000000 000000 000000* +L0021600 00000000 00000000 00000001 00000100* +L0021632 00000000 00000010 00000001 00000000* +L0021664 00000000 00000000 00000000 00000010* +L0021696 00000000 00000000 00000000 00000000* +L0021728 00000010 00000010 00000001 00000000* +L0021760 00000000 00000000 00000000 00000000* +L0021792 00000000 00000000 00000000 00000000* +L0021824 00000000 00000000 00000000 00000000* +L0021856 00000000 00000000 00000000 00000000* +L0021888 000000 000000 000000 000000* +L0021912 000000 000000 000000 000000* +L0021936 000000 000000 000000 000000* +L0021960 000000 000000 000000 000000* +L0021984 000000 000000 000000 000000* +L0022008 000000 000000 000000 000000* +L0022032 00000000 00000000 00000000 00000000* +L0022064 00000001 00000000 00000000 00000000* +L0022096 00000000 00000000 00000000 00000000* +L0022128 00000000 00000000 00000000 00000000* +L0022160 00000001 00000010 00000000 00000000* +L0022192 00000000 00000000 00000000 00000000* +L0022224 00000000 00000000 00000000 00000100* +L0022256 00000000 00000000 00000000 00000000* +L0022288 00000000 00000000 00000000 00000000* +L0022320 000000 000000 000000 000000* +L0022344 000000 000000 000000 000000* +L0022368 000000 000000 000000 000000* +L0022392 000000 000000 000000 000000* +L0022416 000000 000000 000000 000000* +L0022440 000000 000000 000000 000000* +L0022464 00000000 00000000 00000000 00000010* +L0022496 00000000 00000010 00000000 00000010* +L0022528 00000000 00000000 00000000 00000000* +L0022560 00000000 00000000 00000000 00000000* +L0022592 00000010 00000000 00000000 00000000* +L0022624 00000000 00000000 00000000 00000000* +L0022656 00000000 00000000 00000000 00000000* +L0022688 00000000 00000000 00000000 00000000* +L0022720 00000000 00000000 00000000 00000000* +L0022752 000000 000000 000000 000000* +L0022776 000000 000000 000000 000000* +L0022800 000000 000000 000000 000000* +L0022824 000000 000000 000000 000000* +L0022848 000000 000000 000000 000000* +L0022872 000000 000000 000000 000000* +L0022896 00000011 00000001 00000011 00000010* +L0022928 00000011 00000001 00000001 00000010* +L0022960 00000000 00000000 00000000 00000000* +L0022992 00000000 00000000 00000000 00000000* +L0023024 00000000 00000010 00000001 00000010* +L0023056 00000000 00000000 00000000 00000000* +L0023088 00000000 00000000 00000000 00000000* +L0023120 00000000 00000000 00000000 00000000* +L0023152 00000000 00000000 00000000 00000000* +L0023184 000000 000000 000000 000000* +L0023208 000000 000000 000000 000000* +L0023232 000000 000000 000000 000000* +L0023256 000000 000000 000000 000000* +L0023280 000000 000000 000000 000000* +L0023304 000000 000000 000000 000000* +L0023328 00000000 00000000 00000000 00000000* +L0023360 00000000 00000000 00000000 00000000* +L0023392 00000011 00000000 00000010 00000000* +L0023424 00000000 00000000 00000000 00000000* +L0023456 00000000 00000010 00000000 00000000* +L0023488 00000000 00000000 00000000 00000000* +L0023520 00000000 00000000 00000000 00000000* +L0023552 00000000 00000000 00000000 00000000* +L0023584 00000000 00000000 00000000 00000000* +L0023616 000000 000000 000000 000000* +L0023640 000000 000000 000000 000000* +L0023664 000000 000000 000000 000000* +L0023688 000000 000000 000000 000000* +L0023712 000000 000000 000000 000000* +L0023736 000000 000000 000000 000000* +L0023760 00000000 00000010 00000000 00000001* +L0023792 00000000 00000010 00000010 00000000* +L0023824 00000010 00000000 00000000 00000010* +L0023856 00000000 00000000 00000000 00000000* +L0023888 00000000 00000010 00000010 00000000* +L0023920 00000000 00000000 00100000 00000000* +L0023952 00000000 00000000 00000000 00000000* +L0023984 00000000 00000000 00000000 00000000* +L0024016 00000000 00000000 00000000 00000000* +L0024048 000000 000000 000000 000000* +L0024072 000000 000000 000000 000000* +L0024096 000000 000000 000000 000000* +L0024120 000000 000000 000000 000000* +L0024144 000000 000000 000000 000000* +L0024168 000000 000000 000000 000000* +L0024192 00000001 00000000 00000000 00000010* +L0024224 00000001 00000010 00000000 00000010* +L0024256 00000000 00000000 00000000 00000000* +L0024288 00000000 00000000 00000000 00000000* +L0024320 00000010 00000010 00000000 00000011* +L0024352 00000000 00000000 00000000 00000000* +L0024384 00000000 00000000 00000000 00000000* +L0024416 00000000 00000000 00000000 00000000* +L0024448 00000000 00000000 00000000 00000000* +L0024480 000000 000000 000000 000000* +L0024504 000000 000000 000000 000000* +L0024528 000000 000000 000000 000000* +L0024552 000000 000000 000000 000000* +L0024576 000000 000000 000000 000000* +L0024600 000000 000000 000000 000000* +L0024624 00000000 00000000 00000000 00000000* +L0024656 00000000 00000011 00000000 00000000* +L0024688 00000000 00000000 00000000 00000000* +L0024720 00000000 00000000 00000000 00000000* +L0024752 00000000 00000011 00000000 00000000* +L0024784 00000000 00000000 00000000 00000000* +L0024816 00000000 00000000 00000000 00000000* +L0024848 00000000 00000000 00000000 00000000* +L0024880 00000000 00000000 00000000 00000000* +L0024912 000001 000000 000000 000000* +L0024936 000000 000000 000000 000000* +L0024960 000000 000000 000000 000000* +L0024984 000000 000000 000000 000000* +L0025008 000000 000000 000000 000000* +L0025032 000000 000000 000000 000000* +L0025056 00000000 00000000 00000000 00000010* +L0025088 00000000 00000010 00000010 00000010* +L0025120 00000000 00000000 00000000 00000000* +L0025152 00000000 00000000 00000000 00000000* +L0025184 00000000 00000010 00000000 00000010* +L0025216 00000000 00000000 00000000 00000000* +L0025248 00000000 00000000 00000000 00000000* +L0025280 00000000 00000000 00000000 00000000* +L0025312 00000000 00000000 00000000 00000000* +L0025344 000000 000000 000000 000000* +L0025368 000000 000000 000000 000000* +L0025392 000000 000000 000000 000000* +L0025416 000000 000000 000000 000000* +L0025440 000000 000000 000000 000000* +L0025464 000000 000000 000000 000000* +L0025488 00000000 00000001 00000000 00000010* +L0025520 00000000 00000011 00000000 00000010* +L0025552 00000000 00000000 00000000 00000000* +L0025584 00000000 00000000 00000000 00000000* +L0025616 00000010 00000011 00000000 00000010* +L0025648 00000000 00000000 00000000 00000000* +L0025680 00000000 00000000 00000000 00000000* +L0025712 00000000 00000000 00000000 00000000* +L0025744 00000000 00000000 00000000 00000000* +L0025776 000000 000000 000000 000000* +L0025800 000000 000000 000000 000000* +L0025824 000000 000000 000000 000000* +L0025848 000000 000000 000000 000000* +L0025872 000000 000000 000000 000000* +L0025896 000000 000000 000000 000000* +L0025920 00000010 00000000 00000000 00000010* +L0025952 00000010 00000010 00000000 00000001* +L0025984 01111100 10111100 00000000 00000000* +L0026016 00000000 00000000 00000000 00000000* +L0026048 00000010 00000011 00000000 00000001* +L0026080 00000000 00000000 00000000 00000000* +L0026112 00000000 00000000 00000000 00000000* +L0026144 01000000 00111000 00000000 00000000* +L0026176 00000000 10000000 00000000 00000000* +L0026208 000000 000000 000000 000000* +L0026232 000000 000000 000000 000000* +L0026256 000000 000000 000000 000000* +L0026280 000111 111111 000000 000000* +L0026304 000000 000000 000000 000000* +L0026328 000000 000000 000000 000000* +L0026352 00000000 00000000 00000000 00000010* +L0026384 00000000 00000010 00000000 00000010* +L0026416 00000000 00000000 00000010 00000000* +L0026448 00000000 01000000 00000000 00000000* +L0026480 00000010 00000010 00000000 00000010* +L0026512 00000000 00000000 00000000 00000000* +L0026544 00000000 00000000 00000000 00000000* +L0026576 00000000 00000000 00100000 00000000* +L0026608 10000000 01000000 00000000 00000000* +L0026640 000000 000000 000000 000000* +L0026664 000000 000000 000000 000000* +L0026688 000000 000000 000000 000000* +L0026712 000000 000000 000000 000000* +L0026736 100000 000000 000000 000000* +L0026760 000000 000000 000000 000000* +L0026784 00000000 00000001 00000011 00000011* +L0026816 00000001 00000011 00000001 00000011* +L0026848 00000000 00000000 00000000 00000000* +L0026880 00000000 00000000 00000000 00000000* +L0026912 00100011 00000010 00000000 00000010* +L0026944 00000000 00000000 00000000 00000000* +L0026976 00000000 00000000 00000000 00000000* +L0027008 00000000 00000000 00000000 00000000* +L0027040 00000000 00000000 00000000 00000000* +L0027072 010000 000000 000000 000000* +L0027096 000000 000000 000000 000000* +L0027120 000000 000000 000000 000000* +L0027144 000000 000000 000000 000000* +L0027168 000000 000000 000000 000000* +L0027192 000000 000000 000000 000000* +L0027216 00000001 00000000 00000000 00000000* +L0027248 00100001 00000011 00000000 00000001* +L0027280 00000000 00000000 00000000 00000000* +L0027312 00000000 00000000 00000000 00000000* +L0027344 00000001 00000011 00000000 00000000* +L0027376 00000000 00000000 00000000 00000000* +L0027408 01000000 00000000 00000000 00000000* +L0027440 00000000 00000000 00000000 00000000* +L0027472 00000000 00000000 00000000 00000000* +L0027504 000000 000000 000000 000000* +L0027528 000000 000000 000000 000000* +L0027552 000000 000000 000000 000000* +L0027576 000000 000000 000000 000000* +L0027600 000000 000000 000000 000000* +L0027624 000000 000000 000000 000000* +L0027648 00000010 00000000 00000000 00000010* +L0027680 00000001 00000010 00000000 00000010* +L0027712 00000000 00000000 00000000 00000000* +L0027744 00000000 00000000 00000000 00000000* +L0027776 00000001 00000010 00000000 00000010* +L0027808 00000000 00000000 00000000 00000000* +L0027840 00000000 00000000 00000000 00000000* +L0027872 00000000 00000000 00000000 00000000* +L0027904 00000000 00000000 00000000 00000000* +L0027936 000000 000000 000000 000000* +L0027960 000000 000000 000000 000000* +L0027984 000000 000000 000000 000000* +L0028008 000000 000000 000000 000000* +L0028032 000000 000000 000000 000000* +L0028056 000000 000000 000000 000000* +L0028080 00000000 00000001 00000000 00000011* +L0028112 00000000 00000011 00000000 01000011* +L0028144 00000000 00000000 00000000 00000000* +L0028176 00000000 00000000 00000000 00000000* +L0028208 00000010 00000011 00000000 00000001* +L0028240 00000000 00000000 00000000 00000000* +L0028272 00000000 00000000 00000000 00000000* +L0028304 00000000 00000000 00000000 00000000* +L0028336 00000000 00000000 00000000 00000000* +L0028368 000000 000000 000000 000000* +L0028392 000000 000000 000000 000000* +L0028416 000000 000000 000000 000000* +L0028440 000000 000000 000000 000000* +L0028464 000000 000000 000000 000000* +L0028488 000000 000000 000000 000000* +L0028512 00000000 00000001 00000000 00100000* +L0028544 00000011 00000011 00000010 00000000* +L0028576 00000000 00000000 00000000 00000010* +L0028608 00000000 00000000 00000000 00000000* +L0028640 00000001 00000001 00000000 00000001* +L0028672 00000000 00000000 00000000 00001000* +L0028704 00000000 00000000 00000000 00000000* +L0028736 00000000 00000000 00000000 00000000* +L0028768 00000000 00000000 00000000 00000000* +L0028800 000000 000000 000000 000000* +L0028824 000000 000000 000000 000000* +L0028848 100000 000000 000000 000000* +L0028872 000000 000000 000000 000000* +L0028896 000000 000000 000000 000000* +L0028920 000011 000000 000000 000000* +L0028944 00000010 00000001 00000010 00000000* +L0028976 00000010 00000011 00000010 00000000* +L0029008 00000000 00000000 00000000 00000001* +L0029040 00000000 00000000 00000000 00000000* +L0029072 00000001 00000011 00000000 00000000* +L0029104 00000000 00000000 00000000 00000000* +L0029136 00000000 00000000 00000000 00000000* +L0029168 00000000 00000000 00000000 00000000* +L0029200 00000000 00000000 00000000 00000000* +L0029232 000000 000000 000000 000000* +L0029256 000000 000000 000000 000000* +L0029280 000011 000000 000000 100000* +L0029304 100000 000000 000000 000000* +L0029328 000000 000000 000000 000000* +L0029352 000000 000000 000000 000000* +L0029376 00000000 00000000 00000000 00000000* +L0029408 00000000 01000000 00000001 00000010* +L0029440 00000000 00000000 00000000 00000000* +L0029472 00000000 00000000 00000000 00000000* +L0029504 00000000 10000000 00000001 00000001* +L0029536 00000000 00000000 00000000 00000000* +L0029568 00000000 00000000 00000000 00000000* +L0029600 00000000 00000000 00000000 00000000* +L0029632 00000000 00000000 00000000 00000000* +L0029664 000000 000000 000000 000000* +L0029688 000000 000000 000000 000000* +L0029712 000000 000000 000000 000000* +L0029736 000000 000000 000000 000000* +L0029760 000000 000000 000000 000000* +L0029784 000000 000000 000000 000000* +L0029808 00000010 00000001 00000000 00000001* +L0029840 00000010 00000001 00000000 00000001* +L0029872 00000000 01000000 00000000 00000000* +L0029904 00000000 00000000 00000000 00000000* +L0029936 00000001 00000000 00000000 00000000* +L0029968 00000000 00000000 00000000 00000000* +L0030000 00000000 00000000 00000000 00000000* +L0030032 00000000 00000000 00000000 00000000* +L0030064 00000000 00000000 00000000 00000000* +L0030096 000000 000000 000000 000000* +L0030120 000000 000000 000000 000000* +L0030144 000000 000000 000000 000000* +L0030168 000000 000000 000000 000000* +L0030192 000000 000000 000000 000000* +L0030216 000000 000000 000000 000000* +L0030240 00000010 00000000 00000000 00000011* +L0030272 00000010 00000000 00000000 00000010* +L0030304 00000000 00000001 00000001 00000000* +L0030336 00000000 00000000 00000000 00000000* +L0030368 00000011 00000000 00000000 00000010* +L0030400 00000000 00000000 00000000 00000000* +L0030432 00000000 00000000 00000000 00000000* +L0030464 00000000 00000000 00000000 00000000* +L0030496 00000000 00000000 00000000 00000000* +L0030528 000000 000000 000000 000000* +L0030552 000000 000000 000000 000000* +L0030576 000000 000000 000000 000000* +L0030600 000000 000000 000000 000000* +L0030624 000000 000000 000000 000000* +L0030648 000000 000000 000000 000000* +L0030672 00000001 00000000 00000000 00000001* +L0030704 00000001 00000001 00000000 00000001* +L0030736 00000000 00000000 00000000 00000000* +L0030768 00000000 00000000 00000000 00000000* +L0030800 00000000 00000001 00000000 00000000* +L0030832 00000000 00000000 00000000 00000000* +L0030864 00000000 00000000 00000000 00000000* +L0030896 00000000 00000000 00000000 00000000* +L0030928 00000000 00000000 00000000 00000000* +L0030960 000000 000000 000000 000000* +L0030984 000000 000000 000000 000000* +L0031008 000000 000000 000000 000000* +L0031032 000000 000000 000000 000000* +L0031056 000000 000000 000000 000000* +L0031080 000000 000000 000000 000000* +L0031104 00000000 00000001 00000000 00000000* +L0031136 00000000 00000001 00000000 00000010* +L0031168 00000000 00000010 00000000 00000000* +L0031200 00000000 00000000 00000000 00000000* +L0031232 00000010 00000001 00000000 00000000* +L0031264 00000000 00000000 00000000 00000000* +L0031296 00000000 00000000 00000000 00000000* +L0031328 00000000 00000000 00000000 00000000* +L0031360 00000000 00000000 00000000 00000000* +L0031392 000000 000000 000000 000000* +L0031416 000000 000000 000000 000000* +L0031440 000000 000000 000000 000000* +L0031464 000000 000000 000000 000000* +L0031488 000000 000000 000000 000000* +L0031512 000000 100000 000000 000000* +L0031536 00000000 00000001 00000000 00000000* +L0031568 00000001 00000001 00000000 00000000* +L0031600 00000000 00000000 00000000 00000000* +L0031632 00000000 00000000 00000000 00000000* +L0031664 00000011 00000001 00000000 00000000* +L0031696 00000000 00000000 00000000 00000000* +L0031728 00000000 00000000 00000000 00000000* +L0031760 00000000 00000000 00000000 00000000* +L0031792 00000000 00000000 00000000 00000000* +L0031824 000000 000000 000000 000000* +L0031848 000000 000000 000000 000000* +L0031872 000000 000000 000000 000000* +L0031896 000000 000000 000000 000000* +L0031920 000000 000000 000000 000000* +L0031944 000000 000000 000000 000000* +L0031968 00000000 00000001 00000000 10000100* +L0032000 00000000 00000001 00000000 00000000* +L0032032 00000000 00000000 00000000 00000000* +L0032064 00000000 00000000 00000000 00000000* +L0032096 00000000 00000001 00000000 00000000* +L0032128 00000000 00000000 00000000 00000000* +L0032160 00000000 00000000 00000000 00000100* +L0032192 00000000 10000000 00000000 00000000* +L0032224 00000000 00000000 00000000 00000000* +L0032256 000000 000000 000000 000000* +L0032280 000000 000000 000000 000000* +L0032304 000000 000000 000000 100000* +L0032328 000000 000000 000000 000000* +L0032352 000000 000000 000000 000000* +L0032376 000000 000000 000000 000000* +L0032400 00000000 00000000 00000000 00000000* +L0032432 00000001 00000000 00000000 00000010* +L0032464 00000000 00000000 00000000 00000000* +L0032496 00000000 00000000 00000000 00000000* +L0032528 00000001 00000000 00000000 00000011* +L0032560 00000000 00000000 00000000 00000000* +L0032592 00000000 00000000 00000000 00000000* +L0032624 00000000 00000000 00000000 00000000* +L0032656 00000000 00000000 00000000 00000000* +L0032688 000000 000000 000000 000000* +L0032712 000000 000000 000000 000000* +L0032736 000000 000000 000000 000000* +L0032760 000000 000000 000000 000000* +L0032784 000000 000000 000000 010000* +L0032808 000000 000000 000000 000000* +L0032832 00000001 00000001 00000000 00000000* +L0032864 00000001 00000001 00000001 00000000* +L0032896 00000000 00000000 00000000 00000001* +L0032928 00000000 00000000 00000000 00000000* +L0032960 00000000 00000000 00000001 00000000* +L0032992 00000000 00000000 00000000 00000000* +L0033024 00000000 00000000 00000000 00000000* +L0033056 00000000 00000000 00000000 00000000* +L0033088 00000000 00000000 00000000 00000000* +L0033120 000000 000000 000000 000000* +L0033144 000000 000000 000000 000000* +L0033168 000000 000000 000000 000000* +L0033192 000000 000000 000000 000000* +L0033216 000000 000000 000000 000000* +L0033240 000000 000000 000000 000000* +L0033264 00000000 00000000 00000000 00000000* +L0033296 00000000 00000000 00000000 00000000* +L0033328 00000000 00000000 00000000 00000000* +L0033360 00000000 00000000 00000000 00000000* +L0033392 00000000 00000000 00000000 00000000* +L0033424 00000000 00000000 00000000 00000000* +L0033456 00000000 00000000 00000000 00000000* +L0033488 00000000 00000000 00000000 00000000* +L0033520 00000000 00000000 00000000 00000000* +L0033552 000000 000000 000000 000000* +L0033576 000000 000000 000000 000000* +L0033600 000000 000000 000000 000000* +L0033624 000000 000000 000000 000000* +L0033648 000000 000000 000000 000000* +L0033672 000000 000000 000000 000000* +L0033696 00000010 00000010 00000010 00000010* +L0033728 00000000 00000010 00000000 00000010* +L0033760 00000000 00000000 00000000 00000000* +L0033792 00000000 00000000 00000000 00000000* +L0033824 00000000 00000000 00000000 00000000* +L0033856 00000000 00000000 10100000 00000000* +L0033888 00000010 00000010 10100010 00000010* +L0033920 00000000 00000000 10100000 00000000* +L0033952 00000000 00000000 10100000 00000000* +L0033984 000000 000000 000000 000000* +L0034008 000000 000000 000000 000000* +L0034032 000000 000000 000000 000000* +L0034056 000000 000000 000000 000000* +L0034080 000000 000000 000000 000000* +L0034104 000000 000000 000000 000000* +L0034128 00000000 01000000 00000000 00011000* +L0034160 00000000 00000000 00000000 00000000* +L0034192 00000000 00000000 00000000 00000000* +L0034224 00000000 00000000 00000000 00000000* +L0034256 00000000 00000000 00000000 00000000* +L0034288 00000000 01000000 01000000 10010000* +L0034320 00000000 00000000 00000000 00000000* +L0034352 00000000 00000000 00000000 00000000* +L0034384 00001000 00000000 00000000 00000000* +L0034416 000000 000000 000000 000000* +L0034440 000000 000001 000000 011110* +L0034464 000000 000000 000000 000000* +L0034488 000000 000000 000000 000000* +L0034512 000000 000000 000000 000000* +L0034536 000000 000000 000000 000000* +L0034560 00000000 00000000 00000000 00000000* +L0034592 00000000 10000000 00000000 00000000* +L0034624 10000000 00000000 00000000 00000000* +L0034656 00000000 00000000 00000000 00011000* +L0034688 00000000 00000000 00000000 00000000* +L0034720 00000000 00000000 00000000 00000000* +L0034752 00000000 01000000 00000000 00000000* +L0034784 00000000 00000000 00000000 00010000* +L0034816 00000000 00000000 00000000 00000000* +L0034848 000000 000000 000000 000000* +L0034872 000000 000000 000000 000000* +L0034896 000000 000000 000000 000000* +L0034920 000000 000000 000000 000000* +L0034944 000000 000000 000000 001110* +L0034968 000000 000000 000000 000000* +L0034992 00000000 00000000 00000000 00000000* +L0035024 00000000 00000000 00000000 00000000* +L0035056 00000000 00000000 00000000 00000000* +L0035088 00000000 00000000 00000000 00000000* +L0035120 00000000 00000000 00000000 00000000* +L0035152 00000000 00000000 00000000 00000000* +L0035184 00000000 00000000 00000000 00000000* +L0035216 00000000 01000000 00000000 00000000* +L0035248 00000000 00000000 00000000 00000000* +L0035280 000000 000000 000000 000000* +L0035304 000000 000000 000000 000000* +L0035328 000000 000000 000000 000000* +L0035352 000000 000000 000000 000000* +L0035376 000000 000000 000000 000000* +L0035400 000000 000000 000000 000000* +L0035424 00000000 00000000 00000000 00000000* +L0035456 00000000 00000000 00000000 00000000* +L0035488 00000000 00000000 00000000 00000000* +L0035520 00000000 00000000 00000000 00000000* +L0035552 00000000 00000000 00000000 00000000* +L0035584 00000000 00000000 00000000 00000000* +L0035616 00000000 00000000 00000000 00000000* +L0035648 00000000 00000000 00000000 00000000* +L0035680 00000000 00000000 00000000 00000000* +L0035712 000000 000000 000000 000000* +L0035736 000000 000000 000000 000000* +L0035760 000000 000000 000000 000000* +L0035784 000000 000000 000000 000000* +L0035808 000000 000000 000000 000000* +L0035832 000000 000000 000000 000000* +L0035856 00000000 00000000 00000000 00000000* +L0035888 00000000 00000000 00000000 00000000* +L0035920 00000000 00000000 00000000 00000000* +L0035952 00000000 00000000 00000000 00000000* +L0035984 00000000 00000000 00000000 00000000* +L0036016 00000000 00000000 00000000 00000000* +L0036048 00000000 00000000 00000000 00000000* +L0036080 00000000 00000000 00000000 00000000* +L0036112 00000000 00000000 00000000 00000000* +L0036144 000000 000000 000000 000000* +L0036168 000000 000000 000000 000000* +L0036192 000000 000000 000000 000000* +L0036216 000000 000000 000000 000000* +L0036240 000000 000000 000000 000000* +L0036264 010000 000000 000000 000000* +L0036288 00000000 00000000 00000000 00000000* +L0036320 00000000 00001000 00000000 00000000* +L0036352 00000000 00000000 00000000 00000000* +L0036384 00000000 00000000 00000000 00000000* +L0036416 00000000 00000000 00000000 00000000* +L0036448 00000000 00000000 00000000 00000000* +L0036480 00000000 00000000 00000000 00010000* +L0036512 00000000 00000000 00000000 00000000* +L0036544 00000000 00000000 00000000 00000000* +L0036576 000000 000000 000000 000000* +L0036600 000000 000000 000000 000000* +L0036624 000000 000000 000000 000000* +L0036648 000000 000000 000000 000000* +L0036672 000000 000000 000000 000000* +L0036696 000000 000000 000000 000000* +L0036720 00000000 00000000 00000000 00000000* +L0036752 00000000 00000000 00000000 00000000* +L0036784 00000000 00000000 00000000 00000000* +L0036816 00000000 00000000 00000000 00000000* +L0036848 00000000 00000000 00000000 00000000* +L0036880 00000000 00000000 00000000 00001000* +L0036912 00000000 00000000 00000000 00000000* +L0036944 00000000 00000000 00000000 00000000* +L0036976 00000000 00000000 00000000 00000000* +L0037008 000000 000000 000000 000100* +L0037032 000000 000000 000000 000000* +L0037056 000000 000000 000000 000000* +L0037080 000000 000000 000000 000000* +L0037104 000000 000000 000000 000000* +L0037128 000000 000000 000000 000000* +L0037152 00000000 00000000 00000000 00000000* +L0037184 00000000 00000000 00000000 00000000* +L0037216 00000000 00000000 00000000 00000000* +L0037248 00000000 00000000 00000000 00000000* +L0037280 00000000 00000000 00000000 00000000* +L0037312 00000000 00000000 00000000 00000000* +L0037344 00000000 00001000 00000000 00000100* +L0037376 00000000 00000000 00000000 00000000* +L0037408 00000000 00000000 00000000 00000000* +L0037440 000000 000000 000000 000000* +L0037464 000000 000000 000000 000000* +L0037488 000000 000010 000000 000000* +L0037512 000000 000000 000000 000000* +L0037536 000000 000000 000000 000000* +L0037560 000000 000000 000000 000000* +L0037584 00000000 00000000 00000000 00000100* +L0037616 00000000 10000100 00000000 00000000* +L0037648 00000000 00000000 00000000 00000000* +L0037680 00000000 10111100 00000000 00000000* +L0037712 00000000 11000000 00000000 00000000* +L0037744 00000000 00000000 00000000 00000000* +L0037776 00000000 00000000 00000000 00000000* +L0037808 00000000 10000000 00000000 00000000* +L0037840 00000000 00111000 00000000 00000000* +L0037872 000000 100000 000000 000000* +L0037896 000000 000000 000000 000000* +L0037920 000000 100000 000000 000000* +L0037944 000000 000000 000000 000000* +L0037968 001000 111110 000000 000000* +L0037992 000000 100000 000000 000000* +L0038016 00000000 00000000 00000000 00000000* +L0038048 00000000 00000000 00000000 00010000* +L0038080 00000000 00000000 00000000 00000000* +L0038112 00000000 00000000 00000000 00000000* +L0038144 00000000 00000000 00000000 00000000* +L0038176 00000000 00000000 00000000 00000000* +L0038208 10000000 00000000 00000000 00000000* +L0038240 00000000 00000000 00000000 00000000* +L0038272 00000000 00000000 00000000 00000000* +L0038304 000000 100000 000000 000000* +L0038328 000000 000000 000000 000000* +L0038352 000000 000000 000000 000000* +L0038376 000000 000000 000000 000000* +L0038400 000000 000000 000000 000000* +L0038424 000000 000000 000000 000000* +L0038448 00000000 00000000 00000000 00000000* +L0038480 00000000 00000000 00000000 00000000* +L0038512 00000000 00000000 00000000 00010000* +L0038544 00000000 00000000 00000000 00000000* +L0038576 00000000 00000000 00000000 00000000* +L0038608 00000000 00000000 00000000 00000000* +L0038640 00000000 00000000 00000000 00000000* +L0038672 10000000 00000000 00000000 00000000* +L0038704 00000000 00000000 00000000 00000000* +L0038736 000000 000000 000000 000000* +L0038760 000000 000000 000000 000000* +L0038784 000000 000000 000000 000000* +L0038808 000000 000000 000000 000000* +L0038832 000000 000000 000000 000000* +L0038856 000000 000000 000000 000000* +L0038880 00000000 00000000 00000000 00000000* +L0038912 00000000 00000000 00000000 00000000* +L0038944 00000000 00000000 00000000 00100000* +L0038976 00000000 00000000 00000000 00000000* +L0039008 00000000 00000000 00000000 00000000* +L0039040 00000000 00000000 00000000 00000000* +L0039072 00000000 00000000 00000000 00000000* +L0039104 00000000 00000000 00000000 00000000* +L0039136 00000000 00000000 00000000 00000000* +L0039168 000000 000000 000000 000000* +L0039192 000000 000000 000000 000000* +L0039216 000000 000000 000000 000000* +L0039240 000000 000000 000000 000000* +L0039264 000000 000000 000000 000000* +L0039288 000000 000000 000000 000000* +L0039312 00000000 00000000 00000000 00000000* +L0039344 00000000 00000000 00000000 00100000* +L0039376 00000000 00000000 00000000 00000000* +L0039408 00000000 00000000 00000000 00000000* +L0039440 00000000 00000000 00000000 00000000* +L0039472 00000000 00000000 00000000 00000000* +L0039504 00000000 00000000 00000000 00000000* +L0039536 00000000 00000000 00000000 00000000* +L0039568 00000000 00000000 10000000 00000000* +L0039600 000000 000000 000000 000000* +L0039624 000000 000000 000000 000000* +L0039648 000000 000000 000000 000000* +L0039672 000000 000000 000000 000000* +L0039696 000000 000000 000000 000000* +L0039720 000000 000000 000000 000000* +L0039744 00000000 00000000 00000000 00000000* +L0039776 00000000 01000000 00000000 00011000* +L0039808 00000000 01000000 00000000 00011000* +L0039840 00000000 00000000 00000000 00000000* +L0039872 01000000 00000000 00000000 00000000* +L0039904 00000000 00000000 00000000 00000000* +L0039936 00000000 01000000 00000000 00000000* +L0039968 00000000 01000000 00000000 00000000* +L0040000 00000000 00000000 00000000 00000000* +L0040032 000000 000000 000000 000000* +L0040056 000000 000000 000000 000000* +L0040080 000000 000000 000000 001100* +L0040104 000000 000000 000000 011100* +L0040128 000000 000000 000000 000000* +L0040152 000000 000000 000000 000000* +L0040176 00000000 00000000 00000000 00000000* +L0040208 01000000 00000000 00000000 00000000* +L0040240 00000000 00000000 00000000 00000000* +L0040272 00000000 00000000 00000000 00000000* +L0040304 00000000 00000000 00000000 00000000* +L0040336 00010000 00000100 00000000 00000000* +L0040368 00000000 00000000 00000000 00010000* +L0040400 00000000 00000000 00000000 00000000* +L0040432 00000000 00000000 00000000 00000000* +L0040464 000000 000000 000000 000100* +L0040488 000000 000000 000000 000000* +L0040512 000000 000001 000000 000010* +L0040536 000000 000000 000000 000000* +L0040560 000000 000000 000000 000000* +L0040584 000000 000000 000000 000010* +L0040608 00000000 00000000 00000000 00000000* +L0040640 00000000 01000000 00000000 00000000* +L0040672 00000000 01000000 00000000 00000000* +L0040704 00000000 00000000 00000000 00000000* +L0040736 00000000 00000000 00000000 00000000* +L0040768 00000000 00000100 00000000 00000000* +L0040800 01000000 01000000 00000000 00000000* +L0040832 00000000 01000000 10100000 00000000* +L0040864 00000000 00000000 10100000 00000000* +L0040896 000000 000000 000000 000000* +L0040920 000000 000000 000000 000000* +L0040944 000000 000001 000000 000000* +L0040968 000000 000000 000000 000000* +L0040992 000000 000000 000000 000000* +L0041016 000000 000000 000000 000000* +L0041040 00000000 00000000 00000000 00000000* +L0041072 00000000 00000000 00000000 01000000* +L0041104 00000000 00000000 00000000 00000000* +L0041136 00000000 00000000 00000000 00000000* +L0041168 00000000 00000000 00000000 00000000* +L0041200 00001000 00000000 10100000 01000000* +L0041232 00000000 00000000 00000000 00000000* +L0041264 00000000 00000000 00000000 00000000* +L0041296 00000000 00000000 00000000 00000000* +L0041328 010000 000000 000000 000000* +L0041352 000000 000000 000000 000000* +L0041376 000000 000000 000000 000000* +L0041400 000000 000000 000000 000000* +L0041424 000000 000000 000000 000000* +L0041448 000000 000000 000000 000000* +L0041472 00000000 00000000 00000000 00000000* +L0041504 01000000 00000100 00000000 00000000* +L0041536 00000000 00000000 00000000 00001000* +L0041568 00000000 00000000 00000000 00000000* +L0041600 00000000 01000000 00000000 00000000* +L0041632 00000000 00000000 00000000 00000000* +L0041664 00000000 00000000 00000000 00000000* +L0041696 00000000 00000000 00000000 00000000* +L0041728 00000000 00000000 00000000 00000000* +L0041760 000000 000000 000000 000000* +L0041784 000000 000000 000000 000000* +L0041808 000000 000000 000000 000000* +L0041832 000000 000000 000000 000000* +L0041856 000000 000000 000000 000000* +L0041880 000000 000000 000000 000000* +L0041904 00000000 00000000 00000000 00000000* +L0041936 00000000 00000000 00000000 00001000* +L0041968 00000000 00000000 00000000 00000000* +L0042000 00000000 00111100 00000000 00000000* +L0042032 01000000 00000000 00000000 00000000* +L0042064 00000000 00000000 00000000 00000000* +L0042096 00000000 00000000 00000000 00000000* +L0042128 00000000 00000000 00000000 00000000* +L0042160 00000000 00111000 00000000 00000000* +L0042192 000000 000000 000000 000000* +L0042216 000000 000000 000000 000000* +L0042240 000000 000000 000000 000000* +L0042264 000000 000000 000000 000000* +L0042288 000000 011110 000000 000000* +L0042312 000000 000001 000000 000000* +L0042336 00000000 10111100 00000000 00000000* +L0042368 00000000 00000000 00000000 00000000* +L0042400 00000000 00000000 00000000 00000000* +L0042432 00000000 00000000 00000000 00000000* +L0042464 00000000 00000000 00000000 00000000* +L0042496 00000000 10111000 00000000 00000000* +L0042528 00000000 00000000 00000000 00000000* +L0042560 00000000 00000000 00000000 00000000* +L0042592 00000000 00000000 00000000 00000000* +L0042624 000000 000000 000000 000000* +L0042648 000000 111110 000000 000000* +L0042672 000000 000000 000000 000000* +L0042696 000000 000000 000000 000000* +L0042720 000000 000000 000000 000000* +L0042744 000000 000000 000000 000000* +L0042768 00000000 00000000 00000000 00000000* +L0042800 00000000 00000000 00000000 00000000* +L0042832 00000000 00000000 00000000 00000000* +L0042864 00000000 00000000 00000000 00000000* +L0042896 00000000 00000000 00000000 00000000* +L0042928 00000000 00000000 00000000 00000000* +L0042960 00000000 00000000 00000000 00000000* +L0042992 00000000 00000000 00000000 00000000* +L0043024 00000000 00000000 00000000 00000000* +L0043056 000000 000000 000000 000000* +L0043080 000000 000000 000000 000000* +L0043104 000000 000000 000000 000000* +L0043128 000000 000000 000000 000000* +L0043152 000000 000000 000000 000000* +L0043176 000000 000000 000000 000000* +L0043200 00000000 00000000 00000000 00000000* +L0043232 00000000 00000000 00000000 00000000* +L0043264 00000000 00000000 00000000 00000000* +L0043296 00000000 10000000 00000000 00000000* +L0043328 00000000 00000000 00000000 00000000* +L0043360 00000000 00000000 00000000 00000000* +L0043392 00000000 00000000 00000000 00000000* +L0043424 00000000 00000000 00000000 00000000* +L0043456 00000000 00000000 00000000 00000000* +L0043488 000000 000000 000000 000000* +L0043512 000000 000000 000000 000000* +L0043536 000000 000000 000000 000000* +L0043560 000000 000000 000000 000000* +L0043584 000000 000000 000000 000000* +L0043608 000000 000000 000000 000000* +L0043632 00000000 00000000 00000000 00000000* +L0043664 00000000 00000000 00000000 00000000* +L0043696 00000000 00000000 00000000 00000000* +L0043728 00000000 00000000 00000000 00000000* +L0043760 00000000 00000000 00000000 00000000* +L0043792 00000000 00000000 00000000 00000000* +L0043824 00000000 00000000 00000000 00000000* +L0043856 00000000 00000000 00000000 00000000* +L0043888 00100000 00000000 00000000 00000000* +L0043920 000000 000000 000000 000000* +L0043944 000000 000000 000000 000000* +L0043968 000000 000000 000000 000000* +L0043992 000000 000000 000000 000000* +L0044016 000000 000000 000000 000000* +L0044040 000000 000000 000000 000000* +L0044064 00000000 00000000 00000000 00000000* +L0044096 00000000 00000000 00000000 00000000* +L0044128 00000000 00000000 00000000 00000000* +L0044160 00000000 00000000 00000000 00000000* +L0044192 00000000 00000000 00000000 00000000* +L0044224 00000000 00000000 00000000 00000000* +L0044256 00000000 00000000 00000000 00000000* +L0044288 00000000 00000000 00000000 00000000* +L0044320 00000000 00000000 00000000 00000000* +L0044352 000000 000000 000000 000000* +L0044376 000000 000000 000000 000000* +L0044400 000000 000000 000000 000000* +L0044424 000000 000000 000000 000000* +L0044448 000000 100000 000000 000000* +L0044472 000000 000000 000000 000000* +L0044496 00000000 00000000 00000000 00000000* +L0044528 00000000 00000000 00000000 00000000* +L0044560 00000000 00000000 00000000 00000000* +L0044592 00000000 00000000 00000000 00000000* +L0044624 00000000 00000000 00000000 00000000* +L0044656 00000000 00000000 00000000 00000000* +L0044688 00000000 00000000 00000000 00000000* +L0044720 00000000 00000000 00000000 00000000* +L0044752 00000000 00000000 00000000 00000000* +L0044784 000000 000000 000000 000000* +L0044808 000000 000000 000000 000000* +L0044832 000000 000000 000000 000000* +L0044856 000000 000000 000000 000000* +L0044880 000000 000000 000000 000000* +L0044904 000000 000000 000000 000000* +L0044928 00000000 00000000 00000000 00000000* +L0044960 00000000 00000000 00000000 00000000* +L0044992 00000000 00000000 00000000 00000000* +L0045024 00000000 00000000 00000000 00000000* +L0045056 00000000 00000000 00000000 00000000* +L0045088 00000000 00000000 00000000 00000000* +L0045120 00000000 00000000 00000000 00000000* +L0045152 00000000 00000000 00000000 00000100* +L0045184 00000000 00000000 00000000 00000000* +L0045216 000000 000000 000000 000000* +L0045240 000000 000000 000000 000000* +L0045264 000000 000000 000000 000000* +L0045288 000000 000000 000000 000000* +L0045312 000000 000000 000000 000000* +L0045336 000000 000000 000000 000000* +L0045360 00000000 00000000 00000000 00000000* +L0045392 00000000 00000000 00000000 00000000* +L0045424 00000000 00000000 00000000 00000000* +L0045456 00000000 00000000 00000000 00000000* +L0045488 00000000 00000000 00000000 00000000* +L0045520 00000000 00000000 00000000 00000000* +L0045552 00000000 00000000 00000000 00000000* +L0045584 00010000 00000000 00000000 00000000* +L0045616 00000000 00000000 00000000 00000100* +L0045648 000000 000000 000000 000001* +L0045672 000000 000000 000000 000000* +L0045696 000000 000000 000000 000000* +L0045720 000000 000000 000000 000000* +L0045744 000000 000000 000000 000000* +L0045768 000000 000000 000000 000000* +L0045792 00000000 00000000 00000000 00000000* +L0045824 00000000 00000000 00000000 00000000* +L0045856 00000000 00000000 00000000 00100000* +L0045888 00000000 00000000 00000000 00000000* +L0045920 00010000 00000000 00000000 00000000* +L0045952 00000000 00000000 00000000 00000000* +L0045984 00000000 00000000 00000000 00000000* +L0046016 00000000 01000000 00000000 00000000* +L0046048 00000000 00000000 00000000 00000000* +L0046080 000000 000000 000000 000000* +L0046104 000000 000000 000000 000000* +L0046128 000000 000000 000000 000000* +L0046152 000000 000000 000000 000000* +L0046176 000000 000000 000000 000000* +L0046200 000100 000000 000000 000000* +L0046224 00000000 00000000 00000000 00000000* +L0046256 00010000 00000000 00000000 00000000* +L0046288 00000000 00000000 00000000 00000000* +L0046320 00000000 00000000 00000000 00000000* +L0046352 00000000 00000000 00000000 00000000* +L0046384 00000000 00000000 00000000 00000000* +L0046416 00000000 01000000 00000000 00000000* +L0046448 00000000 00000000 10000000 00000000* +L0046480 00000000 00000000 00000000 00000000* +L0046512 000000 000000 000000 000000* +L0046536 000000 000000 000000 000000* +L0046560 000100 000000 000000 000000* +L0046584 000000 000000 000000 000000* +L0046608 000000 000000 000000 000000* +L0046632 000000 000000 000000 000000* +C9783* +1911