From 961f8d7abdf87d98cd28cdd2a3827d01932bfbcf Mon Sep 17 00:00:00 2001 From: Alex Kwiecinski Date: Wed, 8 Feb 2023 17:47:18 -0500 Subject: [PATCH] initial file upload --- 27c512 mod original docs/.DS_Store | Bin 0 -> 6148 bytes ....512.SuperBrain_Board AppleSoftInteger.BIN | Bin 0 -> 65536 bytes 27c512 mod original docs/Combined.BIN | Bin 0 -> 65536 bytes 27c512 mod original docs/High.BIN | Bin 0 -> 32768 bytes 27c512 mod original docs/Low.BIN | Bin 0 -> 32768 bytes 27c512 mod original docs/RIkN1pKg.jpeg | Bin 0 -> 119232 bytes 27c512 mod original docs/bCC7Tqfg.jpeg | Bin 0 -> 180851 bytes 27c512 mod original docs/ruMNci0g.jpeg | Bin 0 -> 136364 bytes Forum Pack/Beta_4_gerbers.zip | Bin 0 -> 33726 bytes Forum Pack/BrainBoard_512_Beta_4.zip | Bin 0 -> 34993 bytes ....512.SuperBrain_Board AppleSoftInteger.BIN | Bin 0 -> 65536 bytes Source and Serial Driver/.DS_Store | Bin 0 -> 6148 bytes .../a2a1emulv5_1/COPYING.txt | 674 +++ .../a2a1emulv5_1/a1basic-universal.asm | 2249 +++++++++ .../a2a1emulv5_1/a2a1emulv5_1.asm | 1963 ++++++++ .../a2a1emulv5_1/a2a1emulv5_1.lst | 4242 +++++++++++++++++ .../a2a1emulv5_1/a2a1emulv5_1.o | Bin 0 -> 17410 bytes .../a2a1emulv5_1/a2a1emulv5_1.rom | Bin 0 -> 17408 bytes .../serial6000.605BR_6000R.aif | Bin 0 -> 94744 bytes bb-v5_1.pdf | Bin 0 -> 1123864 bytes brainboard.htm | 262 + 21 files changed, 9390 insertions(+) create mode 100644 27c512 mod original docs/.DS_Store create mode 100644 27c512 mod original docs/CO.512.SuperBrain_Board AppleSoftInteger.BIN create mode 100644 27c512 mod original docs/Combined.BIN create mode 100644 27c512 mod original docs/High.BIN create mode 100644 27c512 mod original docs/Low.BIN create mode 100644 27c512 mod original docs/RIkN1pKg.jpeg create mode 100644 27c512 mod original docs/bCC7Tqfg.jpeg create mode 100644 27c512 mod original docs/ruMNci0g.jpeg create mode 100644 Forum Pack/Beta_4_gerbers.zip create mode 100644 Forum Pack/BrainBoard_512_Beta_4.zip create mode 100644 Forum Pack/CO.512.SuperBrain_Board AppleSoftInteger.BIN create mode 100644 Source and Serial Driver/.DS_Store create mode 100644 Source and Serial Driver/a2a1emulv5_1/COPYING.txt create mode 100755 Source and Serial Driver/a2a1emulv5_1/a1basic-universal.asm create mode 100644 Source and Serial Driver/a2a1emulv5_1/a2a1emulv5_1.asm create mode 100644 Source and Serial Driver/a2a1emulv5_1/a2a1emulv5_1.lst create mode 100644 Source and Serial Driver/a2a1emulv5_1/a2a1emulv5_1.o create mode 100644 Source and Serial Driver/a2a1emulv5_1/a2a1emulv5_1.rom create mode 100644 Source and Serial Driver/serial6000.605BR_6000R.aif create mode 100644 bb-v5_1.pdf create mode 100644 brainboard.htm diff --git a/27c512 mod original docs/.DS_Store b/27c512 mod original docs/.DS_Store new file mode 100644 index 0000000000000000000000000000000000000000..5008ddfcf53c02e82d7eee2e57c38e5672ef89f6 GIT binary patch literal 6148 zcmeH~Jr2S!425mzP>H1@V-^m;4Wg<&0T*E43hX&L&p$$qDprKhvt+--jT7}7np#A3 zem<@ulZcFPQ@L2!n>{z**++&mCkOWA81W14cNZlEfg7;MkzE(HCqgga^y>{tEnwC%0;vJ&^%eQ zLs35+`xjp>T0{G z)=bJ$c{gmKiz0eRGieS54OY6+jV-o96I3|dRKQCV5`tPef)^Auzh{EBefRTz-uLtQ z{rO!EWM`xv>aS$fuWRGRMEDNS?bNyx=Zz7)GF+!UOZ+RRXFP0FJ4C%_w$oY=dH2!BIMXF+zsJi z^ADhJ_uH?5!Ot*<`N;FyXC2c8D#O`yezbd(1>N@Lnh zP11NMfvLwC02K$FY)sRzTMDBAo$S&k4qz)L&?F)uiQzQAs@~P)glYZgCiX8)72`!% zf>4R;z_E_n31BBgh?=3i2yxvysG+m;SqG~W>+)1J>k`0*8XWdq_irfg`ae+K^)t#8 zl%m`YCXr3{T_K7#0HDTfvPGIsNe6+)Lk0uyJ zwy>L;FN}6jbF4#r@xnNV_&crO&Ku{b2yxEDp%r0yZlgq~dg_A2@6hjCxL>3Yi1bb%QUF?D zDD)6OpXY?sJf%Zu%?ok}x;(i`jh-edg&K`q-~(Q!ZYXw`BX6_==nCoP z$s7UtDNS>C0K43$>G#6#43f>`2Fu_e@0=z0v-bqpMGbYMs zGNF-CQM00=7)YG{LpYQR(uEgzCPV)6$#RNUz?L&JA^7pAnG6I%v?yj0MIZ17Dg^M1 ziU4=)sNni&8D-YH$_JBgfNR2UCH!61C8TLtdp;07@aum3s2nURu? zP+)`tBNP~+zz79KDDZz$AV|U5&)k_)a=CFxnj-MHn{{;ws5aU@TFB!=5WMj4A)99^ z&v?(kN8>IIMj>dehn%VPk}gk=y$`+SW}kACdVGc)#9f5NUa|=Hh$kuBYaY@K{vO)9zjc$In* zdu3bzN_}}fD?iOgibvqpSEa)pnGIT7)q32S*{D5G?>T7iA{|+`aEIWxWp?6DXJtqG zAx0cmBwg0$cWgNXbG@rR?kt}z777ds@8RVI>%kJf1hDe>g4E8`3 zb*nucxSOk53Q4_>8z~44_4#}}Q?R~(cNXZX7PY+-s;x?ETW1Q<$J*u3>Nv;*ck6Aj z_JPWd+HUd3D`{62*^i>5r`acaP{x_yhL}dv7&Y-`c0kqkKnZ(tq_ALbOpmIY{h)_< zQD_h8DddAardW1OkFX4T&t4{Kx1(7ca}vTtN!=*|R_+Sc^I$oPtmewe+FGT?^WUZvvz< zT#p`9jkaDPSAmfPTG3|zR-mWhVlH-?5z6l-&MXGI1*R-Zj!&1m<0O||Qm~tRyu8xA z+`+ddT0j+p#juG z12|}RS9KTG*Q>5ox@|d??tE{NI0$HkRb74Oa^Y27PEe6Pt(ytp194{}gq zXEk$9a}`Jw466arLY_DJ&Vmrf2cwn?(d`-q`_&nC>$&(W{sbczmsZ{i*97NO-qOT5 zjm{!1>_)j&mYSj+f6G;4lKGtCfZmqN)^vL>S}#hD5}>-(DeyjsYQJ82D{gkG_!Vq} zu?J_(c1<*`$x4H*FIm=`P6%?lkn~=a4Lhrv@I}ZVTy;Iio*1r~zUO&^e^0hg)ggW9 z`oJDluTt{Cs($ap1s3@ubD&G+cz#^foeJ7kEbXF&;4Xo0UeFV8_=; z|A|*TWZ6kW3Lh#^7>rk;>l z6J#nvi)iv3wii^2PCDJJq;u4<&Pq`WKis6}8L1P{P=IiwcoeQDjjlQ3q?{t~$SGLQ zE3T{uK+QE(7+~Cr+ssG{Ldy-pU$YT%0XYI@As^kUlGTID?gdf(0CCggP8Y6^ZqxPDWy3)-RtgF{33UwU60(gyQK*Tpx@gP6fOJi|kWdjti0XOP# zLynI_sZ@d$0j`BSr9o|@D8HiP(1$(fw=^WWQF9+GFGEXj6qTew8W8*eSn%(q5feQP z@LuF;)J*|4GYt#GUkFPzX~^sV2-29@`NoMto+f2!kb-hZqcoZ$(P1GrXpX>S2cqp) zIaEpW1mPMkRDd6Pt4G(DV`wurS{pzlS{nn1G~g>BiLd4u2A$9nE*UNl`&t@R{ScY< zXS6Q^Ht7IXgT95+>!aILK~&PValGGfltYL6q!3{$K9XZ-^>L)$a!~vopG0oBLhZ|K z-W$Zdg^`idTS8^z_!gCnoY7DXVYvK(rnXY)z8Vq zkLrdvK7$OoLZz+VA;@J5l2xtW5(~oxs4IxL9SA; zv`VA2dhTcFM**5$(Mx-YpPw%j|LvJQyQXI5@S_=5^Syr=^94Zo{mbCLkv{%q-+%x8 zGD&KD_St8t=k3@q2>fs9zzg$*;yLOU^2>m-z-aLvzyIDpOn;{T{6}jl{&{9FBl}bQ zVU+4usS|=nrOM_ke9!8i686H~Umf)Ohy4END~o@tWBgM-Tl3_Uqm!b(+xhhrzyCqa zWW@o*?1$ud&16fp`D^_}zk-F|=H1qfmMzMh^Q-{~_K;DP9; zPxoEu@m=VF+$vVo$K}W_QJ@==Z7DJ^+7!NqyHBmu|U4xe7XCdU;VnZv5`O2 z*s!pry`gpg^M`8>^L1^9Sn7Lte?vPj?r*MbSlZIs>}pup&Z}?vO z&0hY8#+J74mQt_g+Saz_#o$%f*c|X^s6Cd^(%LABwFmh9t*ET54Dq$i&11gVT{Rt| z|B!sZwFuJX8;{hn$HzeQcCnT}Cg|24YgZ~KK``ulW%uF{_sN% zd-*rh|LY})ePRf?`tC{tN#W|7o>r(+9b{d7bGSV2W9Ea+zhW3p@ofY%=dZ4XCHLq{ z!kIfEGXo*_J+pF8X~^b~okF>7<>rt*yUOh=OLp%n-}M>1kuP@HFYOd|+c%7zwrALm z4cM=SScR3l_U!t6{T@=jeuKP%>|SZE`f}Ij7j{?eA-g}={F^;{$?#LCu=P`<-we&!Ny_z`LiHt~ z5X`OJvuo$tytN-goO}0LcJA)~vTDFwdg1dgyLawBM~EH#f3tCa+Jg5bw(oR4<4g?!bz#LG~_Fg2o!(9pHTk_Has zWdTn_QCZid>92#~ML>j-mO>syonhx)z*D)iXZdwhgugFdgP8>B5JO0(sDN1mom9lY z6oPnbJ4lbG(-e-u?SUDFw;@M7eS2pz zXqc5iqFzX}hn;^0bzfkgI1T2W^;J69RV~ZG9fnSIW<#N_D6_F}Jsl`CbvUcmU+l1F z(^(^+w3v3?X^_$4EGBLPPAla3@gyx=oi!&sW^qhfj@VCV|4$kVdG@_C5W~`NAeA(F zo-s`}u#oQ}w!$;)3vVzu3^hO~e#Ycu4_+XPw1kDWhTc5Qe%pr>w1%9*+j(?i^kk0@ zepNd7YK2oV3tt?c5mbTH+SZFQHOybpKRxV|K5D+;b7zaP9CkDa(~)O{0wWX{p}+_Q zMkp{sfe{LfP+)`tBNP~+zz79KDDeM21&%!ryOQ}0!sme<)Mw$N(ggSrsc@>s3BUho zXMEsO96m&T8y?Ge+tDd%^c3ujKA ziD*51%6}&B!p^>=-mt#2eHZ&?NZX}XdyaT(yFz+A-UZ&@d5zwD@4GcU?+QKdZ|r?v zfA%k*UeINnTCn)khQIB z0}GooAV8P?S<2$i<|VO*bZlCymQ87R7Jk}=>ASTHo3-=O*tGP0S{gJzJ?ZH4)X-)m zeWy)ZRGXfbv2Q6vOy0Lt%cdnA$Vg9`SGzdvww1mp=}^kzXOq*H)-GU^mO6)1(xr*d z(&#CRk{5l=rcpC(adLWddh*g`kYX~$V`)ZOdhJqOa`OCrY;xV_AAbdh%iL6Vn==OG;^_C@f8SwswAUoi6Q}T3vd|;?{X-i(A>0rLFVW z$*qf%k7gvzwPiFeXjrP7XUotgHzc(#PHSD7kt}{uQMT)3(&7VY&EM>EEM3?D z(Ua!3EL+;V0I->tzSyRle@K_svUEvv`r-p@+7HRki}T<$r6D7!At|E)@IA;TH!RIa zw>+D4C~4{U^R(#}HhDpYB|T-KHp8+gWxj=hn#e%UrDSN+mSrHQnWev7oRM@aP?*I( z`3DL}0n!$rK((=K^1>t*Em@YdI3p#aJq?JufK5Ak8w6;WmfpT>@%-ck)8?b48A%z* zi;@?&&P{@sWpe@Z6kTh2^8A!V5So;pp42`+<=K>_DQSz*+~1<#C8sy0+)jUf3edkb zFH_dAq+}cjF>TVf$N?LVZNrD5ckPsu8rb@#3+XElglvP zr;uUe355(cMBx*1nG}+%&Wb1#k8!B01;1u^J&}CsDaOlfwnqQD3UZYmsKk5qW#eOb4>q+}`y!`9#<)%lt18dsaIV`hHmp!ePM}Db6s!K14OVGRJ+D5tU$2Duw z?>l{Z)n&Blh@j(J(2P#YTBoEy_x=bV<`d|VHsfAH^@3xlk|~qU!>-=7reO5jHa6&( zb(b;AYB#PzqdUOEFb+*TVww+I-HY*yBnvMm+we=IIOk%qIx`DrVUDcI^5a!d*hEt7 z$+FoMzPgvxnafRzx);@z%kw#>c+LBw_a!J_1tXUd$)7B5<28miAgNC?$Ke9YD#Jfu z>#(5^ebPd7mL++&WSjjm@|xv!oMl*L8CN|d%gHZ>;~tqu$V!VIFE_lX24t3tqacM{ zWEHlvGh2D36MTl8MVZUX;BJBCv^SNLi7<;s9q0wvdu^7*@fr{Zz$oA#3ek| z6(zm>#v<2jxToQ3G1;XtDP1#7Pa7%(5tj&!cstJ+#^o6I);nQAcYEO8Q6vo}UM!I= zxU$8hpmDBRam+rM+_hMG!j&dzgj!35&$(qt2IZ7~lh`{$Qo{CqIi8T%yIwTnv5>cP zs&=f@Nnw1VWZml>S6LEBJlu4pvP3Ka2-Ux~+?3T-!>+Qu99e1A^DYbb zB~8U9wWP=q!-y^i+@q!NoXTQ2f>mrks^-L-u7pc2#fx4 zL}0}DpKHvr3jfitnsPZxIc8pFOa~@34{p^KX(5sKa3rUOBmfCWz>ljrQ@9lBazTL- zL=R=AiAT^s8A)~9%K)4QzGA&zPjo>`;24Y_>+llFXwrQ2{4rotC*TRc+9jg0TPMlw z4;wIw{!u^{9Jc}3n?$=FG=%`15QL)vPQ2eX9Kl$Ow~1c~V*m!~FF>ry5>9m}v3En6 z0rR4vgl{x#=l%6k2ue7r8q!>WRr%j{f?E>=ws-{$0f|LIHjYFKI#6bd7?hheu57Db zFNC2ZE$Fj$by4PCEve38v}CQ8yrUKNqneXw)d{rn1Tlwgn7SQr7k2SSDZ8@hq%eW+ zMc2+k@nIKlFfI7X6X?Tp=&qB*s3lk{Y}9^j9E3uXy_Rb0TA!rE?;75NBNltb=itQC zUbXauvvMzfhZ<`kXS~-W_f|*ut0F1zIAIDPY<-8U1?r&ZI%)c`$DriW%hJQW*{1QX zIrd1nEJG%0s~J(tRx<2@4%ZCnTh?_lk*w^MS@d*^1 zM|fmvapoP=MpM+tJC?P0onbu?qOvBScI-X^iZ8!pK4zd6|NER?9cyXb1Yhxkj%p+*^5q5gJpn!TJ)mj3zG+aS; zEs!70Y6rSdX0*1GwY5v^qlywU_gbq>AwIj3HXStih-oq@?v()-Z|h}%i=l=1F$Nyk z>at6t%y%c4e+T6Q(&_azbET*7J9@lUkN4_vHLyjI`cCC=<{1fQ#yAG#!B{fZItGt1 zj;-7)`JgR#J6%!YfYhtk>pArP3Ca~;NI}8@;2=^W1_5f)Kf%+kU;*3MV`$K;g{+mX zFT}srm5NF|8&9P+6vCAtU7+{g7*;xS|iUhcZr-b!@gG0Wi}sH!8&fvQV_ zbumkbE^`dl0qZP*_-sT6>Nj?G8>V~2kQ{KVF^#p99#~}x6~_?1Hp?DDcuOh!&ko>S9<_Cm&6Yg; zg`pg^dV~_F!p(*}G~Ek1g&3uFiRCD;b_ynq3E*L6(=d6a$-#(^|_vCQ&5?& zKG5RWqaDyR#C}KwF<$5;-~iaaDwjjUak|Ec1BmHl=R>1U5N3yEyLgj4XaM~P9fFoz zM7A4xj@%_hXq3Dkjt0GN`7`Bd$^p@A z9B#}y*U3SZk3}0#3Qtiv@pLEqLnrjp045~l`DG}f4LV1cLR7J@90Pwgq0Rai%LhVH z0tnW-j2jHux2uXaLi~{-$GX9iP5W=4nm9T7F5TEb?*$u*X;&tOYBtcsk9P(@Dw*i< zs>c8*%xByS>5Y*_<1x^Ug$Oh77$HH8$I?`@80dx3k6q~L9`t?(`_^$S?XER?lzN^- zpjSF*{e%F+DcCnV16Tl3mkT#UE#9baJy{_?e!BQWh>wgAw5v zW_EgV^5Tr-^f8R6gtKaj+th$YDJjj2l(m(P)N-le^BFl_2D0|+ePJ?eCfH&`-}Inq z=fHE%xvvv%hRLLR_Q83iclN1dc(a2^JmcVt$X1_XLa3PGZU@N)xx)+CC%9zsDYE3h z*8bJ>5(GeND>`BQtC(PaKP$wvE_1x7VE^HPPI7s8=5m|9eYa>|37v-)-8`YUe5AUk zOnO#)al!c9_&b^L_u;>aM=6}v)Ly*@1o@Yu7O75>zp};?z=_2C&2G^Kqa*KfJ$@06 z&b@VxlxhjDB|EfayOxw_S1)IHzh0W+dKJjG>V)AVeJZ|NkBJ^z_4so=hRA{*mnEC= z7o>!4MjsDY+ZAl;dGr^z{Z-c^(k1A)m${r$53usMpIHVP<p?{qOY6@`)LTrKn08M)#w30EafTa9gdOdse1g%xxP--17 z1%XT}=d2Mb5TBJii~)E!GS+{Fg)S88<^085i-g`Bv>{m+yZ0pAY}XsEErSquqX`Y? z1I&n4pU{^L6Cqa%G87KSYT4PR(C(9P$nbb0&aXbvi2sgE47=(CAweZDpd^wqPyi-@ zmq7tQ4%#P>GU&~%*eVb>B(eKk8EZd9iBtDikRrImxZSXW*en84dW|-NP?qQ^kwOKT zNog&CUc=1$ea?C@#A?=zQ=3Lt+M2dYeP+L1ZkG9S9XVC+ES9D-3 z1vk>Uriv3tq^1tWF%dx<@y6V+Yzk-mFfk~ym-d#EPe(^;nffxZo|dh zt6|>pM=6SIY$_H*$%oFSVrg}bniK=?p7x2yT}h^(-WL*k38&Y(j*8>HhKZ5uq!=P> z<=q^^kUv^h<6^_Mz!?5h!#~szP3FV}!$bT6OQDmFBB5K^m|yH9ALbX+L#@TX{3pfg zEV*SHjkU>O;K;b}tf0)|VGl@#Gi5Wh=z+18W(+BDnM`5AE#3|FBYwd4pCggtLZS)^ z^k_U&q$M9}$wn=bpVs4zdi#)fyAgD557E8A^c1LH$E|PJJ2X^|%x!31h`z zXD-+w?5C$$RjZTi460B|;gE4|CSO)$S)N&Htbp9>htUV*$%g^VUxkYjz5<{Eys0R& z5F2b{6K7ZsdAynfMkX9>*+Oq-%ndIqinrM2MGgwzIpsgzumq4x{7yv!`&b;bRa2kO28uOF&rcrXzVh z&eh|OVYHKrH-QAom9}7mvvQL*M|Is|Ko6Z8P7Ol$LLjal&H`9+I3945L|g3e$dG6B z`MG-MfB$ii3CNTue}qA_A9}S2D-4~CbcB@NP2~zM;mlD+7&g)Y6fHWax~Tj@8eKa^ zQr3RDIV#n5Q6_k zB@6YuEmwljy&h=*7Z?i#1q2pY3%g$zLuy|GdGxY}!a*Bb4isM=3O`_^0%{fF!dO*H zu116xo}uz!IbIGU*%z%Zb{7Jw1=80vzk$l-n&|+d(tsg71O`nAhO5Eir6 z8tWSrceJ&|lJ6u1`MG7@LQ)8I%jR?scQ-H`pq&r?LXXR#EMKVKZ&yksXq*&G``$q>q*I@(RhyZMo9%m3{I#W~FG;C=7Zt8B)X0aqPnjNX*5f>q z59x;%}+9F;8;&8A!ks762d(9pJn~k98Ei1wFZt3fvs9pvQ;F_&d{yIp~{`=Ae0Tepj;)*Z&}R^GV7T59AW?@~i~R;UY!-5~x;u$iN2 zkIa1FKLDaKTw)sQEs^G~ z*uG-N3VucDigIqa%w#*1d^_0z<_^Mx$&*qrOG!DH<=%2}thZDQ_wr(}cZUdzWt=$~ znuz(OJxW9Q9z}t2kGw#!M^+%WSPEoWp&Fm$?F|Jg*dyG~e_HkAt=1Y+%Xe^BzGBZ@=#oejNzYqqg(HOmGG&@JufndlqQ- zGJXZWiZe+$viFiwYVg$9L5=OGs+aYih3f9`rG{nOO!uluCXhIjyb2_KmAnEZeucaY zBz}3t%PU@4@#>1q73D>#;t`HEZ(dos919=cwj? z`+#`n;Hqtfmf=@YA`JzXo35fdpV-g-%?Iyc#2hccXkLKzvJcO}f**r-m~$xG$AhyJ z^TrZfj?HYGZ-JS^oFO2LoMqC1N-o)~`L4S}e6W%W%dXun-s`O7YIlec)Z)caPGLiB zc_rs5m12q6BnJVTa}M%LP3+~P&8o06!H+G-;>$IcxUQ*!L8KTgoQ;^{vKMR*f`vzr z65s&>jkhBZXohlP2APr_54U)gkWxGb5W?H>4$R|H8wd9{hZk(AR}GoQxgHjk#?N2` z@sJnz~@|i})vEl`7bg@eA-2%S)#9j?FEB2-H zT3|pu`s5r5u(*|qOR^PiDGC!_jozji58N9buFl*V4p)x6xff#Lt?WnVi)T3=xVioH zKTe}YEF(M*-#Q-qk*u}8gSQ)Dw3vwabFg*r*v-dGuUjXa0(hLZ79!O z5EyqhtS^TNk^GNnL$7cZZxP0UbN4xEvMNFdA9l)BV`(Y2h!<$7wur|O-vjhD%g7dW zR=6h2H3}|Q@|Ov6db`(FzdK6{@PQxZkg|jSf1Ec{s1P>=`sIY9x zP4UNNm(@gzWtNI+Ka=CqNP|#yGNQGq+{eV)i^Zeyg=VzZl)-*j6uuJ!SX~>Z@_OuFAD3^ zr<%fnyMu>&4Q?Zd?6{COpLl-Ovv1%1!I$@~d-OsVe(!$6r1(F-^4sUbKX~V6PaTMG zwJIR+g-*zLLoX@M(wP+Ky>l?5Ujc2!l>=`NorS!~73EjvZpm@=-zyonkcC@%yVyb~Y3&lnprH2BdL{a(|$;Qo_^Of4{a4<`HNU)kYlAG2>q#FU@?Og z*o4D{E&ORb$}rkIX!d4ge=`we{3iSlESmxP9oCpS33*A;s|J8op!?({yx)R|nOz1U^xc;#vss zykwU_*H~Gc&v}a>WSf@*^Cgm1TTI|vx7}-f$-;@U`W)jbLl%6Ws(7}hVrdOKXNamY z_{|V%zsf6yKeG19?6P_cA86<&FUM8-$*cV;eA|MBh2mNrtiE`b1PDjNZr(~*R+9i% z`p*$V;ZsCLO~TR|?5%jNrb1T(?Q;^`J$E0l(?l{Uer}GyG^0eS4+@{AOZq;MA@pO^eb0*O9-onj>Gb>sWJtB;`JWcq1i^m=mjxsML zlGe;-+)CPd8QeBpBw8GwsPx`1y-Zr{9jN7!8h{{4(w5nzYv1^xA;-kmO!D8le(C(_ z*4jO#dFx+Fc}(pmG2u`^F{t_q zdhQZ5eAj-_ONCzb6+?+F*II&RTxLVBLOkO&j+#BxBtATm^y2$+&CLFZFw!I8a82WV zYFp*R%!#nxGBFdvj1y^UwPM(CYP2mt3J+gpgRW5Xr7OTgPvhCV&M?zym=*YH{im{e z<17!X6TEHniLxBSY|oO~*G+O50;yW51O)hAJ@!$Nh-aefHv|PM_rpRue3qR>wq-7X z)RdLQsLxFb@Jv#OpXP))uq4?=q;ay+*u<0_#KPo~CHarZin86wpJD8)o z!f8|E6k(u`L?5Sylr~^sK`J|-QNv|_apSOtPRN2Z(EbA%R>s7dl$7b>#&OmemZ#9C zH<9*Q=?q({DQuNp1=o9yj{7LJY~Rb*(7S`Mbde1wE%#Zk;YZ>W_|c-czm*m73O8)N zNi~J0riP&$@wyZ4RSMkS^x>ekat7+SX_leXYX=^6nl73ZHA+)Ie5-b^l zeS?A@j!B4z+h4Q3h0n9~;(5s5@~Ao3t&k=KKVllY@5^i8zpwh5qzHufa5R|-^`mF6l|fB8i@-0Jh3ph?B_mB}kV_BNxzkn=$NZVO zYujtvNLJiZ!-OZsldLKHv6p|Rjd{5sCu6=dLqFeF!0ZV%yuBy(^|vn_N`0%}lFO8t z&2PW`_FMDyyC2`pL@^U5#>Bk!R*v&PeT;Vge6tfL>$Om|8pY~cide+@^>~IJKeZaD z_TeDvxXxz!k0HY?^ym=!0#H;s*sao&qX_rm|5t(DOI=(CW~kEn}5A;#DqC(!5Iw4h|5j z%Ry1ngqlypaX<#@9;(?SDZtI%97<#p;I>Qcb@Y!R_#p3UuwQVwOlMt(B(LZjKB;`i zyxsER?zFsEXlJoFmUDe1M!<#v+YP=(7!6j1rY1+c%vqxIW4+OEUid-#3J&J=eKys3 zP-6W%S1$Z=L|0w3%10i=(RI-zs&=YqHh)JH;f~>q!)z3L}hYiLMDo!-?dn;Qtk*7DZu6ERH8}_#w-K zIr=PF?G*bM>RTC`9}g;&G^b&Dv;BtM2p?zo{8;CK2Tj+wL~XB3yg@JczQTFuLJu>K z%&eV7p7zZ4&V;w8IsO^cdE>UmuLr^D1c^XZU*FOAl~B(Gw1wROZDBKDvmWUGxTZHuA*XXxj}TPkh1hv~?zcqdC_=p;HC><4rO%ECi%H^cZAjT!^SZf4>>v z=*pSE#^~!CCLfn-I-8nGX3-U%%$eE%lk0Dv{=P|1f7@MOgIEq;{Uk$oq)dI>FkOq* z59uw_xw#Y0VP481qQfw4!v_rh}0t3bh-2zo?&8VZ`&Z9k*rV6Ev)~O6JE#DDcirHpnVF=S+R~Zm$6G)h{(imb0v`o0(juyI{m2dR zI)|8BdcC-scBFSh6#NLd_-Nn=s#op?{}eiZW8=)^N5CHvm~N8bz4j4l3`~kZgf!FQ zf&CG!8?BZkTNhXs#&S5>xWKS5RF7c?UJC5u{e{${GEOMNzd|c-q96R|bHCvU{+=9- zul1$ncn1F|+GcgXA$WxN3Ic(}hVsX+R8yVc0C=Y`9Ip8MscM zg=(6&ALfKx+UhR9mOKVc?J>@=`$dE6eY1c1<^!MZ zy62vU9@wvOKtWtactM<`<%@s9q(3Ka-pXz91_cqo=mL%Zz# zACw?I`@bkbte~~X!zB;VF;iiDAsu2T!mSN3hnR||*}nxAJ+%KPt%sfa#p~$eeya7* z8fz~?j{U%ohxUWbKY+g758U|eetrg(TaNu8w|+CMb zEI${y_um$RlflU+3=6?WZ>v3wtLOer$>D!;-H()ggaRWJ7@@!j1x6?^LV*zqj8I^N z0wWX{p}>C<1%xtsm0%tiuqhZc0sb`g^}^Km!v8S!&H7)azPta|sV|Ai8}A^~@**6@ zSk%x2GvTA+Pcz|e5oW@po9Ij!-PdG|Ws&$ZJi0C#V_{}|R0J143EdRwI*rIr53J~k zFf)E)cxDUiAYFdIL)uBcQrXTQpN4;Uz#f5w8c<`N?Zqyb<|D(J0U{U z4CO_L>(<@T)wm8;Dc0qwYSzK>O&V4*V@jc;WaHFI#&CS5;W*vhVH zWJHS=Qj`I z(j|#=b#ujex_KhqAQgB*>BEFFk&%&s_sGau@E6I1&J3RvJ2{jIj!}gwAJ;I-@Ngy7 zQ%|Ak6RHI5^-74TLI|nAj-M1dDIUT?LqTX)4YR^Qfv4OO2 zV;0Dl=AsN$fj^`PCb((N0Iv#^cT!aRjEVA@OlV|O)U2o|1`?4OC&_pHi%dBY#&v<6z~qYt-dG_5+pF}*GGl% z02Bj(u#C@?~S5eke@V1xqy2L*x@to_WLIVG1HhomWR zwx^qQbqT08+CEyy<3m6fq`z$XfXe1Y;-L#DeD@0b=t~?vYg5W)pd7` zUFl{t{bh7}P;Yd%Mu7(VAV5_XHyd}Wv#74*9Cr<@ER1&RU4M`)u2?Zw+K$wx3w3Sl zP2>58KtUx8yV`W7OQ^fAa6Q%J_{0UN2X?D)0Vwt5^`Jiy6u2LOS0B}voY|nYQJu+| zjoJhCpaQ;&bY$Ja9fIGM*@-)yl^yMe7;#*YbXlL@vE>lV^{)E3vwXH#2*=vPdw6-l zdaB0yTfmHNb~;nA9%k@WOK?NgySUNkLypr`i$N9VT~`*{DjW9b4s@H8{3daKe#aG^ z$+Jh73p$#89Kd(b6GGh(sk4{C9;l*jHE7W6=Bk!LQtyNQY5<|3a5S4|3f33!&H`Q4 zqPBNJwN+_t>r5f~SiAgL9S50U(0m?iAE@l8?G}H$l6Ga0{U|zm8g#&d)=sKD(=IO}ogzD`kpbb0NV~SHWjUHFNa2RPe6B87 zi#j5lf=~{%^ii$U?ZP(!(iyIUruEU*E95FL66`~Ab(#HJfu4qox!7q&pc$7qvtY+I z>>tgNUQw$sjScUdh4Mb9E1i?4-Md;-CfmPSP#3ID&26p(w*;xQ`Uf1Sk={cE*D<) zNmo@L(6K$R&znFCat3JFg?rFD(1IYVF6@B|eTG|#7g|aW29_Z8wSa`jW4{}kguKpE z*G;@&_tbeSyYsy!H*Daq2grjQlo<4noYPzd5(Nve)lSIsM&DTw;`m_Hav{21qhP-} z!)`qnpT(bG=$KDr|~ABXb^+=vCux@E}ZXEtxkFoo=FKCgu{Vnf{jizsoR-j1;CteRpaek z0FfQykGLNX6lsk&_lO3U8nm8YGc#0EOWe53er;~%fM!yezV4a`SC<+G4qOyZT3k-U zMMzC=BLjAUXH*~K0b{>eX>iIa&CtK04b~OaloCOoV%UP<0-t!tPp@ctFK#3@@wf z_B1%bXtdu8weNki8+&16d5_vj8ZLH&Qud48_Ihr?AjsBwkgW$vDn3M>!H1#7hwu%2 z3+($jB4!1FKHR1(2DgxA;LR4#L6d_0V;3lP7fGWNjAm-IfI+WH5d%JuPzydxMAJOn zU}!{suV$=kjug(udV}Et!4M$@Q%}gO2{IL-MKpO1+Y2g1C!KCq(mCo_XQc@D28g%` z&L~NpfQABu8^xn=J!y2!5hvvofk#fkdR}p5JpgL1slou`R^0agwf7|eQC#V|)zt`y zI<{LRE?6oeBt|}k1RGo9`)HzjW`OjbH zobUTn86AXH1BAc!b>sp$f@6{pUJfDKS4KN$zaY_V9A;D2s{+PNaNoJ0fVi zZDoiY=nk++50qN=XUuAyeGQ^uUEo*^n8iI$1yQY=&s3l z)+Cq$mjVks5`7IQewfdGnp_F@Xs2R&89m(tlCKiE&Ei+$BK#t`F}ji1|4nZ6#Lh-D zGYtyFTZCn(ShA%CF+|Un+UM(&1jVL2Owk)ek31S|Wg~;q(H+sq^22SN91J)sS!qHn zR)jGxHpR9W;wut7X(bSeX&&W4N`Qp&@STSEE*E-2dpvf(;_*t%9!)D8WIQ8d8JbBA zni|FyPOlHI(2O9Gwt~a<%u^gw+#&~A=J1t<_;Md77Mf2;KNRj4``p2{|DEpbgH3yi z*t8EOcJ4LA&V8r~DP#1XZFB3XRokX?3MG=^J1%Ks3bXWd-YtX2KEIizQ(>prHo01%)3pD%2#Oa zwQp4=ePsWM3L`Pv-}P!V_oD7bJiSDv8E;=jvRzNI-KerZsgmAu_Hx2wVy`<`e&5@R zTv8ZS-u9G8s0*;?j2lyaq7pqRTU8cS$^wFkT|&xb6)BhXDwNemQdUpijJ*J{*=H`2 zQR3$B6ZJQKt5!K2%Ljkjv5w>Z$Ei;c!|#6_|2^dAfBg9IL`*Ev|;A5PY>;1 zs)~KBs!Ck$zio4*I)6Gf`Vmb0rP-)M&LyCUbeB~*tIQA$BDlI6lD18J$ zPEV;4P*PC!SXsG8k_z&LqvZ^+ri@TfS~})PPTpeN{?!Bd?hQy+@Kie4x-q!DS}G8V z+$9CZRS#A}b|3epdEQSe5sVToq@bB6lpIX(2m|reu6P%d0yQU%Q&)l*H3YGeJ_lWPud7c;ci(B z>+qTrth;43XXM_dUQ1j^5hL^CsnvgQuirCSzbAycer36GW$MFQbN2=%24z|@(zhlC zWCPXDF;FQ@B2JYMf9EIvW4-(w}u@CrFu(!FU}Tq2W|o42<$V_)mO1Mp;s z=H|Q{E;BEu{eUF{0l7H+?zQ)4F{Enne+GsRpD%AC27ur4wYiTZoC5G5(Vc~aZzgnFU0GO;X4U}l|T*EaKW zus2`$EfL|rm%8yKA=XGiVy&dcR|EN|fXzF6JrvZ4O^vmt5FYMEd|`M?4ANNw=E4to zVVG8O)C4CNDj9N6MM`*y1eQSG@$h9}zgyXC`ygF(A;0AP!mrU)cBYeI;YBw8JR*|J zv^};u5ImLGDmgam)X)Sv`_8Gs*>*C|PV##ev+vN-JbV+o?i_QWnO$@i$K36CvD*ef zXvNpW*M@E>Ns3M0;z`<06or#DuDtD6Yix1ks}Ya%2pPIJBBMvPQBgbijY)zqPTUw0 zx@~nx#3K>}!Yv%#a-oVLJR9E`i|^7%qX~5*RLl;Sv}wf#DJuE`i|^7%qX~5*RLl zfBX`tdJ4Oeg&mfUl^xWVu&6Wv3z2G^wac>mp!~JFR9==4nGh9!2PWSXz1s{qd=QhDSz3fhoo%HRRtR z|2h25SdAF@I_5&8Jnvj~o&VWzN_%H2oi{gWPSR}fok$av4f-JBr~{H52g_3OXbcW} zi8tX+F}xsBoYNR(JL(O`g2o&%JSs@z8e)L(>%jn_buT3h5N<|GkBnp2mt&fFEaj&# z{Wbg>E`i|^7%qX~5*RLl;Sv}wf#DJuE`i|^7%qX~5*RLl;S%^qF99LYo_#8%9afz? z!B2mXHri?Ce7!K<{?VzFD>MoH)VMDIFhXYLY0KSww55W-&ss?HQ}}5aC+PU8i9R~< z4DE`aZv8004GTQXh%#nQ8IxPiq@Q+%1MV$MuKxU#9BI&W36rYdIwOyS3v`HVghV(L zC7orCE*bdS56|fAd(P-!8C z-l}0fuhko!wBGm?%^4|Jl{Q|Y&C~J1LbS!ddzvfrJl!w>5ce*)f#;s)!hc7;be=g? zi#-^|Jo0REfu)?8s{s44^YQa}d9*eJS-WAkv)M^A`Q;=eka?k;-F1?gQECdq#f-0L zJlWu5-8~J7;-ScydG-{u<}@OV`HGfoWSa*z{$l%Y54L6YTNvKYPqoA$TT}sZ=BHY} zpo<5>rP0_PJ@vE451LWQ>XyZUQ zZZi7AIrz;z2-)42J)m4cN9CJMx{S zAc2>fGwigiMoeErs8oit-be-L`EWhC|J?ySzeLa93m(u&Vwg)wRS!x|Y%JY%nBH}S z9;~0>)Lyuwu>&TRUU`TvlzKpeyWb})B9>5Kktgv>nghYZ7j)twIuvhu$EGR9FKF_r z#C3>vNtZb6Io{lBnZ<|WdgdXTy}#SS8Y#79y-}sMlo@F?H~4NG=^0B&;V)w@o(Aoy zWj0{>Ft)eU9j6U+3mQ6V24g>+zd*Xo)RYf#qOzOIU{;GX&K@*QGzqi@(<0J#7Fe8U zJE(9SX|jx5L7F0Il88jwG@1rBRUvku5R&*Q&9O`U8K2VfT9*qxrlZVum3^%SA&wAv zp208_)`BqaRNUp9YMO|P+0*1vNYa%(4T*~HFYarRxOQtC()a-p8T7Z;Y`!e9#k*aa0ZGKaT7_2@ZfYQOtkG|?wZ zS#Vh(@Hh3A<;E-;>=Ns6BK1}axdg!ifdsDDxhKIk9*wFhLYyw)pW)g)4G}|wh5E!C zday?ub7@qu{DAQQ9cfdedNXopJdt)cie{{Pwr!MafA$onsUkGkL`Mf(b7{P~^#F}` zx8~69QBpu8`)Wn>#o5LjS~`Mag;@tQjmXdvw3X$R?2_7DP7;~4o6(8cv{ex@?C8qu zDcIOP#f6}By*wUL2a@nsk&}^4OSONzmQ+R{IY+iq(YG^(rT?inPs;s7?%Zd>mVPl+bqYR&Yp4GObwW6 z$7yxcSY03(bj4LVai!uSzEJ&^mHbNmmR0;Jm>z`FM0;1cq@rk8Y=93(T;W_G<~4{5 zq#&lh(xT!Qgo-P-tl`&)tG2A=*RuO7EoQuoxHfGKbALD3;t7;Z@!{RzqacULcq6Xi zSBh&PO#3UvRcR{;z71r~yZYI8D=lh1oNcHj?FNnLeQ6xiOg|rJeci#9($+X~1T8p# zEe3f=RGclKJmSp_%{+hOfvlGkES<|?|G;AgSX9+ zO*$mGrAnz)dgA20WgE)k%l=q4P+n5jP-Z{*Wci}<6%{)x=arfj( zoqWZ3O>OK}TWDja+Smib*!Ad0jP^8|_>H0b8w2>%DpJ8!I?I%A4B*ig)s<7U@f2;n zM7#ac7*eYgKMP|g^UP`V4&xcx=tI9n3mE~aia{W1tg^syrGFjEo0X^Zvjvw$zu(?)G zL*zmu(T;?`#S5@yRZ%n8b5%q*!)Sdq3VOS>isoY#-fAGAVy`n-BTIP}X{|T%mR=GRpyId7~;5*1^m z57=K<8IRJ&T3WBS9;HDrv#W~s!G3ImN~WFR)*2dVGUxk2T5_q7{e5*}HH`zt)ol*Qlb!G2}GSxWNb|zta9jY*(8^FNY|l~#%LL! zJ*Fu+oTMEA5)B-}2q{QAviK}*3rIPpqy%9jj8Fu?P*O^oHPOprOqTaI=yYjUi7_8*hrY zG@hUpi4$$VdZzm5qkw~({3&C6jOnbv^34_-1m%d2T9aaw=0;J4HX#zP-kVcc!bZJD0X{<2U z?mnX#8MOo5&7f%`8nRvwBTDE|-!lX@3>G30HI@M8!9E;FClfR~@i+!a?UUNYaCZb` zG>JiaAe0YWaye#8op2&B2!Tpa(OFs^=~T%3*@<<^4MF@IW>z06CX~P363tJvUZDBg z%oAOVm(Dy(H;j=2Lw`yB@slze>4e*uggOH*@smPRgB72{L56z35+-_)k&;-b=}RtI z_sk^AK2xy8>J7#EQfQKZUe@nJAvG{YN)N1Y+ga67P{{a5u$^F-?;jq?I@OmA44 z62UwJRQ^N)EvrYTW?l6#3BgM0Xv^g*a|4WX14t*}R}3Xh@#A5?WjR#h6-YL~y8^WT z4HC@#lJm`S*vFn^zADjyA1SWv|i1wM5op2!d% z&V?%7ZJP>0KszB6Sf`;5w@u}q4gM+OCsb9WIbLsUC=FL~lo|?F`e}zox(wBDs4dP> z$uNR^G7!!Gh8ky(f}AUy ztJtE`oaHLYrH)_1q4~~YQ3kYdjICA+f{-l~RJu#^1>sYn+*4GB5J9jBrJnNR1@PEx z!inQYOUp@MW-fp|m57)vlzDK2&GtDW7C4<1$CSI2gNjpOO}&gJL`rbMr)sGh1alpx zf;r9D+eQW3;qX%$jOSLW%56cjp{kTYz3OOwL1|g}pQSIX$100U-gmnG@Mclf>Da2@ z)U;InOU%`yeRchd_1o(+PN&uVFHdP{K|}u0 zf>Mq+ly}n|FF#sb<}Plie9TiSH58P)OHWOo8Z}|e%~=hGl7W}w7ozxX!T)1mzlr~c zNBDn@|3{wR#{ci?|BvJU(cu3(;{Ow>_InpHo7$WUccxV(Z3kHYZ^!?$vs)4We?0!* zyHKE*lJm}m92gfA+fX>CXWz6Bh06qFWB>-0v|57y-_@_+{{tKFY49!lKP;>O|6g+c z{~!E6COj1UKX&z8=}+FzyxhMtU7<=y^>hC|{Qv52;{Ur^{|oT{f4=&Ufd9YJ|6hdv z2i6~G?J8Gx1E!l+OM1TQq$FPjRzF7S8N}$r{Ja!@vY<#hm0v7j;)z7!uiF&-KSl8WasTu1|B#5#6;3o8*!H$T{6Cpy>9BQk6!i`KKc>6?e*8Zh)OsEN z4@vuP;r}(?!2bjKUk5BaaPHdg#s7aB`afTWeE%!>|GU41|DQpC_(1V1`2Vp4|Nrv8 zh5uhQi2vV6@c%y{`2Xj=ga7vs{QsBVkN~L#C%54J58k)> z7QFwfx8VJQsc+)_!7s42;p{5`BVKS%A-I3}YTFImzbp^p{##pHoA7t8?K`-Cgbd;S z+s>W41^3_9+j|S{zxA)+{=qYFwYBwXTieyP_P-ndpZ)1~@c#(S((I}l}Q4!GG##bZ^F%Q;{Tue{Z>HjKfQtazv=pq;{X5Y z@5ldtFZ#dr%^QUjTQ3Av0&+0U-bP9oUOw=B@bumx2F(+4%Ae z{QqUM?LQs=|Gt9%-%3uF^ya-*ApD2$|D9qcJU8+G%~|^zKizxa!rrXE3I7kR@^8Zb zFKRZs+*;dA7yzG6Y=bXqW`EK3U>C<6XoLCh5XA)Me+K@4QFDRI^aVeUd?g^iY_p4) z9#=v|{w^gnQo;Yj2Kl$}|3AITtZMxh{vY`IA^bnm0N&q44y<{p_n;!A4~&t^~#$D7h+ zSi?++JeP1Zb<-UT~Ly;mq6U1;3e>kU_8@gVZnU9LMmxhTsFJA zL@WUdn#WlpvN&&WvLLzI0FtW9YSr>5n0X{NG9z0QCS4Uu6cf!Tq!sb2xV*xo z<+<#8D+@Ip;!5Pd%DIwDsESVYBk2=K9wbdND;`KyAsNdQ#-k*Ze;(_pVjmPZ(dX>L z#4Rsy4+>nkpt;?$50h_LTf5F&q)c*&pg`h|Qa5N!o@ybRl>|j2x^iXSt-S zmc#gP1m{!UN3xc!XcM$S<_cJ1NPS%=N&@v!N-RJ&o9wGq6|vGad#nna6)nzQ`~9kv)hhcY z6)xM4s!|*(`$<)bq_Y21mBOj)FQ`(USJ_`zrNpW1yHzPBm3^-&MHo7|@_R9}?6Xk1 zyx8cAYD}$czes_@OQ3d__&7IjQ-k78pjq7oA66i0eByS#PJETG7h}zvpj9HwnMdd$ z)vUfb{rc2Qc8NfNo-w$I4QPkxNWdc1ekQCpttxqFo&qLM`*-R=d}tH>-VN(_5=)MGi}!}b&Iw`B+7dNs7t`y7J$|W=1OfB z+Gqulspvg#lLWDKBCi`VFoImDvZ(DR~zUV)+9 zUXHn^eM=W}u052AZ#StC3)Y+;Y%_fs4ddEWM^{o8uuyUVVl|Rj(Riqijy@fXrKa}l zO>|P1PwI+Lat!Q@xE9f2n`V$|+Sf~XZO5x7X#-;p94Xk=ME|dPryuiMK+P#$QSDMmC{$thu(eC(V{8BclL$TU= z!;fUEvsw>;)B4nastZC(^=h*;O4 z#`5gPesPto@+B-zRT~mk6l&b!I#`fi>}G6%X^Y9!JHIY|5m{XSLJq*XNF4j44)|Pn zDva{~(k^ysCb)M=9cU0CU|b9I_COkRlg&xpe2+3?M@pG}rz8HUgeUnYU>^7BgFcWr zs>m`TXMQT}N%3i&_(UXF^oNS-0h^w{LPR zaBnW<>wi6Bq3`*3!Q$kaiNAXl1>~e4-l|+du7#bF-*C|N->DMT5`>D9Shybrj zzJhKN$UM`zmr7TTJOW8Pj)_1RuVQC(GLt(+)$0^-J=00jVb^x%A(={5C54?v(IVAB zrBKMGLzSYUlD8?$jnvD?K~%kr#N>-&7;}54I0J`J+YhqCG_WL(cS+tdgP+0KE^{IK z^Hdt2a?+k9Cu)*Pt{QSk9>uX(^^jlAzu}bZTx#gx1J4*dg>mdx*RJ{f%9LxQWh}sf z4gCD|QY^`05RU22U*IxbO;tMrOsyb{Jhb7k1=~2)rv|-W^!h z*!Cs*Tp>1O>AKAeQUe>*^0dJDrXL(W(2elJ_HJ3NTyNqG+9tA^Ki}=kUTSJ(p6K51 zlOQP&wi64w5K)2>v5Siq7nqmrC$+ZJq;hcq%wyUyaRDavtj89TGyK=j%ZQ(vwQk`U z*E(w4;Mt-gXM|rrN8J6^`_#5xRBjQeFH5dL61(tA2Js9m%lh!%Ox2vS(3hZ*(y=J?s{L z)vcDWtxq|uKXh1s?6CgCVfA~UL=xNB);9G+VsX1#nrv-VOJgk!#cgUSSWqXnVuh^X z*;X~f^`?$E+}E?GMU64Q;z~eQ6M~#7QH9N)r;J@e*;KWvSGsJ#i192%firklzvTgb zHCpKXsl7_g91iP2{U|G(c`>LopVr4c-9t4z!1yV@+Q0m$Bo!41^|krOj{1+IAswx% zmddQpI|QV5_!kaMfJ^E!5V@waKH-3!A?qfGg_1{EV;$B<9n8I5mWli=lCT!M!Oz2*8>vr@X1LU5$-CQYbWO%>>80(pVG&arZjjl+|#v{k_rb zJg{BrdYC^e#^y_G&2G2p61IYPCEp-Y`TB9F=S5xbd6DR6k$fcQeoGo}S&h9Bg2OTz zC#%+hMQPZ$-yP`<2VQul?HLY>r!DE4%UA^ep?fF(43gU!uJMU8d2A^WXBEt`jdIQr z=U|uS76wT^VS}x@Zo^7EdO;kNL1qp!D0Qg4rh7Rw&Nm=Rh!q z%P>5|D_aN<{wY$MMdD)9=N;Jed8c`%LBCB^FxxhUgl0$Xz5^zdv}d79eb{G9Fo(l> zQKTz>rm35Y)?HLdeT3lq8s}YuF=nl}ykMocqH&dXIeaTP;bEq>Z^+`;OgLST2)A1N znpemv*24N=Eo>>Qg~9$;AF==SzyeLz0}J>CjyRM}cBC$9)yUPmBlXWlQ{G3A@Wy$l zn2z0%*e!sX;jn_wh)R`wAh&ViJvyjL_dwf2)6y#rY~GsgPL@L&x}d=B?jdXtZfFxkx;y?pl?Cb!Q-TqoDa)9@h5Gbm4^?4!zFgGaJh$O|K0HI(52%S7Fv z;>0hFU#176y41q$l!Nk?CoKhIHYcpYXyT8*hxDwKsnNnzDOhpcE6C!?sIhY3Nc2FN zw)DS8VOYL&3Gx71HPR*H{ZfiO)x%vvFn%mHv8`s@eZt&S>i*@ry~~*^11KF~y57_* z%z{VWkg5q`D*L1!3~mE@z4R>8(+8_^?mm7#TtYZn1hFgECoE$w_r1RSf%ynW0*g&D z{7~4&SPJlF*wcyE!}%>zH#(j8K-z=m^^qL^K*EFZ>!IN24kkQ=56JidW&zoz%=}@1 z$T-XX>pI;HtGoGCSzns1okUJi4*UZ0`pcStw_4xC$~v~gZmwc;-%0D zlo2`sTQO%D(Am%XbwufmzneRhb0nuFKgaS#nmI5jh#nzRU%&gYGbP7;d;S$){OJ_{ literal 0 HcmV?d00001 diff --git a/27c512 mod original docs/Combined.BIN b/27c512 mod original docs/Combined.BIN new file mode 100644 index 0000000000000000000000000000000000000000..b695fa285aaa0a97421eb8951f1fb134690e48e1 GIT binary patch literal 65536 zcmeFadt6gT+Bkl4;p#0SZBuLQ6cK^aHtp6fYpsfk26~i;*mk$O_Vj`V*VeY%s%>{G z)=bJ$c{gmKiz0eRGieS54OY6+jV-o96I3|dRKQCV5`tPef)^Auzh{EBefRTz-uLtQ z{rO!EWM`xv>aS$fuWRGRMEDNS?bNyx=Zz7)GF+!UOZ+RRXFP0FJ4C%_w$oY=dH2!BIMXF+zsJi z^ADhJ_uH?5!Ot*<`N;FyXC2c8D#O`yezbd(1>N@Lnh zP11NMfvLwC02K$FY)sRzTMDBAo$S&k4qz)L&?F)uiQzQAs@~P)glYZgCiX8)72`!% zf>4R;z_E_n31BBgh?=3i2yxvysG+m;SqG~W>+)1J>k`0*8XWdq_irfg`ae+K^)t#8 zl%m`YCXr3{T_K7#0HDTfvPGIsNe6+)Lk0uyJ zwy>L;FN}6jbF4#r@xnNV_&crO&Ku{b2yxEDp%r0yZlgq~dg_A2@6hjCxL>3Yi1bb%QUF?D zDD)6OpXY?sJf%Zu%?ok}x;(i`jh-edg&K`q-~(Q!ZYXw`BX6_==nCoP z$s7UtDNS>C0K43$>G#6#43f>`2Fu_e@0=z0v-bqpMGbYMs zGNF-CQM00=7)YG{LpYQR(uEgzCPV)6$#RNUz?L&JA^7pAnG6I%v?yj0MIZ17Dg^M1 ziU4=)sNni&8D-YH$_JBgfNR2UCH!61C8TLtdp;07@aum3s2nURu? zP+)`tBNP~+zz79KDDZz$AV|U5&)k_)a=CFxnj-MHn{{;ws5aU@TFB!=5WMj4A)99^ z&v?(kN8>IIMj>dehn%VPk}gk=y$`+SW}kACdVGc)#9f5NUa|=Hh$kuBYaY@K{vO)9zjc$In* zdu3bzN_}}fD?iOgibvqpSEa)pnGIT7)q32S*{D5G?>T7iA{|+`aEIWxWp?6DXJtqG zAx0cmBwg0$cWgNXbG@rR?kt}z777ds@8RVI>%kJf1hDe>g4E8`3 zb*nucxSOk53Q4_>8z~44_4#}}Q?R~(cNXZX7PY+-s;x?ETW1Q<$J*u3>Nv;*ck6Aj z_JPWd+HUd3D`{62*^i>5r`acaP{x_yhL}dv7&Y-`c0kqkKnZ(tq_ALbOpmIY{h)_< zQD_h8DddAardW1OkFX4T&t4{Kx1(7ca}vTtN!=*|R_+Sc^I$oPtmewe+FGT?^WUZvvz< zT#p`9jkaDPSAmfPTG3|zR-mWhVlH-?5z6l-&MXGI1*R-Zj!&1m<0O||Qm~tRyu8xA z+`+ddT0j+p#juG z12|}RS9KTG*Q>5ox@|d??tE{NI0$HkRb74Oa^Y27PEe6Pt(ytp194{}gq zXEk$9a}`Jw466arLY_DJ&Vmrf2cwn?(d`-q`_&nC>$&(W{sbczmsZ{i*97NO-qOT5 zjm{!1>_)j&mYSj+f6G;4lKGtCfZmqN)^vL>S}#hD5}>-(DeyjsYQJ82D{gkG_!Vq} zu?J_(c1<*`$x4H*FIm=`P6%?lkn~=a4Lhrv@I}ZVTy;Iio*1r~zUO&^e^0hg)ggW9 z`oJDluTt{Cs($ap1s3@ubD&G+cz#^foeJ7kEbXF&;4Xo0UeFV8_=; z|A|*TWZ6kW3Lh#^7>rk;>l z6J#nvi)iv3wii^2PCDJJq;u4<&Pq`WKis6}8L1P{P=IiwcoeQDjjlQ3q?{t~$SGLQ zE3T{uK+QE(7+~Cr+ssG{Ldy-pU$YT%0XYI@As^kUlGTID?gdf(0CCggP8Y6^ZqxPDWy3)-RtgF{33UwU60(gyQK*Tpx@gP6fOJi|kWdjti0XOP# zLynI_sZ@d$0j`BSr9o|@D8HiP(1$(fw=^WWQF9+GFGEXj6qTew8W8*eSn%(q5feQP z@LuF;)J*|4GYt#GUkFPzX~^sV2-29@`NoMto+f2!kb-hZqcoZ$(P1GrXpX>S2cqp) zIaEpW1mPMkRDd6Pt4G(DV`wurS{pzlS{nn1G~g>BiLd4u2A$9nE*UNl`&t@R{ScY< zXS6Q^Ht7IXgT95+>!aILK~&PValGGfltYL6q!3{$K9XZ-^>L)$a!~vopG0oBLhZ|K z-W$Zdg^`idTS8^z_!gCnoY7DXVYvK(rnXY)z8Vq zkLrdvK7$OoLZz+VA;@J5l2xtW5(~oxs4IxL9SA; zv`VA2dhTcFM**5$(Mx-YpPw%j|LvJQyQXI5@S_=5^Syr=^94Zo{mbCLkv{%q-+%x8 zGD&KD_St8t=k3@q2>fs9zzg$*;yLOU^2>m-z-aLvzyIDpOn;{T{6}jl{&{9FBl}bQ zVU+4usS|=nrOM_ke9!8i686H~Umf)Ohy4END~o@tWBgM-Tl3_Uqm!b(+xhhrzyCqa zWW@o*?1$ud&16fp`D^_}zk-F|=H1qfmMzMh^Q-{~_K;DP9; zPxoEu@m=VF+$vVo$K}W_QJ@==Z7DJ^+7!NqyHBmu|U4xe7XCdU;VnZv5`O2 z*s!pry`gpg^M`8>^L1^9Sn7Lte?vPj?r*MbSlZIs>}pup&Z}?vO z&0hY8#+J74mQt_g+Saz_#o$%f*c|X^s6Cd^(%LABwFmh9t*ET54Dq$i&11gVT{Rt| z|B!sZwFuJX8;{hn$HzeQcCnT}Cg|24YgZ~KK``ulW%uF{_sN% zd-*rh|LY})ePRf?`tC{tN#W|7o>r(+9b{d7bGSV2W9Ea+zhW3p@ofY%=dZ4XCHLq{ z!kIfEGXo*_J+pF8X~^b~okF>7<>rt*yUOh=OLp%n-}M>1kuP@HFYOd|+c%7zwrALm z4cM=SScR3l_U!t6{T@=jeuKP%>|SZE`f}Ij7j{?eA-g}={F^;{$?#LCu=P`<-we&!Ny_z`LiHt~ z5X`OJvuo$tytN-goO}0LcJA)~vTDFwdg1dgyLawBM~EH#f3tCa+Jg5bw(oR4<4g?!bz#LG~_Fg2o!(9pHTk_Has zWdTn_QCZid>92#~ML>j-mO>syonhx)z*D)iXZdwhgugFdgP8>B5JO0(sDN1mom9lY z6oPnbJ4lbG(-e-u?SUDFw;@M7eS2pz zXqc5iqFzX}hn;^0bzfkgI1T2W^;J69RV~ZG9fnSIW<#N_D6_F}Jsl`CbvUcmU+l1F z(^(^+w3v3?X^_$4EGBLPPAla3@gyx=oi!&sW^qhfj@VCV|4$kVdG@_C5W~`NAeA(F zo-s`}u#oQ}w!$;)3vVzu3^hO~e#Ycu4_+XPw1kDWhTc5Qe%pr>w1%9*+j(?i^kk0@ zepNd7YK2oV3tt?c5mbTH+SZFQHOybpKRxV|K5D+;b7zaP9CkDa(~)O{0wWX{p}+_Q zMkp{sfe{LfP+)`tBNP~+zz79KDDeM21&%!ryOQ}0!sme<)Mw$N(ggSrsc@>s3BUho zXMEsO96m&T8y?Ge+tDd%^c3ujKA ziD*51%6}&B!p^>=-mt#2eHZ&?NZX}XdyaT(yFz+A-UZ&@d5zwD@4GcU?+QKdZ|r?v zfA%k*UeINnTCn)khQIB z0}GooAV8P?S<2$i<|VO*bZlCymQ87R7Jk}=>ASTHo3-=O*tGP0S{gJzJ?ZH4)X-)m zeWy)ZRGXfbv2Q6vOy0Lt%cdnA$Vg9`SGzdvww1mp=}^kzXOq*H)-GU^mO6)1(xr*d z(&#CRk{5l=rcpC(adLWddh*g`kYX~$V`)ZOdhJqOa`OCrY;xV_AAbdh%iL6Vn==OG;^_C@f8SwswAUoi6Q}T3vd|;?{X-i(A>0rLFVW z$*qf%k7gvzwPiFeXjrP7XUotgHzc(#PHSD7kt}{uQMT)3(&7VY&EM>EEM3?D z(Ua!3EL+;V0I->tzSyRle@K_svUEvv`r-p@+7HRki}T<$r6D7!At|E)@IA;TH!RIa zw>+D4C~4{U^R(#}HhDpYB|T-KHp8+gWxj=hn#e%UrDSN+mSrHQnWev7oRM@aP?*I( z`3DL}0n!$rK((=K^1>t*Em@YdI3p#aJq?JufK5Ak8w6;WmfpT>@%-ck)8?b48A%z* zi;@?&&P{@sWpe@Z6kTh2^8A!V5So;pp42`+<=K>_DQSz*+~1<#C8sy0+)jUf3edkb zFH_dAq+}cjF>TVf$N?LVZNrD5ckPsu8rb@#3+XElglvP zr;uUe355(cMBx*1nG}+%&Wb1#k8!B01;1u^J&}CsDaOlfwnqQD3UZYmsKk5qW#eOb4>q+}`y!`9#<)%lt18dsaIV`hHmp!ePM}Db6s!K14OVGRJ+D5tU$2Duw z?>l{Z)n&Blh@j(J(2P#YTBoEy_x=bV<`d|VHsfAH^@3xlk|~qU!>-=7reO5jHa6&( zb(b;AYB#PzqdUOEFb+*TVww+I-HY*yBnvMm+we=IIOk%qIx`DrVUDcI^5a!d*hEt7 z$+FoMzPgvxnafRzx);@z%kw#>c+LBw_a!J_1tXUd$)7B5<28miAgNC?$Ke9YD#Jfu z>#(5^ebPd7mL++&WSjjm@|xv!oMl*L8CN|d%gHZ>;~tqu$V!VIFE_lX24t3tqacM{ zWEHlvGh2D36MTl8MVZUX;BJBCv^SNLi7<;s9q0wvdu^7*@fr{Zz$oA#3ek| z6(zm>#v<2jxToQ3G1;XtDP1#7Pa7%(5tj&!cstJ+#^o6I);nQAcYEO8Q6vo}UM!I= zxU$8hpmDBRam+rM+_hMG!j&dzgj!35&$(qt2IZ7~lh`{$Qo{CqIi8T%yIwTnv5>cP zs&=f@Nnw1VWZml>S6LEBJlu4pvP3Ka2-Ux~+?3T-!>+Qu99e1A^DYbb zB~8U9wWP=q!-y^i+@q!NoXTQ2f>mrks^-L-u7pc2#fx4 zL}0}DpKHvr3jfitnsPZxIc8pFOa~@34{p^KX(5sKa3rUOBmfCWz>ljrQ@9lBazTL- zL=R=AiAT^s8A)~9%K)4QzGA&zPjo>`;24Y_>+llFXwrQ2{4rotC*TRc+9jg0TPMlw z4;wIw{!u^{9Jc}3n?$=FG=%`15QL)vPQ2eX9Kl$Ow~1c~V*m!~FF>ry5>9m}v3En6 z0rR4vgl{x#=l%6k2ue7r8q!>WRr%j{f?E>=ws-{$0f|LIHjYFKI#6bd7?hheu57Db zFNC2ZE$Fj$by4PCEve38v}CQ8yrUKNqneXw)d{rn1Tlwgn7SQr7k2SSDZ8@hq%eW+ zMc2+k@nIKlFfI7X6X?Tp=&qB*s3lk{Y}9^j9E3uXy_Rb0TA!rE?;75NBNltb=itQC zUbXauvvMzfhZ<`kXS~-W_f|*ut0F1zIAIDPY<-8U1?r&ZI%)c`$DriW%hJQW*{1QX zIrd1nEJG%0s~J(tRx<2@4%ZCnTh?_lk*w^MS@d*^1 zM|fmvapoP=MpM+tJC?P0onbu?qOvBScI-X^iZ8!pK4zd6|NER?9cyXb1Yhxkj%p+*^5q5gJpn!TJ)mj3zG+aS; zEs!70Y6rSdX0*1GwY5v^qlywU_gbq>AwIj3HXStih-oq@?v()-Z|h}%i=l=1F$Nyk z>at6t%y%c4e+T6Q(&_azbET*7J9@lUkN4_vHLyjI`cCC=<{1fQ#yAG#!B{fZItGt1 zj;-7)`JgR#J6%!YfYhtk>pArP3Ca~;NI}8@;2=^W1_5f)Kf%+kU;*3MV`$K;g{+mX zFT}srm5NF|8&9P+6vCAtU7+{g7*;xS|iUhcZr-b!@gG0Wi}sH!8&fvQV_ zbumkbE^`dl0qZP*_-sT6>Nj?G8>V~2kQ{KVF^#p99#~}x6~_?1Hp?DDcuOh!&ko>S9<_Cm&6Yg; zg`pg^dV~_F!p(*}G~Ek1g&3uFiRCD;b_ynq3E*L6(=d6a$-#(^|_vCQ&5?& zKG5RWqaDyR#C}KwF<$5;-~iaaDwjjUak|Ec1BmHl=R>1U5N3yEyLgj4XaM~P9fFoz zM7A4xj@%_hXq3Dkjt0GN`7`Bd$^p@A z9B#}y*U3SZk3}0#3Qtiv@pLEqLnrjp045~l`DG}f4LV1cLR7J@90Pwgq0Rai%LhVH z0tnW-j2jHux2uXaLi~{-$GX9iP5W=4nm9T7F5TEb?*$u*X;&tOYBtcsk9P(@Dw*i< zs>c8*%xByS>5Y*_<1x^Ug$Oh77$HH8$I?`@80dx3k6q~L9`t?(`_^$S?XER?lzN^- zpjSF*{e%F+DcCnV16Tl3mkT#UE#9baJy{_?e!BQWh>wgAw5v zW_EgV^5Tr-^f8R6gtKaj+th$YDJjj2l(m(P)N-le^BFl_2D0|+ePJ?eCfH&`-}Inq z=fHE%xvvv%hRLLR_Q83iclN1dc(a2^JmcVt$X1_XLa3PGZU@N)xx)+CC%9zsDYE3h z*8bJ>5(GeND>`BQtC(PaKP$wvE_1x7VE^HPPI7s8=5m|9eYa>|37v-)-8`YUe5AUk zOnO#)al!c9_&b^L_u;>aM=6}v)Ly*@1o@Yu7O75>zp};?z=_2C&2G^Kqa*KfJ$@06 z&b@VxlxhjDB|EfayOxw_S1)IHzh0W+dKJjG>V)AVeJZ|NkBJ^z_4so=hRA{*mnEC= z7o>!4MjsDY+ZAl;dGr^z{Z-c^(k1A)m${r$53usMpIHVP<p?{qOY6@`)LTrKn08M)#w30EafTa9gdOdse1g%xxP--17 z1%XT}=d2Mb5TBJii~)E!GS+{Fg)S88<^085i-g`Bv>{m+yZ0pAY}XsEErSquqX`Y? z1I&n4pU{^L6Cqa%G87KSYT4PR(C(9P$nbb0&aXbvi2sgE47=(CAweZDpd^wqPyi-@ zmq7tQ4%#P>GU&~%*eVb>B(eKk8EZd9iBtDikRrImxZSXW*en84dW|-NP?qQ^kwOKT zNog&CUc=1$ea?C@#A?=zQ=3Lt+M2dYeP+L1ZkG9S9XVC+ES9D-3 z1vk>Uriv3tq^1tWF%dx<@y6V+Yzk-mFfk~ym-d#EPe(^;nffxZo|dh zt6|>pM=6SIY$_H*$%oFSVrg}bniK=?p7x2yT}h^(-WL*k38&Y(j*8>HhKZ5uq!=P> z<=q^^kUv^h<6^_Mz!?5h!#~szP3FV}!$bT6OQDmFBB5K^m|yH9ALbX+L#@TX{3pfg zEV*SHjkU>O;K;b}tf0)|VGl@#Gi5Wh=z+18W(+BDnM`5AE#3|FBYwd4pCggtLZS)^ z^k_U&q$M9}$wn=bpVs4zdi#)fyAgD557E8A^c1LH$E|PJJ2X^|%x!31h`z zXD-+w?5C$$RjZTi460B|;gE4|CSO)$S)N&Htbp9>htUV*$%g^VUxkYjz5<{Eys0R& z5F2b{6K7ZsdAynfMkX9>*+Oq-%ndIqinrM2MGgwzIpsgzumq4x{7yv!`&b;bRa2kO28uOF&rcrXzVh z&eh|OVYHKrH-QAom9}7mvvQL*M|Is|Ko6Z8P7Ol$LLjal&H`9+I3945L|g3e$dG6B z`MG-MfB$ii3CNTue}qA_A9}S2D-4~CbcB@NP2~zM;mlD+7&g)Y6fHWax~Tj@8eKa^ zQr3RDIV#n5Q6_k zB@6YuEmwljy&h=*7Z?i#1q2pY3%g$zLuy|GdGxY}!a*Bb4isM=3O`_^0%{fF!dO*H zu116xo}uz!IbIGU*%z%Zb{7Jw1=80vzk$l-n&|+d(tsg71O`nAhO5Eir6 z8tWSrceJ&|lJ6u1`MG7@LQ)8I%jR?scQ-H`pq&r?LXXR#EMKVKZ&yksXq*&G``$q>q*I@(RhyZMo9%m3{I#W~FG;C=7Zt8B)X0aqPnjNX*5f>q z59x;%}+9F;8;&8A!ks762d(9pJn~k98Ei1wFZt3fvs9pvQ;F_&d{yIp~{`=Ae0Tepj;)*Z&}R^GV7T59AW?@~i~R;UY!-5~x;u$iN2 zkIa1FKLDaKTw)sQEs^G~ z*uG-N3VucDigIqa%w#*1d^_0z<_^Mx$&*qrOG!DH<=%2}thZDQ_wr(}cZUdzWt=$~ znuz(OJxW9Q9z}t2kGw#!M^+%WSPEoWp&Fm$?F|Jg*dyG~e_HkAt=1Y+%Xe^BzGBZ@=#oejNzYqqg(HOmGG&@JufndlqQ- zGJXZWiZe+$viFiwYVg$9L5=OGs+aYih3f9`rG{nOO!uluCXhIjyb2_KmAnEZeucaY zBz}3t%PU@4@#>1q73D>#;t`HEZ(dos919=cwj? z`+#`n;Hqtfmf=@YA`JzXo35fdpV-g-%?Iyc#2hccXkLKzvJcO}f**r-m~$xG$AhyJ z^TrZfj?HYGZ-JS^oFO2LoMqC1N-o)~`L4S}e6W%W%dXun-s`O7YIlec)Z)caPGLiB zc_rs5m12q6BnJVTa}M%LP3+~P&8o06!H+G-;>$IcxUQ*!L8KTgoQ;^{vKMR*f`vzr z65s&>jkhBZXohlP2APr_54U)gkWxGb5W?H>4$R|H8wd9{hZk(AR}GoQxgHjk#?N2` z@sJnz~@|i})vEl`7bg@eA-2%S)#9j?FEB2-H zT3|pu`s5r5u(*|qOR^PiDGC!_jozji58N9buFl*V4p)x6xff#Lt?WnVi)T3=xVioH zKTe}YEF(M*-#Q-qk*u}8gSQ)Dw3vwabFg*r*v-dGuUjXa0(hLZ79!O z5EyqhtS^TNk^GNnL$7cZZxP0UbN4xEvMNFdA9l)BV`(Y2h!<$7wur|O-vjhD%g7dW zR=6h2H3}|Q@|Ov6db`(FzdK6{@PQxZkg|jSf1Ec{s1P>=`sIY9x zP4UNNm(@gzWtNI+Ka=CqNP|#yGNQGq+{eV)i^Zeyg=VzZl)-*j6uuJ!SX~>Z@_OuFAD3^ zr<%fnyMu>&4Q?Zd?6{COpLl-Ovv1%1!I$@~d-OsVe(!$6r1(F-^4sUbKX~V6PaTMG zwJIR+g-*zLLoX@M(wP+Ky>l?5Ujc2!l>=`NorS!~73EjvZpm@=-zyonkcC@%yVyb~Y3&lnprH2BdL{a(|$;Qo_^Of4{a4<`HNU)kYlAG2>q#FU@?Og z*o4D{E&ORb$}rkIX!d4ge=`we{3iSlESmxP9oCpS33*A;s|J8op!?({yx)R|nOz1U^xc;#vss zykwU_*H~Gc&v}a>WSf@*^Cgm1TTI|vx7}-f$-;@U`W)jbLl%6Ws(7}hVrdOKXNamY z_{|V%zsf6yKeG19?6P_cA86<&FUM8-$*cV;eA|MBh2mNrtiE`b1PDjNZr(~*R+9i% z`p*$V;ZsCLO~TR|?5%jNrb1T(?Q;^`J$E0l(?l{Uer}GyG^0eS4+@{AOZq;MA@pO^eb0*O9-onj>Gb>sWJtB;`JWcq1i^m=mjxsML zlGe;-+)CPd8QeBpBw8GwsPx`1y-Zr{9jN7!8h{{4(w5nzYv1^xA;-kmO!D8le(C(_ z*4jO#dFx+Fc}(pmG2u`^F{t_q zdhQZ5eAj-_ONCzb6+?+F*II&RTxLVBLOkO&j+#BxBtATm^y2$+&CLFZFw!I8a82WV zYFp*R%!#nxGBFdvj1y^UwPM(CYP2mt3J+gpgRW5Xr7OTgPvhCV&M?zym=*YH{im{e z<17!X6TEHniLxBSY|oO~*G+O50;yW51O)hAJ@!$Nh-aefHv|PM_rpRue3qR>wq-7X z)RdLQsLxFb@Jv#OpXP))uq4?=q;ay+*u<0_#KPo~CHarZin86wpJD8)o z!f8|E6k(u`L?5Sylr~^sK`J|-QNv|_apSOtPRN2Z(EbA%R>s7dl$7b>#&OmemZ#9C zH<9*Q=?q({DQuNp1=o9yj{7LJY~Rb*(7S`Mbde1wE%#Zk;YZ>W_|c-czm*m73O8)N zNi~J0riP&$@wyZ4RSMkS^x>ekat7+SX_leXYX=^6nl73ZHA+)Ie5-b^l zeS?A@j!B4z+h4Q3h0n9~;(5s5@~Ao3t&k=KKVllY@5^i8zpwh5qzHufa5R|-^`mF6l|fB8i@-0Jh3ph?B_mB}kV_BNxzkn=$NZVO zYujtvNLJiZ!-OZsldLKHv6p|Rjd{5sCu6=dLqFeF!0ZV%yuBy(^|vn_N`0%}lFO8t z&2PW`_FMDyyC2`pL@^U5#>Bk!R*v&PeT;Vge6tfL>$Om|8pY~cide+@^>~IJKeZaD z_TeDvxXxz!k0HY?^ym=!0#H;s*sao&qX_rm|5t(DOI=(CW~kEn}5A;#DqC(!5Iw4h|5j z%Ry1ngqlypaX<#@9;(?SDZtI%97<#p;I>Qcb@Y!R_#p3UuwQVwOlMt(B(LZjKB;`i zyxsER?zFsEXlJoFmUDe1M!<#v+YP=(7!6j1rY1+c%vqxIW4+OEUid-#3J&J=eKys3 zP-6W%S1$Z=L|0w3%10i=(RI-zs&=YqHh)JH;f~>q!)z3L}hYiLMDo!-?dn;Qtk*7DZu6ERH8}_#w-K zIr=PF?G*bM>RTC`9}g;&G^b&Dv;BtM2p?zo{8;CK2Tj+wL~XB3yg@JczQTFuLJu>K z%&eV7p7zZ4&V;w8IsO^cdE>UmuLr^D1c^XZU*FOAl~B(Gw1wROZDBKDvmWUGxTZHuA*XXxj}TPkh1hv~?zcqdC_=p;HC><4rO%ECi%H^cZAjT!^SZf4>>v z=*pSE#^~!CCLfn-I-8nGX3-U%%$eE%lk0Dv{=P|1f7@MOgIEq;{Uk$oq)dI>FkOq* z59uw_xw#Y0VP481qQfw4!v_rh}0t3bh-2zo?&8VZ`&Z9k*rV6Ev)~O6JE#DDcirHpnVF=S+R~Zm$6G)h{(imb0v`o0(juyI{m2dR zI)|8BdcC-scBFSh6#NLd_-Nn=s#op?{}eiZW8=)^N5CHvm~N8bz4j4l3`~kZgf!FQ zf&CG!8?BZkTNhXs#&S5>xWKS5RF7c?UJC5u{e{${GEOMNzd|c-q96R|bHCvU{+=9- zul1$ncn1F|+GcgXA$WxN3Ic(}hVsX+R8yVc0C=Y`9Ip8MscM zg=(6&ALfKx+UhR9mOKVc?J>@=`$dE6eY1c1<^!MZ zy62vU9@wvOKtWtactM<`<%@s9q(3Ka-pXz91_cqo=mL%Zz# zACw?I`@bkbte~~X!zB;VF;iiDAsu2T!mSN3hnR||*}nxAJ+%KPt%sfa#p~$eeya7* z8fz~?j{U%ohxUWbKY+g758U|eetrg(TaNu8w|+CMb zEI${y_um$RlflU+3=6?WZ>v3wtLOer$>D!;-H()ggaRWJ7@@!j1x6?^LV*zqj8I^N z0wWX{p}>C<1%xtsm0%tiuqhZc0sb`g^}^Km!v8S!&H7)azPta|sV|Ai8}A^~@**6@ zSk%x2GvTA+Pcz|e5oW@po9Ij!-PdG|Ws&$ZJi0C#V_{}|R0J143EdRwI*rIr53J~k zFf)E)cxDUiAYFdIL)uBcQrXTQpN4;Uz#f5w8c<`N?Zqyb<|D(J0U{U z4CO_L>(<@T)wm8;Dc0qwYSzK>O&V4*V@jc;WaHFI#&CS5;W*vhVH zWJHS=Qj`I z(j|#=b#ujex_KhqAQgB*>BEFFk&%&s_sGau@E6I1&J3RvJ2{jIj!}gwAJ;I-@Ngy7 zQ%|Ak6RHI5^-74TLI|nAj-M1dDIUT?LqTX)4YR^Qfv4OO2 zV;0Dl=AsN$fj^`PCb((N0Iv#^cT!aRjEVA@OlV|O)U2o|1`?4OC&_pHi%dBY#&v<6z~qYt-dG_5+pF}*GGl% z02Bj(u#C@?~S5eke@V1xqy2L*x@to_WLIVG1HhomWR zwx^qQbqT08+CEyy<3m6fq`z$XfXe1Y;-L#DeD@0b=t~?vYg5W)pd7` zUFl{t{bh7}P;Yd%Mu7(VAV5_XHyd}Wv#74*9Cr<@ER1&RU4M`)u2?Zw+K$wx3w3Sl zP2>58KtUx8yV`W7OQ^fAa6Q%J_{0UN2X?D)0Vwt5^`Jiy6u2LOS0B}voY|nYQJu+| zjoJhCpaQ;&bY$Ja9fIGM*@-)yl^yMe7;#*YbXlL@vE>lV^{)E3vwXH#2*=vPdw6-l zdaB0yTfmHNb~;nA9%k@WOK?NgySUNkLypr`i$N9VT~`*{DjW9b4s@H8{3daKe#aG^ z$+Jh73p$#89Kd(b6GGh(sk4{C9;l*jHE7W6=Bk!LQtyNQY5<|3a5S4|3f33!&H`Q4 zqPBNJwN+_t>r5f~SiAgL9S50U(0m?iAE@l8?G}H$l6Ga0{U|zm8g#&d)=sKD(=IO}ogzD`kpbb0NV~SHWjUHFNa2RPe6B87 zi#j5lf=~{%^ii$U?ZP(!(iyIUruEU*E95FL66`~Ab(#HJfu4qox!7q&pc$7qvtY+I z>>tgNUQw$sjScUdh4Mb9E1i?4-Md;-CfmPSP#3ID&26p(w*;xQ`Uf1Sk={cE*D<) zNmo@L(6K$R&znFCat3JFg?rFD(1IYVF6@B|eTG|#7g|aW29_Z8wSa`jW4{}kguKpE z*G;@&_tbeSyYsy!H*Daq2grjQlo<4noYPzd5(Nve)lSIsM&DTw;`m_Hav{21qhP-} z!)`qnpT(bG=$KDr|~ABXb^+=vCux@E}ZXEtxkFoo=FKCgu{Vnf{jizsoR-j1;CteRpaek z0FfQykGLNX6lsk&_lO3U8nm8YGc#0EOWe53er;~%fM!yezV4a`SC<+G4qOyZT3k-U zMMzC=BLjAUXH*~K0b{>eX>iIa&CtK04b~OaloCOoV%UP<0-t!tPp@ctFK#3@@wf z_B1%bXtdu8weNki8+&16d5_vj8ZLH&Qud48_Ihr?AjsBwkgW$vDn3M>!H1#7hwu%2 z3+($jB4!1FKHR1(2DgxA;LR4#L6d_0V;3lP7fGWNjAm-IfI+WH5d%JuPzydxMAJOn zU}!{suV$=kjug(udV}Et!4M$@Q%}gO2{IL-MKpO1+Y2g1C!KCq(mCo_XQc@D28g%` z&L~NpfQABu8^xn=J!y2!5hvvofk#fkdR}p5JpgL1slou`R^0agwf7|eQC#V|)zt`y zI<{LRE?6oeBt|}k1RGo9`)HzjW`OjbH zobUTn86AXH1BAc!b>sp$f@6{pUJfDKS4KN$zaY_V9A;D2s{+PNaNoJ0fVi zZDoiY=nk++50qN=XUuAyeGQ^uUEo*^n8iI$1yQY=&s3l z)+Cq$mjVks5`7IQewfdGnp_F@Xs2R&89m(tlCKiE&Ei+$BK#t`F}ji1|4nZ6#Lh-D zGYtyFTZCn(ShA%CF+|Un+UM(&1jVL2Owk)ek31S|Wg~;q(H+sq^22SN91J)sS!qHn zR)jGxHpR9W;wut7X(bSeX&&W4N`Qp&@STSEE*E-2dpvf(;_*t%9!)D8WIQ8d8JbBA zni|FyPOlHI(2O9Gwt~a<%u^gw+#&~A=J1t<_;Md77Mf2;KNRj4``p2{|DEpbgH3yi z*t8EOcJ4LA&V8r~DP#1XZFB3XRokX?3MG=^J1%Ks3bXWd-YtX2KEIizQ(>prHo01%)3pD%2#Oa zwQp4=ePsWM3L`Pv-}P!V_oD7bJiSDv8E;=jvRzNI-KerZsgmAu_Hx2wVy`<`e&5@R zTv8ZS-u9G8s0*;?j2lyaq7pqRTU8cS$^wFkT|&xb6)BhXDwNemQdUpijJ*J{*=H`2 zQR3$B6ZJQKt5!K2%Ljkjv5w>Z$Ei;c!|#6_|2^dAfBg9IL`*Ev|;A5PY>;1 zs)~KBs!Ck$zio4*I)6Gf`Vmb0rP-)M&LyCUbeB~*tIQA$BDlI6lD18J$ zPEV;4P*PC!SXsG8k_z&LqvZ^+ri@TfS~})PPTpeN{?!Bd?hQy+@Kie4x-q!DS}G8V z+$9CZRS#A}b|3epdEQSe5sVToq@bB6lpIX(2m|reu6P%d0yQU%Q&)l*H3YGeJ_lWPud7c;ci(B z>+qTrth;43XXM_dUQ1j^5hL^CsnvgQuirCSzbAycer36GW$MFQbN2=%24z|@(zhlC zWCPXDF;FQ@B2JYMf9EIvW4-(w}u@CrFu(!FU}Tq2W|o42<$V_)mO1Mp;s z=H|Q{E;BEu{eUF{0l7H+?zQ)4F{Enne+GsRpD%AC27ur4wYiTZoC5G5(Vc~aZzgnFU0GO;X4U}l|T*EaKW zus2`$EfL|rm%8yKA=XGiVy&dcR|EN|fXzF6JrvZ4O^vmt5FYMEd|`M?4ANNw=E4to zVVG8O)C4CNDj9N6MM`*y1eQSG@$h9}zgyXC`ygF(A;0AP!mrU)cBYeI;YBw8JR*|J zv^};u5ImLGDmgam)X)Sv`_8Gs*>*C|PV##ev+vN-JbV+o?i_QWnO$@i$K36CvD*ef zXvNpW*M@E>Ns3M0;z`<06or#DuDtD6Yix1ks}Ya%2pPIJBBMvPQBgbijY)zqPTUw0 zx@~nx#3K>}!Yv%#a-oVLJR9E`i|^7%qX~5*RLl;Sv}wf#DJuE`i|^7%qX~5*RLl zfBX`tdJ4Oeg&mfUl^xWVu&6Wv3z2G^wac>mp!~JFR9==4nGh9!2PWSXz1s{qd=QhDSz3fhoo%HRRtR z|2h25SdAF@I_5&8Jnvj~o&VWzN_%H2oi{gWPSR}fok$av4f-JBr~{H52g_3OXbcW} zi8tX+F}xsBoYNR(JL(O`g2o&%JSs@z8e)L(>%jn_buT3h5N<|GkBnp2mt&fFEaj&# z{Wbg>E`i|^7%qX~5*RLl;Sv}wf#DJuE`i|^7%qX~5*RLl;S%^qF99LYo_#8%9afz? z!B2mXHri?Ce7!K<{?VzFD>MoH)VMDIFhXYLY0KSww55W-&ss?HQ}}5aC+PU8i9R~< z4DE`aZv8004GTQXh%#nQ8IxPiq@Q+%1MV$MuKxU#9BI&W36rYdIwOyS3v`HVghV(L zC7orCE*bdS56|fAd(P-!8C z-l}0fuhko!wBGm?%^4|Jl{Q|Y&C~J1LbS!ddzvfrJl!w>5ce*)f#;s)!hc7;be=g? zi#-^|Jo0REfu)?8s{s44^YQa}d9*eJS-WAkv)M^A`Q;=eka?k;-F1?gQECdq#f-0L zJlWu5-8~J7;-ScydG-{u<}@OV`HGfoWSa*z{$l%Y54L6YTNvKYPqoA$TT}sZ=BHY} zpo<5>rP0_PJ@vE451LWQ>XyZUQ zZZi7AIrz;z2-)42J)m4cN9CJMx{S zAc2>fGwigiMoeErs8oit-be-L`EWhC|J?ySzeLa93m(u&Vwg)wRS!x|Y%JY%nBH}S z9;~0>)Lyuwu>&TRUU`TvlzKpeyWb})B9>5Kktgv>nghYZ7j)twIuvhu$EGR9FKF_r z#C3>vNtZb6Io{lBnZ<|WdgdXTy}#SS8Y#79y-}sMlo@F?H~4NG=^0B&;V)w@o(Aoy zWj0{>Ft)eU9j6U+3mQ6V24g>+zd*Xo)RYf#qOzOIU{;GX&K@*QGzqi@(<0J#7Fe8U zJE(9SX|jx5L7F0Il88jwG@1rBRUvku5R&*Q&9O`U8K2VfT9*qxrlZVum3^%SA&wAv zp208_)`BqaRNUp9YMO|P+0*1vNYa%(4T*~HFYarRxOQtC()a-p8T7Z;Y`!e9#k*aa0ZGKaT7_2@ZfYQOtkG|?wZ zS#Vh(@Hh3A<;E-;>=Ns6BK1}axdg!ifdsDDxhKIk9*wFhLYyw)pW)g)4G}|wh5E!C zday?ub7@qu{DAQQ9cfdedNXopJdt)cie{{Pwr!MafA$onsUkGkL`Mf(b7{P~^#F}` zx8~69QBpu8`)Wn>#o5LjS~`Mag;@tQjmXdvw3X$R?2_7DP7;~4o6(8cv{ex@?C8qu zDcIOP#f6}By*wUL2a@nsk&}^4OSONzmQ+R{IY+iq(YG^(rT?inPs;s7?%Zd>mVPl+bqYR&Yp4GObwW6 z$7yxcSY03(bj4LVai!uSzEJ&^mHbNmmR0;Jm>z`FM0;1cq@rk8Y=93(T;W_G<~4{5 zq#&lh(xT!Qgo-P-tl`&)tG2A=*RuO7EoQuoxHfGKbALD3;t7;Z@!{RzqacULcq6Xi zSBh&PO#3UvRcR{;z71r~yZYI8D=lh1oNcHj?FNnLeQ6xiOg|rJeci#9($+X~1T8p# zEe3f=RGclKJmSp_%{+hOfvlGkES<|?|G;AgSX9+ zO*$mGrAnz)dgA20WgE)k%l=q4P+n5jP-Z{*Wci}<6%{)x=arfj( zoqWZ3O>OK}TWDja+Smib*!Ad0jP^8|_>H0b8w2>%DpJ8!I?I%A4B*ig)s<7U@f2;n zM7#ac7*eYgKMP|g^UP`V4&xcx=tI9n3mE~aia{W1tg^syrGFjEo0X^Zvjvw$zu(?)G zL*zmu(T;?`#S5@yRZ%n8b5%q*!)Sdq3VOS>isoY#-fAGAVy`n-BTIP}X{|T%mR=GRpyId7~;5*1^m z57=K<8IRJ&T3WBS9;HDrv#W~s!G3ImN~WFR)*2dVGUxk2T5_q7{e5*}HH`zt)ol*Qlb!G2}GSxWNb|zta9jY*(8^FNY|l~#%LL! zJ*Fu+oTMEA5)B-}2q{QAviK}*3rIPpqy%9jj8Fu?P*O^oHPOprOqTaI=yYjUi7_8*hrY zG@hUpi4$$VdZzm5qkw~({3&C6jOnbv^34_-1m%d2T9aaw=0;J4HX#zP-kVcc!bZJD0X{<2U z?mnX#8MOo5&7f%`8nRvwBTDE|-!lX@3>G30HI@M8!9E;FClfR~@i+!a?UUNYaCZb` zG>JiaAe0YWaye#8op2&B2!Tpa(OFs^=~T%3*@<<^4MF@IW>z06CX~P363tJvUZDBg z%oAOVm(Dy(H;j=2Lw`yB@slze>4e*uggOH*@smPRgB72{L56z35+-_)k&;-b=}RtI z_sk^AK2xy8>J7#EQfQKZUe@nJAvG{YN)N1Y+ga67P{{a5u$^F-?;jq?I@OmA44 z62UwJRQ^N)EvrYTW?l6#3BgM0Xv^g*a|4WX14t*}R}3Xh@#A5?WjR#h6-YL~y8^WT z4HC@#lJm`S*vFn^zADjyA1SWv|i1wM5op2!d% z&V?%7ZJP>0KszB6Sf`;5w@u}q4gM+OCsb9WIbLsUC=FL~lo|?F`e}zox(wBDs4dP> z$uNR^G7!!Gh8ky(f}AUy ztJtE`oaHLYrH)_1q4~~YQ3kYdjICA+f{-l~RJu#^1>sYn+*4GB5J9jBrJnNR1@PEx z!inQYOUp@MW-fp|m57)vlzDK2&GtDW7C4<1$CSI2gNjpOO}&gJL`rbMr)sGh1alpx zf;r9D+eQW3;qX%$jOSLW%56cjp{kTYz3OOwL1|g}pQSIX$100U-gmnG@Mclf>Da2@ z)U;InOU%`yeRchd_1o(+PN&uVFHdP{K|}u0 zf>Mq+ly}n|FF#sb<}Plie9TiSH58P)OHWOo8Z}|e%~=hGl7W}w7ozxX!T)1mzlr~c zNBDn@|3{wR#{ci?|BvJU(cu3(;{Ow>_InpHo7$WUccxV(Z3kHYZ^!?$vs)4We?0!* zyHKE*lJm}m92gfA+fX>CXWz6Bh06qFWB>-0v|57y-_@_+{{tKFY49!lKP;>O|6g+c z{~!E6COj1UKX&z8=}+FzyxhMtU7<=y^>hC|{Qv52;{Ur^{|oT{f4=&Ufd9YJ|6hdv z2i6~G?J8Gx1E!l+OM1TQq$FPjRzF7S8N}$r{Ja!@vY<#hm0v7j;)z7!uiF&-KSl8WasTu1|B#5#6;3o8*!H$T{6Cpy>9BQk6!i`KKc>6?e*8Zh)OsEN z4@vuP;r}(?!2bjKUk5BaaPHdg#s7aB`afTWeE%!>|GU41|DQpC_(1V1`2Vp4|Nrv8 zh5uhQi2vV6@c%y{`2Xj=ga7vs{QsBVkN~L#C%54J58k)> z7QFwfx8VJQsc+)_!7s42;p{5`BVKS%A-I3}YTFImzbp^p{##pHoA7t8?K`-Cgbd;S z+s>W41^3_9+j|S{zxA)+{=qYFwYBwXTieyP_P-ndpZ)1~@c#(S((I}l}Q4!GG##bZ^F%Q;{Tue{Z>HjKfQtazv=pq;{X5Y z@5ldtFZ#dr%^QUjTQ3Av0&+0U-bP9oUOw=B@bumx2F(+4%Ae z{QqUM?LQs=|Gt9%-%3uF^ya-*ApD2$|D9qcJU8+G%~|^zKizxa!rrXE3I7kR@^8Zb zFKRZs+*;dA7yzG6Y=bXqW`EK3U>C<6XoLCh5XA)Me+K@4QFDRI^aVeUd?g^iY_p4) z9#=v|{w^gnQo;Yj2Kl$}|3AITtZMxh{vY`IA^bnm0N&q44y<{p_n;!A4~&t^~#$D7h+ zSi?++JeP1Zb<-UT~Ly;mq6U1;3e>kU_8@gVZnU9LMmxhTsFJA zL@WUdn#WlpvN&&WvLLzI0FtW9YSr>5n0X{NG9z0QCS4Uu6cf!Tq!sb2xV*xo z<+<#8D+@Ip;!5Pd%DIwDsESVYBk2=K9wbdND;`KyAsNdQ#-k*Ze;(_pVjmPZ(dX>L z#4Rsy4+>nkpt;?$50h_LTf5F&q)c*&pg`h|Qa5N!o@ybRl>|j2x^iXSt-S zmc#gP1m{!UN3xc!XcM$S<_cJ1NPS%=N&@v!N-RJ&o9wGq6|vGad#nna6)nzQ`~9kv)hhcY z6)xM4s!|*(`$<)bq_Y21mBOj)FQ`(USJ_`zrNpW1yHzPBm3^-&MHo7|@_R9}?6Xk1 zyx8cAYD}$czes_@OQ3d__&7IjQ-k78pjq7oA66i0eByS#PJETG7h}zvpj9HwnMdd$ z)vUfb{rc2Qc8NfNo-w$I4QPkxNWdc1ekQCpttxqFo&qLM`*-R=d}tH>-VN(_5=)MGi}!}b&Iw`B+7dNs7t`y7J$|W=1OfB z+Gqulspvg#lLWDKBCi`VFoImDvZ(DR~zUV)+9 zUXHn^eM=W}u052AZ#StC3)Y+;Y%_fs4ddEWM^{o8uuyUVVl|Rj(Riqijy@fXrKa}l zO>|P1PwI+Lat!Q@xE9f2n`V$|+Sf~XZO5x7X#-;p94Xk=ME|dPryuiMK+P#$QSDMmC{$thu(eC(V{8BclL$TU= z!;fUEvsw>;)B4nastZC(^=h*;O4 z#`5gPesPto@+B-zRT~mk6l&b!I#`fi>}G6%X^Y9!JHIY|5m{XSLJq*XNF4j44)|Pn zDva{~(k^ysCb)M=9cU0CU|b9I_COkRlg&xpe2+3?M@pG}rz8HUgeUnYU>^7BgFcWr zs>m`TXMQT}N%3i&_(UXF^oNS-0h^w{LPR zaBnW<>wi6Bq3`*3!Q$kaiNAXl1>~e4-l|+du7#bF-*C|N->DMT5`>D9Shybrj zzJhKN$UM`zmr7TTJOW8Pj)_1RuVQC(GLt(+)$0^-J=00jVb^x%A(={5C54?v(IVAB zrBKMGLzSYUlD8?$jnvD?K~%kr#N>-&7;}54I0J`J+YhqCG_WL(cS+tdgP+0KE^{IK z^Hdt2a?+k9Cu)*Pt{QSk9>uX(^^jlAzu}bZTx#gx1J4*dg>mdx*RJ{f%9LxQWh}sf z4gCD|QY^`05RU22U*IxbO;tMrOsyb{Jhb7k1=~2)rv|-W^!h z*!Cs*Tp>1O>AKAeQUe>*^0dJDrXL(W(2elJ_HJ3NTyNqG+9tA^Ki}=kUTSJ(p6K51 zlOQP&wi64w5K)2>v5Siq7nqmrC$+ZJq;hcq%wyUyaRDavtj89TGyK=j%ZQ(vwQk`U z*E(w4;Mt-gXM|rrN8J6^`_#5xRBjQeFH5dL61(tA2Js9m%lh!%Ox2vS(3hZ*(y=J?s{L z)vcDWtxq|uKXh1s?6CgCVfA~UL=xNB);9G+VsX1#nrv-VOJgk!#cgUSSWqXnVuh^X z*;X~f^`?$E+}E?GMU64Q;z~eQ6M~#7QH9N)r;J@e*;KWvSGsJ#i192%firklzvTgb zHCpKXsl7_g91iP2{U|G(c`>LopVr4c-9t4z!1yV@+Q0m$Bo!41^|krOj{1+IAswx% zmddQpI|QV5_!kaMfJ^E!5V@waKH-3!A?qfGg_1{EV;$B<9n8I5mWli=lCT!M!Oz2*8>vr@X1LU5$-CQYbWO%>>80(pVG&arZjjl+|#v{k_rb zJg{BrdYC^e#^y_G&2G2p61IYPCEp-Y`TB9F=S5xbd6DR6k$fcQeoGo}S&h9Bg2OTz zC#%+hMQPZ$-yP`<2VQul?HLY>r!DE4%UA^ep?fF(43gU!uJMU8d2A^WXBEt`jdIQr z=U|uS76wT^VS}x@Zo^7EdO;kNL1qp!D0Qg4rh7Rw&Nm=Rh!q z%P>5|D_aN<{wY$MMdD)9=N;Jed8c`%LBCB^FxxhUgl0$Xz5^zdv}d79eb{G9Fo(l> zQKTz>rm35Y)?HLdeT3lq8s}YuF=nl}ykMocqH&dXIeaTP;bEq>Z^+`;OgLST2)A1N znpemv*24N=Eo>>Qg~9$;AF==SzyeLz0}J>CjyRM}cBC$9)yUPmBlXWlQ{G3A@Wy$l zn2z0%*e!sX;jn_wh)R`wAh&ViJvyjL_dwf2)6y#rY~GsgPL@L&x}d=B?jdXtZfFxkx;y?pl?Cb!Q-TqoDa)9@h5Gbm4^?4!zFgGaJh$O|K0HI(52%S7Fv z;>0hFU#176y41q$l!Nk?CoKhIHYcpYXyT8*hxDwKsnNnzDOhpcE6C!?sIhY3Nc2FN zw)DS8VOYL&3Gx71HPR*H{ZfiO)x%vvFn%mHv8`s@eZt&S>i*@ry~~*^11KF~y57_* z%z{VWkg5q`D*L1!3~mE@z4R>8(+8_^?mm7#TtYZn1hFgECoE$w_r1RSf%ynW0*g&D z{7~4&SPJlF*wcyE!}%>zH#(j8K-z=m^^qL^K*EFZ>!IN24kkQ=56JidW&zoz%=}@1 z$T-XX>pI;HtGoGCSzns1okUJi4*UZ0`pcStw_4xC$~v~gZmwc;-%0D zlo2`sTQO%D(Am%XbwufmzneRhb0nuFKgaS#nmI5jh#nzRU%&gYGbP7;d;S$){OJ_{ literal 0 HcmV?d00001 diff --git a/27c512 mod original docs/High.BIN b/27c512 mod original docs/High.BIN new file mode 100644 index 0000000000000000000000000000000000000000..18599cfe524d0755d9dd8cdfe71d98ecaf9f7d57 GIT binary patch literal 32768 zcmeHw3qTatz4z?w@>JvcN{FIP5D|=xn?w_8d__%M$r$nG*{1zEeHfSCv}t;q#I#={ zNf@#A%Xg)@q&o8ilBCTdBOU#+nzL-pXICG*z9$>mkggMp=*|GL?rnp498UE0Pxy&ae z_I@bBvdmB;TucjvZ&t{?uf&iSmRir-tKez2an7j8otrhXPVAR=QW$zs$zkYfE1rmcAW-Vd2dlO4-4^7Zh{fSekOmGN;ICLxj;ma#G(mW8Ec zWjr8bWxQl`WsC^!u%2h%k_97kP99qbGcc+vU@V018e0h8QNbYsvU-k7#`^iPx_`54>OVtxU#H;oi$dU0NG@xGWQYmG7mC9t-; z)Sz;oi;bxnH7jAn;{^Li4TrF{X(Wp2h+;I(4~q8JxUj6BSi}Ch#x_O97KC1Y5r!9u zz6koFsj^|vGF5)@MbyxF^1O&$iWe<<=ZlM=qXtJEU;Ijz_y3J7@4ud95|m`Q30YQO zn>L!|;M(gY{z~T6V0kFRSmu}2K0_BX`)iRkeJ}FnHp{g1xjRh`W~S7$8*4e{wK`_v zFtfg%eYTeBm{e?=RP2t`Pe-PyVtJxKWlr?V!!Bo-e3_Fd3;ZV*yKgZBGt=wX*J?W^ z78CWRV)=U=lZ)kV8zrx0a@a@ z^0?FTsME5UL?|hi&|(hc0?|ORObTW2dku0QJn-rbNh2;bBIFJP1KGG|bj8NeH}k@Z z_20njaogRKltnploD!MNOlz=&7hk7&_*I&}RC_H76NfT~(a0Mr20^{C=uSQL$c0)x zXfEtq^$4Pph5a==cH}r{0d(|>nusJFuknT&E^xw|DYVd#qV-bB&8Vt~Ei_NtwQOU( zM3L%(flFtC8g@i%p?J!!K||Z3;lgu@NM*Z-N^$Y$7(lDVXBb8gePLv1aK+`QIS;WM3R9>*qI0&y^>nV=pz`o>m#O5U8&boE9p55vB&G^ zIZ@EzAF9*o5I&0h6MZ?OzX{QkQjiBa(j}?rLiMmCPN*=GHxXX1mNznL;j)?Zaw>Fs zRMg6-C<>92fB2(Zh!++-bC5nghbH+_b(&fZ@4KRwQ}D!VQB)+!KJpJLsQHYV8Xg=z zHHtzoJS(ZFdloJv;i95q7T!g|!$R^+5<>vhPUuSP zj8kBo0^=0;n-mDrvi37q*Oc6jI7XQ#34AN-J}WV0(e{awMF?SF5pa+#P>wUcGdMIp z%V8G6ywE0|Df5YE+uH4&%ul@ReO|GGKO-LH&x$PX6F2hh@+lJTCv9RY+}rrG_Rmrq z5&HnM(3{S;Le0gGnbpQbcvA*)^%3Fvb7HHkWu|y{kTmBrGrhTnUcS|>k%O`u*{ZX~ z6i?>G)N1!;tFFBIT%yt8$u&DX`T?)guJclc?p)J3jeIV;)u3hiI^m(uja$XHMkEP{ z&g^w!XJMk(Rb<&KqiDDN;4r_&M}wpphLx3k`}n07TJS}!rJooUPiDJUr1$xgmXwoOhnLsh`oY}JtEBM0Ayr=LbzS{3+ zicc3l-0*^Z#^r}|9G-&2(pIZZcug+Z-F!LG+E$RbV|TOP&mnws{5hI$#Y-p7QG6S! zs5PRknQ!F^A3;>V;;Tsz;_LVO1!~W>J%VdbV&TSy=R=K!n;KrUhL~dQ^y7&f65(4- zIkEO0M{`-LeDU(8%Ny;-nd7I~d)k>t&jfp7s;!eEMBkQXRBby-*lt$Bf_*XV`d0Sk zcG1U#wu|j)LU5ZkmVLHedW>&lo;o9X_-3)1KY><|;!9~4tMy?O!EMa5?QjtE9P>iJu7I;hRclBd;UCVguSR^(&zByn7U4;>g?^b@l$i&xN)BvR3tfww`=l&&4TE0bY%w~DSLiuX!XZW7I(-sg=|+;&CF zzUCKbhc}~&Lc?ZuoE5v;TyC9`vm1@L%geWlM+rH_*0M^mt*y%Xfa>Z~UE3WMyM4Y2 z^n;`502Sx}NA2Fi*0hQWeZRw-V|I9V`_kn;WGk%j%JaQxSNzHq{mW!-kLL3niY}f3 z4bSrJ%=73$Na`%#Cf_vHQ+((t?L3-g&qxQi}J2>e((y@Z(kcfMX~8sCo7gjKYO_w_d&KVHAGYz`zJ9q~?DtnFH=#|@ygS-$(1`=e1k?$t zUq>2qg}#K-g#XA-R=e5-;E-rUe7hf2*M=%=En~&DwvF}}LE~s`^SGc?+iykf`<`p% zeO9fnJ;Eh=x>{Xgbyussf_tzJytM+n^{AM@SBekuRjBbneuy7|KARKeq#(XltVyEy zI;ago7}6Fa5mN# z?A{=UNE>+Kh{S4;sEi&_(^h5Q-CxnnCw2V>batq zM0YxETXel)f}|mg6ER=jh5&|sdmRD?yLEQ?!TKEjSW)`9xW~fzP0&BSg=ZW`q!{x19J{OPWBU%JL{dX0ckC$cfQm zAvx%dXk?|)_A4CYAZdcRhD$cMF+Xol>@=q|q*iBpz!I~o)r@%f%izRU%qe{?^n^<( zy`!#K)%tF{OvW=ZmZ6z6qp4wR;Y_CJ27M6Wv<;jPNIA|iRh>$RG@n0aPO0~EVnx(uk)sLN(&a482Bd$%xP(W{mzAxbBtj6I-*|_>(Cu*seBg zib&F0HLlD+YSY`iH{~?*k}tYRzaawc{^WBfvs&O+#>zFN8|5lt$%9R#J`%(sp>IyGrd`}}*XKd9-nvBI7 ziORT_&}9{&%X$rHwTaN`iR+;kBQ*Q;IWkIIfBvNX(z9xn)46=~F-&$I2|Pyq2_XW3 z$M9cGp1@;AjvRSRQK}z*{Bhzq_BJMgUy277>QCAyh+D{w9=Yml@s>c~*6%KTsQbd} z&)WWcrti_@-|??T>F-XM7Ca$AvwHn6vjg+Op1S#iqk+I+AP{}|;cq2UfqCyed(XV% zkx`%KADR~k+-8`gEoG<@L?;;LWYv98oV!eu_;O>TxIA$4Q?c68+0?{`vGJE}r;5v; z*r{2drt1#`{9doOv-9lPwzl^6j?T{Z_A~fhxNw2|&U6I)r~UrUF9HE?Akf(XA1dH) zZ*TXXKGT5*ub)2M+0pLrXh(D%r#svIoto~!AMs~bzn$$7EHW)*pqZz7 z4y9HLBPmU;6c>|$X-+0188FN7HD!CUT;aTj3~}yXD7EB5s$Fp*7#xg6fzifoN)tqn z`!h_kpKWI~gaV1ov>-%`SWsq@q&e#M_;qF|zDJ@Zdly!Hm<}BPB)XO{XrYKJP$y93f zZ`|wm-eTGtPF?j`uKLV+Xluc~kewm~OI!CB?0&P9uzyKFI4r`e31$S)@SZ3*gnZ%ld`r?ng?}jUf#a< zuxalsNv78CH0?aG^$(^$B<}p-&h47bA?CQ0igvhv^OcfK+b1uk zHV2#IKArgL6FYyrb4|XOQ@{~r)5~*~?p%OSVQ-AI?zeUCZ_VF#^3)$&{&=e8og*#P z6;(&6^?Pk34=)f+%JF6I=XNst3kvsj=e^hc-a%OM#H^0O16+RLflCLaJUA3U`{m1T zM=_*o@IM#Bhu>eg2?M~3{E32x)3N9Xb2O7#B|Eu83h)h_pA5EXLg9s*H zi&X}E33!Z59-s1HrAP!r@Z74h&gar9um zQ_a^&8g^xe{SP^!3+bij3O_|x*^xtrg`cyfXAzJjW*D%alyzku`!SB)e|&5MoqhB8 z=x#gNXGe?1ssxex5NSKR?hMn~!7e!swP0IeB5qY{%zSgoiHI$pw8Zo+)oI(vM4_hH zRk*FI*`7>RjmXl&Wa!?6gdR?!;7VGNw3D%uuaxPVExMcxsAQYPt>RU-eg&0W+#G~jz8lR z7^lEE1;!~bPJwX>j8kBo0^<}Ir@%M`#wjpPf&cjwXnYd4l7;Qk`|1tq^EgzRhJ#40 zOFvoq&b6EI>QUs_&1;Xw^DsdwjFFDZ)qkn#l(;`gP-rpBc# z#OB)#(C{hbetagN;juAs6}W9JdrKE8zmL8>Q7^{6g1r#A(6@kH7kDO`GTfd?7cNMf zpEj>Tz9LUoFX)T&nUg@0Ly?w|tT9mb5o_x0Vsu%oIKM5Ixa--GA7_* z+5)Dy$zcZfGAo@F>}CvfSTei59^1^5sQ`uTukmM`0^<}Ir@%M`#wjpPfpH3qQ(&9| z;}jUDz&HiQDKJif|LGJEf{Pr-GcIY(@)b#AIYe8EXy$B-FtzC2;~5ue;`)K*Jsn_# z%x$NnJNbC2f&Zqhg63!Pk$Feylvz9d^v=&|SITVLyE?ZZ2Qxu+%=|j0pq|M&RUQqv zw{T0-hsTvzv%XK5(e(P~N*GMcvH%++GZ%*?*(l97rUQTb&gaIWy`LMoFFDp6Hx|jq z;aJs-7*$+3E`VP*cO0c{M`^cHxy*jQ(Q~6k3L+8NpfHXm+%TQFv60?A(VrI}H%`KA3^$j&$MQSt_4pj-S9C3`-%&n^Gp#GYeE;KW;vLxTugegd=J9 z6j|F*PBW$T#3h({uAbdh!_2L<&Vgs%XEbs)nyot{5h*f7bmp1k%$idOFzGW|wv%ff zxcH0fzXQ0IIV>@JfS)BLBUw}dQs!sbKBB8eqUDLUV!A324LEo*KPVkzepn+=DQi-e zBib?&jh8HeXb$$Q0l4fQa*P;Va2z$H49Gg)urClj zsGnl0DyHR7Q`JYbtc~DI@whu0!G$sj0r4KAc&|~MZxo}AHcDr-9io{ua#J~)d1{c^ zH4FqdQ!*&V@_I3jpMpx#y9K*0X*F)-m)E+Sv2sUV5v^zu((jFs>!6z~l+MIQo5=fb zjhOg*P5eE~sz5Af9E;V&UL3~7(p`t?T_4k<^%I&o2y;Acz+}=Zi|Gn^z%2LX`h_Jf zJQZ^P&QE9#0v_q0*Igq|jhCieyg*wn&@9t{4s#N{Tkj+x1`%Qgq*mz4?~^aM z-^MLTN((P`af8WZLMc!^CXSgk?0ySP^od#)vMk{EFD=q?%YGWtCDuVB^>zY$35*2{ zNvcH!N|X?fs;WeoKH-1Ev^x?3BSM9iod@XA9&IV0QN_vwmV|?Y@g|ZQ;tcQ3a$fAcq7ZnbI|e$@EtUV znMw%mSI=bI8#t*7>=4{BliFpcQwM~2$4m%6^~(btc3SRr$%-@uIFxe2fe%Bm*=ZIi zuZhEMhxLg2ko}Xm$+!(yoKpnq7HhG4uC*N2K2xkkmr;4Fbcny3U(Eb?c!#V3$yIK( z)~xQb+fEiN?n<{%AKVopw6Cl+pS)5@6~Qy9XHt+yfS8$xXLK5R87PdVcN2i zUuoL1ieF`lAVw?gTji1~<0YyMHmLC7T+I6cv$$9eVTO-M8h&wvxN^%HevPVLIra1>0V6vbD4=nW!8}6Ye%EW4wY@h8I!ZQ20Sh)RK^t%y!WD z|I^|8Jbve%=9E`c-$^t7?zh(0j_iH2>JM*Z$#%I|cFV`)Zu#+=d+IjUrPTelZlvB* z=dCNMd7^$v{fdSi$L^?4Xt?{B>Dbx^FtT2)rB5qev|QF&`n3{mxuUfUXfX*uM`8@8 z(8R9|yk2=bU2SZH&_@mu<)CP^N{BmG3a3(PG$~g?{3ul<7O- z-4m1v?ukmsxq0?WY-J;pJYvH8Ov;E~&g1Y7ST1HHhA=Q(6o9R3jGN1zX(Y^fGv)*! z^fp@~%_mAZCN#%QuM((Ljk5{Dq` zytFbMzePqwmPx&mXu@k#n4dO+a=t{7L@FlAe_(&wXepsBCuoz&RzgF-%&tb-&!jbZ zH40rGZEL0xCv$cfLQBq9u)k{B*+e4)c}+-L`OICZ96_X?K+Nz*>=#Yoct{B&X%mu- zKm_6C(TGBQA1XOvLI6ZLsquQOH5KfKP4VaK*6F}%g}bL%C%SL3hbD!^O|~@S>gck7 zyi?Fg!Kf38jIBANRgU?agQT(u@j5l~Bm)DqN2HoU4eiv)G;jz(a)=?U>NIWFWqhi} z1ZE=ysSIE&D#Y?UDX5Q~-z;dPNVzp$(q1g3Eu}O^xa9^(gGm$(77p$W#?4@cwm|1* zWdjJEvSt6eV9Y%RR`rkesfv_! z0k;uvJ3}K|f^O7KOHr^Pgql4vrhloVD5d2nt#X|BqOQ;TPtzHvX%|Y^CCo~RR_6e= zvovZHMZ88Uv`W%&!0^y8QH4?@Oh@P+;~}>Bu$8Lrge8(BQ6RQ?ICOMMF$Z&@KMuuO z7uu@na3E#E#MJ zv(rziFNE;(nW!ODOay{EYlII`w8I^`seI z{EUdqP}SyilA#{3gy}vcq(&BD{e(+5K0QNv&l)P(d=WUmycK2ik%Z8+Zbk}hYcd_kNFHd`qv zm|+d`_l~h!GLu|$3iA+lXERtLvv?4xqH|}QD!OutG$gXzBR1yqF&hn=I$Q%0TeRVG zbQG6!rQFM1jhO$YG>ebNR9MiYkO_Qd7;sqI?xwd5V*|K$nyJy&Rfv6bl;A!l!JL zRuBY-Pg`qM-x#;Fu|tjY#ar19i~D#{p6b`gciXNp!68eZB(OMLbIV7 z)j_?nq_nKIuKxG(N48IoRe9bncm3wI%EnWPjsMcz+4$?`N18*MQ=5O%T-jXPe5rZb zi9esnI~kxCwY=KW)bjI|Z7q4HvQPd~b!}~#x3r|JmLmqWY{nz?B~^9qD(|sJt7~O% zS-rdV`0QD6(=Sr~K|6*^O!20M`H4=z|FPkr;{S20=i+dB zF7y2GjvQ4~LS}&bx8eU+e;NPZ*ZrS>|Nnj0{{;O1#o_-X{6Db%Kx;R;9A0cUt(HxE zV~wnI0jr-N4~$~;b4ZuH=>HuG`1@bM|KIr){Qq16#0QFB#s5zx`2Xks7XE+LDE@yF!T*1c;Qycf z8vehU;Qv4Q%lQ9i3I2aON%prS*>^QX`)|Pi>#ySfR|Eggn!B&z|34x4|7wE&{~p2r zKTGJcozUgC1pogo!T;|k_@A%UVc>hD+Tzv!H|D_x7{-M;D@&1r6 zu+@9|1zpf{?wJJluXOcX!~HADDDJB!M`E~sNlfT*usQm}mQ2*CW|6ct6KmYys|G$X-?|%Q%hhhP> zv2yEQ#Q)!_;{Tt6>;iNCf%gsq`TyR*eNgjn#Q#4J{QvWo=da=aFJ#&O!}0%btN8z| zM3Ztl_St~&AH)A&5%XcWj{oo2|6bb%`wq75+yAe^|6^A9ufqQ?>Bw@q4ffldvG&<4 zMdt48kNO_$FX%j; zWre<1T#58om9OMd8{;zri27(+HKHboRgGk75REjGsa7K@J&W^HaR?JQ(O*7lc4gxC4f+W!*tBInYgdDh zZZT0*R)YADv9uY5iZrD_6c;&m##aL%%Ik^?CZpLQ=a}RcNa%udP=XSw9%s4aF6l59 zj*$6O_^x7L8+rso2+0BS>n_IK1+j~N?t*-fca^!Ux92N9VVC@*+xSo=K8TrmsvB}- z9_jvza%8?DCFUZe#E|;BDkuq}k1`Sgve{g;TGNmyUoJ}2KxRc}`C!rgnvB(&qRkq3 z7nNu-oSLEG zSyM;O)vXzaN1z~{F|?i4T>|S!#UWKLGiNZnF@0>Gf+GuXBZSnsUqg*+y%qW%Q!d9O zpTW}n(ViVSDx<0XtD;QQ{f;rADxZH%s7iVd(v3(85W!!VoI{2dbB6+XhH7qbzXEM{ z1md*3sg2PBJGC3e2GzKzTcFs0F9*9PkU(~u{W7+0(RK(&*&{%72_(1a&>A7R($I%C z+CX?Jde0jqLR_6F?1wDF{t5`i5AI>^y%gOKnLk;Vd_D1*x;=x1R|Au#i+OX8)nK3oWxe<|_&&w{L_Vt=@=PkBCb;Dvx+ z9^{z2E^X;!&RmLMQZ8Aw2xZpyKGeZWE~WeC+qLd7Ffy}d)m3VmPZ zu*piN_4(z#7&XP0X2dhL;Bxmo!*bue`xwckF;pfj1Q2R0=0eZnoA{tDX**;#?W zxmOb8=~+0ag*cRo9T9EI_?3E%E4}SL`Jb~E7p3+j7{rh zJ`Q9p!GUqg65$rJ;i0C=fZXStA^XKU1*&`rLyaJexPsZ$mwT8RC|e^>JM8Q)TPsf# z*Ja(|+^d+&?oi$>Um>6Ltt(%u9LrjQ=8@1Zk*#@f9%&zcH?wt^`7B^VLlTr}E}V3~ zX-0CmdeE>#sb#i}U_W~Wv*dF4xsTnST1!S@Wou&Af*R#3luP>7%pmxtENLm|m#4wh za;RUKbQnqqqS9t9i7>~k^J;NC`+h)NrD*)AOEb0R)D;zax414mJZPz#u?J@_C0pxsWT2^1r?$_UWg&cgekI5aE!x7VH}UH|Qsqllu7qb;piS znSHZ2<;m11_{T#{{I?GIA;eKbjuE-6C$parzhx93kA+mdfQg@D;^#8ym)SQjj~=c_ zSB&6Sb8ulGH(~P}WkTv~>5THH&V|d1yS=nB2&(ZbC_GNh;yMt z*l%*o(QC}4Ea_Y3@^d-+1ui_dP^0&&n&C9js2Liiam*lBtA?eT4FRR}no%y|G9yMa zJa<%^!?B-Tz8nasTduLvWPk&`{2h*%2MqJ?*c`JvhGVF{(na*XYk5q4AA zH>|y!uo$w)7NmSbARiwwFtL4R{bkOW5T^~p_ee}}5r}C!AA>d&!^d!<0W1Ev$-IG7 zcaVWMaB6}#?C)~f%d+lcem9Ie6#JItBv`|KY}Z4)=ft?z6LJs#pr3iEPu2;^Kw2Ko z?&t4_)AEbc<9?f)E@-_wlnDve(1e6A23t)o$W{B;J<+zIYGhSh*`7{#~MkWpwCs{LYA>VYjI|-ww5oqQXEwBx)BHQ!e#bIvH}iOZu3rYHfZGln=z>KFYQR1&UR<1YUoNS&Wmb)g z(=m@4CMD~v+NekGCOYg__4|mOiduK~B-c7>%BU`Bhz|Fwdd!`#zfIlt%BvLht&O|i zOikeT=1F<)Wown~fZQpa+0@avA zHiF;TdbAtFs!Lk=7F)Mgo-BE*dbDz=pxxPx6Eg2J-CBkl%nUj_G_bc*i!nfQrJ}0| zA>|rTgUg@CEqx&ls!1~_Uod0Dc!r`NGkDjq^Z>saE%g4(LA7QM&ly1ds3)9-38*x` z!Owkbfbu@T1gL;Eu)IW;E6aqI6Q!S)1dgB~l{7ZVb+(^51;lpvM^3%YCHI*LUo+Ys zcj7xkw#`n7QYP3Eowi4u%sqY5bUq5cj1buAEv@ z%Ox!?`p6NON$aOM-;yVT48%O>d`;29%nlF6vx^|@(m25Uevn*6@z^`uZtHnm1@X!L zQKa%!b*$&bUF~_X=x4EfEa!e*o+_=z-3Y-cO@wAMIB_V=Wkv6f^+f|Oe4G7g4u_|m zIrMT<1{7D$P(ADW{-* zM?RMojVqo^^bqsTkU*J|sIqxh#azlnkdamwIQ&o|+YnuNTF-N^i6FbMeM=8hMBa_`b~f)?kcT zD=sfvDXwT+5Vwuh!=QVqDgHQSx8gnRoigIR`Y%{1vGJkcJ-yP;=lb|^g+%+g_e7K?Vo zy1iC9?(@AET`lqf+ys#<@>5wWvX>(`E)C#=PBzTV#pPikxKp-*UnMS&3z4dg~ zT#n^v#>}B1tDj4-o=->+ajk7bV9Ro&+Q>~~=kr6cyYHrkfRE{;sl6s4ZglocNcto0R9Gy6kyRIfkRF^xs9ZE>y^0Z}; zn9T{RFq#BX?jk*FWoEoEOAb{{_Xvu(GH$XG9EKjK(9-Z83d8dG^WX=VRU=+9-Y=ur z;{)7zIOAb)iETCG9ugL0Qui-6?pw}W907HN*(Pg;5Cw~}k$iIf*pNJc!EMB3lAmD) zhVWH6_Yi*vOhPnT1o^JqkZ>P!Vd$0R58MHFM6lGVz>W#qB&iH!$DK~pC-m1z-58DH z1KAH|t&ipS2T~tQS&s>h@lfgpEFed3U8r1-@Hi>=>YVP{tkJK|8r7L3`!9h^Eg2)j zV{l&)lQ{8CIk<3D+KROM{YsAt-{@u#Lp<8b0)edTZFtB>y F{{RwT2kHO- literal 0 HcmV?d00001 diff --git a/27c512 mod original docs/Low.BIN b/27c512 mod original docs/Low.BIN new file mode 100644 index 0000000000000000000000000000000000000000..d13a083e7b84919026061e0408b05875de0c1f6f GIT binary patch literal 32768 zcmeHwdt6l2+VGwWS1*j0YBIBxB7#v(o$PSTR8(}JEsTg=PUq0BL}xsf)hV+&1?H@Y zNZvCP6pG+xnl;THK?jp_q=OwSpczuw0$uU_@{v~%9~_x-;2_xt{Q zUpCBIYp?6Gp7pHfdDeCN{r|fk!ND@3kc;~Lyx&!>s!q|@$PvB%;U z7#xYG<0w4Cw~vv#o26&nN2OWroze_>xY`AH;>BGd5<=Rbw{=)nGL3sV|pQH7(9{o-|WVLv~`eBKuAEJW4&g}WgfZ2kfC z&;8D8VDPgop<3|K&*XpCAYR@WMH9?2_c{+kV0JlIQx_u*;+UE&&J@irK%M)=Yld6S z%ls5@^0C?CO>+?cC|G5vhL36BNK{=UnciOL;U zO=jeduQo-a#zQa?J}Uk?67CXVBs}^M9SNiR4%wnvB>pQtx-OccVPt$%1Q$OU-4tPD zd{g9S(UI|W5k|&O43CT=z=hMLpu}fiMDRU<3>U;m2&@Y z^OeB(`M(T}1?HfvT3l*jcxH2Ob(Q&$`(0^X`M7EvRX)BN&j9cy(CSRAE(@+MjcPL= zlEy&|%-ya)RB_P7MjaYZUc}5$tDbg9Nhe`8vhsI1JTN$4!41y-Mm&_jdnEyXsXKqn>$;S3#Z%#VlW!l z$}Vl@(54nN`X*Y^%C2i>`o>h3kEwP?t0n@_OtmzR;{_OTvaWO5>T$Hx;(9h9p3_p!MTDu z|0ARt+76+^G)|C!*h|fU(4Awd%SKnDi>*6V)kthbRjnxg@Xiq0lzPs>2>0NieXktG zG9NXWfT;+W@&+Lk2eV6B1f@Ddffdw}VHrQ8LY~KDtFF}ti=O%*@dxyW7Vh722qbzZ zkSGvaU})?ih<%B1n6(q#Y5CBR4t!T(AkP$!! z2m`cnYn9d7;gn@z)vAXfc}%8zj1+5+;iSohXu{##@alizJp2yM-)sIg3q1#Q4wD0H z&@d3SGa9=&&NC4d(8LkP&RSIBw5qmRhc1|^nthHB1p{O3kFf7qz# zWTd;Hsni*AEO#o{W32*1n+qIVAqqO2qt0M3kJjI07|A;?;Hih@@oVUBJ8`Xx_}vu5OF8Md}e-D zJQJU_fLV~WkXe{DKYG4BK04mMAbNp)Ve~@#eBFF8UKcMe&@B)b>K2O3ohOt&OeiyP z;>3X0#EEm^Zz2;qCwy}Blu#x(N)@VnT*D~C!<93~$1*fFeL|ITxm*b;RR|#!*fS@G zPM!&2p`mkcM**jjMx9HI;RnJYvQo}4lczpDGivH&CUi6fU^2WTwNgHpnTu3v1pX@Z z#PM@gDrT;H3Zn0EO8JzjU_*GQQmKUaQ|Ujo=OEQs$ez}MK43$+v=n8i3f>`4Fu_ez zLVQ)AzLTfUoIOcChY6iHb?V%yQyIvd{zEv_3-W~*c&0%4@+oo(SHPAtb0GNfsdE?z zgk)2h$rOBmAFvRBbL#l;;PCNN8HfhYTxRN%GiTCxQ>RAFe4NII7Ya8m3?c;HDPHM2 zO$X&qru79R(ubB4h##;f2Ht1Re3Irjk%AtuX}kblD4fCcfg01=BdEQGJ`@8G9n9fp zE_$MmG-}aZ-GW%xBq{ZIjhryPu2HRw2;e(Ab8?KQaw|J7qd(A_-z~6(Uw95Q`yOA1|0ex znb;HArBR^4eh5%GV&>s4wSkB1vO8;FW?^)v-u*|(>W&t3q!Oe)U7$O>!90$Ci0wQJ zYS&?%`4Z~tFWA6N^TDgs9oHk{04{6z5xy!tA2xILp$Yp>jZJ2INIwGE!1 zoo7kA;TCQe{Pv6v+~KNdZ#%$<5rxuaeO~*P12ERR>f?I(EU`dfPQnaj@MY7-pYbs&M`@RC|_}Woxb=MQ3j>;0WfT zjtHk9ltV53=-}DKZNm2f)ETcw4ys1mu8^xhNCItWi+?NN(|92VyDSLhbrF|=!JPu* zFv#)g(#{yk?UWSkCLb@a=-hIU0m4Q(XUPSR%dM2`c|gP$I&l~InWB?))g2%n&p~rS zz}Fk_?XGCZ^LiVgAN&j*paD9-&(6-uu7ZXJ)wPOFdv--&S1kl_ayT=LkettG1zXpAgI%gr1vZB*j0H5Uw{I_ zRoAnfap9U-d!9G?_hk80?b5gIkDOB*R7yTr6_cN3_2)ltb>-Ww7xE9qJYcUv=fmy7 z`kB}-%3LnfO#sm-2JvH|f4UXUACqh@x&glB$JZ0<2#@ub!5GG2h( z^mcO5De#QyGknoBU{RXff)igO11Dbfkc&6<9`hJ{kqq2?)q@AT*Time&DS7}1)7p$ zccfdRB-f=;&?eCQN=nQPs{NcSe5H?$b`>*#Ljn=uZXYzA2b!>}jwK$?QfCw|tLXAH zy1;01-V3eoeX|RD%?fX~+C>^Kbh${=g)V0Uw|EeEYXk7spGguvK%T(|p~Z*r4SWmi z`#B;Sf^aiAWMFU$X$IPC@%(I7uzxyB8uLWbX0)$fyM$B%*mCZZtL_ zzgIKXJzolEqrJiIL@`82#MBcCI|PM_&?63c4m$HIL>C=yR?so(SXYIpg&#ho=NYL3 zz)%2lqi_^#AWiQ1;^gc?@W{^Jz$>n7fQXuFsxW}K6(6=BEikQ4VE&qoPzvx7Fbnv| zR+X#)Tuv{r>IXpBtpHxT&HMs@I5{^tdtG$Dt(3!3qJ^0-oZau1S<%(Q)YGZu9~TiEPr` z2h+>Y)0;#kX_PJs{y<#t@1Y6fJdN;P=xNeT12QuYGsJ&mmTJK++B@x7m^3XuVudf&&t8W0y1#~rSU<4|Yz+cWh;{EK8r zsm2DnP0xO(niu^)xl?5Z9rCI0p7Q2eK7-n^QcIm3@8 zqUJ~c3T6ky@cUQ5e-nNDD}Ma(#}$&)^z5_GQqS9|K?(d%`M?XaL-8E-3;Fi|M?hP= z$M3)QkF%Z`IRDAI^1q)MOw0Nbe>_$7o1_WBqmpFvm%MNDPYZkT?!W!)_Ye8~kynym z&@ujLU$1*|+R@2Vf7tomG{65r%@jo~V)jFJyk?5EF)09@9*wD1Hbd<&(q(TKELm@&)0v> z@9*^c`}-h-@%y^FyM3q6^uYtkPoM7Z>-P0^Lw0?q`@4Pp{pWgoJw2fL`26sLuzpAf zfAqf(G7FUZ{kOaS{D&dnx40Hyx>GkB@=mZDJjNz};AvJ8y9t@a`Xd zwx+GNMm|@R^IL$-O^x4~n)qABHkZ+bwt$*rL4pRf9B-Sg(dr7vja0|M4=UJ~4#c{dc7Rr*QYrN-5B(erDbM^SNB^ zGv=eszhM|o@z3$h{7>CWito`EhckCV<^)3SduH{X(vZy|JB2d)>dhg0c9l6-7w_Ix zw(DznBRh6EFYOd|J2S`5*fVTL2kez0HevOyJ-fcyu!odw$ds3p-K#B?-|qURZ+GP$ zviqaWzuU8y>|E_6d%m5qYfnh=y}NhWGJ}4*r_#Ao$P9|UZO;tYT{{Wm_b;)O?#UdZ zDHp7nW2S+*x~#19YnZgJ*`LKM*{t8(vARfKq}%-J=1keLkn9*^R&CaEZ-!(~{DqY7 zDg#5X4^W`r49(t2%JiE;^~IqO%&p(EYv=mh^`AkSd-qy*?jHEI@}i}*@0)MCcJ4k$ zh!gyOw{d?;X2he+vf%8PA4Y%t+~zf#7wjbVJsdSsKAJLX^9+a;_SvnjUF8G2x^|Wx zKe_G1wv#7Hemv3CaPVhlNpU%aVLi13yVtgh+l+SYsVp5R`+DH(Z{ekkSob2}?{ zU;0)k1CKpmz5mhHsfacW{67HV!{@6^0RiwX?%0!D2!oEWigv11vJriPA0Os?RM-~q zmG8z~h8BjQ5uJnv(H2b_IpCN1JP}1@{UOajJ*XD}7D`$Qcr^74yRZ*W=X!hjcPR`1 zK)ePc3DPcxkPcA+qXs&th=L&m@z%AIZcm3f9D~~fBMfh2ws`vX&SWSH#B`uURcK*D zpg;~(kwz?1&qmO9nsAFCW9Rlci|oV&*Bi5ouwX>(1k%vaZp2 zgkyIdy*+`>etvX#ww=zi14YBA1TytPrrqqKGpMVNed08jdp1<+U{|#)8@C%f)ESKh zy26a6f(=wrIMnW{+;E}YnMFs908%m)-6>E|vVn=o#3=WTD)pY`JIH7v4ZQ3^hV1e#Y!$f9@kowS;@YK4<93oDM#2&zDChc}2aHH=@;-#zS;K5D+`>&y~m z+3aXwrX$Y?21YP2f`JhXj9_2{10xt1!N3RxMldjffe{RhVBmi~297-syOQ}#;hVq? z>Rwn>ng9!t3YRKE_`|O|;{!{Pw|8E{st)+WYFjH0=fWM~_@Tg*TdvgV-f6xhN$&5Y zb8tfZDO0p5#yAru-~R1r_&B;h-U3I%qoZOPV7Il{SvynuD)J$nibQXOxe&3^JA+;1 zUmMA29*e92~oMUN$?<#Ump_@rg6_U%MHP9q>+bZu}fNEnmK~;Gcf%%@{C|$1Op=&7{R~@ z21YP2f`JhXj9_2{10xt1!N3RxMlkR{9Rur*WgHzmp5Io{p}BbM)aj1DUM#%Wb>YN? z)(epXj}6QpIC}B)McgwB9*ABcs@YcZkfy{yAfi-O_U=uAF zXc)NX;y*5yTzq*Tq5bK$ac!NTHva7U-L35f$E-&T zN49rpkC@L*JHP8(ICJvM_|}7`{AY6ecJ{~jg!T9KU+AALl}IbQk9h0OhID(pi@m@1 zn!I`5_iDP|6S_ax*zdXA<eq?MUoVVj59rvGRxO*@_$>UiOHy}hmo#e^rm!ig z`?NG@QEL3r=c%Dhi~m8Jva~KWC2ikwNSLs1xt2|duT4vhUs#u%a@$H>8h;=$`Pqcj z<#mhM_~owQoOCJTvov|)(uAeou_@F{Nlr*@PEA<80&+~Ca4b(tNv&J1OGsF>k4mc+AY*^2n&w8XTw6hP`?Hs$E;D1gJ1)V3AL zixL*kScI0R#iu1KO-OEC5Dzaa769aly4KW$MTtuxG(I&ozHL$Bvx&2~s!XPd)U zC`}_@#(C25mrh0fS8*gCocYes`U11E;>*1JZ1Ebk>c6TlXb=auaKn?%SvdW>u5|Mw zTmf$47*w~;DdQ|lBaf*RY|j81 zYDd5C*vB<%(H}Z|devpL>4>1?ThQzd>w1@@K==LvQ7k9WBZp0Uja7?}p$f(!orhh$ z>&?OFg~M#nG21Sa!R9orL8IHj!x(`k9WgJ0t?otmC1SwoWE*~&6lGs1QfC;j0dr)H z!H?HKW#dSZ$6$9VeDyD@Gt$k9`j^xd>3N(>yykt$`!dw8oRLd$Z(@jBxhkkywN z5jfwv#`qO%9X1xAFItGsx-9pWY_nfRUbDWA4aPOrh^ir3c3u%2_sBRxR$KKr-T0Ck zfJqleK@Pjf8ti1}wDL+9_zbxUGtwP!w?I01$p9PW(@p94C3CYS%k&$x=4NNVS#IeU z7&sV_BaPbUm&Ifj`(Fqa`y63??4d00gZjD*#o@0L6ST2aTSZKl}JY$T=HtlV2!Gvx};NDRr z4aQw4mipXTVti19yG|UlPbPOKOHa5{B#lsKE%&*$49TFL((mGWW=l%gzAwiU;(9iS zCOj6(mQK};l{#n~pD5Y(dLt@|1DS`LuT&I^#SlaFk1aQ4^;NK|Y%fPv+w{B)7n!zI z6iE-bTx8jyBC}djWQ$=$mksXGQh0Vn5gfrPavoK4;!W6hcEp~y+LgDOtcJrj6sdYR ziU5d3UmXz`apu2T%(@2u$+(tMIZ8ZcSz$^ABD4%{)fQ?YllSpNP7PTA5Ric%S8?WW zDb($T3dM>ZN=%cEpuaPc>b92=C=Yza2ECr>f|kKC7(dqGWt7mQMdA2vdsH=~xdOBDf9L?WCJ1ct3K#+s3xzB^5iM><87*Q^ zjv>OaRj(Jq(2*AOb(^{{W3QG}85k{DuO;tjh5e}JBwBLPkYtU6RwKA_#JAjhm!GLv)o%1IiQ+IBS#3+0ASlYWIbR9J=a0=k3I%9mtK(` z?#VKbbI*59gv&ByqPB_=wQL2$E^c?vmj21QPsWkeJu<7FZjt=Z_z_&@QRChuL1$Qg z*y6vxJF?f#gX(1KQHO@000Wt?(03O5uLsia*8O*vwoLW+81K*ig77@%V4 zA%2X32DZAL(kRQ_v6kOM{Qz}(ea!;tDg2Heuh-+fdRzr$QK-JtIGkm6tc5X+0e&!+ zjJ1uyV@zW!_DVkJ%Uv$_RPmzJqu1*>^uY;A6+5ILp%y5J6pKLsn)G+@bShYYHu@ME z^lG7KrF)0?X?>}v)Uz`w*M>^CW2HWN?~O@kTIRgk^C%uemf>{wz0Ovm3y(^Nf557a zqytu$1?!@g5naX@tOL?n2I<-H?da?&jEyEiq$Q8nl5tu9M?Vw2c0yF^RpZfH=g{cW zaK3}j!!A;qhdFk}IX%!LU-yRj9x)^v9P7+ut);bV%%S2K!q*v`A%wS8AT|*pzOc3J*DFFw-{!x(*9mnM!BVI&I2fGM5eXKAqEX&QCW3S)t>-et-(X5DTo+6nO|#%x=rHH*q`z?wKE@-E%jfb@b5#Z;7up_)vZ`SFfG zlnN$toa!-%6y`JSh5W`yqwyFJV<(@osGq6vC~--0 z3TT95n0cuQ3CU>*sbd&X31`(5x3K}8Qc_wN$*`4bYB|*K`Ai%y177>xzAzcK5NtJ} z@4L~AbKtq>+;?#|!(`Gu``|p%JNr~Jynf$!=;-^#$#500g&e~;$5yBd$g2yqgZYmG z?WrTNTT#`QFr?*WE6!7ZDa zC`cxchh6ME|> zs(T#Lv*JsO$K}ktQy70A{!~0l<7v%pReOMue=BN{>LmG;HJt!TB$n@Yi+0eCyy<%U z5*(d->l`W75?)KTYe|Wg6l>R}GrV6fO>?gV^sPBz{6wFG@780Y$2L9wMvoz}pvR5` z3*JGB>1OnC0JT%WCY?tgb~;zOACWGB;9lW&N!>uo5&t3?=#)=)yX!?2uNLEge<^rb zEYGmAv+f_DmT70*&1MBV`V{)dNx-HM6Dvf=3J~GYUI?vVEGj@Lf0tg*9z8)@l{c2! z#z{fI)5FJIn4p2{+sIglioT(%EE2 z!{q=mqO~XVj$tI^Xn}{q;aDv@?-bg75)K(2Z^C(1Cz|l*$jq>7P7o4Q3<@QYlz|E` zvAhf_0DRCnfjB^#+ptX_a7beJIS1=JMUhkgDR2>7Y$`EsCw8lVlwOnFC^+Ig#Zsss zGb?SyAT=z!-{)!&Lu?jJgxWm1!hWbk>bLlva*NEDGjsagk{nJzd~ps9dC`<1THyC5 z9msbI=t#T-Y#nTq3U369HUZ^ofR=Hl@oY#Z8+`%fEdzB17WiSOc@(X_d5Ffl;*JdH zQg9=kd%8G*Ow`na9y2~@Bi@)3mPO;4K8_2T*h8h|l+%$DHS#yELX9R8gKZ7Zn6}}f zuC*}k_>(l1Y&=vXhLVq6hl-@N*=kY*w0qho9(Tu^gL+mi(8>pm(*d|dZ@MN-~UOG z+90=Xqp3C-jU0&xHw0xA4SPT~oH>i3RS)P|nlYrr!b_%f;Mt0Ccisdp=S}X(JM?1dM4C9@>C-r!o9*>7( zAS&CR$XcpS*1Zlo=xfqEpvNLt-9|B(d<5RYdRIRA(X>^T|vW`#>iy*&&S81GJN zIh;Pt%NK|03+i`@m%RmX;@ZZ)EZ&JE`Vp?e_^R}B{dBsrW8s7azdc33my8GT-k z-u0h<925dP<;h<_iS~n38*c;E$wW1z)Go?ba4~0@Y67*9Dp0iQ@TrT69n$E!F_N-w ztauSbxJ+#6 z6Eu6FQ2@ac^rC3{GkM#z4!;2!?q}pR+v{}9DXg$CINkJ8U8)p>rg@~RLJ0mVP^bijCeDExqs@~KsT3!+smIT{gO zc!u(Wbes;F>`S(nx(Wc)eCaz{-o=V^%`Awb(tsg71P09r)YV{dO4mB)q`Gb9yP+c& znN_q?lXTllILGuE`76#Ne}zelJ6ZA!XZuXLO7dcqNp_r9k&{t`za-lX4E~C&v%NuK zM_XI0c`lNlm*emjkOF91HoI$B+<-bjg%94L$7N8L9qKoEbCAVJY4q?}(1fW{3<3jr zJ%qLqxMp^-1wacGJK(_j4uE)vU2lSsqg@KuX2TrHn{YFQUY+qKeiLf^76+MAEt`%l zL75Jv=z?^Cn%ecz;)L2a#E7nS;wY^;V;x=xN!|$L#?_{YqwAK7p*XciftN!aLGT1L zm=kC9Fl$l*LU?8us`4J%TnN)sn;nIq-=Gr#9Ug#$vHq_ZDg@Yv!7o=S& z<)ATAFqOT7UdX2*N2|6l7dAWhCi?42&EJwz=Pt@zLDk5Ev5!NKH|udO$%FX=;0V(F z96Ii$Qt+mSc6K{`l>)W1gD<<~^f1dup{H#;OoYHFgMk=8HOW33D6pn-<4#+;X)gtm zv%O@hqCMheKn@496L}n!qDkjzJvm#sbtlz~IXVhY?WT(BpckY_o^vY#;Q^>M!bAi| zbXu}Z3lSg>9l*Ryk98Eh#oalO3)~#AxZ8vSQX04w+ifz@cnPNISQ_Mnm3$uydgs|5 zVo3x=Ai+V^Dt3Q2l6>Yl;0<6eKW8g5eQqIy*ri6QI7FJq8;h+DBcw0@k2HR6DW>YK zvCMr<^OK#FJu5>YJ&`+9&+)~bM3VC)F43RyIWDVGGMAOOv`WETR$#tL&Rmw`?Nu`7 zvJ96v6{y4qGEi+R5tD4&O(izowB1%};-TzPW0@h;jl?cs|HatC(Y#1$hJZ^6pJB&5 z*`DzY-cCv~Y`BEY^%-QrWl^P3eAM=+64d|z?EDD;mEmIZSZ}ekU{%Sg?W_1zrK`%g z;X0ENsCfz54(4{kgUORpFiS}pm}TBFajdsg4EOS4uy?x%lVzMG0lJ9g6=Y@Y_I`9vwa}%mHVh0nhZHvAux1SMaNNCC(sa$k{_m zsliiYJ2gsBWe@A^h30PeC52_#&G)KF2B0{DtOOLVB(DOBUnQ>qieFjv%Bojatz4C{ zs;n?cJi^iL%_}R?u>dRp+o|ylHHKAm2goiPeI=l+gW~#tI-}UE)ZDL*RZTQNWuU-R zAK3w|N*hBH1bsW%#~${fSI;>l6{uIv0qA5qP-8c=T{mY$fEWmad6wRQ|FvG$&`~uD*_>WYqw0*E2%b_b5W9!RcJhs9JN|~)JSEsx$l7{^`C=p8W*Z)k%c22c z7EA@!D?mT50`;r}+R4DB>?6Kku~JGa&r@SNH7tOS66z>6mT{KCSj!h6QI$42{Cn#h z<)yrml;)*p!(0X!+o=(lrlXGHymUxJ9N1zBsb8sHsl|(E_2pQK-PcsXz*3A>&Q2^bS&K`8VB!(D1b6^L9dNhux!0O1n69rL)<&cXf7;rUw{R72(n_rs#n^fhP@4~ap*Yt1jhpzjfPf~cV{ z_rU0HtovcOz{dr%x(`W8F=W*?8t{k6ZK|=9LbkH}`Bg=cMYn3PUS; zy<5d=Fwy$E-g3;62edNk0j*q6_1fdKXBi*qDE;x@`I$yT_f zC`?!xxlJ<;s5d-Zov}3>t{i!DFQmd-*-y?F&8>dmX36V+nn8_dMtC09Iv)Flthc>` zOH80G#v%S3%pJk30xuID&IbG`U0eJNwiwG@g2qNW%5!}J)0qYH%VEMq{uh+lBV5H> zga~l%J||64jTgd)opRM!T8k}WAFb6E@i^kU0lyX**`hXtYr@>4;BqCuLy*(ky|$XR zv-cl#}R>$#T?0iVkaem7mFWYotMF zI+;s&$hK8_L=_rn9f$Dn7MV;)fE!>*_aomqk2KL;cF zRnS-5+3@yIFO*Hjs0|yXi*P5L7J4_?Q(RD-Pa0I02?#sn=tg3n&B+xPwEZ7Tkb^YrQ#Aw_xM@DVaII`o7y%N=k64iLwt10ggds5qiXD zf#~c*=Y&fv}O#1Ug!_BQFowz09K&%yU{BWZ5Vb{ua=!AZA^@4w``(DCZ&ZS zqj%E7_nD$Yh6X>`qW@I($+TIgPMgRdyQ2efY%Tj;t**nhjSUnSS|m(6xi^|a0-_Wo zY9m1Nhkkv)i`MEJ3K{SMZq)j3H|=YAZ^|Xxu7Q=lvf}T=@q3 z;op0gz5n5>n(U80`h3xr*&ne7@_x#MJ6R?KD8LKzao(zOuGc-=84hc7{lFRGdPFWO z<)_r=>AI$6XsZy-U%)b>94n1M=+6TJiy5rIW*jbT;ZNgH#?h9^`@%02I87HC#4DzO z9BjpDS~@zRi%YWHo3h*+GP>Rc{UQ|WjLUe&yR1T&=+Dy~(utwNwshXABg^sFgMh++6Wq_@kn9bYVT?QQ%{#IV1$mIIU>yFJ197yPP9_c*2)5lLaE(rbquJ_ zIqYY*0#*UFIyKG-CP|2;bH{$<-mNI80v}$taUrR zwwJA(C~L?ztuY#4eX9J~n)2l}?EE46DTCh)p|-2MVtA3YN9L3@U|67`OJ3Di>5^C5 zRao1CiG`v%9n8LXmIV+;!*1S+T~QMYSNhKvLt!Z*ttNJP4fd8lS5vO5f&Mue?w-33 z$Y~OpoHq%5bjc-N@!m)7i+KRL5I$pqJGrzmH8?6MDJTj@>G2FurlMxh|5Z_BM$7|2 zUOXeGhLM8!X$GaMC&W2q^f>laUBa5eB+`W^JEJ)??h={2ENZ%DSyWyWr+_3l9il~Ii(=~w1URnk@l+hBSaLd;?Ki6o~WJYY&WpQe7lyg$-!Anw* z;ej~kc*8U;i845ya)Si50_%ZQQHC3M1_#j%N}AweaFkhX=v2psUY5o~TwS6h$rB+q z@tWm^&Q($7Lv(?<6Q9Os^x8O2r#8oP8n-yZ^>q9KL7oRGd$sr2BL|{^d*Tm@aY_NhQ}=Tp2nS=X_E0i2R$f;TXJF|9M#`lHj0U0 z|KqaD*leW(=-$#v_z>)UXf++Sb(7XO3W`~Yz6G!j1MG)EK<>HJAbQ9l$VF`RPJ%YM zuXK{w@c*CpwtvgJ`2U{wFw3wmv*~JNFe!6?r`maM4&6X-hqu)@!%W36Mc{yO4I4Z_ z*#FzcdC_T|v)Xf{yRrFM27{tGZ$=w&&Q2(d^4?=v7E( zy2eqno0`OjCy^d}Uyg;@KM6EF5)Rii-lw)#Ov;!9^DUDyAj~w0=2j<$4d+Jt0_5=U zRW|4fHDA60H1sr{$Lox9T*kS9RqH=H8ccINFi-Hd-6zVjjq^Oq>Rva?K?PE^QVt05 zd-T{xSt6c;uHO(8tlSS1>98z2mu$;e2DvFKico(i$;Wd@0e+ej=EIcaS-Qk9k%gu4 z07H&8hxbHlK@{oP^H*^s_jB{nq)@bEFj|P9^o<_@0@O@@B+zFOGguqz9Opt~2hCT2 zxZz!2_ptdg$3H4U4>mpwy_mro6g`-&y25FbViaNUJraG48gk0SK!TKaK&OVw{$e7q zh7QPrG|>M81y)2wo0XL4Vj?)(Z0l3#%bQ4ht#r0M$sD%Ese00fhF7pM8qDE;RfVG;MYdE%wxsD$O=^GUMa8&F}xc$}ePgtI95YI#T)<-SDoeF7k z@FV82`@X#f{`;z~Ns2&tHZh9}lAT>uiSq7&6{Mj}DeWC_}L z<9czw0?xw(D;JJ}@eipwWC9ANRs_rZWNg8Nb3mXTK;OsN+v`)c&yF|09e=_8)5#Tgu<4 z;UTwuD{Ek|Ck|$FkfD}}=(L*hWgtnVQ6Nx3UZW=mg^747gd!mtKPag2H28$jbav_u z^!yDzv?_FH%UET$c$Ev(H20E%g9F6sGWbx^gqknJ2tWgM57lgv6yRoW4#lytaNDK! zI{In|7UbQH&OW!>-0MCdc}3swN##4{?VcBNr{_gOKa0lEocj}TJZu=S-{5P6(O{Kp zYO=-4oHa5p+8YVyg&%aT;$U3gZ&#g%k68cSoddsY(On;@@{tE|WPK!=S~uM}s(v~g zJKjH(fgsDo8Y$Xv(_AC9gBdHG1oIDKk2R7^*P_T9sN@E$P8gWFX=cgUK&Im9pkYKx zbWSi5P9#qU|GzoNrsRgx1dB6# zUbL(BLGv{(PTM0BZ_o?AuW;T4AYm4gIdyZ%)1G#0$3KHQZruLj*Ms160Y{*p zzP|Ituh<4I@U^fT@U^hnuvrhj|8;|Y|1059)nLM-_)++Nwl%UMS{pMjY<^y}er+7% zO@@q9VxeI!=S9PQCTJPDw-1p(lN3o8Ir6v`W>6l7jdMU%`hdfoE#2=fl)^g)K`hSp z`@{*taR>x@B)usifDJISsrt$7ZXn18L$nK2CeqTuU5(A1JKU z^&~HmxiJw^a2WJJSl+&w&lJeG-d^AbtfCY0Mf3b?XEW^4>s&8*!@~|LfZp9V_!$-^ zevYu#!i%(&escZD4e>gMm|J?ixRwgiyCDgF1YCS1Pz3$1+ztLI zbpFQ1ISG$|KV&e=EWvx-BhnZc6afoqrqu)c6WTUfElIE~wl0b0aDr*EaY?8i!w$Se z*v0#AT#xcN!GV8+R^LQF`O!Ci;}iTn*&1Kl0Y9MTd%yJw_RoHcg38G7sJT(|8dQVi zF)_GuUcu7^@jmII7z}FIT+=+bO~4hnPN0Q;Y2JB|6K-j%&ib|FG3aWKan-xO-`!uk zTlj~~8e9+}50aR3Uwl))Ww-nL{j)aLe!1(OdmegVQ|2EJRNlDfp390M#Vgn6U;jTe Cc;PGn literal 0 HcmV?d00001 diff --git a/27c512 mod original docs/RIkN1pKg.jpeg b/27c512 mod original docs/RIkN1pKg.jpeg new file mode 100644 index 0000000000000000000000000000000000000000..0a9681ddaf36b5ea1e09ee6c7f1312e662239314 GIT binary patch literal 119232 zcmb?>bx<8&)8+*d+}+(F1a~g(?he7--JRg>?(R;2Bm{T3T-^2I!3mn>_rCk>`_=xn zyR|(vGtczt>gngq)YNpJ{=58l8-Ok+B`XDhf&u`b-Z#MCU1$keadBf6HDxJT1<8L6 zKyw2Ar#LveyQ)cxk?ZK{k;82QU;!Th7yu>!uZfwP^MBd=xAVKd|C0N~f4l<#^Pm1p z^M7$hG`Dawd$)gi-+fH&oZa7p^?t|LUhefdy!{V$)ld_Ex4C`CB3AzmoBlWaKkxf)0w8nyhq$byI5!&~H}@y@Pi*9-_9kX_ z*U@7=qPr2ptlvjKq42mknV`4KqZf6Vju@830mH~{v; zN7#=aU|~PP!ok79BcdZBA|N1QqoN_B<6-0D<6-0C5|Yr65fV`msF)%U^ zkbPqPM9)e?&p`i=6DT-1I7E0vEJQ>sdO}=6`u}VB`xAfx58d^F5eAA90F40!g8}t- z5J2|+;6FnBOa4Xj{Qw0G^Byl8JOU!pyFoiT02&Gg2Kocc$B!RAypIOFj{`nne8i++ z6NSZ6HG!ja!DbIhE{3NPYwpKUo4cguFm(+@K*YtvCm^JurK4wHV@QBE-QPD9escGpMnOWI6C8cHM6_r)h zH7%`e?H!$6-QRu<3=R#CjE;?i=D`b#OUo;(JG*=P2ZxZO^WV|@5X!3K*dstRY~fgTVj@118C!+V2B? zIDlG&k8A$|u5gb30^oZIs=VnG!SzlRZ5i^}8Jeu!UjPPfNlde*tZngm1>U?>7T28} zv&zMFw%U1nmcKGk^BLTv9+;^U$mx8c{nX7&$?2xa7kh9%7a-L&YmaB2HD`Ccs=$lY`(38p$sJ^3U#}{Fl&A01y*$6JIMxjWy zNN4A3LP1Bu2h@u8b$bd}Lcv6E%Q9zhXDQ8;BbwhPfJxAKf7 z{wmVU92Jbym^vJ>hryx31|*F}!Xk$UV&f_^Xq(J{l95BV7*7)q6cN{w2w>5~82H?w zhX#LiBQ=4j+7FDo1_iEs}FgqMm%`X*BI3 zUZ1VnS<`t0^h^WL0zo#o;7}G?@@K#<&C?nV>Iy&SjC^6Lu+XSQxRWeyjFLF<7u>Wm=OzRG`r- z{Gc$|T1_aSEs(y^$j0`&FY`gm%Pk{Dq}G|-4i}dDVvZ-wEKM>b6zo55s$`CTuF5=h zh;;}aJ%)5%Tnj}=RK8OD@JRAgxq#MJ*fjHpG@2-S(Tt&vTvngs$Nxzb1gnh>c2NB+ zyN8Nnt$}jvW0Xx?$M@CpaUsQmOKATzFsdu{6V1_DMBJm0iA)&Scf#=lzF7ZA8OQWO zmH@{PR#^S zpV?k7gucxaNT-xd6{^_id`zcaA)92oJuK^vi^_C6EX}n<_xXyQDH^EQf=e>QO7=nc z$LdUS0;7Bsj=3f~rqGOBBpK5*fvFUud?J%?k}OGoMZJj!u8xL6(RV2!TMleHHpuZ5 z%7M=SR8%>sPTEUZy;2yNCB@~+*Ti@6MM7H&pX(Bj?sB~(~>lT4`q19w*0}%{##rz zMc5K4t=#|^rC<)3zkuF;?Bx(lUiKU1A`FLAGt3|~xwcq_aFd@Od^On?MGxIxPS&9W z3vTZLuh&Z*{oPi}xz~0K6btLMEfhM4_irD{lSU3$5a7Csp=|8}n&L*41T2f# zMh-`AUQzu(qv~hdFAJzg6SU}%uHw~gUAAGCNP{PJ^BCdwFU`Y3HYx1&zmf@n;70?W z?K<)OJ;h=&mLlnmE#UxCIh;uZv96L^_ zk9Tl6GU;#QQVSz}++3NX`wW0L4JcE~h+?(U99eVaPw>WB9uLL4sb@bAWS%tCm|pW` zsbcU^eN+snKD@9^$@-8}AXfTDN&?L#x<<^@jif$&c`V!!>kE#QMJuSZa;h)r-a0eqJM!Z+A=t`jrz8DpBTP+tV5k5%v!z*+8fs?WmW*98-;4j6{|V%mSakYjD2v$)aLYwhdMMg1 z-7bsOGQHx=p^%;>^XTl#d&?;l;z&gEEG!~Yt!Y@_g73!!@~KgNqRhn{nz@#28)M0~)!qDKnqCb0_|sWosHH@;#>` zIsRgt9QjMS9>_;x^`(00uB_cg@Ii%FsP8x`fkwc1f3$cm{7cB_ZzXY_Tw1`0ugy(w z2C``XO9U;u56>gui~zcb)KdL`;LKLzMsF(UyS*5IhR#t2J+GgEDX)}Zd7M~#S15p{{* zw#-M&k1KzC0`ox0v&fU#>WKZeAn&k=a{KjNYI_Azjzuj-?SEKG{isk==OWXSgM2p4 z4}$g!Vz3x78?7{gzyAtTT$RC%S*#j&&t-Z}P{gNM^F8>13BgP^J>9jkfdMoc>x@|4 zU-iKihR8g?RzGUXmCb3m*f3F46KwEO4OT_M$sV3&m>*nQh}{i?zZpI}VGL*IHe-rV z4O0$t{VE2_P#`Dm&PE5fnWx2-7nupO4icyCuw-jVVQ6ZNAMnPW2%@ocw}AuRHn$-O zFxZEU3R#P=dEsv;1QQ~mwZ?sS>Bm0%)yfL7sT#>JONO83!-k^5ww9i>d=*O z47;oX%eSQr5LA=1`$+s&%`tJ>@Sld_%psso?v^C_!}&-iNY~M!RVM{lN6oW68Um2t` ztf(NIgRBHYqzQ({MDp(6NF8NVCXoqAr@&ov?zX{7 zyH_W7NLf_K%|)X#@sW+!O%jjxm_g@KjzJnnp|CkAkR&Vs7vyG_l_GPG@eyXCH-WQk ztyJx@_ARa5k5DsmHntyx=~lhtqkwHG^qYSHJzS5oYY-tp`WI2}yERv6I`l zDR--oKqV!gia-z1t->y<1my$0*QP}#`7Rg*p?D|5ufVD-Tf7()CW=r@zczhCU-m-j zuV?Z^Q&AGyiCTQdWnJ4!$8Pk06US(Yy2{Ipts`eWshh@eUJxpff;;R;A-r@?^Jeh0 zE=}p@nkEKjB@zCkURF!>3^J?OuR9ey8RGF@2~KPc$MzZ6aD@68(MNh+0EK+Q@myLI z&Z3s&x8*tF*QP8%a_-7+NkLv3^)4tLu`_@S$_zGw>}v$vVkH2$94OHx%r};jXY2Eq+fV}=>R#2 zElcv6a*K&vkBokdOHO`>w4unMfH9S65pX<=jX7A*d7Bvg%7t(})w%iD zy|-8tvkT+6_2VnS+a1Swhl70(sF?2c!lu~}m!Nb73;7DH(dmFB25&&RkhYp0DuU4W zH9=-$v^-aL9;J~moG$S_8@`nwY3JnX(0su={r$%VzW$Pz>yAO77Bq2{!sr5G z&18i^NHIa>7|YLFZZz4OGC{y;Us2R>oBvMrojF4XTD zab^4F#4(jh%Ee>`Mc-G281C)kdw+?%GTboRkINtS))>d;7-xoeCN&AVsQegaq7}g% z8$c5sBApJ1_C6EVEnRZdqIxfyfIswiqoQ>+(q!%l>a1oU2b|Ou5|A{%h1`4&q`y}` z6Q6XRI47MW8f4*B6D=#y&<%u)OABg83lB5>;Bfbp16C9j?!lH*%&efZ{>~vmjJN-M zuE72*-LobaN^2ON5SlUlrDmLp+I_UKaAau8L?>%I&T6YqERfl*Ct4yJ;dHTDrAmCN zX`*pE0FHRXJ_d`j2$QWnSuW3aY3B2lfbk7d?Qq%Mh`0*RU=TX@d210|2ZJACCItlF zWBrMLV;@(99kRuFRGwZRHJ*Iem;*P6h90q+sV{nqgK+iz$BpS@rciLrQ}2rK8YiAq z082|3q8B}+n(Df8hUZDlQUdPBB-6peRbV$|lGA?8?_CFrk*O*Lm)~Lo6WyN$%0bLe z1-hY19GqrC*9=V?Vk`-#S^a+je)ay1EI(>xfB{n5d#|lSxW4rRTVkK@kOn(gJ|q%Z z{CfCFm#U;ete(uoALgkFEazFA+u%NZ|6nENR#mO3HEE?0E#KUo8YvWfj5$@G#YAya z^)u93lrOge@PE`W+3E@!z!|e4gaY^CRof+28I&z%V*I3nW9-j{bz6S<3n=&DY*ir9 z+2rsmZ{1XLF^;i5%b@)h1h?B`d<_igT;dFmD$AS;yI6pGq5dZ5A`^MduJK@Eq}cGn z+SPW&l5AoTz%^8V!#=_BnKixN)MaFYkF=tq=Z{6@ifv9Ak6!z7TT7Gtp+_8UKRYb` zjPS|LpWUXnjR_w!8np-QIf2!e9^b@O)5q?BoadJYU;ppyvwB<5_v9|G7k9dX9y7Z($C^Kms>>MMq3gspgR)o$42*8NhAsLM6(9Ayu^{)mq+54l~bc(O!sE@1zTll zkM<5v+ZjWGeq;7}F@x05=b7@YU7oM;&C2Z+(n`M13%WpBYf7%yKkK~#b`T(7H;3pu zQ{~A-_ta~vehV06J>*9zV*h2RtaON%3gieO(kPDcSYlZ=#HJr5m%R{ki;Ft5B}(wzECDR zRqLE$M}0++c;i|$@3$)ma4~S57+EaWekffT@WYqKi&~k==kRXT7IGpI>Z)Axp3(2f zr;T|WsNQ3Q7dF;A2vc9^K2;R^T#STeXj}1iv*}NEMLDnC6}hIabJkdRfUJD64;*Q} z=h`}V;eZNBeq0(8=;}YRJNo@KbNG3{lSA&}44q+YtU(W)#w881&<(%Bi%^_fSo=Bv zm?+rIs@fkd5fQ33rSF6jJWLO*gCHgO#thY?S$kBWU`)LKT>hk59iJ4%p75l|g1>n= zHiMhCSWC3E0gUz*HPq?k*j(R3mnJ{Wm&yt*8bB%Pl&zj7C$FX|Ae3LPB8T_mz-1Lt z`S>qHnRs;y^Y9+4L=lY%LP#VDqcV<1E>={~4rJZBYPtX#@lM~jD4x}UlNDQ11c+R1q?g<|QZ0h}9Hjq}IxI zy;DuI_iObhGXt=>G^JxY2<>4IyYn6NUCS@FfHItS(evvuyb={+gOBY;8Rys zHxZ^7EJw#^PK;WT%cHqP^hTSqNdm&GdCwU#>JS=u9%GQZUKx^$txQ4a0g~*y+?am> zwbKGvikH7tVT-@Y{G41s`qS? zPsWTL&DCs=jSyjNE}|3dI8nQmF%qDg#%28--u*tmWTEH6CK*#j!Qe%VW2}6az_oWk?EXc$4D-xlxrd_r0TJoFt$$Xs+6ML!;EZggj>c*e1 zQVAMC9sP-x#M??H+|h`)Gs?|(m_)tFyNMRp=8-V!NyRh3^Qe%yoGw6g;SJu9Z?iceI zmpOq z#EYSg9HIl1)tq8G2RoR-5b%vkVytgX98I0~E!UywT7!)jVYwLYa%PUH@v0D}Q_Q!4 zl)xGI8KbVM+}(`cxicixEin$GpcE-LQ8PuAuco9H(LvU~g?FsI6DO_*llER$8~y?? zUL>S|vqe@yOfh5SV-?jS&1hs%K@R!$KhOnb$p<#wk3&=^_7X8)P69JQww&R=X|7E&Wx#hn}42) zT)J~heJ$mkF<>L8($@xOy)50_ysgx&v(cu)3Gr2wWQy|N8C_faK1Ukf-kdpoYnn&d z=zm^PEBr%H6C!&)MX!EWkx}+7H9(g`oU4IPM~)5Ru7|bP$&F%r^JHhQ2I_2f*@9kvT?T43Paw|9V!w+=UN<<M)_!p8k9~>^lbsdg9)n{3j4dmjPNIcWuvi7pD8jW#w5Gm+ZP6?Z`6WzMzs68j+QNY8+hXK2JiIMjB`G< zH}oBr?dQ&yruyo-FJJgX=*#NbomOQ0UcUc~>o09mXNUVleKk{V92JsY`ep3;V?c56 z2`nE;S3{!apRYStwWrz{JjYD(Wp%jE=j*kT^=$B>Muq%$dj;(`J&rTfdGkm(&R*zl zy2=sGnBk@kb@lVcUju}{b#xjX7Or+>vRE!{bSgn?cFFK;R}V{_e07L4U7wzwHdh>XGU!XXA_O~mWL-AoU0(kM2q} z?fJ&_@4Lw+uiM^bELqP3psF)!bG59oAz!_`%_%Py0u@&sUr=o=awBgI3Mt#Mmc2Fs z!8S#)F_C2=zy7WsuWN7Wt<>Co8k7@IiXJ?y=} z;t5PqXC#Laz4UyQ&=;7^>U0=5p_8fAi(o>iAwx>>rH~|>(rVZmaSrj21tG>Gib%I1 zp|LarsV~7UYQvlPA9?r=OSJ|tR?ABqT(^&v;BXLmY?DX}rz4tUCB`=wF34b=9=rpJ zOl~A8U}h7q?FKY%e;d_aiuq3kIrR_>e7O}2x~pXt>qV2MFJz%%fi(B7m1#*HR@wk9 z0=j_d8r9cxozEIKv{WC8yxa^cH@+h^%T8_OszW$%rSSdo)gmrbuST+Ye z>276qTndAmtBl%4RC$3GM*}+8b0XT9eeYN?uQ5N20hso?2y?eQ-1Su4Bi-eUgi`Y zn4qxn6w`WDqfj%cIGpKA7@9Z+zSe{9H(diGts_Hu*SV%2 zibQ%LD98K(2Sx@D*fzAjH>>IoG zc`aCQeh-*=xpP(2+Bx%|68`ja8ij~RFri%xX{00HJYQiBtv7qv_OQ?HpchYzU0`Ls z;r7c)|3$Am6)64e%(^LW7Sc@~ag$P!qY*YXWbT+Ld}{pm#8qCo_H&U3OP1kT!HO}? znZ#xJ>6wtIV~6;&K#O@1YMco0u<*d;VdY+-)8DaX?6)I_ zMZrlpU|TMnQl1wLkLPw!J@&kx!>oMaud)QtsJr#B?~R=OnRc!8X@4=hkIlV>=Z{YH zhr|O>@TZ%^-0SK%ZgP*fH*B0{g6Hffb>*fl{3zkpTMy{OF`59ZtO-A1HX<%_?7y|&1cwHC7H!}Mp=xi_`S`{Z+& z9PujI)%D#5q$9YOR=UeFyvtiQE>_tf28Au9z%#f)TU9TwRm3(A`1hiZmYW>nr zupXS#MLNpVMGzQ-&^RM=G6$BnZoaE{?VFK_KtM7+kPLWu@$Z^x7kltZ?nb*VEH`iv z6CdvX10J2*Y#3H9eEzYcP`l<`%JrEA|N6a_!@F*P6%RR8SG2zTu`&Lvu7aw>SA7Vs zSiGmWafB0CRy~{!Iw(b}*VEBmX13K$1fX_TZtASBcI!ux(yB)ycac9osnj8C7!CUo zw1!ayx`U|S9$!ut;jR#+Nw?C4zD}z-zpf|7N-%?pX% zR{0lq`C66R$WbMLrAehOJfAeblnU275pbu^K)0&qsxGfx&O|~MoJ=~}l-YH47#q7A z5=Y3;JPHxl9&ZNgF(6FismD2CzY1s)l{zAdqNJ@>m zGC^zdk{K#Iqq5s!VAQQw-+}Cn3coDtIrmhp8$lx(vm7!Y&f3aS6PKCH{LmUY{0GvP z`3vev@nGV_tc2Th!sjzQxwGO-!LZrI)oW7qe6Fas^C?4R@q*!><7Tc2?D(jKyG*(5 zxzl<228rn-<9^k5PudH(zL3xt$!#4I-TI;&-V8S6AuWwmvMOL{%;U@;?tR8nSp}XL zP85-nwBGoqf(oi-Mu)u9{^k{#$B>E-5E91uw`(akP>IC|R}c77Bl6=OF(H-M*+)j` zsfhiY9?Uw`lmXb-WwrFL^!nZ{zE2vKcdZW}#hJ4CYCE)C#~iQ4B34{wNL*ykohzkR z@*fyp7iuXVJQKQ2S}5(%Y`z#>HVbUv2j zURl<*SuUbiI*mZe4)78K!!5EvVf8%@#Fcu!RnB0HDSvv>b+AAd*b7>l@*vk~==Yx8 zG4N2@gKPKs3s`AabMub&lU`EN{|ks*?A&v#RM4P7`ev6|8T6yuN`J1w{q*tdcaimH z3VZ%S$OW2;wBF1!^(zVdjJQ$q-U^Y{Wo5XaI0xA|f?3MACl&CEnDfXLauDI6!eXn0lkD;|0F=DzjejlgZTy5R}LwwWEP$9d@ zORMnW#ogWokJ{EsZ{Gpf-F+7MW~v99-=lXYynGD-i>lO3O7P@P>IvBmd&83FZ`j@} z(-X9-#|Jq9g(IwjFbQRjj%b2@TDs}J(dxyr*WXgwpPBf$zZs2BOs%`Ko^a7j)x6S`9;#7mNY)_s4ae3s|J2g0c}zQK{KEB<61e) zvoX>#KApx{T{a*qA)UCF#|$VLTq-_;T-7@2IoIS)Yg(m?)zZNxytVR*m|8#V0z3Jz ziQXK87k~W;yjJ{RyZB~sA1aPrpbtIU>2SIrdZr9pd4-yfX}8+mUVw|;o5A}N0^l36 z8nN@M+`xB$q|kILHsC~IqV_0P?e_iAyid}kYSk7T*TJJ+qZby$7~R9(2WrU&*xKC< zR>=(eE-cptF8ZiR!Lq$*y5^@(`vb{V!TT0{#siTgluO$44JCcec^_T#INA4Tx#9Aj zNW-IRNQlsLyFIL4haSgGq{j5kjO5as4cx9NzeYXSw73vxJ;Qgb?3O_j z;b^PL^+EBjTKYgGRmxSOHlgpd`;V;mr(Ug^^N0-g?gzN{RM>#=?vAT(dm`0tS04@~ zZXEl`O;XaELxK8{>6ek!JhJNc7j~l63N5M5HTG`OcpbbgiK@X_IqM0_Me3Kuf~8Y$^= zamV1VAG-;vO?5V54f20wT}Vtm&xPO*3sr-D-{5pY-4+cOhzQ9D=VP+lYazM{+1f*I zBlNTIl_#Ui)frPQ<}#>Z+&fT~rCr9iLgyI%G|$zt;N#^iq|2X{p*pgaPw}j^hk*-I zNNV<8!=Ldb1bZPlhiV}sU@yvalBItG|KRPy2jJrHWPWa^#$)<1#frj4MNEieW)(0` ze1EPsLXE_6$#z>NTe3#J${NsBDgv*C9-mF*AxR|O9Q~;WOxV&gNKRcm^W095uZ7it zHRJCh8G?=*K9r=B_r_(31^9ZAXEqxXT>;b?)S<1*Hpl%7IPskq8Asdq6e9xW+p5jN z4}+;LD>759iA02_%hKe`i0P|Er0Ow}0ACA=K%-aLO;q-%rPxPWTA6>Ogb6)qILNX^ zOO^ob)}5UfYfkg!6z)8N5^}E$_x%rD>u{hXsVOE5I^cr535LR``AljsEWg?c_pEeg zmsJ=jmys>`YnEG#KIbpuQE6aX#-1MnRnw>E1`hRF{x#x}ejFJ3$Hd(9nR;3B#IZ+O zzI)CTjKd%T7X4>Nd|l6jNHo2x3|?aGT7Ro74C|0FNac=^%B$VCul?0me7)VxY6M%H zAXh)N)F*Y>Bir9*Gcw|!+|)cm;{!$7R0dQ*uL`VFQND9UYP5R7c#8h+iVH}N0?6^D zCNo=$q$kJ5F)gBbv)BseRHhtL%O6HHlf{`sCI&>78>0SE6UWA&9vvK8?WidGQbk3z znF>%}N!b{}CkJ6-V~#)<6&z5UxCStB>qoQThsxj>3^$b=)Up^D<*%8ZYGQN7cef}4 znY>^G&<@l}&UuI7%bYAenlrm3%K3=kB`e<^rn#5J8DtG9+xmS5s8vDg`MQVnW^?m- zA_Q4-hU!4_O9GmHSTVKr-W)o!5M}O7?-I-L-)h}t@$SY*f{K+#-|CE4hb5v7b@=0g zMK73+gt6_u6D;=9xKP>Rs`pbCGG`K?+zN&nT&Jpa-)4O_J!WzOKZ-?3N ziyM%=cM4WdNzt~{p!s@#ZR~PrvpuD+4A$i$($BSi{~1=}?kq*StP8LO>#y%P3!pQd zB$9cr{c_mp-e^aX?;d|U;ay{qy}fNrzssHJG*)D+cyH5gG(ZLK(LQiY=5wYb9FTXz z#HfWgDlxt#TCyA>S2@|>vUADB zG?HzdNEb;#=;)I0vLK(WXGK*4j-W|^jS=hLGksra3{el$w&)J8er9-2(Fa9jNB15z zLSUuf^fV3J)TH3@@*j^S$NI)7aqs6#w+65K3$x95O@$KcfrkPNv`NRED4bM>L`!yN z>%NOq9SI)j$A}lE2uQb;Mn@ToPc+|)ZNBq~vTm$(QW&^&`H{)>YqmC@2qJ0tB>2*Y zeV?0O?YKR1r!ez^t+NV!Cbg&spm3K8-EXx?tc;GJ8>nz4#}n*;d+&fu{cG>&|Gt z3^V#9yf5sPIJ~7Six`O+lAx!B$i~XG*HVc^pdA6(WM|hZ&+53}U%)qC))zZr z>-C1|@(`oJJ=|51KTJtzMn#Jknf{!bndjg9c^2^ysWP}94f%GG{{q6_gQToGTI6o5 zm$nOX#sw(pqHWzG2AnpLqGKI*#yas9@V4NJ@OvF=tNYQq9Dw=e^E#z7IX;0|4!Bzi z@V!XiZr3#ToJURO!Gx#&(xpSN>A00Pgwls-EQi#`#HHT_V2ACn22_a7B`JPEyLx5} z@4|`xR+QhcAFuyNEcVq^Yw7cO+gKfo{Z4mBRyGxLm$k*)6YD;)2MJoa#k7`$uBK-O z87pafeI0D%6PNp!s6FQ+-y0S01P~Knn*Ml8Klv8XXJ3Xm>@Er7 zZ&5Ieq5c%&w{-6nnc<+U;t6#HS`vQZCBFSQA6tu+-nbNgGGD`FNJ_iZ1^W^*<(Sd( zv8oSyUT|6R*d5MX02-pZ6YV^wa{5Uc_VY#sh_Rzc zc<$Rnt@LK?X0StopQ^c)@~$M34|QT1@63UAS#N>$g1M{9d`>Bvk?o|}dzU$_$GEE= z?FXw2E?Qog#neVy7xLr;?~)}}`^1ZmeO}XHC>aD|Od2 zqqFpn9tDV@@@vZuyFa~>)u}pU2wv?{@Q19ebMmJ%tEAm5olkx~snWPRe66b1Q1>Gf z_m}@KNOlXW~{b4JhF^_aQx+`j!Cb0CPbm+z$5#LdmKJ`9wxA~iLewMzcuqWPkEg*Ld_ z(q2Bbwk8@UsPZ`j|2cV#bfuAHr9Pj!XzOO<^(A9#B4w%a#o%OG^h4t~^xq{0o5H{?^f*sWFezd&8_xx-d;>F4$xo$YF#r!S`vV z-Oh&a?k#JjEqy7D7?Yi8eqehqyqJ*Ht5bWS({n4=p*16ekFmi`Pk5ji^dire9$0t6;R>jk0SCg*z?U4)>l%e{nd#Bi>^lmsRuDFD_7lAurR zV!-wK@-Q#YT*}Tj!BbshN0h={Yqc6@gos~bkdmHbQ_-ntzjRmgjZ$Y#>%Q6eQuQ=v zD_Bt3#}`po-%#Q>vb!@IdhBTZy=lzc^U3PhsmZX9ne z{%|YtsY!iZ_qg$rpBFb4>qg-qFlLc;mEAA6J0|70OusKIInGI+YL+S2400bm3PACm zHLXL;3t;*l6yb$B?A*wW4SxpCEv zV9GrZv9BpvFm~j6#_E>qmrsh!L0*b-J&^mo&QWOVIiI#)X$Y!5$Jn&v_Uy>X?$Odh z=FTwsx^-&c@$z${HNn{>CwN_9RAY4cIPNOO5@?*8Ls=)N#js1AD_!!3N24W$E@5ZR zf-3GRFGz2E;ST$~QjU@CZO*qE6wxQ?ia*RZ0?Kdy5d}GwU$F~}m>}a(UdgyUEp_ls zts>Cc7~S4p0^@FB^?uq_hBuI`8AEBGi?v&opY32pBvX1T`L3lpT26rMGi;lO9oO`y zd-j`NY4a6orJWgeMh9l?;L1<+JjA_o1A*?J#9(BO0{S-ItVC6%^;&WMJ43s1$zk3R zY7H-#2)=4EzEg#+$C3xw$Z4GmW&;c@yqlUNZuq@&9rY<$4v9O{VeyY(%v%P^&V5M@ zIJm;;a`hS5dQbM1^`}zF-0AktAdcU3aEpy=biWHB13~<|y=cBH{z7IH%z-Lwzhh2H z{0)#D`EVdYB#FJ{EY=J@c+gc{9#;KSF**b|x&|*RCyWfzzcphDtm9?781Z5Z6%o!? zxsno!Zj?jj43}(13^eq0t%%gg^gNG04s)N=b=K3KL1z%@dOj455-z{wCEn!NU}neM z(%n^xBz`4oK9^;S0pYfieWuK&260tAa*S|_BQWkwj#S-N4B#`48h;@5sR4YVT1%3~ zKL=~jTVVJP;>^HSbV?v@ci&bFn!z6_Q{9!5i^)w65d|sjHhkqJ>$R;SWJ7Nb?=YTMRiri#QqDr z#ZB{oEh^*&KXsWfc~BAaW&dg3UTiXjk)E9eO^iRrec1f#VY>2;L^WV7A;88FmtAB z!tk7dSLUn5GLYTh9-O$aVbYDd{0oS^aau4H>5+>|vYU=3PBm;C5(wjoO8fx$vDg(U ztoOUp;)6da(BMz@54O7Hr+Hy2xga^KyGpZs3Y{y(8O|R56@#*7X7NW<*YV(sY5tL;f*lDZxajXCdLjN(Qj zZ<(M+6(n^$I=4?3!EiY}k9-3Cqm`j?<}QoSNnWa~0Le(ATnhCuD8DCPwG`iWnA(8~ zc|TPT8n$D3hJgI06YQsvBf+@D$1C8ox`E=C7y=|b26D_bI1S$DhR|h_G=16S24Kpb zh|Z9pNK{(Z`7RS;)cVL5k9#YPqXf?W1(**ZeM`(?P(l^h6ADaE-Hqqp1c|kXA0+pW zG~PB$s9e%k?)Y_^b|!_>G5&N8xG}hIE;E#M{0p!)1r;b=$krR|P<42FkLi|%76>z3 zRx(OS_i?(ZpAf`_3;TH2)F=u6s(4s_tZCZMmC1ryOfwVIaDl$SMx4bxXGPgB7*so`JR2%AJSc@A<51NxX2F4t2acl zyK6HWg+G<=^!DbM7WpLT>{ZfnsqoO1%ir_$@fGPR`LQsRJ0M%BDt2>AO|$YI%Or*( zoU6Ttx@}0e)6XC7F*8)I7g#?CG(w*&vaO?a$R%@cCH(+AW364)&EVQ$jQMyGfADcF z%oZJ;sduCNWnkuwU8$V3=bkNOCv4u}B{=jgaMB`uB-yov%pm8fbmzxl5c1THzC2NF zmHT7;E=I3mrS`{h2PuqP)#qaq5|$WJFrcU zyE%1ev$9=T{m2RPMfOsvbvw70YRFst!SfeM12r(*zYO(l;l|-$zm*4*)o?HZE<_t8 z)Qo8Drs(+6EaP-WkFpd?@6UrS^@I_@mEe=aT8C<}7plt|hOM9a4pRegHVXxl3?$Z4B<$G>Z~ka&?vX|FkHpj!b%GZ+ zdW#360ouaq$G1an!VYZ&KVKOlpTPTOx5YzFUm_{%%qED+JdEH|IwBNvkl8) zqXBz~6-<76r1?8UY!=ntMsIW}#y=e6yvXl_MrLmea5|cuh^1(y=%t{HO5)8l3?+uR zenqC^*`!($iY|9nKhzmeXP>GJsm9|FI9l0CaWgP_n9SJcG@34dBa@Sl#AIE&ZY<}X zcoW8LmzU=lueQvO_dH$V`ndf%06rhk;4#n_)+>!9!{jKokIC1Et`P@Se%Ov7SfWBTdKXt^9A54@5_QG;&4`O6t0ov2aHB@&&I6L7-YOz0) z-X18iOEQMS)zkl;roU#~Lv*>V$fMt@7g~dX$&sOA=XvIwHCB}3abyT5-e#yLpi4l9 z+6OxX;BquUK#~1Qh8Pk|7dtjP#)WCS^(1niA0aaDYirjd{kJ81z0Wu+<`;F0V1xrH z-KTjX6_k<8m`MGK48f0UIb2`B<)z}5Nuw(#A8CQcZl{W>VW0xIakM@ZoxaG>@$u0i z9e1vZoWV6QLy=6fbBrk~VNn@Z_`~9UA=xyIPN~BC@=8BJLexe_UVR0>Jj<4`$3$O+ zxBwe|A8@ z0f+?jTZcA zlK%j!YI-r-j8k|j!kRE!m95VtRkS<|)i_QV`qi}Q>qx4E!>v7dYK(JFUV@t3=V!Bg zE52sXghh9GZa_bcdP+=5jD^E{*N*%lxaRWQk;x1P;n(!9TaI1Os13-j3Ytx$?6Udu z;o$s@D~Y5iq@Wz|Y9P~nr5hMyPH9ZG_}!i{{W3SBmM3Ra(mPgjKHLmk9tkGmNSxlJ!uZb zh+##zV9lJ0NaBfZSq~g!b5XjLxCDdGN?8#3%66R70r4bhaLB|Q^v`-~`Ekt3KqzWA zh^@lzUO$yOSlT(1h3iXj9ScMjNZX#{H4gP04AqDbnOJQYH5)+NkICMaqa%{yHisTj z-H%F#&IMI`k_}GcQvwWw>BS8kj8Fyu`p_}5at0zHg-+5x$`&3+V??pby?=TE`c#Ev z^H(woIYikM(>av~kX47}MDs{v3*?0~;)Sk%*5Hb5>}0ZoFate(DA@qpR+r5rBGa9x z^G@Vk74nJmNGIN-hhYtuVA-a`J<}~QT+4O;01DUfM4o1|cEIFs;MODkd9Oh$Bzy&D%~ns% zidc2eH7p8bVYPB|#(ULnO8_fdN>Me+!l{C!Wd8tKPJFr}w=co{VyBWipNZZNeLqLi z{70e4li5sxXTZkR$3_F8&PHp@n^40KwOP8SPcaVQKMML|!`=_M*1R;q+Yw^{JxKPi zBJm%BC9%1kAsi_nt1bu9s+^+qne-K~6so>%T}~;^(5{RfAC+O=kV%nKTw2Lz8{5qv zmQ}Ihyh-+(}FJ=~1&_`-)ui$0C^BJu+!qQ#hg*CveAH{sRYqgQsdY3cDaRR{W2%Jz76nsi<*kdGA)YBA3LB$VWA86l3~Tc)AXiY-He* zRP;Kg$HOB*D!L3~sPz@>-v#_@WuoePF6S^>+@w8T?m(3}0U6-d%T##MmEXO&XHI4NLWelX#%t<7gc=5sb>XXt$mV%jCIco$Xdd16~ER-{Gx|wq*f-Q^5sO;eY z8D#5Ps~&BWT+E;QK%S5qVZW8Q5L5o&ZmqPbBzGLs8&QWi5wWR$gHitI(|vK;8c}_B zo+0DjkL@MT5IrB-obhhd)`R7L$}3)8oi`?#bnetO_j&ZBTnMYsp)NZN(p{QH6URF9Tjkr_+&KYo-$ ze~u;Mm+e>0(joa$3H1ixBJ=G_eZ$`J7sG>_$Fi1bfq#D-Z7`J?b;1CjL+BOqWXmcSijC@(nlKYnA<` zS`paf{L#~em89$Ywv4x?>>9^Z|hh|%b51C(!;t|ovyb$>rjOjG@3Qqt<`%2{uNTuhuYWHtzJ#? zc`9&T~1remt$p*IjF8dFh71OQ_V6?VR7wEo_t=Y$Bw24(DUt8 zm9S4We#$V*xb&swcGS^alHL)3&UiFj&KKoMTbaNcW2&J`RNTcY>c9*YH7*8d1B_CU z&mNS@YzMDuX*kY0Qj!<(rpAUw!27glw-&)1W<%eKu`8?*;d;``BHP4q+Ov#>+jD2> zN$L@cp1lUhOR;9}Plnm1KQHS|{{KfhH?#KiLF6oP4U_uCZP6{p;~wkiiNns?a~ z``@isg5Kicg0AKy;0*Poy0f{nc1wue8BPd2kEJW!hqIekY_e^l9a@;tL)Rk|%R5G$ z8cAgG;9jnOkgXjjPqx&rqO!2Img?qWRy8lQyJI*VIpVRMbe}3s+p+6m@=CQS#gEf!`e~4(8q9kfeYDMnUXrP4+mQ2OVW{$-RIB@{)apG~Xc~D%E>yV?3(4 z+8E<^VOzI;4b))K(@`=0;mKTsoDh5ZQ0%m9(l}mabe)X%e=^j%a7e7cOCEdkS~lyO zVvaCC!Kk6JklM811`1EgI@LsqF>Sb_HDk0WJX41Rb6p;#;a7)2wAM8HguJqyKzEP+ zSj*lw9YN#hdsjAqvxUbwsobiRGA6jL#$m%Z(%Z(^W%?ymdEa~UhAH?=N&5aMAD%;uiZJYayjEPA8t>#HKDH9 zt)8KCYZTV=O#@-gyn*9!*_8Sp>rv@;ZzyJEBxe}!nw|ARbY!Q=ZAx;f+6h{%Am=sI zSxIFHp5IhfMUZ~xIblr`ohMgsLO%`?z#EJs-rrVwI zDbE!pByc?)ibsi98n+iiRp$9;IPX)&Xl%LaiPxd0q2r}St;ZDbIiq8po!7#Pgong! z9ESo}@H6TU{{UXS#?VAzW#e+!&R!3g!{Qq~k=Bl60T%AAUAp+7S1&!q(!cdp(m2i*1%b!Lu8HkHmtr9_gWK+Ck` zccio~W9S5?f2`*eg5#9pRevx5+;P&Z6zA_PXApukCAkL&6@5%Z1E1E2uw@zYh=b0@ zy%=9TcTJu;)N{#hB|w3J9YGY+EMnse5hJe^9ZD@pd zmOadN5<788nD#p=5PqF$@GZi|(i42uZ{BZK@@ z@+3C-`AXv))N(~C+htr8{Y5(ndSNXN=*b?m*faKa`7$xqks_Ge=gd+u(xph|TVwMM zKRRI9Tr`^iXuI%g)Xu^X3^=HEzsNkyWYm{C)F5d=1ox>+K@5v~%r?dbI@NCz$jPTn zN&q&T)wt2V(ZqmYcC3#aGee@z#zIEZ%{8$VU833ZW~%$|CvR6j&a;^D&M{VQn{aH6O|z6k6&8O zaBoz4SR7Z?r+Zy9?au~SC6<9|2JO-yW)1I4;!P7z)HM0N(vd_}N%KwsA75(uf5YDv zd{Ls>3urGq=!Xio3MAX~Cz{UjPsD!_c#_$zwJkx~*^W#|p%nc<9R4(NGue5SD_w45 zc*^oAwP~Zbb&@F9sA16kJw;)sN>T}9(C3;liqUASj}EOzQch2(qvZs8)NJ0|Q~G~O zjPcj;rieoqnbRJ%s(WLwthhP4QP-N(e`-3BTXZAvI`yhLnf<^V^{btF{&h=L{{U2& zJZGAvps9RFrwI9f8nmlb;v*Gc9u7w}Z0MOiyiYR`7|*Xb#Y~YDoW{f>^!d6`r^fPU zZvEfvjFInN`S9!D=9Q^c> z-R(o%JZ{}SUMn9~)2-FmKz5P-e;V~45O^K5Z9+>>TMBRp=tpB*zlyv&HMOElzcxDK ztx}X;r)^v%Hyc>{y3@bW?OjnsL80T;M~SZzO-{+VsG}DEwpjL5NSu&to@@-9QnVJ z)@}60Mk>E_b*k$hOpquA1oe@(_N_O&zu>fn_fH3pFpu|ZBg@F+tsYemLGnP=I)#v2 zgp)r?YigFfZH3Kdc~qMRK|m-#(*D)A&-#b_#RFG|r`ec~_p38t&qJDUk8uMO$H@-H zkM^usJ8iIjw1-$w2l_I8b$>{0Gf%kLtyxKPX>l1*EG>y4Ki(aVJr7!;G%#F7?+7HR zAzZFE0n;O~s8dayPX%am*lTL!0DOcLgH)NhSXc1Ls`gL`?iCx1oD=C?Od6J*VWi#Y z`kt00cFdNyw(J&I-yADvhC_~>M_O}|i?C3^s>!r-gI1UW@+N+igI2iD2%PaxyM*~9 z%Na>VGoC6tYkkq~11F%zTD=mv9>FQ{TT$myyd6{l{_RBCo!)qH&$VpdYZo_qJ++0_ zp9@@B;6-;B+b5OJ$k^eBJx?OCZ{kquz-=UF6)}9y#n;2CR(%IpO?P#6vF^@#gT-0a z?QbmONk&398ylA%!0OLIc zbvCo!X*RK3>5{ZlFU+pI6+hvQ)ug1Ny-361{j+PLIa`r=4hzWpPeL1tgUyw=4&3|J z&BWIiHy09J#;Vg2%&MVO6VC&+RMO9te;kSdDBFM|AmiBep-EcesYjBcfUxNo*B0$I zB-o@WW1Iqd1L>Nq!tTa=F(cUW4R)H%^~?&l8dM_M3y92e#J@aw?xY@rxCf_d=dUFD zMUr`_e8j?>_2ROPM<-@IR(wo8rvS~aQb;Y294mmX5<4kxh#WI%l~Y)BB9nYCn9W^vyIiv9-oV zJt>lX#`MKMqK-RLPBt+1rRZ?2&V7FQ6|JX&ZP|0}RV;1H_eDoc{*}=`holxlWtkBe zaNV=-=}L1;L)eBAc!lnq@y_=32BlBA=z3G3Vkx0%1 zE-_Qc$pUfKms^T`KK?M05`f@?=zCQQ&BlICRP$8zb_)1OQfrur*`{;Gb52;Cwm%Bl z(X@kds`%W5^NNSWRzGF9MvS-2FnzH}Np(8uV5K;z5k`XE5?FlIM&d&;IXM*}XO`b< zlerJ(DmcN~an_41&MDINQ)%urF97zZU2_yNjN}*g4pQs>?pHWla!Y<5GkCpcAaDSb3eir+FrvcM# zV2~FURhm5ac?wy6+Q8iJ&5!@FPG3n^Qel-68hTbUC ztu;7pJVgd{_my1CgXWKsocgb~d6p@?`PT*9FluiyZl%1_LZgT7Fvyzi5YNP$y1U3_tT%!zJ2j#rq>$mlG@4gt}yG} zhjCL2v#T(KUn@^kcGo&cywl{0Sn%o70|kDc;arurp7A7-s9hVH`Uc1s!NIR|6xK{*6+DtTHq zt5%IlI6KYJak%vMsjK4|JsdirzW zuf?qz=RtzQ#4|0O#k@i>AyQIR10A{?cIbaf(xQD1OunQec+08tl-fK00BF6{tZac- z_t74Td+qffsII%=?ukCTE&LW!KyD#G>ug&RObI#IJL4SIUyJq2c{RJq^pS{Uk&5ww zjPd$cJECeA8g{7-^|a3o#82iUp}|w0)U4cWD%EMMN~pE5>7Nol9qX2QWOsKqtAyd5 z2sr?8yB+=e*Ot6wX{AWzTblYT{AkiOKLuUe>o7=~n?vQ5MaoLw-XGod$K_rb;yddr zm@#I9a}&b$_dc|v7jvT-R+Q=cuTvXOAi_s*YSGRGLun9-Gg9}@HLZ`Go4lQfFF0j5 z{Atkk?M2bxcf}C<_Tr$gvRECdBU%);Pdn~C8VWU)Gk3kl_ZC8vF&KsZ0Svh4;cjs=cuWpk%a7^eiWAO=_7&w%`p`O zOk-iUIH6Uxjt5GZJBgfh&uV~)GxzCQ!xCdDkg|j&dsJ~naVoT^RZqV(i-s=ED`(ri zO#{Y|x6b_jRHDi%K{e8bdxniU##f=Mma#~iat=&PyKVqn1d7Xf_aY+)@C>%XI=$-&!TYz%wx()J-%-H3510RIbADS$Z5ANeV}0_3A|GuIU3jAo~%hnVAMVWi~$0F6f5htiz=I@E65b*7byNLy2zr330~Rr=Ol zf|EXbde)DH)fy<-`z1N5xzSN&pp3Z#OE#z7TW10x2Yi=gf*!VXWp zG;K3SL%%i`k;r-j*yHP8P<$Zq2iss4R#y<*+Nj=9m?W_0uglzhIIok){VLv{saqWe41bg4pHA;6kQ*9iINnBqW@(2;GrQFGiB*2Xo%3h@$9d`WzmNrSEVCTRc~_>3<2% zx8XRog^?cdfpmb6yr7Y{KBpDomeM`E!c^)FeHHL);(n73jQUo&clK+Tn6ytS{EVl! z9rMrQS5hwbMmcqOB;hBi;@&E>TVx3tZHthh&RKEQe*;P2i%4&;9vg`Sc|ub?+ceJ< zJhytS+e0XpCY6{l;2fT{nQI-*yDKTiInR2oM(Nn*mMWUW`%Z^w@WG~D+g-|`giKaN z>K#r&S&44z>p;z**Z8VU1$v=${R*jn$Cm9-*RN>I}EjQuR z`tO2b)b#6!t_`!q{(lyO%LbjeA zBUQSyNwK|RYyw9^j>nFpn&$P3+w1H3ZgnYMYl)k4$lt_jV@<7D(_b-#YFvqGUhuul z7T4LiP0_O8{{XY^?^|9VkI9WLEL+Th&meQO{{V$?@wXs@f;)<^F6IMf^R|?!-d8ii z<_`}C2OE#nHnuh{InFx@qqmP?QaLWgnDQwIJ4hVW$K6&u`A?coUC3KC0~|X2YRfMN zy;qa(jP%V?E+*Lj09Le^Cb%C=x(K4bDyncI9=TzLxsovSy`*1Ee(9ZDVcCS^5^mF1&2Qq1#`DJ zW&m;r;ZjIiL6#<%%fLR>P>r<=MlMy~WORNE@gdN!VwK}bQ5ZJX861B)>3nyp_||xy^q$ST(>*$ zldtUTmWD0UMUt_ODg{smDtQ(;m6|o!>&qzQ5m2eh@y%RpmWQ2RpPlpWC>dN(N6HB_ zfRGOqjmhSlc18k_N3|my^rnor3Er+n3c{PD>r*Ml8=KR^&Z6d9E!)vApXs~kj=SNP zi>|yCtS$6VrOXb#T(GtQWheMsvErrpyW@Lb7kG_tETNhxQYDE}LNms5)9YL%-QjGc zd@n_Bly%%5md;b6dMv1;{s1N}CNh2b)Z1p)H zM7)VSyO>Vpc$ldN)OuGq2hHj|t4U9nWH3G7`=~%P~DkJ?esvIiy8mXAqb~ zvIv;ursK5x;*nI5(B_))vk~%|pi&=WD#1H>x!QY<)qSFlX7eF`n;lfvV?!Y$vkmMl*d9EbAjRxBGau@6B~tlPs2NpJHs)l9K=e3J&ky{BN@jv>c0nWo9wr?-9T-?dS;@!yiY?Y zpDrTZ&rygl5x&~domt=wv!JRlG@oV0G6zH6v><8P+XMnJTuC2TLl9!fN&tOFLsq7a zO_?JYs_4=PNjTv3t1afd2as|z)`*lcRFle6z$3V%beD25BcAlwiI&&z@;Zam8hWcV zEBnB3eQ00~jxK)pBz|-xY_}L^>(Gix)CWS&a668)mny1J_vCttTLpaN^!M}l0)(Jrrj9!0s+YVX#=SM`ENj6 ziDy9*g1FBeDc*7mTx@LpDzKaV8~}$o{OWhOEdWrA8l^I;Fbqx27ZDm<6@YHHVaHG^7^V$w`=cP9l{EJh+1FEkX)tXIXuXbhYj-JpRcW9G}F2C8D=V+De_BmuZ65`;W>EAsm|Pr z<$OzR2K}&*3F-%>O|AHCH0?SYm~PdUQS!+XWCQ3&YR0&j2tv0m2P_R#WaY8nTN6&2 z-t4O>BWjLz(y2Rrg+j-<=ARIG7zO-mTWEaz^=*zU4^GuA7Ny8(I2oznwNyENNvj+W zY*R-(s`QA|RgHG2=}VAB29cO3+{YNEnU1VquS&|)SUlhka643&H>8cRbm^L_7-HP= zeQ3Kn=~Hp{nJhyJ1x~zl;8bWZJJg4s*`h2Y$Ht~d04XN7v~Lf!_E@QW0&u>9t7(?S zt)0gh9JgFjX)xd1YD&6vw-HSnWsGNzDJk99^)Q$vD5{gz=H<_Y?b^@JwUclhGPeay za@Tfd0^3eP^&Q1}Gh1Bw49JpsjsCNaGwWC_;rsjBxjxHnB+RN^l?F&r)Z(dG>e;p< z0|`g>Yg3I@;}reF1DqPaHj?qmz;D1ll%Ls+*flLKcrs}_Gd-J*wPMyBo$tV@{{XXy zIU%_9s4u4xrD1^vNx^3$bHX#j-_wgp$Rx8D2Ma5_|%({BCR!QExUl9cc}; zxZn@1Hm-ABcOy8?PhaOqzUT0%e#bFBw7+7Mo_bW;+7FsN$Q$X!H=GiBRiR@kJe<@2 z0JEfEIsGZ59P#c{2OMNyMl+L-b4J^Z zREy|m{{U>=j+;O4ns@fK)&brD`c>cTM8_l#_!T&4@qvSkf4xF!586YqLA86l{rnGH zQ(INJZn7HEI#dJi75wO3I@EP3$Gt1+9@Ta-zqBq%{{ULl(S33`Grv6bt*@|J595q` z(e`U0$&xYkH10lsBNOeH2dvMZtvN0x2mNdF?rT`XWTX9J4|hDpiaD2I zI-Sv<_K86RNW_kYuS0Pi!ufIl82Cf6)h-4m;GMR#AM*@<+ZT)sVOSoy{%ykGRD& zf>@*6q;d`iLti9x=XXD)b#{LeyjNqU9XdT$No_WHVJ1-_1K6HxIYRE}_3)X5X~U9z z4qsBST{iwugq0i*rDsORamRYIb2PUy7oCeSJm#ZFzli*+>S(fp)tO758By{_{sQja z$$7E6$rPauK68M3cQl4T4k8@qsq6m$)~hzq>{9Z5?zFEdd46MmSHmxR-UvZ68-tJeW;)Wmgdq_PsyousIz;2Eh^bmh&F!+; zFGRFoAdc4F6drj5(}en3@Kv+)&1D$cS&nkp>N8tj9nr0|o0)9|{C2mSH(R8X=D-i#qi44j0KAS#F#iB5_B9>lyV}lUnQ-9a@};$~dxN-x z<%9DdK}WLI?U1Oe+o_`z_Q86Cj`Wh<+YycpJ}oZAWtQIV;K4av&`H)e`kKd@7KTEN zgIXq;Q^L4wBJjMo1EnY1A;7>mrLxnOKpH|69__#%TGWq1v%AtRCAYdrwJTO8Ye_y* zW9;aEi=Lo!+)&!;RB&!l=19x?WL6k_K1!s>$svuh7#vor>Bc)GpKjs7Ak(rY zo*UXbBv&`8Pu#%urakhb{K>^^YCqUohKX)$VwTTVgAvUM%Ou18dIQ+@Bl50k6LgBF zs5qq?GN*=A-zzU%!xhwCWPBWOM|!EJowr+Y?OGx^;EYKE@P~Ff+M(kK|D9ta$j3ot)k0~ z@TtgF$0U9=Cx@)o=25)hXB6Ag`R2i^3 z*HfrXdEw1QEB!X&OKX@&WRf<=`SdlLs9wviY8M)n?X)mYa@)_79>i?*A$xN~W~9xl z;eCubp5`2lRy5W-p053#F6s>;M;f=ujMY0F5~!SPKRv1owah^A$2Bnr@Tl%Nfw=dj zsxyY=czTR=6=^z}s}Cn5inP3eLS;;pdQ+FDT3|H%_MpLw(!1}3w;o=%EPiI+GCrQT zt_gZqN8n49xA85bILfgr$G`sos-kM~X80-cVj}#{pzU`Qa3mS)jMeJ^8X^pstjjWq zA>gnhJa?@dCqU(VvPE#DeYp75L2zG@&#@I|-C{9t*yE>afE5#vsBmgqM%o#6WOkxD zF_6LKi6lnIJ&igdh9?~Gcs-3MC(mK!?jOpL3oNb?I6YL-0C^Ry!2{+U>0QG@KX-%a zQAW>)P@syj5S8umjDSB8MVQA->TUl3)%K`bLe}e;@I_dI&3FiG9C1-wiCPz2f(ID% zro=i%FK_oSaZxSAn?_W0sbX1L30QrN<17OcXnx1BGpfeyIqM#`s zoPkb8DqEux?HI_c`V?Szx5W|YA`>_719aKR&2jgi6XunF(QMd}(4H%~u<)jd;yq^d zwHJ}zBBd?XO}SD!k<|0)T|7Px@UE1b&Yfi#{`}`Z`Vp<+O*N?!e6GidOXF`6YB93f zzyQbasU%Sjnd9AJG37&f<*~p!b`RFQy5q$@6wy;}_J*Gb1Mb0w{Sa0a{{Y4R01aEK zeVpChGWJOJgZQx(rK8w!jY)hlI6HrYzA3zH-(JHS{{Xi!Z2kaN-k?50qCwsC;Jd)w(ga zTg#RMJVp>7#f^N+9+R%!t~WC0sOeM1;9L3F8;h11{Ao5yp@)v{=dFrA5m$6azQO$U_Skyd0d3CDYzngaDSg;u23=hy!EYB{RY|X)C2Db)@ zcWI|b9r`FGN^TtTDnyn$?r+l&bdCf}&um^LDpL!OCyvb_H@Y=kD z7QkA+6iAEm(})~x7!+oyI~dMxLYz{4Ii(|vo&{36y;TJvN$& zthwfS+mnU#6&@N^%bsdvj~?EJiye`Ir|LE+xdNs|D%=h|sp!4EDo&hG$dtx~0DQFK zF;Dc*BvP=+6d7F7(;+u(iwxdWy*~B<_OGaZ4R}*X)BH1SeGCfsvng4cL6WCGcOQj( zpA@YNhC_q;8t?oK@jqAawqk^=_N;PXn z7U>(eQlkL=74y%k+nyT`VXZ8Y0xrD27Tv2CZ< z9qYw){Zj8*)MmZZCwq&TPF>jKpZ>Kt!QWGCRz3TyO!eO;mp#pW+MO+ zd*D@rEG_^ZP@w08+D#jK?yiE$DXx%4K7VK>O&&z7~|HUVe_00Gg_vesNluZjVhLi)Eh>9 zYfnbF@-9)cwUL7<>w-U>P~!rW@HqTxTDm!D)O6&gx*t+nd|%L8!$wK%m|qdLTSXXF zByz#JZ9kv2eEEH^M$+5~tl+p3Idpt&c+Pts3003R ztZ9F2jB#rh9MeCxpLRvOLbHb)b)#s;F;=8-XlXXJK0a9DU#iqcTDdvEk3a6!ECcnW zR3!aBS}qzE8&Rq=&69Mho$Oa~P2pw+tQI z3O!G!H4Ew&$lGO+)Z>9#*4owPk34sJYlV?U$ucsoq;jmMs6O=-)Fw-LP1H*itUS>e z7yuqYUuw=ZHQ4W-3znZcGaCBqKkFEi=~G7@r7f|z%%%XrJkEB5>7QDyVwsTc8OK`c zG~Ihsut{xf))%+EQ5!`v298Gl5<%$0vkqy_rz_D53x!payV&LBn&KzhB$5O5Jt+mm z>_Ayu=iaq-*$vTiHJ{loqxoi!oNpaYJAEpan5v_5u2-ki-m9ANxtpVEzRZ$sGWj8f zN8X7r-5Gpbd;Y{ zlVxSfu)*kaTG|(f=GEl^UMPV$4J&OK>^-y7H3p>^is!E7&I1nh(&<`km)c`RYLc0- zPUzH}k9>5il^wH7&K(7is^1N+ly$# z@;>KpmQ0>T4t*C?FiZRnQI{Z*sc5&>HkLYs zjMCWMs@Nz(jpB+rs_u?DyQ5m^cMx1he{|NDMRRW>48%z4 zuiR(WqLvr9XrhgGJCLdeQcX{HrcRn{%E&zEdDz|YRXI55j$FG7?csOO%XI-2(B%z2 z9j7I_3b(3RrMyVi;no>cND;cJBd5JVWdxHWDlSOmf$3J|Xk6!bckR8Ar6taTH-`om zTYYBTu*yd0?vS1_{nj6mtdFoqJSjE2gel;u>ZkgOx2kDIJ9N2GwSeSQR@#ffrlej{ zFa+&xglDMtsD$~Rhju#)>|mPQpXTz^Io!ZwKECwBg#@=stlFfQ{{U9FJXLA#WSDdE zk807LBBLht66Ei=B}WwaM_lntL^v7iQmDY|Q+*9&?5s=WwT-WZo(rrC`rzc3QEw6tuyI5n6v=Bi#6(Rl_R>8?X z!&$6!)tg#0{{S|T=}&_&vsGbAtBm6)CCt^V;Tg{QEn=H%$y(RciI9#l)|1L?bg5gC zhLn&TocrasGYypovQ5h0I4*XiaR@Z z6sGxCG0$r*d2UYU%268js#iAy1tULGMa<=yf@2`!s;ZNcd(x){moqM$EvqfrM$H>+ z`@*(uyft?sTHMULAen$JLHTps)jto*95>PVye8q3*8;w=@jip2Pw>lro+6&!D{%4L zMaW@}Tl4&>PU)V`1~Hsn&y~DEXD*-qi*%$*6JykVed%;xH_A~7Ib&KLFVvG!h7&Sv zyNr2qktBmfL4WYz>bArNj74*ADC)T*2l6%Y zuZ%TAtY4d{6;@{p=3}93V4ugWDtEokoP1!Qt+}b;-8SP`7M6BuT6tJ10o0OfQ&8|V z)s6ktt@6FS;E&CKo)L)q%6&31gHrq!@g<&*;%g_=kQi0Uhwg-eH+?y;UHze^ir+`l zH9J{QT!~1H*O2N)e-ZvPp7u4vI7*504xyF2%M22E73)6^d>?h=8!6(6qex+lW#2eC z=)YdI1?mICt3BLOh@_9qiP>;)M+Us+VVhmbduF}U!QUEm zo4*VVC&rfZZ%D*qcw^qOfG`OiLl0WHCiSt$l~;{8xX9qVZ>H(Do)ptOL8G#xOa;C5 zze5$muO}Xjf@=rBT2$KA;j*%k1>}wvGW;ZvS(Xqn>N@`bg?iikYKu|uZO)slSjhU5#pU_XxK(rg<{iDqL+xHUs_I7OKPg7l z&P^ymr*o$pi>FeZqVCLM?mvj)lHJ%`DcpH9^0`y|>LJ67_BE?#le)32e)S}SDBZZA zbk2KFc^IXA4mG1GN5)P~TaP&P?^RbTDa~0-6V|6wS(2?hbF$0F}O}R-FDQ3t&r9MbYNf~G0 z_oT}jC6L^Mj8aU}3zZ;aG`AMUCsG)YdeU6Ps!@yZX*-VMq;f3GLtr&J*UVOR^D&Nb zNEKFKtl0;pITkdz!91QtHK+}QIg!AZ80Q(LpeZAUexp27&_tIf4Z-P1%@lVZH$&6w zPQVr=S)2#sIsX9b(9p-Y{Kv1YC7+cX%n#jeN3~fdEd9y=&xUyX{o4-EI|?ptk=aTq z%KLLnw`oMp`=BZ0OxJv<6`r;($C-Rxdy9E3wLLW^Nz@f3GOhR#-n@ogV_(z`5-Fr4 z<8$s~`q$JNmX{u_47Qg_HXcI*f~VA1F1`|yDOqrZLHodCBDJRU)ai~VmMJBv;Yp-; zlJIg2VD%XT6>i_ax|PQ_S4B>G0!goJeH~zwCRaGB_YjC5X=Ulso1KYxs*LjsFNaWI z8;PWRcIYc|&%pY8DnD==+?>}+ZWSdUIODf!tlE)D8_Q)Fr00Fi-D$q0R-PNRh+-%s zP(2o|!=}VC6#&I&#jQH;#HzXaRWI$LvO5{^L6zG&53@#ut`rbBs92zlh;fnI702A` z#7g8&0Uc{HZxCWOK5^^QH8&lO=1YVyWtbnWHe2wP+)07&+Pv25#8Nak5-~p2Mr~GU zA^{oxnWh#!8&JDjn_n(TL0sf}A6nuyqD|X#oD65ys6%l($rA5yGC0joeA$@it_5pF zE@S8O*?WBT<-6zpfGN)d~YfqT=y z8NlyIanq)0dVlq(A&P!qb3*VsQWA4O&N0@i;sP>p+MCEfL)M+tb)$Ap0L@APqbHww zcNqFmbB=#nT!Jas104=Hrr;CBIA`#rWzXU1PWJ@KEv{bLK*&#A@Nhw|TeSGqaW97( zOV>20brJA`Oq*Uavxe-d@QTSdTBnKQG&Q2@;RYwQg%I) z;a9`^PZjB!td~-3kv6Pj)SUD5;<=9#+gsV`Ev3$X)3nzy#^?CrB=`2C;rGP5{{RRi z@T4)^+Ds1U*zGFV`^v+S^gJ5o{7J4pv41Vb&n!9npy&0h<4;v{+pCYKDm<%mKSfdY z(6TU9CmB5}=`B0qR-38#2F$Sk0EvvS`N6^YNj!i(IL9^fEJUNLDfx#r>D~dsry1yS{xp(fKFh#GJNp_(%T$v7Ygzu~D^F zRSe2I0LPpUPSwayGfKsqsX3w$v%5MmnAp~ej89DXFL7j^B(Q6zL@6@3X6eD{`SV`O z@#jjhyzsPllB^>3IaEa<>cE4M?mO4Y78kSHUB@lFjE<}b$F+9;Gx6r9ujtlx*WnM4 zICUgvKGiaXT;5qq@g(yoC`rL!Gr;xh)7rj% z^BIrL$*UIEGTX==7i3^^3Y-z|>}us3s~nUuPK`$^ZhZ^!#tjsYwAuM9bAl!@@srxV zcx)SzM@;ssceyBuIc~D}pUfxNiYadm73%bzt9|a9PJc(}u zv)sWT1)H#74^fN^_OCYh->2I6e)f9}K24UF5+tSo*$V!+?br3MCA7b|vye+|ERje$ zIyMOWMM0=v-Cx~#_p{49tl4LFEJ^j|rBl}DRI?6xP8QVBn&ItC7ZDP&M#0pM#CNXY z$nh?RCZw$jxgK1qraBpns!`_D~L65wVvN9uitNAeMLc~UbU^lzsr&9(2qk!)GKs?SdLp9A75(B547NepGs+7 zHZu0|oc*HQ?KQnd()USJfkaaDb?klXla#25V^hGWRzutJpf)p#BO4o6#m>4)LWSel z)0GA>NE-tgqjw&ZTd~QhFJbLZ2W|+*6$oRur8qIb;Ly;cDMCt7-4+@`R~W{9Daz$W z4hR(hEyfi7RJb7Jv-AU+=amXET(&)`S*~kZx7K4--7Z_ZB$sFQZPwjiOOtLT{Ya*h z&m)>{8gb*JEd-iE;}7kyd62!b8cMCy_>bJudCCmwwhR!$&xy8NLz6v z<0hjkCVFHF1ags$wNRx9JEi?@V~EVLSg5#GsN|bX`lZUB$!HlMdYXyY;|tf?obbxu z!bMAO4#Al^)|wo5OHm+$3LZ|l{HhzPlHV#EQ|{&@?NfnO2*Cr^k}46uyvPXoxfQi( zfQhtq6_(?g(bL=aX~^K>qO!aPTUeR0CN87^LY86ilb>}|Z0`BI?4^s0mRQElj$ zi=wRjz5aGuhZ`H!#{xrDTO)~I&RaP(cGloVHb_2R zx$Y`its|Xx#>1cD6)WA*l*_mas3Ao*~!XJ&mp ztDBk$AU`_s>)NB-Rl^}6heK7%a1#z(b3qXS3>N|X*k z=}O?(43asfusf;zlh%e9_a3zFMaY;O5s}S8+#lAbIR_@8amlA-X%k95V_bB_D)r~R zLu|*$1D+~ep1r9ij%h10q~rI;(x#7*$l{~A7?dAcd`IQL=|%K3dZNa7r*;(@^Y~PP zk5dLo+nREpm}8|zx%$(^1T)(H9Nsj(7=d@^%O!E?itkK?qDI}E3i98CmvNms>eM%Xq1eTP+uc?3j0`$^NN`!XSEny9CMCo;pLLl0teJn8Ci$P8*Qby zJ0wy;Ac}Zt8rvBM2B5on1S;7C4@y9ZT^r0s1LZX>)MUC6I42atlWwdW5scE@63_x9 z&lD{NGs$?a7oH7X5u9|a*PC!poRRwGtch12eW;G0%GQ#|NjsMrr7tI*#~^V`v=-ts zxE%F8YBdpgj7Va=sJ?|FSU0n~2|&+LRc_iuS79##rHIC==gVX7ilr{kE=;2kbI@js zg^qsu>6+svVV>BoW5hb2lzEq@eweL$y{6^BiMIN6u1{REHxe*d$@R?ubJsp0K6{@u zoOcGYq}OC-a;(SGwO>yUNYXJ_7=zC>X4AtmvN`)UN4-0uv^nL}CzV^~a8IQqUuczy zEXKRr9|+xf8F*72d96zi3Ca+&BJIUCP0uVAGd4?ZAfCNy+GyLM1f%XgqPc@ zNBb`0aw`-bhN|}!&n&s{+uOnBNfyw&^sXNJ{yrb;UqE=a+E_H1jDQdqf-C2%mfb6# zQ(MsLeAapKK@}!$IHyLq8ShX?Nn!P=`vF_W%<;BD=RIk(r=>@6FOdmx&MCAJi<7b> zeX*wL4h2wqnQmXpgb+Fm43ktRxt8dS=Z{L&(V}BCdwoIrA6i#utAoNR%|-4rQqpcT zwpG-u?jV(vKG{&IDtaDjtP32mv)h)+{p4;4ue7gpT{q$nf^1spBYD~dXy(8z9GK*N zGupmi@ppya!}^ry$74ptS~Juf{<< zw2zyyr+$ZlAvnjSDI=cwra8gK80%BP7I|UC1U(2&bI3G&+qhDAtvIf1SBcrwkM6E% z^Id7<9$A#+e|Hqet*>J*4#VymZpkr_rnFY}%pbcUrT)>g1P>-sDARCCU5Iq;K6}}M ztZWo@IN(=p;Qs&&+TVDF-p=AxG03b6h5(c3Gv2d&IpQ5M)!I4jWeUe4MLenZ9mRUT zfj${nYw$~}UC$yxA^!kJXe0!ZKa1(@Sk-OlosWMkp+T;B>dzkW&Xo^`d`i|4+^yY| zgp_inWQhL&b^Ys{l?-x~4o;uQZru7|%?&KP2z#IxP21n~nE!)0nEde3JEI1i7jHP*CYpn2h zhd;IM5iJ}letYezXyTIOe6rl5xB#>H+4^FhgPV5ZU z`swz-e9ajAX^&}ai@9V^rg~B-PspKZY0>54i`mtHj0}KjF76I?A>-Dn0mf*$2&UgO zhlS^gdhmKsqw=TY-hgZylhX&1_@$GCe6FxwWF)W}$&=v54J@$qU9Rd7Y*^n~G@|#~o>+;qI#w*j#xs1(5#f zk3Qb@tu3vqHuCWtkGwn9AzzprVunwzT1bgSN!;1{J)k)(lx?$9_v8F46e-V4Q?`!7 zH0)2aUCn~lnET01GFoHrtz-l^$Q1RA<0s@5E^0fP(ZWizv^BTfy7T__Ip$ z+o<5<>sib4{lWO2w9ugAJ$-3iza{va`z$pL{rP;d~MspwBru^rdUNanK*i zvv4|N1X8l}{{ZzmOVKSC$i8oF$5b>M*hW4>S?mv|G~m4R#WOBQ zmreY6;xkG1_5T2#eAO_xZfT>Edr`P@M7j3y&+h68?hL7gTxOzG86%w1jsc?DkCh@l zxdM&9FFk5PKLJfYbmE3x$eaO;Rh>Do*zKBux%L^wNost#i278?UMwcB7AB~>Z%OtQ z8f2e(v8)0oL+Z6%GsP-;#ROg8iMH}iM@|JG`H2Rc2hG>DFRvAL6~>W{l){`Ga4JE^ zS~1jmQrJnA+ZduZk~6+1Dt$d^#0+(&_B8HjnRzdxl|s&e52)yBE1M)>S`q>3G0@dU zPnROESbWeGrr9$0edw8;4m*QSS9shqF$b+Ii~GhN^sc=r+Qz1flP#$yoQ&eLS0M3P zw)kr^--^pzhRsUU%AS`Oj(b%0abOp2l>_5Eel>h!?4*xO)A9+uEJ1TGn0EFR3J;go zn!SFtB4cT%ug>|A5Lmsq%p(p^u}qe?s%m=Fet_skwu9Z z+#jt&FCUFqJa($`euj%#nnbf>gApEvr{|?suy2{ct0%AZp=e`M;vl=oDt`({KU#g* z#98ZuP{7=MXxyc&OL32upW6eiM!j>=p1mnp$&UmMl;A-EnzZ104)hSt`{69?y2Z=` z8)S?U4{{BACZFcCMR*`~uLSsgc5Qr5YS<(;P~-gjSG(!+l$G!@8o6-_(mtmrpEV11 zX1#()%0iGS@WkhOX9l6Mot9SsWFOL;W`DGuhYTwhW7b8BYjfqY?!nDTZ4T(zZX=$8 zt3xD)Rtv#A;-3S`CSV8$(uE`q3mv#S)w7>bQN60kYQP*3PiB#B2;2r~tGj!vIOp=D z?1qsoy|R6b0&>{vMZ9Yzu?LbksBK0gWioU>rAce$tFp6o=RUOV0|Ft3J4RI1wGfpD z<>~8HBvexSPETL0Rt6k%nq1Z$i!d=*G32oTdR0}KmvIpihtsuR^Cw6L$S?&_{qaUP z02)o(LXk92AcXCV5Pk7gQ_f)6j1ktY4>@hWhMNeOp~ETfRdE;+Y0W(EBA^8Itobyp z(y<7q71vt9EAL?V$>~rXIoQbC@t;mbFF?7>L8LfFDv|9qEIMSX7eF#=rnl3|+Z@VA z(vaGPjdLM6Jt!T_=yd54+m})?=~BaD!qA^H@WpA|S_QW|U8wsqEvPwc<2`t%CL=5C zK-+`Af_qh&Z306WX>vH{y-s0`RW|Xs@-t4lf;`3n7|*Rj0zV;D(0RW@Q<#^{QRipg zmMEYz0CA4hDOeWgA1*4;j!)t|zD|R1H}3gQHS>(8CpGWC7iLKGNqn{gccpj)&GOex z1@5+dwsR}jOUS6-d}r3I_o~3=W%R2ix3T6(X}~pGQU3ssLyU~^R!<|^s%mHV3NzHw zDwo8J$kV-PSll(Uf;s{S$6Bcf$<0^`@`H{kwR5f1ad$^|ru;+Hbn7DpoX;8_aHkyl z`&T>SFA!hr*B*8J&osRYH&gC?O=PO%^{E{dPYs$$C7@Kr)Tpf{M5;zJ#VKL+r6-PR zYj|=CH%`=Sb6iLu+(S6UEzH>YBPNT9_KmTcs-rARQv|xORpf5`Y2gMy=}uMoy7FoJ zc|7q{m^lm!gV(JLywrhlNrR3lZYzvXR|IftqVVsG_1_Jvu8*iqZnAykQVNsnwDF&Q zwa-5TJ?M@jGXabWc29FiRFpM~v8Av0hf>#WzR{{(%X2Z~bd8(}$S?0(mbP~8HoS<8 zJ2x4rn#R#ae$fVgqKgveDf`V_=fj**?>Xt8rEN4e82XufPtj?%mV>mr7^=^Xhw`QQ&+wYpYt1Y-`sXA4 z+6DfWINu@n+d{BfeT;G(pSszmw(-eRj`g(qhMBkS&7WPhS??_5aIuzL{{VP#PQmXk zhH#TSP|SMv=~LcWls;rVxhqt|oSf8@to28K@Y}|+cvD!8?IT%}1tf`%3n}goV_nb0 z?~As6Akfa8q}xjpISkUuMtJN1{&nFXj2cmr3G3@p>8srChB~Yv$ZTpJDAli{wp*K) zm+a}~q>iAz-oUq0j@ju|Keg}haXB1%3Z|>;-kcP6syQV$Cw5Q$t9r+o+L|x!iO-(( z7T<0KC_o3bB6*PWcXo1o=|4PFW_ifwSN!S9tlbooN%wwM7&S?S#+fB5KY0BA0JTjm zCVulD#MFdmfGOz=jK3=8ox?wYtuD|y_3&$ZsDmx$Pf2X@d2JxTPd9x~9s zv-KB-GU7xSc%&F*Kh$^6dR)mnT-jl&CGBmY%qZjE(w+yeS`|KA42tMHJ)j+4?i*#6 zIHs7CLo;kr*vJ_@Gt=u%>LkLH>bpT)!NJZt;+$8vrDrS;*@{lvb^dIC`_6vy5AR*Wur^%$-+%#IqnPHJ|vc>^1 zw_-sYk5Do7syT{y%+~B!DZ>NWvr=-~Mg4zT{l{Fe)bP<$s`=k!^j@#8{kx}W5fg$! z@_kJp$t3qQXPyY_N(WzBY6&~_IV)mnRa~z~t&-7w-Td9#>c-&Xj`X-2X-UsLDB}cU znx=EyKZl-_gnXm(Y*MK}99oNlC-ar4BZxMYD-MH@bG(zNt_3;Rn}w6YUN6WmH7SCO`; zB=M8lw>2*eOQqdUV}1-*a1yN>a7g~6zG^2sY_aOw#>R#cs$XG`F*Z@4YRDy~jR z+7A^OKOpz3O>gH2&}0T3yH&~9(v>GxT&SD-F6i1D$m3_V-{qH4k-Hhk)~G9TMl)L) zEV{Oxadly7Ci`jQ%#kq4xESOP%soYB--TP#v|}V>d(w;NX84RZv9Pw*W@p1NT>90k z%?joV6}wHZ3mjx}K^3KIVlV9W#<;jl?IWX)Lsc~UJx(!sDv5=pZi#TcRY}g=`gAXJ&rV<8mEdO_0aYnj z!wErElTueQ{7K@O25EJq3QQp4=JbW%dV!z$(C(^HZ?cG=~znM_R496h@pj-$Rmx_Z zOpb9>SOe3qrCHkI#3P;C4l~lLHzKu8=<;eul5Qbo#Q5o+wRnsZR3JNNUisp*Bp>8Z zj4JL&am+3Bs*oHJS4hf{?Np&SbL?nKxI<=(NO;9cooSg?0IwABp7iWy#|{lWdQ%5q zYH;Awv70nBS&SOBq=1pORq6Gwqbwt1EN&a`C_h^Ho;KRq1mtoEub@0TbY{|Qr`*RV zI`!#X*rlRpy^+u3f!2u1-67wCc+Dl$8*ZZ|xIGP468WJSOCMuX+>*);2_x3IE{~xt zMG}d?-%n`KZpK@Ns#}W`Y!GT|m5?$v+7lg#?p8H*Im*)ql(X{RDW=?pXn`yE)N(~4 zlwdLZsB8(KXoT*kC%B|`M7jrVLvc-2b)9}t3F4)?5)G|^){E#n4Y-v=!wHZ^2&#vv z!qv%@j>cj!>p_5%kszsK80|QN?Zy3(L@g#PhI9XrxWE!GU689tO3gxli)p2nyLTQTyO`Izg*Dj_0;W9E=gQBsG6 zV9q)EQ$xk%ecU(FqhJuQ5Pz(1r8DI$gL9Sisic-aB}Oqq$c-W}2ajrO0`F&*0+|8# z=xQ0zc?uLrD&@@TN`R#Iq@G}QAVZoRffX4`d1_;B-;E{3xM_wFhq3QgjLr6h%5@sehb zPFTnTb6{hd@hP#BUbpdT_O4IrXl*KfrvBYVV3=c)n@=m1U1LUf=y|8nIg( zGu&wDRCPAt7qwhD=~T5rnGhdJH!h0zh~Xb7%~}z*G8B=Dj=&AYOh){xjCoM1U5 z{n6JnLPb}@Ix}^?SbaGXO7l3Re1uEs!u>qZk2Obv5!rNJ*~n{q<;@lPF+({ z&@HaNv(CuoQw7zu$(3>b(w^g}J*z6pIg2cpO2t_+8?gqGo4MOO3?oMEd&LK5net9e zS(44Its%L(S4bwvNio4Auj5l&T84LY!BBDZrM-gp>~9E_jgzo4AUtGu#W_u*1GICTQO)k$&ZQn& zoQPZdC@ieuoux??{#FWpWN$)0t!JbwaLx8vGNadmD*mUWvTU7$G82PM(!R}SEXL?C zUY{>&QI*cTRt?gJG*+c?r_GK?rb%Nup=ANRg=`67ol9G@75@NeQ$ab!YoX$Md8cMz zj!roSo!2~7txXh2tOg5p_o~C@;+4*&E@g7%rQwVOo0$E2?f(GlRQHyO7Y12G-s&v_oL9tQP-L~mGV`#w+uRiQw~OYR)p5^-NqzC>>IfH)?<@~^@pUXYl5ryn}OQBk$w-}+;~#QQAx{PG-7iXMvV1R4}LLD zqj#~MTS-a{v&Kp^mb>Kp!+=P^`Wll*5qaRuGMx{%y>y;BktdK!*2!;t2Fs<4VO_`Z z#SoE8X3hv4)h)emlw*%ys1}BmT>JOp( zD>~#BwTQ^#Mgtqj!Nq&mh&~TTr|FhjzL`JTF6@Sa;!IPI6NMriBDS> zfx^OgcPoo_+qOP7wlH(YG}lF#Dr2bN`Wlm0D${O8$ZwlIxvRQdYa{?1dsWU)ku%J~ z(u}bjjD%_$zNcvgjl`njEi4lgFwBV=z}vg2QRsSAJKy#GnXZDv%F%p99mL9Hx0MW1 zDCz@u{3{Dq*tha|Cv;(s)9SscQoC&H&1kA^BHT?f*|OV`t&TBL+G*OxrJ#*=ONYI- zw3F;pt2SQSA9HX&!gIm=E2{W!p-19NnJlg33v!X~3%F&5dwSNq%P+(ITTr&tV2CIV!eyuwx6MDS}gLcv5iSr5#g`O!C00V+DG6x5^tgB+JaKN5>S6AX2fur1NHc<>(-VD5F zj5z3iwZ%au%e8gE=REYHojYB#EXKby)FY&OExvtU&gZ81d*OBGjC?C|s#&@$kugZ) zC+2nl5(nqryxuE0EN8odRVgAJu7`pMuc3Ysd_&Q6tp-~Q<~HuGWdpG%86Ty1w~eQ@ zlf>GE-JF4p}pnKYwx&9QEqp{2ZzX?Sn&rw_bvY87sJ_oY_FZ@KgCB%!&-N(*B( z7=B2kj2RybLqg>xjZBBW+P5@<-aG-xrk%F%^Vb}GYc^R~&RFJ}u_`?8$gpqc$KS;b zs9gU5&q}O_WNoT5?ki3^D2jmOW{cR;T(R7!Z>|nMdTJKCn6`Yz6{+?ZFgX~{tu)$O z1IZtWro^g8v6S~Rlgx~L^IG>lA-~ilid*~1XPPM1C3#zM3cUt<)Mg7lfW&2H}%5)Dfj@bxPVv7~!y| z6>`Sh%6Bl}RiibK7~H&5P24J>BO@Nvc_W$1Qqe08yPrApb9+|35F>{vWRMUft)a=J%<6ZlU zL+B6L^F-41xHSncO3O94Ramo*8~DDQVwd6uoO~7HsV9OlE}wq^ifE27@t&ajf-_z# z;m;FlJ{{B}yVC9+36nTw^gWNL_B>X{js78O{wX4Zc~2LUI8A06x|8`YX*QamlYl_;uqO zuLNBg?eQd1049OY%OL)T+*Qskqmv7WjVhk^Q?2;9zew?>rwf2>?%@RS*p3Z&w2zCz z-iM!h?0zTs`TS$8wbENHyb7TaGr52sr@e5gmV9;1Oe4#yD;Zr>pS!X?t^J-atnU0X zduWIZ$s)A584RRgeR?0xyzk>1*DbAOE zjo>)7Yk95bx113ETW82|{0-=P*OpveIEA2QJ9lo{dIMI{OUU$@-pW}P)R1OGVgVze zVc!|7P)j!EJBjBerVv}5b@7v_O+~94eh$`T&^7x#N)$(Hc@|BMG8+e_eGTHT75F#B z-VL7RuVAykDW57)hux35arcL~ub9*w+;jA&ks;hwTLZ2toD`Xs8y7lB#wz63lO|mw zIb864YL{woJm>YMkxe6#a&wcDBL{4#eGO@o@{T&zQ}s2e6K;t}J*YFPwqP^q)~NKA zyI|G&r8mnv40Yy)h^N$@8#OYYYO0Nq(-k_Om#ra566cz5IUxE|Gmt63^XX3JOp$tu z_TPsWQcdA&b;jWt*`DJDyhow-uU7a$E6HJb0=_mrcJ{#)jemLvrIb&X5pL-972Yku z2tN;6wB|>*T!47$Dy^(e_SsoD1EpKGjoRGhi8aN_ePGxOTS#a3>W31+9)>IIA$i8sD#KhA2^lgQEjY#jr#&9kC;M zxdW|Omuo|v`KTHcYj(#`S1sa=p&0`NepDrW0hP|lEkO^`n5`ZM&ediGjXcPXJx9`@ z-5;vbtBa>cpcV+-Y|sHGAW zSp2XF=xST1kd@^#no{zakQP7%4#FDEIz|zdPI%2q-fk8m7t~a9%Kl=8Wc(>in4L>X zFgg)Q>c&ed%EU(7LG={aqMe&^umx%<=Kb)*r`U>hv*8%CxXJC(jV>JpZ#C!I%mE$g zr_GjNj^iuPii|Mxn7cV1pj1i_%-Jq+(277>RFX*x89rt2Plt4y+s(rd#-NYO2>bVE z)KlPC{FWp(eMK~Yk|kFK%(!8Z!Ki$-D$cVIz~ir4a9G;ikCxf#P^zLvKX$<9^QI%m zJ~6VcsR}FPV|96)$Aewx#c7sL5RiiaFG}DhJRWPdoNWY;i^j{F6DQ^}-y4Y;sm@1U zl@+kUH?2xY?^>glTZxRC&(yGP6lb8V0C}vdw$0JcQB5>$LvvF@w36x>#A?h@cN`3# z%e{9N-U+(3(d@iUVVHujEa5kJDXpPE@wmrgNZ|X{6Hl7wzk#nxH0<4(Eo%tCDUfJv>Y!i1v?Tqq6%3ldR_AFEYaN6BN;&ah!5JFh*;c)&j+I z<)iOKVimncYhzowwo7>037Omtr5$>X#2-;y)3KGZ*`f*V%`+@jI`8*qdExI5uA!&J zaO{Oy_BPYXbH;0^m%@5xmkidIQleVkE@P1NhGEeA1JbH5DZMCGig``zh-5RpzVeOw>S2uHY=Cc)zQ1v(!Oeo>I z(HLo|SgcfP)KZGuW7r8n zzAeDIXB&s5bXvT2(@A+h`!#M_KD8@cN))JaGB9z*YLv$#p0q-rDcgz6UM4Cwv8AQt zTHp?bts1SS$7^`_F6Jm z(YKd;WP{g(kMe6&Xv#f56G}9gv628D=ADvOB3PFx^Xqfa?LIl{G5CM`KIY!u=3gwu z_JH|SYdjO?#Y)M$iDD-OXx_}il5+f>o|QGF{I;VB$`kib zPp`FWEH*eFy@*kZ9mRaaEz+IrwIULmlH`lIn{}#9J_BbUVyXv`-|n$*O4xZUmA3Ci z^(K$BSSkMiU7y0TQ*Yo$bg8&|*rxCNt66;dN9T6Gl%rvLai-iyJ*?74aT9MxjF3?J zgZ>q3R@XH>W>Yq!aW%xmb0n-;kDw&fjdfs05_9zHK)tZXEZO=~P}c8OMDg{x9V$`f zgXp^Yz2D{8BOl9<=j3Xd-b<(mXm^4-5y2IrbsHrI?;paHU)wU4L}QLkX}UQ1=DHcz zR~NT$zIKvF>6Rp#fWtmV$U&`N?OR^E8`F_PYL>up8 z^{efw!awDUVwl>p1OB9u=}S<(PQ^d5%zuV~X+Nb}I%Wf9HrIsUZE~U2SCF z^YlG&R^yFrRF*vY)F)MA$CST~Rk^xp`)wYArtaa%DQ-66b1~%LcBs=VKpfK)XXOJV z)}^Jmi8sF{p2f;a2z9(G1VxGFs9oGVk^&D-gj26>e8N#r$_+9CNpULML;{{jtmeG9=MoWv#wn)4JoD9Pi-$_V!DC7NmNUze zDx2P1k%KE9F+@LVoxWKd3U|2qW|iEFqf3iFc>D8D8e{{j6Zq5%XLb%mnfm62)2>+m z0IVQ(8Kjd$UG_2n)nKzkc0Oe@&?8jVj?NI*!X2J(AoO{!Tp9Vhv0P9ZT{oi&;_Pb2u zj%lX$*bacxTE^eG{d!V;j@KY=Q~1%NL$yCK-)^@DAY<^VSC>X<1`Zb=onHGrqMoDk zpvPz%s^XV2MMhDJx=hJR)Ukvj`H#|oEe9%B^Qf;blpsjY39T7gnW6}zVCCgKgnww!U1Sju+$iu6wiO=)?h{hfk|;~B?u?^9A%S{?WraH~F9 zoJt$LHs*NH{H!qX_BC9_uB#+RaIID^gg1qgqn6oZZiu74r}ad*k~q5VR+72y9LPxsQ9|w^w}aYFcS1}*pc7qUq}1~ zUk~bD9E$GMB7I^d^6pjeT0VH%I|4iY70-Uq9uBaIJAGExG==11tg0|GjOP@kCfU6l zJtYryA3OPrG-6~J-NP@TrXHuBD%`0IO6X4A-3aFfsdOD{Xlk`Q3eZug)OI6&l3sV4*O-5MoJG~&b@cVzYb=#)4cd4iu+Arx*5}xwDdoh zaZc$yPg@6rjv;eM@v&;HYPSeTi+POu9y$7RP&ydct9I|}T30%C&AFNcBu3+D2ac6i z=}5PTHx4*85S8AfW*9h9f}C_A9D!Z;!aJsqSh#^(21ExQxc>n4*PpK=uQk8m%fGSs zlFnS%6`O?QF%vAIuyzAKeLU=N=NR0R%l+@rli3smWh|=KXmQ(qPaPrnug(M#JI+P`wD((fy z=cj6t6%9L$+0RTNFlT5Q@^3^Cr9&rvvU zFFUxWLn%p^1mKz$jAdz87Xul=q-cI)`?fV^@tAF3m>H=7ax=DplxKtY>a4_r+cdG; zsktDMMk)tm_JB;?IuTBVftin*+4TaaON^QAV;2e*oVM|Oq^TTwcB<&)&VP8sb~vXa zZ;+OE3_FS&R}D$cynkxOIH@CsCAeX<5rOooiT=2@T;tlEZgVRio`oQ>B*HN>;0^^^ zwAP=MF`8loK4IWuszM)YA1t1{=96ru zCVwvj>q2@3i;=Q@nTa6tQpCu%ybwiavO3#>P-OR~8+jHGa0h-UxS4fjPqG{l(yPfb z7*J#!RBsD?m>e(GqPvabftw0GT;`S7v_60MjWCzQ_eFXy6~@j+-1A%hD3r~u-MPmu zM;_JB&yA+N6{1M^da~xNC*~|a>hn(rk~-2xzq%c%+zP0~&AmikxvZ&xdzLxpwQBmB z$Zlq1o^wepP3ZL|jSfc`sRA7Hnh>3%J*r*crVl28$&n+pPn2vLNO`zkoSGbCo|&gw zE^YzOPSkR%1@tAiY|f5B^}(HXRSMUBh==!29Y5gEA#D4lT9&zBn-LkXhP;iB64`? zPsRl@Xx;|H9H~4J-i2)Y(hCm<<@D`O9dk@QsX@U!b*FMg=){^;=QPrPF-Khb(&{1S zr(B8^aw(t@?Msu7YG5)?@+!2T4{EUZ8SY1=K_~!o!KyWZqh-ai)Zcodvjgm@^r;8d zlGx;z#LVqe{c3mOqmKl78g{Aw03QSCQAT(aCU!-8h@E=%r{k!mj!!h=HsFp$OJ;0C zjCaj7l=U3b`s31%;^LYF$VNd7rvw~~af;Cz?fS+Mom(6NSDL27ifCT>p_HUs{@K65 zK4|;^rnaqobNlQA=rL6eF~)Q2Nw<$*ZuF4uSvr;Od7X#lOl$kHPG^37>Mk+YtuK=+ z45|`6s)Fcu+b()}&PUdp_KEuW(tqDnpJttp%Mm|Hc(S@<#7##sL*|O=Fv~XFnH>KB zz^RQSnfvYe)uq#JzvrGlwBe;)XYPUc(agJx+C#Za6N8d->zYnaJGra>0NGbL!3sYb z4wEp(SqHvpIiY)4_cOb5ns6Dw@6(FDG`A-WGI7_QDq*Lpp~cK;^gPyH*=-$vBe&Q> zmbi&>`EM_~r_SD2Gl~V}&Og~5fBMwMke(9?zTN9hH0xs6lJOLNbtm?Anz9v>Q9uXr z400*^uUR{T$0)8^3zdEC`oGaxKE^5Q?@5kLYg|F4!sSig;ZcRtvBSH6x(TXtNaN@7 zW@s4W`O%N^D@YwQa#mCS00A`bGfoGH=0ETgN3=}`JM7Hf3ihN%C_!EgX@{{G+ix-T z%Ft%BA9m7QeJJ*p4rlzV%wi6E6H9@&J?l%)wZ|4tPCY3F#9zZ;`82(!aORitnWN?y zpzteC?P$3F073lmN83~{76aIlGeY;z?s_0+}3CSJykU8gK>Df9Ue%TYdQM9#K_rhEZ`T8*~W3=XvmYQJYVYcjdyQyW{2 z#v0fjY8;#B5{+3uheDjPG>w-O%o@M_uMhnCaqo(eH4!3$wjkAWyKG(NzR5<~+|0u~ z0a{YZwvgdR%}^a^MB7cK(@bq~SaWHgLTPii(1}K@p0U%^Y1HlqVUMLXwL8DV*=fzK zEW}mcuQGBg^f)%^HmG-IqDE|P7hV10=Q$DFQC!0i5OghB<1ZXqw zO-;3;3s0m`VrP^4Jo((ncs;5Gg7#DlCOF3#HCAhh=4_F{?NgmX=V(zL2pmyOHG7UQ zlvb7%t#5P0hx4WzJHmN#J@ZI)3$w^VJ+VqJuL&Tb&(oz{hiaZfhM#o9m(Sxs)2GHf z%u@yB_dhB8s9US!pOpSoU5CHj4?1MTf2{mzf3qJ0bcUnzug^Gd!kSI<0m=O7>@R=$ zkvY=|;K)rlX=5WUYPQ?%#O8w?>tp6Woh^Hd-u`4 zN-XY4`}8qu{N>nfqk;Es&MKwl$#*KfC>B=-?>{5nlxflf%PlpamnwI1xm|D%>rYk( zH9y!Kba6^F_Re>TcSSmo?m?bCDr;-WZKMqg6(goNr~QMTUYG0xAAHiX5~~#MnLL`r zlg7(3h9184pCs)5)oE;3yHWNO$KEG2tdXR#Jl_+!K8dd1=(kC8rrk|#I`nv$srqqV zf#Sc3x{ryx9}bMyQJE0zq& zX?M0;ibj*BJ5}$o#hyXtoNXb;OwecTqGh{r@7k^DPxCsOv-W6DRq0C{2^#{VnqL;JwNrrX#k^AG& z)-ih6+aE@9jrp%($=Rl)SA?tITA61;Izg^0V9Z0k+j%s^r;c+UEn8@TBk>P2rO9RLq4ONcZsYh1Ecp{L^S()10g1st` zxtI5f0eK@IYq=+HReEm2y z7D%%~CUd~_rrX@c8T)fhS)%!~4n6kNcJjxy^A~=&qT(jDxs3U{h`>ISw$mWo+p4@w z7AI2~`ihbX3~(RaHYp|o8G{DLT-C;zL>St7af;85LP#E8Q=HY=nf$Em;)t+haLg9n zvV@*_rli=nAjVEjKXi+0fSqbL^9(2%M_#n<1Fo5j3N{c8ILN{PtcRsVbkgAy7(7(7 zETSdc!j7OMjUa#~%!BV)ejvRz)-p7}1=>eFI##Tx`y(614|?LhC`!?3n@jbjX5EZy z&yt&w;X16oZS}*B?oaDkGoE?xSFTU*T%P8rPB$OQx>rn2s4RW^f8+GN5IZ^6;t1(!5 z(9*F|i(8^m)|@&XDF#kDXQe;OeWaD7XJ$2WPbQS9+n&Oj8l0h}Y(@(oYId-!*%J(k ztuIbaYTS^^f zdX9#ZjAT-ilg|dDZ@ZCF!3|&sImq;+FNOJf(lOv|>(Z^-+LAoUIu4Z5>QiYev9`AU zXU^vcD&f|wt>Os;MWs{JbYcEAUs7g{%mv^#@~p_B!sG#($u~AAK~!ARG%mH}sQG8k2G706nRvB;<~1*zHuQqg0@_iXxKZP-gkA*nI^@zU__;+@GCW z@{Tf2)jqVVg~ygT{_+0+JXC44RoUANKP*)1S_{)x=)YHG=2uv*2nJiJ6@AYphTf`H zIV2veTPObjt@u>MPVs_LSVk3@WC#jPAKpVtnH>|M zQVW_$tR_8L0+`{5B$=#Ue-kMp7$zp0oUIsa( z#~8;-EG}Xo8`l(tPH~cIW7tsG9eYr>4q^sgd(%h?)j1rF)Yo40+bKw7ahV%xu6~uT zAD3kT;~C`VxvH9K;#TJdqtvB#xhhG(^rd@{+myLV_cFZil5#r_K~U}PM>Q&`&nFb@ zb>s4?v90Vj-Z0XrJqhNt?qn9Q4U2+nHZPWC$3Hhpzp8U=54}m0^_on~m5hz^=bB*{ z80$(09coCBJE+f7iY#q=2?e`M!vl|6)IMFLAb%HHS7o%f;BrS5mpq12O(`pw>rv~l z%b8kN$vk`1X~e6Mo<&KFDC6l(ae@t9jn<0CKoxe3FBqVKo|KS~VnRd`v7HB;MH-*8ZM842M)r*QTXG;ni92&}*D33I>{jeRzLw5&g?$kfLa zyyCJx+dg?YrCYi4fJGN~539)5+I=V>;q)Y{uKSxuJ$q80m=WqJ*vfTQb5-B|Ld*j}e2xu+*wXRR}~Y2&dx zR=3pgneP7p3@)C330tUKh`wC?E3&tmMzt%q?w%{m{tVnm);E^w;B9 zNNuy6f$7v$$hWi~cpQqNkmV#pCJ60PSz(hNXFUkb5n#~B#G7PY!-LYGXxzZXPayKO zVbK=r222Cem;^#cP28UJn<*Bo&iF>@KRQzg$@%J~n#Q>V^fhm6UZ$&bEsV(g(Fr?L zsi~1=SU5zcA31G+80Wnxo?k4ZZQKXagoY$WQv(*jqzgCM$@y?;%(E@H&fk1gZeO_%^Yp8Cakz_@ z6;cJ0%|$Zw>6)>6-zP3lG%F+n9b`xp2Lu`>jzEYD_u`o-Qm71>9@N=c1EBK>1E@5R z54eUx=nR?ubhk0Ejdw|&af)QE8JPfLMLOwI3RJP72w77GFlIP4!hBX)Bhy1m9f&oGozGTSC`OkxwXw}$xjbUFEd$tEEzD*aRztLn-!eC$9`&0C%{v41tI_H5 ziBZfxdMON3k+^z$Rmrr>uoM+W+gBpgBa-GHwX^~jJwo(6)@&fTAaPx>(|*}z8q!od zIWSA|$XEF`KRS1Yyf3|R>LOj0uOL;*Xv#8kuH%opC!C-v)yzO58?;ZcKj4kKa%uSOk+u7gC=VYu-9<>N}B;`w$S;~jprb7?^{&cjkq6UvTj#aiu^ z_N>zA@XKjz_;U*!gn!}+eLl5H>4UO1Fi084Ow%tc!rZvpNIc{UCtMU6=qRS{>~v%4 z@;yzZ1Ia*7YH2)yj`Z=5Eq;{K$2jJ-Zs_^fxsRxGcHErOB^SpxZ$cb_ij%wSqIEqF zOjF6{nvHTsJ65)l;q7ZyhDmgtCjR;qJ6Y|m+CUo}G6BKnmgan|y$s-eDLBv4t*wpO zit1Igx$>n%-io zAW5Sr#ZN}T>}sq%mB_7af(_CfR9ClL6o~ZeOuo8(<|W_?ZMB4#Dp?3r9bL`F{Lts?p~F4oR(v^v%|AfjR-uF&`;4O`>ZO1hJCmq3v9Y z5UpsdzT19huZPGg#i?TCp-DYtnwnl-<9fb`PqLpZvk)4h1OxI7$JEo_>PGvcA3;cw z7CbN%P=#lIZqBUh6_rz!IOOqqD>+GO`!#pF>g<}*)*9Jn$vn~Dp0r6DMEGHfRRx#; zI@elBDJ&h|BDsqR!tVYK@g;=#HWCDHvuY>oBe zLeea1$8PrMD)zIXwwZ7tk@m^dG4EB&b81v-KYlA?+`bj~+extSyxK0hifssHNrLWK z19evS&3JCRZv=Y8_g0OIcV-H?=rj7$^let+3t26nYp89?Naas|t!Jz+b#&}iysg+f zazM>x7+PBFdKEE#-Y%3@r4lmDid6-_3ewcH@)TzWJt+yw`U{gsfAHB!i}XD>pTJ4mf&} zk2+czt&9(9P6xd@WihtHgHpzf^F<6?$mat-wN2QFLQ{4`4Uh*E#^;e*TAiKNmwdLG zoEQ=eq>Iq~DwV~%q?qha2eF|_`xiP=rtKnqA{i#QVG{?3br~L==?ni zvzi%B-IJdvl0X2TQ`)Ndw%YSg@f6k?v}7{<-1>GPj-wsuR%-V;RticU*Qw7aAdh-E zJq1Y7g>tp6XQchI=GGYI3+El6lZ+oqB_$oqsZn)Zk%BU^E`4iK{{WjT`wDKer_H76 z5?NgkN}dlwJ*sJxHI5IUqBfGbo+6v2Q7IVF#>@#FDbWT=S0Iji)~Fw6AL#4`2sy}uPN3Bn^ zPeZ17IMIi+iINxP=kTQnayr!8dIESJla>Hc7SI%pXwK*q`%{K7P}!2!%ig5Vr3u{QYSOVv><>JjUTI?M=}x^LIvR99kSRU2 zHov+_9%@zTO;86NO-P{Qnn=b%^`{PlwkZJPfkO1brt~vsqI@5?Ro3T)*e{zH$83>a z!Ld^40@w$kuLAgeaIkou%*po{mHj&YmGAD+0~=#F#d23(_0Orv=gmUhnRd}*^BZ$x z6){wf4=~W4j%~nuItB%SHY!sYUC#)e@#N-_0jt}&r*UOGV2qz|_o=_x>gncO@ zkbR_&F97zVsMy=Wf>a?5FyU?i3HOIXRxYJ1g<3pgkZLLBVzIDH5NSzj2uN}zV6pxc zYiyt0U&^X|sn+aaIc~yy^bU^YSvw`O4=}}1Am2)8=cJEgwFnLLWyRs=HXgt`)K<}JVX^P}i z6e^?5W1gat;3B92ork4ak>N6?ds0s{MnnSy4@zweuLqVS!45_^H2aeun7dK9sUV&) zaQFm+=qWB{jL5q|Bp*t4&{x!sR*%g<69iC5`%lXbeX&aOhPXnAPCHZn(f*T>88na# z1)qzA)Ud(^5Wx zh{&%=-!n`|L7Z|4?OsXol5)=kWD(APO1ewmN1vHXmk%S!rs-9q!JF`_6Xfw#pyq1q z-ROLrHY~T-r8gk-%@5a#Rp%9UB!f~owgc4FTLL`sp8YEJIGWXUH@DO71op~uuDvM~r&u)A+qvZ{LEdQ=)Np!O4%7{hQu=)=;e zlatdXjNoxj%GNUW^XK-RPV)Z%S<)X%U$Y4oS6|*l<0V_zj-&Oi8uEWR`sW=gJom{X zz9|()UbK`{b~LSwsaYi=?vs4>b0aArsr)OozVWwDSZ*>Z)K&aq#9cuyG_kyH$$31EevM(HGr6|Qj)}?vYcbmDm8{}W5AnQTS*#jzNR(j{C&PVnppTeo|N0Q{{Ty4?@~vMQnBbgkt&0`*NXK201L+i-wLDqM~IGs zi>bk&j)YudPob*x6T$X~}EX?{_iu{X*wWyo&nC#CI`rj#M_&jAxOI zjP>k!72W(xl3R^)NSbLLS!B^Ho#b}QGZ^woC#fd5T{7|X%h)d>hT3+BUAKuphj@ zeswmhH~LCiMs~p#7asnmppnE^=0yaRY~r<`(Qb9kcGllaj7uf^1&bsBxc1L(m4!=t zSo3XXZ(sOh=yJ$8Wwm2R;^vPxeoH^Yn%DUrp{4jEO~3eACZYDAZ(vq4#>@c&JQ45w z`d5x=a!GLw<%Pj4(1Ro_-9QJY(yVK<>pmWuZ6i`?ppquq@wW$q)7(?l<6BuZOcj>m z5gx+0`MZ(qde&9`6>aXUdU;(K!mPBkIc3k=mfe1Kx1EYMnRSuBy1A`wKJ!4I!*{lN zoHN_%;k?UBxbn*({{WtDJAG?AM~^PZ+y-1#>+KH^`Me{>ICr_hf|C(qtJZdLFp0%5Mpmvx`!UE(@69&^w+Y#W16vy$Owsd5^x1- zUS3(==_#ariR0E)@e?mAFg=f<9f<4Fnc&8^8kk$THY+IzPfgvbe-PWLSnuulnkllb zI3ovx`ckO<;pKRBQk0}%FmUVSmfs^z zp`}b?Yxn&6)P55CJKhNH8*cn6lj}|2+1%lTBOFgH!r`Iu6_h%3I#MRBWDAFgf#<|I z>D|V9j-$5~M^jjmD8xa49YN|3rFR;>hHSjM%W>shz}{j=ydEo!@eRV=+!<8@G>3Dj z?A_|Aw{)4;n8rMb+*Rx~lktNRv#C#h*}t463K^jlD{q;~e6k_+w)h zr{WlN$?jpcYoC@kn;}aMLj6xl?fhf#!&lJB)!-=`Taf82O_B(ynzXKsunBt|&m*u5 zOM~}^TD_vpG z*80YiaT?q}M3KuI4CH^FmF0Twpt^>sJ<3LrdCk0{ebC3QJqCHAlCwIqB^H&Lr=`I1 zKz3wf?y&6DcU#qO{6l1#b;Y7bcWAqrlYuI)e0DwaQFupJg4{K|&>l;ihyLOBt!-$8 zxrYA$PnJJ2FPTW>FzyfGS+wt|(T0>ghUXb}xOMspw-ub|Bff;P7|v10W)(+LxLNMr zNYo;dNI}tkt6Ilfku+H}_6Z~DZ(D0I`2Jb!b(DtJ zShj>cyy=w}45yW0&>VCkxXZFuP5~eZy9Q48IUZwC4;trRj zSV^MmG9|J}Cz%z=>5@5DJ%=0~okd*u{{VfUc{bM;cUH3AN~Dnkh9}VR>r&HB$80AD zLhG^f-Od(#bDZM3iwz-fbktzv2V9=SA6n#YX7eW9+}Bm$-xFEblWD8O?P|v>B!7A{ z^zJKJM(G}Q4snG?%u&12ukIG;-AvaPR>&PoHd&$^aqEFq(+y?M_iIbVdexdy~hv}pwF&jM#^pW)A3e}z(gL6Ui%+D20*;Bmn!de&+Uy-!wH ziZ2e5-?5`Rf1u|b25Y3X@YENUijpwp0Su?F9cz_hTTVP+;-t|0Ni~FiOn|&Y?t*z0 zq}BF3tg^IdxpN&O#cd~q=F@J^{7f|0Cf-6n)awH0+Bwl&%SM|F~Idun~!GvL3J9`mS zoz^l~Ff4eYJjS@SLBX~06moOYou8JNdK2E0KPl$5eUBo;oSn4zP|SL9(wT1?N^#n* zNXu;&KZw%5LS*_a#{G;O4b{+S+A?_wG^|QCagL`QYm;f+j(1hdO-|z73VDuVVi>6G zM}MsnO{07>ROY2oT^&LyO?wrcoNYbBuv7{;B-SRcuU~0`eKvSD>~eOx;iGQ&_CA!6 z>LnyI$2QqIgN#!(tN#G)o1t;2u#!!oGmLNtA793@P?uBMsg0q@Xceul4gHg}qm?;R zRNo^wt42tphs%TjDx{rw?_CkPM~OKpO|)CnocUyDp{qIP6-!NQNaNbB9vj%wIVvkj zklk{MPh(AivU5+L{ZZnffxRg)uY|Y{=}%v+K&{BBMmtt_W->&>pcD?hDHor5T=Q0+ zb29#iaBX#KIl#`zRO9ilq*7#-);9@`0p`A9jf$M-1adzL`a8ofCFX%^D!xlb59liz z`r608l277+vL(YrLgBxiU%8RIpxZDz!X2`{I>d&EUW6LW- ztWXSdnk1S}GB(%&H2Y|styy>H9<;#|m;(pS-&z2(D$3E4K=rDhynA!VNN^O~?JDl)3ifu6j z5)&ihJe<>Hl18}$V&rzIt!(lOZ*1q*lk944NG{xTG&JlYBr-L_U@EZB(whp(^D*8O zj-b@gTIN?fn-v^Z>Lg;!N8?E=4&uPB^Dry}4y01tM#gmwCmy1UND+&cB;fke%LHvW z1f96`r)>h{Y=k)`Q;;~X75KX;I=pC3a1S-@q6l)}oR6(|55)SosD5a` zJom1v;XjQVz`i0ni$V5_X`?XQM!Ydxf(rYLemyIZ)Q;Im#>pYxs;m92{e$;cgXTb9?%G?NnyZaMz|BC~^O=z4g%5ae!Kntm3E;gD((>}er8 zmNqyIirBvKWv02T$vwuFumZSXMt3mkaog~y{4G6;SgCRTmm3meZ@VJUjD%xRsFMzZtu?;?0If8)9ePp*=e;&@*l^*vpq@@g6u{UgG=TnI z^*e=!k^%LkJoEaRLxIgOoPb3=hLHWokwWyQZotlYqjwaCVral8EZ9EunZY8W-SYd= z?l|_Qg<-^iag64gM-<)Mb);s%;PXY%NepJd^%WZ6%D%g);29eT39I(jN}n_GYKJMP zElWf0j9vPK4`W%Ep#7>qM>(r{21c=MkMDDe znvhbBrK#y<_?ozC(WypGGV(TjRjgcH>#W+seYW-`5v|3;Z*8NeZhszY7V6;LF!LXC zbRB>dW5uK$T%Y2=2lA}cRtg9Q@~ojo5PZpMcV;=hFA$v>%iZjfN&49<{L2>1&`1gB zJ64?6vCj)DFnA@UEQstK$4Rie+yO>)#ut+mgsZ&)h*f1d8GrVy$L0%E~RRf z6{N}HlVffN>MKNQE^Q21%ARn2Ya?$24rzHOAatcDr?{!ssYx{lYm!SLekrLVM%;7l zP0tjEwNu*6&zaul+jxrotwaqwG68@al0O>Al49;zx}NnOdQsMk_9|19z9v373~!L% zLMyGk_?hC%jRmxcwRvQ-VYHW3E?3ijH&+;PIO&RHzW$Upp5@c4IB6DL(vD=4cPHMf z--4HpM;?S!x=wt@1ECcLqH%U;TiDHi5t1$a(TB-viF~i_ZaDm^B^-37$AihK0me@> zM?&Q$_YqjHE7qPQno+e0IqWJPO9clfwQ5;Lw&-2@({Ec5DRQGcTTXv~f@yxoau3Rc zR+4KL>TuCB5PFJV?YI{>w{j>%-ls%neU|Bf+_b-7xc=wsS_`eikNEa9-|f&kTG6pr zs`?dH%ISXZ81yvxEN-9gocF5@t{uNErU9Y#xdU_+ zwT)UN=ZeZflS)2j^)%)8z{OERitPPBz#`NHrq>qt+hG>+LG z^o)L7b*7esus2?mHv}G(jCdULO(%hz;*Exo_B}Dykdg7ztN z_&urcL}fgE+R$XR2Mx4TZ8R{c5%Ozo_B>YJ$q$j^wvW`}vn80weqw2o%w%J_rn9$c zn52cS7bvt>H1XgM)J1R2l`d!@?imAlU-lKJy*k)ek4WlHNTsu&W0`d;uj}} zBe)#>I@0E{*s4Fgnv2mK{g#p?05(QwYddJ(NTtfnA60MS9-_OO%e^LTQtH=7kV^tP zDoD$;FbD4n#qr*kY5xES<*fG8@4ZIa-I$Vcf8Zci5~$lzx$egWN-^f#S+h#+ab6U=L2d!4epIK{hl8w=@$8IX5B#ePqFguR5O?Y$lsgt=d+QpIc5KkOb zsA>i34NN_12$CmE90GdMkcv3u9N{4BhdZwtT_23YdG{8xh4ulyCb zXSBSw4o23<9l5Ei@SdhmK3ewfk50B}Ssii3U$y%~LI&=gDugQQa8$4v6>`B@i-RUG zdRH1gtaY%MGp=#%O^IY8RS)lqdqc9|Zhw`#)GZN9u4Lej4HmZGShn*FU>E686+dV{ zy~BF;H2X!5dn>nkE+d6yRtGgtR0K=@vPCj-N9R?GC_LoV$4FLXJ-SmG7&jh!)D00q zET!D4@$XE9q-ogTQbQhNEYjf8TOe)C=CC~vYP*iYv6t;VgAUZ_S_?)vjnaoCpJzFD^*%_J`0IcWfHX|esA%WRXA)}xQeNJ|lu*wecLSSDms zmjF{>ouqjfxHzXo@c9Sj$pfY;J86_e>=ftekwAr6j>kLL8iiSko!if$1A|k;H|`rT zpROrlk%SBiA7UzPaqL54a;$ba%{A48m(A9%81*het?=txo*s8E-NkvB zGq4$@w#Sx!wH*CA)1VlLb6p36_DM|KIs|UfsT2k8^`dU%Cx>r@CW5iBmg+(o$g~Q_vmHr zeEri(zGhFzPvKgb2el0R@l#?wuaTG|mpIsH5zC*t)utOA2U<3zke4@KT-5FY$r{x zft8tLh~h;elpgi2?w1zq!G1;@16Zr`z6U*pD}-M$C_GXxO!upcr%GbqvO=ShLX(Pu zXbfsuPUZHbt#aKdMO~r?JBB}6($aW|xz9C{-2E$0M*jd*a69oq6VfJi@IPsN-nFyf z+kdp{*6O1>eq&ki+DUn;2o!wMHVO2vT=2(*Y&5`=nE;<3dpy=Sit3uP-1V~T9P7zR zY>p4bT0E`dS4H8OM$mtY>0L~p5A|&;N4C^;8&~@TxG#nIlo8ke0M}c3_LpmUD$N}D zxK34p$p)XUd}F?!!&e#=)|(UD7|aiGk_J049<`MU{?Q(#TpS?VlHBr*XG>V_AMCOt zfO*@;9=PpP7Q%dkz^!;SSnU^W%qex;6Ze<#?kY(Yi}ne#*wX(1XU(3~uD&He#0@?y`;kmv5<19AS;7-MsphMp&&ws$$$J@89)yn7 zS2$ykMmpBm)}fwVw^rdJ{``M=ef`BH{e*I$k~dZdm63S_P%UHeY-9%KsmZ4vGAcJm zg|^5x`g_oinl3waL)X0ru*D0=_oVJWl`X_Ow>>Eidk!ezjC=kJ)Goi}oP8@aDaq$KriQ6G`_ej~wi|!f#wgC2 z(Wgv~r?qm5I^cU#k|vdaPHFOw*uide?KYUd^4uJHQyTM3MjyS%_7zIoOH2VK3m<${ zzwAhg=L?Tq(odb}QQgk@ZU;-0nYxJm~N)G8geQe`Z@_4YSwpQy1?0 zG8r{%KjBvVstGk{Hva7xAEy;`*jg{%G5$1$*358PG5FEA*C+C`pSik{cFP_rF~_}h zUR9F+02Fu>pXfmF@#c+<6>DgsVK3gN0C!^tA4=QvY?Z$9VwdeUOVx)SlrNIOsEN)k-)jD71sYBr4Yb(lPCBCz{;#DYYEDK1b4+%r*gnZC4%wvp9N6tm{?eBm zB_G-|zv&-A(An5as)~sdDkAx?z^uxyS;u_sZzPy1Fe=14e9tPTIb(naeDZ+~tQuQoYsG z$1l0t-nwV-j-#UL3va30G!hjIRzZRR=~G?8cPhaOH1Psi56h8`MRU$+bUi9~8nRlu z9DJ>CIAvjuooc3XPdPQAsB52TxjsUT(VP`wgO5W{UaW4bJS((6Rrjid?sH-=(xa<) zMIHkK?^^jK0reG*-48jfXntrEp5m=7P8!=LI03hwwN1F0g%@-=cVuRwxLDMqgOSwKl974GmCJhBZ4~7xLj<0XW02w1HB@w z$!>9tq)_rqw%GEHJ!$OneUt8vlvpk$n$6`NR~@P>O4Go+Vy5`=YEbh&3#6I3Ms4RF0pkTXtFd z9l-^C_708=OBRNq|GSx@>&1p5I{KC`K}KWdZoqQd&Tdz$N~-2VX27RS=2 z8b$6hU~oO_1+`sFrasp%Jw|E&0NQ$nz&_b9_6<$9(0^Btb9`u*r2hb^G~uA$^)X<3 zu&#ME3*4R8Q{xPrQ0iB$b8?uaEbQCw4z$Pt5BMfiTATVPC6LKe1g&4{-oL?^h_y*grcTsWht@ zG4BnK4hYX8m&{(upJS;SN(KtoaISd=c11h;DgZuf`(S-HS0+#%bNEwAe7&jK;HgvW zb}gmYs3-eM4xXZ#wmMiiI;32BS0T56G5-M8r=s!BJt*`av!=QoTUzN$jOs{1;~-EI zMhE^s%YGHfmHLxQ(wiT%r`YMIn?z1l?bwe+s#7(rr*)L2)1lljMPa!2_pLo97ibAo zB$LM!TE=y0$CuqhZtmXIcA|nMO@SBxu7-@deO^ z-^mEYWJGL)IsR4XKLLDiqG(B737ZrpaPprx_yVr$cM^w#GwIl~a9wRBVdj@);wgU=_gHLs)$TOQdpvsxY% zUxYMl*IZL zojEE24i}92d)J+egL6_x)#TOrXt*uQ-Z9WFV3tYj?j2ck^0x=+URyq;a`%!g!!eK@ zr25xSulz>V+IdF3rfd@RU<#0Zy=#Mtb8&R?l1OIAr-p-4xbs^4^pqavqqbYA!KGe! zKbWCs1kqxf!Jcu%?K)2^DirzLLe;07(n|_}im@f%m93 z=b@%B8@+y%_d~tTf?Iemt=d~t=0ra7AHN^h{A&*8+3k*g@@K6U(@SeA&2Hr+UW9)y zaa*ulTk6ANs=;_c%v};g{?DQIrEzld>m81H7>|FgKXRYWtX|l>Ox{=^gUTMFv%~ZA zasD)cT1x1!NFbVAf+{s&Mmy89#WupijOV2U79qPyb zeKAR+L6c3moh>5Ryb?GSk-ep2Pb8WadBtr z<|HxVjm&K-k6nqb?_`%DPS8CnvACFyWq75QS^oezcl#!!hp#U8}DrwZ9+xIx77B=cW_v=8jwA=TG=TAUh&&&p;2^=ZS zYg}tK^G6hxDdoNZbndJ>gWMjql(x2>sy`Z?A+=H&SwSB3no?FeaM+cGmoyRCrirA@ z;py)#wKaQ*P%_EUV`0wSy-rUVt^)2dvMtrJ>_*|!`>pNmTMDtXVL=3Trq2k3eAwD+ zHAya~eN57IJ>>(QxRAaHFtd+Nj8=ld#J>`Ce-r8YTsPlmTdO2wdxT6p`R;u>bRF_*O4nS_;?fW9$(m&z zVzTLHDd>PB+~3DPwUQwo2&9mrsqa zp5>(kyB0kA)2`%F0w+OQQ|LEQ>3?o4rU3gJo>1c;u(PdJ9 zI-q*<(w>Y|<#Un9`qd?Pl?TfuF2~eRNpCfRNV`cY4OoimBMLJ1I3ln-D{wBf3t2q8 z?u;CdrD)rXM2`ENZAQI{H)Ox{YG#o;@nO(gu|m%3=pfr zR0Jz=7uoP*?@p5DKPeOg`BZG~VGFYv?@pOyB0t@Xj)suzHhZ1hLZLYAigm@oXu|9u zo}5z4=9!Rf8Kt=se4`83ia;!qmI$Q|YD<}0$^&8c%|_x@kp}>eN=vxp+=FoE(wGmP zX?&nOq5-6uN&JOV7{wQH$*~uHazOW@c-di4@)Ju?B!*em5tUKLTB{$JvB*?{3FfV= zAGsjN$BL^Q3+1*Pj(uq~#9(|unrM6@ak!~gQabZrH_CDky?p`ka~A#<+OBfM4z=^_ z=absH=;;&YbBB7#c@$?qGLK5R&MKSy(ydz{R*4>LwnJFp3{fHf07rkNAsHr?VT*Sj zqN>3Kc8qwFIW>OX!datf6;8##Esh7ZRYm7$z^!=oTe}M>BDVnB&buT=T!a4r*QF=R zvFlKy?PX^4AfHW^1q?wUhaUA)13fWYK`$lKVYziaSsyM>3<2jKPfE*hKG1MFo@vHu zw_@D8jrL56!+crj#CjiEp$T8Lg6p{n$sVGYQH6wu z?6R>&A9o~f9*5Sha<+#y5`wFHtC7VlS0RuMvad{crmCKYgWjw)lxSePwI|DwaOlI7 zanyT?WCL{B>(;6dM$GXPy`0o+UychCq&&v#M|l(c@VLNqJ=FIj(y6+~Ba}u~;~|Ah zd80g*;o~`QH)GbCEJeAB;u1`9CK+4!8@Q;9wL9wJRB3Zqs){$@*FkM}X=kFW_IFBe zqH-p*lY;7hi4V7NSeB|Jp9h*XYf)=)49bOyk(_#ZepKsD&c=CtCp)x51<}5eaVf#v zU46Z&k)e`Ji_3JY&20=GKmA6HC1d+)nf~VPaYSeCxfs`^l~opAvCy7m zVhEf@ zhA4COQZguwM9!M{7($zCba{cu&#gls>r+gAbLpCkuf1r`B^uF^EPDR{4PmhPi1e++ zKj;L1vsjqieJMm#dYalfKWA@T)_un}5_)E@>0|vIp1jt4r}yp8dJ?m^L*io&IpVeS zw#~UX=~)lQO4riPEYBXa1z&{DwndXxh%)X|D9H8Zz578({?3BlB~y&_uL81M>d_JR zM1Y^BYu~gBq>|MF12A3qfyRNcD%T3_aL znm>i~9cNzft?izi@afvswdb3s`OM-e;YQ&b?-eI$0R1bE@lKhi_;*c%N1iV~#HE&N z>31ErnHdl$2MpYT%z7RNdg(OJ80cDGh&(%OX7_KRXx6t;{iaB9B=XFUx%LC{jFkXq zI2kp~Yue?Phl&BB8|3i!_J@!H zl;5;B%&L2lS@S0=9r%1Csz;jVm)UAdHrRDmAmeJ>^&|c7QSa89s_9IxoMD({SaPmC zLGM)W?BuhaOKA>vo((@r)!xlby~26+Wb+Sy$wZW(BUwIE&kF-kuA}-sU>Er#}b6L7y7kC}> zSFHYP0nZz1hMC3p=Tw&D#c4E8tp5P5OmJ~pUJ=k{*6*W&5tnw_0QIchY=Y78&N}+n zU+|m65NNv0Qd~HR)q}+BGP{THpG^AJb8gp1b^q$^aWUIIny7q47$`SMcPzY<9SoKnRm|KqIaz=c$Mrfapyq%I{OF8%~;qt*Km= zb~!nwH?9E9J3R+)T9(!!B$k}7xG%ZY&0OCL$zgs0j6bB#D?mDvO9j87KbDmT`oJs=pZ68e4yB#xTb778#ODWVm> zkj?(qxXwgF9r&a=mD?PMusdK@gJ0Qn$TY*K*{@8W!jsgmNEFvlz0chJDGlxGAG?lv zVy(8RY7YY+g(=movG++oN_G{c{DmQPeh&ci>}d?Q>_Hh7bNgc4AGpSvb%?id8O1Hf z@BaWIDwe+|__?5^`(v$GI=Yj@XB2;Hjo<3&hwnd?6+DaLa!Y=6zh%8VmTIzfj34zp zQ%%I+QW9S}cYWAslW4IQOn( zY7^v;YoqYrj&+R-PrtCXnOe&6aPdet1a3Zc^lS`LX|!}H7Q#y}&wKRc%!O6!apvTLSGh4>$I-V{fQIy+`!w=oX zCOUp}@8Ke!3}!>L3Z!Fu54E>4u-*4+=(B_#xTg}f@Bz@`vsy=x=O&h~Lmzr>_t5vh zgdYz4KjM!Lz1;WG3;3leBvE5AjQphM)N`8A@#lgr^oy-N!$+1|@3iG1g|J)Sj=*)# zO8Nf)P1Nr+=aH>0W`xL|T!ADjy-#C~qPqV83w%Pq(Jw^do?)~p496f2m_4g0MqLkc z3yY-~D9=k7e-I$lygjKtmvJ#LI4T)#S(b4 zS2~`l6n=KlfJV3g4{z46CAd<6M!2OIIGN&cl;;;1l;z-%I#l|3#EhrwP)Y08J?cvV z`~LvLrEK*)sVl{o&kgNOoBd0DDn}gqQ)FDB91P0tQ?_P~7$hTt{86E42vb35$&jUVs5q?I7nJl|D@NjWcN#`xdBLg)? z&Pi5g#y*u|;#odYTa5Oo=yi|VD&2#eZWQ!cR_Kp21df$nZTUtrW|Hi%k~Z@@^%Spd zO0fAurP}X&XT4b3-}Bn4U8)rY+dStvpd#R6YP5ll4>7nIjBqK}63imOP(GB%QdXUh zo5-i!MIqX|`kFPcbs}%HY*lcC9AJu)R-es9`9Y?%PO>O6PUh+xCx|UffbZN{b=6#{Pq?GT~XSRa#HVn-a#-xifM) zVAOJXM&)-gAapd_5n|#D$ckh*`qJITs#RKC=A)6IdDrI1#U%biNV{^tb)w=Y@)kHq zG85^|LFGrWPW)u^%}I1(@<>%DBB`sOR0T=)r6;J;(BXbI6^}``Slp1zJJ*KK#!Y(f z#@W%Ow2nSF$sH@mr|Dgk{sZK*CwxmQaAsPx*dvOk7tJZfS^Kyg@md^n6r2N1xnM1r z_02e)$JA6;f^ZwBdS>~b{PY>kng&HWBP zI`NP{d{11O^uLII6txc*Sbc&>BDc2RziM-zVr$ItoX_H0>)| zj?y?BMy(zj*@qQc?@Ah0jj3t3>as(>&$gLAfxzf}jdEI6toB5ZqtuSn?N`JXH|S$# z!uJ`eRJGLWo?!<|l^Gj_u1)li2jv5kB~tv++H(ycBvD{=N%otO={QJ#G(nz)ik z-dLqwrCS*EBChy*SiaKIPqI$P81(Cd>-DNSo!!Qxs7-Zr>e9Pqm?#-Oyi}+p&bqmW zw5ROW<#<>u+2{}HTTr(4VJl!2$2Ew*twJxnmz$zhwfQd5|Wgy83n zJNwm0*rVbI7YO)ad`7Kq9)LY#4q*y&iBYZZaH<0i7EV$-?Lde4<6Yb;#q z$C6zJH*-ww$3s&rWwXvIHbOYvXVI!wWUNQwPjWX*OI;Q z>sb4g`hiF#c%)Z|m1X1_8o6#f%Ev+B{Uv1AQ_XD0o!^h8d)I?y(zNpM-v0ookuj0Z z53PLv0BfolFxgnHfXbeV{@%vBZwYG6tH$vI`}ocsJBsr$l;otP(E41WqIA8R+~|B~ zZ>Qdwl(2PP5=G$Ryej%XCsLX)+xD>my=%Ae-nspox((mFJY&6i&AiWXGf3Q$deg#1 zr*USpPAWZ3DA@8UMdzPR)e^72H8LJ+(nrlmUcXv#0E~)Y>yb)wrh+U+?d#r}PaqDo zGj{8mwPkCQxz7}vu};Zdoj1dGu(t6XoSM<{?F6b!hm}rxpKA5bh@TiW9WvtUMX|NF zAy6r}KQNJ4bzE_bcdr1tx$@AgXOUF==5v-~(;rHQ3%i}zd|c^6mc{Kt`b){)X@Cyr zw`$Ljs2S=_If*OJG{U{R)un9?dDL|swH%6V5Fgm)FuBHHT^7O`J0 z=O4VaZ{~-%_UbE@Jb}+5q=Hw8m63`%oQ50`M=32SZcBS$@RG<0Pt?3g+Rb^Zf zH%8-?bv?oEE1e>^E_Jt54mNYbie=Jxp!5rS6QbX6;rLt|eNzWZB5KnW8b)yfpAU?D*WwS2p3-Y1Q;aQ@z^@4n*`qok( z)`hV&z64DQ7jV4^t!UCaNcSEMW?8l;QJmGyUnT|m(EAfL%_Sa%E4!wgpYGIl*6lNZ zqmJ}hLeojNw|d$hRg@Vx-HMj%%AC19*zN^V$_$>hk99j-9FxGLySQoOB%V7{t!A>HyQgGq zogN{<9ldFPvaRv~{&kmbx0fR#M+4NFo9s7zeACm`pHf^}-e!mPU9jf^kA9S`r`o8_ z6n-_FEH1gsr}L%$&A7nKoY8$rvi@@Uv$V%V{Af1TdH(>Gb)MQ>#C*~m(}s}Xe9~t$ z!qEP6K*MC95L4coe#E45l~4O6xc%WY@9d75b5h0be={sJmRZ3l2d*iG;?Y~G=jl+k zlDPZl@udFAj5jW6>C`PtyC(Z(m~P#DC^wdXft=JsN{>H!qq)+GuISG_aqC8%MwKU} z%l2|w-O6G@f>ZZHa2WppjZ)M!){WVs1ar!IR#62cA%O&TJ*#(4)s{sN>IWmD&(yE8 zdXIjzHEoT(n})D42g-5Yq(ROz&2C*;!RLJCJwXSC_pN^h=yn>;v^4pyp|)vbZzZHS z4$i3z_Oxp3&g}h{}g#f$l9qUR6)(DF>GfcFAB9?x=YQ4?D zb}BLeq2Br&58rlM5#3H@W9T|lBjEE*1DbM=tx>I#Rz$I(kcA!VYR5|kXp-hO3d94B zwT%JUAU!$ex^t@=qXoK!jfcy^qUEVsb^`UwUVm-s?=-JWyayt>}j`|V-m+{#W#H4v1Z zw>t4SS_xTbXipPIAx8Vdr7{Krbiv0pYf)|PxE%#i%z^q%s@xE73|Z&pDp)fX1;##MZmZ59iuV8B(ERm+P&8fXUX|x#(PvivAM%ZkyPD~_a}RB(*Vp*8SBjp zYNmF}lZuEo+l-k>1Hbg48+@+Yn*+G1y4(rvtoPp~|Ac>`uJqJ%}iYMBvLpi7k zZdeDw@99sP$P3mm#q$yY=9oOcwUK}q6x(I}$-*%{wE$N0*@6-WT6fr8OFz0>tS$f| zq@2dH#@PUL=BdKIWbDfsG)S@=m0vIAKZvDv29?aw`9vIN6;sTN%O6jrEzELxW8_iq zRnjRIZ@8s}5^b^cJagk!!pp8j8vg(Sn)3_lPMy%c8yF z7zr8XtOsMoSBL;=!sDT)?v1CoqdkvWc5nzMnoM!WN?ZUBdJOI%&)!mT=}Zbp$_to_`96jB`f8dx_t#T2OY-e(ybLM=Q?+QE`jOAJ&37 z88|edj?PUl@Pav}gf)TbPK=U3G>kKX4GX~(gvm>BgHgBFtp&RJnrj@l6`~q6tT~A0 zv)#XX;P5k7tqB(W>VuD*_ra-8sH3|ijQ&2tn9eU#^#&DsmMerr!p{{W9nR(}FU{Ay#kLv}na2VN>KEuBfm6c7pY%{hVN zirGk`he(n~Qs3Vm$G^5I&Ppd<9*?%2x(>e6XOB-}K;bt5>ODuTa~LRoZUuFo9Jkc9 zXeELc`%S&NZUFTFo}c|{tKvN<+Q5>sY$V`;z#jCuqLQ;ZD&XBEcTvW>bEOgDT(&5A-xSC zBOdj$JW*ak8BSNR?hRtRW+@6cRW#Eo6Xx{-4i6RI{0Op=>*6J}d;VEbfj*!PhPXUs zPAk&?0B2?c;&z^`z$qp^yA5MiUMF@6ZB(Yu@q%XI+m zAB}10jf-YD&J8EFfm7i#?C|=OVck$O<8yQ$TG-a~*_1SFyCzTJJ;$wc^W)BbJJ&^` zYtWl@)AgY5YB-AFMr61D0OFI|sO>{WlBat~ZgU4J#av*HIj)OF@jTX+si*2FZ?wR2 zv9bB#{{XIRdVjmpq}MdCvS2iCxkE=ZXDc8*!R|kmb1saGRl1HSz4R&*Qqi5>p`t;3 zVAtAQqW4c6mr;oz_I2u~-=VHwZRU@7msWE;Y@c>m&ItPZew7xHs$S^#g6B`2;Ft}k zZy|yH799xoHP>3)>E0v4Mk27)NI8LyA)NmJuut7T?4CU+S48r-YY(`CPSh-Q`3!e0 z4xOq$-DC2>J09QcH|TwkVP-^-lw$r?3CW>V^Xq;lE z(|kuP5l3aLM)!6}@}MX8u#ff__VzqdzhZe*{pdS05>;rVD(Y2-V@|g@xJ-4;bb6hw zr17Md)2+q3jHHS%%k0Ck_O5ML%DV_pD#P*SscL~snKbt`HAT(3)<6f+wl!S+j2^s$ zSm@t3G)H1+d<2&G6Q}#tr>hV2UJh_YX0ANM`qrkXxwFqs^qbU~{Ba}EFk^i62B_c2 z&2Z|(;2OE1pY@~hs#pI2@&5T3$)=X2MSk^*Wo*|CoP46S<#?>&+x%Fem|IyEQP6hn zSaQzOIW5Pf3hb1fS9h`rrD>Rwaw>ZZHkq=+-kb0 zO3%>#0-AjS^8Q0Prqmc`3=K3%s1uKy=~@q}Ti}&b)1j!FREq;_W}Sz5{{Si}x7*vh z3TsDtd$nDYRZYA`X(QE>a`}-Gz3_RdcOB|~Dl+7jc-W(}gYQ~eW~=3(hW9c-*@xbb z{71LtSMO}0yR})NaU>_Ci`7q|^saj5+Dmo{)`qT#g4*b4qUGR`tu< zy*-9YcK;VD%>ng$>Lg`D!j8t_#g0G+e?3Ku32^kz#a+DK0Axjx0Zfy+rvIB%T z%|{)GNkcKnsb(^?j3M0Wyb_~0tof#zDKWc)(ylK19QCMHi?v2sy__yl4QGLdrD9m* zo@gX)8T9E?jN=|vVS4>)So)fXoF4ToF-a-;Xj+5N0mepZNam4S3(vJX>{6Eky;DK> zMdBugJE^qiWsyTF5}=TMK|E4&TN==#D$m?Jy{5Qh&f}iOnq`GiHdThtR9Ek61li;-<;(+Ky`+GFEJ@aDRHf;-rZ4?M=D+ zs(lS4B%E>5rLy;lXSZ5->C&PNQiIJ!^|6Z_G18iP`cr}S=9|#*REaKWb9owtw7=Yu zPvM&SI?*DRPe;JXP;=X*e9XKz@~@#hC3cs34Xb5=*gDo#yPoz-FN!AoN{wg;19H}l ztHy2DpyL&lW+N)*J4W|uoyJP5$-=)aVjoU?L5?t8kQ$xb)vvqia1p;03Nkk6A+#A zjE;h(MT2kN0|U9JAXs-XVh=o3utbr(LTL{G{{T8zUL_8406FPU#pDp-y61{Wnh^^F z)Oz|P4nG>?r#Lma;#oZHX7R^EA+CPqvVH5jqCP5bnyjChC7{S-QgWx-n`gvdjXa)D zVN8i`AU!KPQvU#tf$56U1EwoF`+5V#F9-+VQo&l zULx`3u}JVeNb6BEVSCh$+2_->G5Hw+tn$&Nqo2Re6;k_)rz^-cXGq3e)qC%n<b@0g7Wx;&9V1b^&)H&-`GrPE z1QDEjXEhVp?83WMMxV#^W%#$N2OzN#oR30-6~YNI-vX{|MM3m)5h^TCY$$$#DS zKGlV&>5UAGV_l?Yavs${D-)hazH7L){>{7ap}To!d+0Kg2IRN6{{ZXI-HvF{b9aHl zgZNUhz{N`>YIjK!Zj7D{M$3`yRecGzwluWX{{TfJw>6gSmv2&YTXy#rsiU^o@pBRR zf#|^ZtZ)`l=0G?s*JK1`lPXz40U3_xSfI#zP>d9J5MwA9;4xQ5mdEMR$x-O!)$ zs%JQQcq7uC;w>$-tyJ4K z7z4o_DosT{(6f$!P}TKD72x4kH_??eFxZoWx4Ej9qiypr=vz6ir^5PfpEdoOPkH4< za5g?pK5t5g$672J4z?|==M&q=H!BWKJ^kxGc;4Mkw}Wv`rOfN*-KUY0&11r>&Ffp2 zkrlJXbJLo&;W%{rty13KP+=Sse2iG_0lRbCnr=!fQ=0`#+9wm8D#5`3<2^-eY8==T zj>4_@!$*fg){GWc0()6TR34+$8j5w!o<=(gacWwkaa5ft#WI(N;Vx!7)BrL;^!2K` ztR-&?s|QoaE6A;l8MO2^^4?_;Tr9qYeQRIhO|FS=b!Ty)x&bO;M2zJd{iXEx6+YEo z$8Xe^E2y5P6~hyzE28?u}^gwlHNB3)OQu6osq?Z zr|mBiz_>(!h<@-Kj)YcgZ;`Uxn)H8&b9jFHO4DxiRYNp*jirbH9SG>-u?D>OfF&Sw ztm!^wb6VJCLROBYtshTItALWRT#uK~)%(OtHE2F$oqvUfHxE%y)AdMgEv=qN3(0LD zCmmUPirDd!#QJJp%L!gJP?V-=uu*Z%hutEI71^L{mV zxyS8e+|J`3w3!<-iqkq<_hI=`{{UrtxGlvyq#oKG%9arwvzW<%F;r>>g^T>i9ZQgqjIW?vAc zzwb2Vz;zrqZ%}<|&xS5E`Fh zIPdLQx>1(Ls|?$gDlRuM?dH0(y7TNEk@9%XK@{uh+IJEt6g8~uN*feF$sulR0H_ES-!R9 zejv43^@%R+WKynqz{YXLe;%~!Jr`2Ei+!{cNf;efNfjGuw=AsO{Jm=~NcTIZj;$r| zmEFW}lv9)3`qd`sR;PwUT;n6ws#$)DaV$^s6%r!sFViL`cUpq^vmW66&0vYIx~UC#N+iBNYv5 zV#nu^>qjDydht$vp!TXt=!PQw>h|x2H<8QX)QV5N5i4FH--`7wgcnhSxq@N_7&87f z6}CM*rhK*S-5#NBByrp_laSpi&8(3m&ZJ*kU96NyVuAZWz_z3rMjzhoPb0!2CEmtrUj&w83! zBv@5Mf1gTZl1OBT1mt!!tb|$e=2*ww;8ZrkPc4YYUiCE7P30V>KN^A-nP6ju!TjmF zUcy%$3{sF;SO9xcTcoWshI~_@^SqK(mZG;=i?ge)GsraBL8$5Ae>i4JqpC*H>6elT z2q6zOdPbMd!#L^qRxgU?csw(F`|<*w2YQr~m58YA2G5&yDLl)`-1RO`>sc2Z4#u=D zTXQk)PHQ@L7{KPclRh#UyOwSEfqy!7?Br8XPn0`S1tWDd#Pws-JGrds$!nG;*NW1N z5ORGhG21e^>CH8{y&k2TWqCLQ>T1kZ<;n8g795qP>37iS^2Ig8K72v4>FPZwy3`>T z(#fWyeUory-S?Cp)h(>+M z)+}uJb&5_}JoWmF)?TS`9n79=5qU_&ot<&?sf3$$XGS9p8fx(?Tf;5Ps~k$s=b#*u zTDF=TmobJ?H)o;iRP^h+oj!Oj?AWEmOdS|@BDQpi^{)|X`kl_0w&|!qKGN1mxGtmb zGB1Bp1u03UULOew!?YPC%D%G#9_62{nIdgOMktuH~j`yIW^&>MX|>vF|( z%0ibO{kg1P583K^?~1N;mYO+lnOS0vX26)Q@P_G}boHoHN=DQ$aFsOVwPsZ6qYWAP zTh^atZ}yquh`Ofs3%R%hC%sFkTeX~$!8A`4k+D~e#tMJ^0@x;{VSK8FC}n5IOC!Bt3Dl6)VxO?nXYOQ zz$Q;33zj98HvSOb?32f}E~TfQ$MG#^u0FY|_SX@#0t>jyMn3C~ zpnKF+y|g_n1mPLlZr3t&sjoE_jh)@4A1Nla_4^5JBTq13x`zB~Ps8_mcAa|i$rvhm z>A^Km#oEH^ml=U}!nxWHbL;I>2Q9iCSlQB1X*;u)=y~f*Zhyj_z~todOg%?6ZJt4) zq$tDos@H$<_TIUxdRBHwed?Xr{{TvVttGh;_}Jx8PC8RA3tI)54j3GaQvK`@O+Hxs z%W}ESdQjbw(M#i2J8gDf3+w*?4y|siu2$Q`R&ogEwvn)ARy|kO9@Xd8l1U8jX))w* zGuYJ)N+ymqCz7YA>x#b%8~Ee63yER@9fw98zZ%Lj*2k@jrS5Y}v7ssv4+EOJq3T*y zu9K=*>T5hV7Yn`%i1`Y`_!)ffy(hw7VeJhRTUT(#yqk|QB8|nxzgge zwvrT@+Tn0_a8&w(^zB4o>@>|%6q?nJMpGY+I=h+RAf}y$+CtX&FZU!}%11&f-LQ|$ zJC78$awF)m#6~29ow@E0YO5I_=eBcB4)JF-c&ODy*!BHOL}G267+4f|*kln<+gm@D zZdt*OVZ8|H*Om6Is4cB;tZqYcRW~j==BunhJU#;gyI(7wI@VBX`W~(?4(ZTRZ$@LL z-N%ep)|KK7SHlnXn=mD|l?qD?oQ6~1*!$Kpa1X9)r-=Qs$91emL{-D7T#Wiwm2QqK zxka{{DeHPUj>gAcg~Ya+h%JHECmm4z4PmcBM-{6MrqSqkI#kR1gD;&IV;l58ffbP( zeB++<>E6v5;?z}JqhnM}M%PHSp5Av?OfcGcPj978{vMw9!SHyh8=thPnA_h(cx!n- zhw7wvttjuHyRkVcva0;ZYZY&%(=D%Ut!4iJM!Ze3#n_S1{Y7Q1&q92j%X1L_0IbKU z#cbW$T}h_L5Ri!wZccD`tU|!IJ?qoH8~A?j#_?Xo2#KVziA#oTGi2Zq_zKppwm9${ zs!cP1ipJveM3YjIXO??;M02bC;1Atzr)sr&b7_(|0B7k}d_vbg8Pv56E?D-L8zx8S z!1O=YHJdx5Sm!)#r%yy-n5FF_Ve@HTZj8Q2e>H~n9sO%2);X@NWqDcNXb3xr$=h0* zcBPnB-br@s`;FJ|tn)Os_cJ&k@5nglMP!raJxMxV#WeLa3j^EU}ia%*N-va?zA=2rl3 zp8l#!D#oOjDc|@H!;tuf zC$|oQRtK-Bs*(6^FAeyTTOC;JvAa_XIT_g4`A@e!>kq@4?Akr(n%&rPGtY6~*w&AU zyi-1~*|`eNKa1DiqEI?%;^3*I%q>bIYkedRK`6yZ9F9BE%GkDTr>N;v<(qqw$0m_( z1_DWtI}ueR+Ofq#vV~q}v6S(du6C#2Bc*P^c`co?!k`h_sVs1${%R5$l1X>SNum*J zb6jm`SC_i9F8=^(&5nvFO-_D#4E~jzt%7sXp0CtZ9)GisV)@i=FhL+xM^U&eM(U;* zgU2)m8@kb9@-D@{wojkFd8Reg>_FaX0K@REo8k9|uKY!=MQ>|uB*b%t83XG>vfR?0 zE_o=VVFkG=0~Q(Qnu>3_vSYtb8WBwbD$|#~a{$>Zc@Ehxn6AI$iXZ?n#L9 zr2haa9HY?do)3~YM>Zyt`{tgKNW%q*H4)*6>T_CJU8vpWN!!=84cOw8loig`z<&?* zUl7Iin|nE@gpL__P(kiZYWU~F66kQmFLd^_y9>LZ#Dmw44Rl`$zAssLPe_wS)vaWf z+BPylBpBQa9#yl{chBKg{w(}W(fm)R+t}Ga8X$bnv^Oj`=m%k0%bA|G8`(G}&lJ7Y zZRClfRM{f(LFe+U!d7_8q2zwGXH|kZF3hnN!RwCoR4Sqnz3IU+F><8irsRB;(-R{sEmd}Xb8UVDjjYsY}k8H!aM74#&|tN z66UssxNAacRW(PUHJ$$eggp3Txd|jhf{)W9`qv4rYxA|$#J)mC@AHt0jiV>Y4UGkFcpI&;@9w^lm)(#E5k8R?pDCo=$KH@_;$=wXS zLG4VqU6L?fnc}8Zh7HCp{MBZC%v!dLT3VhyDsZVgzr}vUqGt9)1S_`DL1@GHn`s`^Q&)>R zaC79Z7v_89<}WP@^NxqsrDD5dXxFE2T7Wr{+#fFk6>ktG2#w<_bU=99GZN~H;@5wihHufWs}Q6z{%}So6W*0VT{ym z9J`PiIPHpc#4buHk-0Rr70D+OZa`dM;-e_-cB*8-9SEg1@8+tRedvzYeai-ur3ecb z5g#a%A*e)HwI|Fx)VB~1EAO22>rl10OJVb$p{kPB;zQOQw)yi2IHuV~g$ZWC%{<$v zwfo1BoEl4Oc$VKR-F@h=3~1x?9SiY~UTc#0hay|}PUmZU$0Ie=0!x2uaN(PmW%>y2w4r=a|CEta7N2B>E1R+nNGTmUue;zsax8~gRrI0&ryulmXCj+ zu9+Nqq!UMd8)2OZD&(wm%?6)>l5&YV^@HIT+mA%t?T-6Q*?^d_+5uyxd}t}RcMa|sfptJE@_gYIjX18elFDS0~M zYp^TO=cOl0Qt4(Y5anpu@!q@t00|DV`h(k~7jhztk1y`LgM!%XO*_Imy{4ZP>^G3^ z7ZKrP9F<|xunA{k&B;A!7v(1$=e1m^-aMxaaSc`WhL!!Yww-NwsJ>#!jqB7Or88K) z`*h-FTu90TW7K!5!s0<0i#SZ@Xz5V`Gs|_Ur%A(B&b-4gSgUfz#jP?y9J$+`G4wTS zR#lr%M`a9Eh$Fh1&5l5)Dn@Eox-G%$j%m)7-!dl)n0pvFHFC3O$q0IisuZycf=M-O zshLqH`w1hKs>RYv1v{jA?&CXZqHdviBuWVq}G<#LPZVoG`*7XQ&t&N?& z*2v_Z)yl}jy)^VEmz1!iV+Zu5a&gpRs>dKIYE9V#o+!QaA(9p$an_@h<#-*b8L`@$ zPsxM%(py+}A$26>H zXLbiT$2HCBdTrzoTVGEDrKQ<_v&!FgO{Wcyq2j%rwJjIJ+Mk6r%gsXk>UO#%p-nmn zFp~c4h21G^0CUL2VR&;x(sdi}hs}AX!64M`um0LFe|#0bP=1}OH!V(;MmN#x{{RjC zXO<~kj@hm455s!5h#BJ1H0ztzi~`~m&d;gnKN{cFmq*rqA6{y_aDmbsNo1w7;_4{{U)lG5wTAe89kW9k}N`JM^h_PYY^Z6=?1CT|VZY z4<{X}MI(X@E3%WHO3|ewl9hSW_!vNo3_eMJFb886atgjjJKt1_)l8YG)-A=bgQ{-u9OfYjNst) z2h@8S#3)Muy-jIaLFF%*A;JE1uDXg-q{T}gR$zh zQvN|C#enEBSI`5e{Z^L3sM|@i836|%^HUPreq)@~eEi)iO!3yJ*|T;A4X5D$0CuqO zSdzV~PT20V#(E0NIYW{3;*w@G^lTd^t!vqj^gs`AYb2bE^sPG~I3Jxxtj$KG^-jis(ttfpAK6F<`HfRstJfI}N}4>&(0=n( z2P~HIE)6XSz$B4MG}wnZ>FZg+ZyCRc8eb;&cP!viV #XpKG|MtS#3D=hEXPa#Q04%5u4n0MA=(4!Z zcOTA_Y4dO8vz}^Nxuq$pa#H5l`to0mJ`lTCfi5L0Bstv4fC&fXIqp5H<_{R_5$alm zlEgt=oMWY7eTG#d=FVx*+7y#A9EzS!$7M`^G;D_1+ZSUaRJRvGFk_CJd33S-k@|HN znYfq9?^e9dIKj#DNU|K9`_t8AVs_-ywCK`%$fI%svBAxB-(iB)32sz$H8ZNAq2GtX z%CfpS#kHN2a8r)85Jnj!9xFon?prH%WFet;&eAYcb|chPST4lM3#SY*nj=-c%BzBL zT5gCwoKslyNh#YQ8R4N zroe5xhoP-)A{$?_$2R;i9dnJQpR3#Ia_Qf1lG@^-f{v#@jaBMRIB9W?{P=R~tU! zo92pTt4}bi07nNHrd`J>%8$DTG?2vc-JhQs{c3CINln4}Qs`*3WB^MY+OjuZqtsRG zOn-{0JY`H|wn42Gg*K0v^!28O%(>^9NmM*L4tt#Dq`H<}sG=}E>Ph^=I*tJpvhR77 zPTrkG3}Xb7w5SMBMN2D8W>9vDjK?9lQnC&`D0tbfKh|IlXaY%6W|@9{q;pNSNj}o# zzdg+&uIq!kT!1}k_Ntqka~y-~LfjbZ(AznaIpZBFDU)rw4={m6@P}%UeXuhV=qj6$QBc>CQVEk|7t6K5XaStK66Z3=EUc6(TeX2*RBGD80du456% zLH#O}M~-_?k=0Fmr2Pn>l26JiJ;jI>*7$aPHpbm9#lj1eIVmcFG7n68Qf@O`O9S1Ps| zRJGj=R%qNhHVCWmTt_d6_epF{86>TPSINZH=r)2Jg;QCg14-@T?NFuIV z-pLQheq|kvUOq+Q`ti{96ns0Ac}H_3K5@=!ML9ibC$o|wO68P(brQBuwF+H_qu7|> z9D*rB0oR(3Jm!$+KKboM$t7dR#T$ShUMY)BB;<~?EyhSC0OR$hw3zRX^wmL+QA*K! z{uG2Da@^7e-AT6uh3IL!TRa*=B*`4(ibBV!rzTfBxTJAR5pPRBGh}nxwJtTOBDOa1 zuGSoG9+jD-FW>GxY8%{{+nN@vBk?h>A(ZpU{QHWl7uX#_0aNc-tSi7GnP!@%ojFH~FE@Zgr zTJYti)vk|o6i{6z)Ya*yt2R*_4DjyK|pIGq{z`C{PnG_lF;f^$lG$*MDfDE5r zwSo^Ly*Znu789u^tk?uO&MES6*&QeZ;BmzRBzp5s#0aaLV;H2_+qFF5d(ty{b4NfU zXwKch(^NBaQ*|VffN72bo(=^flNfP~bQA>)oOBech8<~B<;bUCBjgcA(oY7S04JZ) zm|JgiK+wj>KD8P7n*bcsw-#Uk&0n;(RRx^$??`vBmX@0re3Z+plgg(8q`J7?3di?q zmeKgomsT{ovMh0$dI~yz6gDw~$GtliH2ze=OYl0#yj$|bZb z9A0FHpL(x*bLI`Ia4Byt41}uiqN$)PaJ^`?*-?*HH|Ayla%)~C^6c>AkUu((+T24J zl0l#Gs`qzBPm~-1N$a3D&g_b313y{@TZQXN0c;MYv~BIy6yE)M)i+|LD-v5qVYbV+ zYRhwmILH~R7k4bj3&M)5s?KmZG^3`Xg0`$SmuwDAYFn?9Z}Xl2qd;80I`d4ogh3wp z1y{VeGH^Hoi{D}&zU|PjDGei$(=>{V*xSuC0>l71)}6d71Yz9sPWCQOm1sKMKF=QK z>)xnb5Yn>)!8xg}E0;_iIO4cGVwya)&P)O-WLEG1w6-ptRUl1!eIuX8lH-;k3?Wqgx^nwHYs%OE|4LkwnF02Qjvwy@(E zV^rT_=IpGAB)Usdqi*a_b!aj_bbad@X-txCZuK>lznu9aj`XxddpIR_U;fxYP9wT`BcO>IKpwm>8Bt7dya!NnoEwHP=Q?z;uo@|4>8&s|15<8KwQq1xT+cLoaxRh%hc8Mf}nVed*&Qq`ML zuZX7q0Cu-Ee0#1~_?9b3;nR#S0_`$@7v%$m!0aoKTT>21YH?e)c30L@c~@4(H}|aD ztBD|&YbG1{(u#`F7gDY&O7cc@w(_$UD^pq9ks&TRb~H(-w@tV;5x;(cah~;~@;KU? z(V_R#W%h%|rB&}r-#c#W>rPeA1DaN`Nm*Dzb4oZqhMTnR!KEXfy(qXBt*+iPgPd1V z{yx;3LH^2+qrwIi++?Wq9+iWlX)<11#=cyQ5g@r zE?@(D4{Da9)a|6P4j$vs^F7e-+#|uJJMKP$wC}9rwhfXF-$Plrj!4XqoPpGORK{^` z$i}@Iu~(S`j%xOv-?$?g=cQ17xvN@heeqg7jyj6*Ef(h#%aQT}=xNemzH>=1%x%X` zl%9qW++;1t=dD^^PoS!Co}SfdPH|basHTb3@G(!%JvpdVu~KBvj2awz`%p2FNJyjx zt#%RY-wS5*bV!$e3Ncgp*K@aJ${qM8xUVbtPcSKW5o{H3-FsK4JWKL9Y^la;j-+{8 zN7!Ix&q6QAxjbwpjf|b@d6V}!5@w;AH1d#!&N=H=qevP*z0^`j>nZUh87<28t18iz zAHK&OF;$gg0z?D`?NE8L4n9sjs#~!|UvXcB8o{QZP_5>nsO`xX*c8XqfiKZ zpE36w=hmNV_HbA*?@_?eD!F35*{Q6ggdya0qCQ~8-I#Z-)XooTit0$b)KL-7QQD=R zc$VP`^Yk4lWu6%(Rs(S!+=>8(@=dC{W8~DYBl#cdurW-$xmGFil0KCA?vz8fY376` zKKR`vWg(b-7^XpCe4s}Ekp8s=I# zBRORw97p+HySwWl|i@==E?|h*G+i%+#~AxsPqF(rv<%6vMjrL+k1)y{(f? zaBa}!mhaGe)UxW5NUOPlAY;<3Xi|APgcC@AbVv{W>7=75>TijyO0<+=vEBH);SR0g zzYRyMvqyDq6g0~b%SD`EpHc2QSDoBgJa9)i!B_2`hPxjb_}j&Hnp=2fbw!^>oDGto z1iVM0?&<*ON40U$g_N#+YS1Tc38uFridGfKl}XPBns`G`;~v74boDe?2!vGSP!|TI zC*?UgrV@Aq=|YImg%pg`PP}_mjq-|x+X_upi$;!+zax-9Iq6jHj%RW2S9FI0H#p#) zwMujQ*FOIMN|mD=b&!_q(a5Zf$m((kI4VKliu$YJFT@)^7-(k4#II_)rMLl_LI9md zN9UpSAB}vaG@F1p!5v7exy=7`41#&zpDuI!O{8TIC#S3J{Dl7qEBc*h)7 zD4eYf^7{Kw%d`QSk(^_0DliLhr;$mBY3tV&Z%x!^)2A}qkX44)M&(?35mi9RBN^;P zFanG7bkF5O)Y2};S8;1^s@b*Gg61$keFs*E`umT1DRN^-6Zy} zvQ^_fkFoU?(aCQPyKM96=n~;UGum~>`$_6OyHq=^N^!oZ;)9G3dGs{lAjcg0)Vht6 z+kENDcLVr;`qfEX^&M)iMXp^_w1RpXb_VRPLsjFD{vMQqMg-vTOrBESHvQ$`^dg$8 z$-&5~@yOZ6c`l0A;}rulGaL+`YGY)!6d%I0Y;D9tbd=tKu_cX~A{ig9IjHXD+zKaZ zF0TjOLxELQm7^`5eLZO+;~uOtwRj?;W;}sLGoG};)05Jh8x6z^8b#d41CvJ5=jH2K zR<_~@x5zMRMCD<&*2@wXlbrUd_qPT9RN-kZZdu6-(yDECVSss|6&TxAAX3By>spq! zixggDglDd4EuavqG@VG|s$Sl-pD5rCw9~%AP0i`B>${@oYW>=&urUg}oYZJp$P3oB zEudIN`8v|rwkTH$Mk8Uh;k9h2T=%-WdT=_iCDDh*jq_F6<*8w8aQtg&D0I zdv%5~@^Eqa(Pts*Ni0A$vcy}H7l zYvPv0HlnN~R_PR5+x4o~GnqK;McvCxa5@UEbB|g#5|365N(jferss@z6myO_%`uN` zuo$bhu!!R>^&PAqDQ4|WySQc>SEWtvX749tGVXIDV05bLH!uKGMoHit)`hGhH7ws+ z8%Va)5i~Kav|HG7R4!#Qwn?e3=XnkWa4NROCm1<2D_Brg)r$l^YXOcs){NM-gl*vT zr`pCLhjuv?LgkuQIR=Z}lYV)56(nY9Hxt&UwzhkL)Q@@+0?w*b8x9^HP1XE5-qI3Dg|%;1l$MGPKN92LnOYb&kpbWbp)&iP-uXHGH{knCJsk6Y}$?35~V8IhQRYw8h+9}%-u+o-#MwRA~Hxf zbmFc>rI{EgxQu;j>gn$q6<1Be-i~BTs}y}X@Sgj@)}IdT@1+(oPiYuS*PTO1vVJ^} zdt>}-ocOP;cvHm|_O{v_nAF6W6Qq7xDxBwWJ$=g3-85j_B`n;re1X+xu+kQ zO7_JPpZ>KqJ!)RZF$71P4%J~;;;SbTHaN+t*Esj6W11&JQzK@ePs24LcNA~2gpn_% zDF-wUyTt;Uu@*Z|h4X~hq>0W1ksN(NuW40{p!rWD*1QA3Hv-$lwk%EqHpBSW&~`gs z1CTN+p0fAPrpjk}HtfljB70zRcLCC@Su`dQka9St*RhZI zG`wKh3VKr+6LG=gKDBD$QD#z9V>mw4?;#Ii`O>jgBDC6fmUa~QRzIDdO!HD&h{drd z8KT{x7Vr0S$e|&T+lT`@u5vi0hJ+*UQhh4Lt41IsU{XfNVUu>=X|x4c{F83Mkmo!a zn%$#F!1Bp&Vfxgep%$0~9y(In&mWb8!TM8*0tS_Q?5tV(Q4^oE?(&DB6st6UYA5+n zw`=x>I3#-FfE#lweW7s`I_6hoQRRS1rpa`zaHK1H@k?`Z2~ZT|_Qe7tyLm(-7|!kr|9m32LOj)tyjVVIK4LXO_1v|DSb47mrf6xiWZUyxyaDBRoY4%%*HYC`H6 z71fKTcn7sxw7Y`&RykkDop=HM@BaW=nX0?_fMA6k`&BKf{J0tYYbQl-6Q=&sDeU}> zNv)(q_j10YkyVs3$Ov9RsIBI@x8mMowEqALaw+Ffx&&^DGlN2umgvF8qVqZ#cC~?J z7~_>4s~uG17&R~UzM&LD{SrO37}f7jBHAHMP41B@Gwt|~Rc=00kEK0hW6sw6se@M3 z4lxuXze-6pjY-$$5d-YJ(^X$$TqH~(bDS%FRCz83+-@qL_Lim283)m68&K3+lPZtE zQudPUFJ~_z;EGIVV-LcQDe?Du)^WSPKl0!7sQlZ;Co_>pJo^vX%epl@r|yJO`En}% z0IMRhi(X?tX< zl|t1icSlEMx)@5Z@0zVFPD>Gt6I3A6?x&B;Tecrcr!Bq85*~IxLs5C$ai;F=BVC|; zbx?YBs}^hI1G$&;u58CP@Ac35)yr)#+$m<7L5{rAeC%?krm{3-h%~MH#OIvQBT&4| znflg2u`0xQu0Zck`v(B;BvVd(!`c4;c-6{qJk%eJDzqFHxr~9vD>@4lJ7D+`41G-}8FXZFF5~{w zZ{}_?pgrn2r?!#z36yc#p89>O5ro0Ucr{8*J{4jyid?g{EJ8a};g9kaz1uBw9(zHqk#`=`;p`_aiI)TK#1Zq89;w8#4K zQWg4GuDWM5)E~W}3@q{{TJcGuh{vv-owY zDQ_Q4esq1FAb467W!ycI?#urGX|n_UZfT}_ESycvQ#Pr$Aa$e`vHt)N6q=WCR8#89 ztu2;w=TvVsk0i@gg`8~rMn~4REwp%2J?@I=Msr1cc)Cy?%I*2(d|T0i-~px3mF+~4%I%*uA}yF-%_{QtQ}AK-NTcB zNHi{?Ya6c6{{ZkI-mffh*#`3Z9y9Ay=AXeh0Nc zZ!C%782u@&8pv>{27Rjat(rw1_EAgOwX+8pLu-`M{? zRWylRuos$cpH|BDaBA$FUfMVOvSUm3`)JNYEp zN)mScL~UZ-fyzBbFYQ?I10TYodvMM+t!UcXq*3o6Q0d#KN;KkC8iX-0D{kZKO8UG- zc9@$Ul(Wbfk$?wEuYf_|QSAK6_HcT&_>TV2had6pkF6=xVgrkQX{wp+N#%yf$K_Ai z`HzwV{{Xb3AM)?km2ZyIw$zbDy}y{_JqNX0o<)KZsyV52_1uRT-pLfkuF41y5NW4Y zBxA^0jIb;l)}^<%^B*O6q0(EH;Z17LE$qZ)Ek-)#n00x;Bgq1}?YBPSFrufrn`~tl9C}dcp*0KHBA@oP&>!l+ z6)acxa&RM1YMIV?#c1iMRrj}QJ)BTVG}8D-%KC&Tu2M1&8OKV2@2^ypD2M6JYeMSx z%my$47{ySH%C=Nw_M_QEl^FGj0$$v^fIwXOihECXqpIgUg*=kk2d`RKoNfVzX{uJt_M%8g?TxP5%Hr4LfwcUhgpE)3$;-bfgQ$4Ia%7>#)#eBR*qNhm~IVh3ovB`UV6}gp{%s2-!kLRlK^L0M|09wT>^gHE*j1`{c!x?1WvTk2v zO<0K?O190g6B{T zg_N9nn)Gi0>KaYfn}4PFvfWV51KMAO`Js>xy56eQz}r8UIhZ&tqhKmsKw zy>`|!hcCi+U?EO(*2wQItu#$vQHsLp;j_EgV@X#59f0|B=ufS1I@$ip)h;YRF^U@tTz`@ZkgSf^=9Onxbr<(zA6-UM%cG!jbug-8-U73r6OCnU~|yZ z>?IbqVh7}@$oy&M^)NscvTYs|`IMTD#OFJD^GJZ>nuG0qlu~)j{AU!5xgSvLDf-m$ z_3u$4bJSGoy!Go+(KBR`1G|CJr5G8epu-+{AFVhqJke_yG2qZRG`Js)Cwf-4q!ZXl z85>$#I@*-nRLpk3nWPVrOE3P|eC{rZaLx%35#%V3~3?E2J;3}r{p z+M>9CyjYH3m=5(sB%lGj{&d*O;uyuY{PXpv!wtWYyNu$hNFfn$$0xN+rU7m+4(bXl zK#>Ru7{x=jBLiTJ=8+m7vy+3(K`fAnlWHBr^r3=9xr{>HNXOw)MJxs|Ne7ChJgU%z z^2k2)=y9-q@3%D5u!gMgt;6JgB9ooyo~H)^Y~o* zj?}YF`_%af#WvnaJoYOW6tX;xIKpEcsJ?<_Eq?4KpEGSEY8=av;=*fLwo0W~jEwXmnzPW_r4FrFy3rLUJ-=FNw>SikTCWY;L z>RunZ)4V!0c`e=ST0;=HPEasC525)M&+WA?wImYP&Nw+5u%Z)r}1KPxa(QcC_EA?Ud4anU$p)ep3#l{FetD= z>dFltBx3;9&XX80I3qZ$`QreLepRod4Ytkc&S-WDk3%L{kC?}{dQJGD{X$>JwH})4g6zmz2WG?`;Y29`&TjIj}Ogdc_K>} zm2kY3?BlT>zgmJO3c;lPE3?rxTU}b!G>;HT`?TWL?-_Vcq4i&G)S5Q8KHHvIw5t%n zgXn5#Kx2ble~SDsHI>nhKm>$lIaidGdk|}lkz|HKk^-zaAka#0uI06hws@|S;pc|e z#Cp1%%HXnMR#({O6LGo>sxY>o`h8i<6klN92ynwXB%@eraez4uh~YR79%wr zNe(_|tJcw|QW3M>n_3k&?v$?OZRL7n`BgQ^>&UCuO1^V$KJ^G#@H&G+9A1U12)DTI z0L?b_nPnTp_U{dN&+B5K29Q72?2<=vpm3ppeM2JZnMh#O% zT&tgy@qc+|acpd+*3>itNOk^`}D% zIU9vB3$x&6v||Do$!=)5bCs2lh5V?>sllpc8Q8En&st>CGrJW((v~pH*ck??Xc1hh zu4*T0Z>2IMQ6T2R(&svm52*_X!RvaikwD81difnIE zQoM|jno^@ZY7lwOXx*Olg<@r80|u1sIp+qT&KOeWfe#7~0P{$=&ovlxoKmqQ=e;yL z68yg0)MFfsQf|g6xjksGA&6P{C=j3jE=RHqHZ zo(ZHGCm5y5k)x|8yCn~|g#ZIhQc1w5@Y}J^TCorjugodl+8MWUSi;1GyVY4GW^zX~ zlE_%&u%>tQq$IkMBc7D~$0s~gYP|c?znw5+#(z3+W>eQ9m<|mDk&0=eZpV|8&{FQ_ z6wLlKna@tNgPD#$d!A{y=7Y)2I1gGbAysy@NEfF{KsfqTgQ4RT?n7je^r@3PR8imo zQlS9jH4T_ZoKyb*8i`t;p7l4>!J7_VOVUoewHO~)cR zO0f2?9|xNBUxha#H@4-lcy%Ao`Bc^TPe&`4E+H=GuSpzgQHyb!p5i133X$ts&*jZ- zxMPvpu1DoG0EQ%iT(>@)(t;S;1t5%(RT%&$IHj|eF&25xe@bgiyN(YTp}UO|+`LK@ ze81L-riaPexF(>J$P*{0<|<3Zgd&icKEjR49`Z%@Yytoxpm}217kqjOl1G5s{HSy2 zeQF)x$faXA?lGDh1c~nEQy3UNw4&icXKCr&R9A9tKo&Efe)TDpmfz-#jxcCoM{RNC z#Q9T$o@uu8GNXK?&jBsht$tT(V?hPw|woNYgJ93AotuRR=+_3|ilF_B| z+kw`iiKa6#0CmMS#B(1JE+kC~>vH2Oj%&bMzomPB#cPQZLXlgI#0*!6x21GZUg`5W zyuW;xkq$CRrWN9)b>o9kk~7ar)oyvIjqPOx%@-Kon<=c*v=)j3(A1(1e_F9XQCU z7uiUY1cGX^w1})!B#+^NUXwRE&1 z)rZ!daeW~e5vT*&t=Z+Ri?9RN6xz^JS4L5@nV*FxCXng#mINU^s_d6?M0TMJC|lXs zWE^u+Hd9?b>#`myPweZT?t%E#lX)(AJt<|nk-qEk-l;x>=s+~NiRcD>O+B>fJiIXh zhk8}Gn`rrop{9}JE4w}Y>9~^YHFURZA2EKJr;Q|!y&{9_*wu2fDuS$kI&!>+tK@r{ zRwR|QwO$OX&!r#P(4hII{3@h{QK4!h^7x(Y5gius-2HQ(fmnfEZ0lc5#`gE z;a{sjf4ryD+Oxc2;TC|yGP+A|I4x~;A1fgA_CD3l+B|LmbBgQyG2#`|VwXg;K z{{ZVCW6Wp!=zAI(vASxek8{nYj$2vGQmzQ}@ARt>Ulrp672Np8!x6=7m-aIKuS%Pk zZd7uI`>*IldELxXTfQ7)usw|zxtmQpGgU5p#pD6roFpTkEl*=vQA~0Htvg0nL*LX< zX2i%EM=V0GJ+a=J;^I6RAaF6CyVO967}z+)JPJjZZNixWz@c9E!V`+()>PhcY%RLM3k{PI3>u zW|heU80NLDV=Wd3N|&)S*yrUeBw!C2rB^xYMbO(bXNpLMPrXyn(Av|F?wfJs^sJlX z{*x!Jd98gvCI%Ige80bLG0tf%$%^-xT{@cC(<22BeluAK*NWKE$z98i2&XNFEty93 zNSu!Ns_Fusd)3?CXURRPs&dD;q_s#Rv42d$%t*)~dej=1G6pBDI>Q2gl$_%<%}XKH zmdsD$DWegb)7R!~4D(UMH+y|*)uczyQJ(!Ou2aytYZ5~lXXKipad2c}Kb2h6l%i#Q zaanN>nJBTBN-W_(B-a$3!9T85Dyhu zMtGzP^HatF_oj^%k#^Pr7~Iuf?qcU?=~^9ahi{jyW3MbJbs=ioV}kUp3n;Lm$f`wf zIISx-CfEk)O@!WsOSywdh2RQ+V>$Jtk$!Xar2`z$wku)4gPK)bwkf1?D&?vGcQ@-r z$$Afp)ei@$sTRR9PeD|sl=;U8r6-*sZ@g&{%KMkaj9_z$Lu(Lh0xE|0{_&tQZpl8> zgOkj?_Gq$lRC7wu!a2&E)h8#65^1?K>>Q=@95@{@Nr*dQv$@Y)QPB0HaOE_Wp&o{q z?+AaF0;w(o4Cb2a^U|<#qd{eWepJ?$APxu8sBIu-m%lvK50w_$XtDP}sJ`{#0$?W}U+0ae>m6bIvKiel)BI{Am+$zK7D6wJttd zLHcy2D~f*aUbGR-3qc1IgbE21{kX@iBJ}pBbpx=VOm#RPZcQ+8P6XrJP)j5Sj(DjN z`1Qp^y~yoKG7fV}#57JE_u`(d$6R)(R|l>IC_E8C4kdux3Jc_Q`qgotT5|J6h~+E; z{{ZW*!{Lq6!{RkYJWCm0$E|T`(~c{+_-mxgajV66c#b2$KgF6-vWvOC4l?%faXqrZ zqLKy#jE=Q>)uCvJILP9&Z9KG*)vzkXkC)~+IO|-SpIKt5 zu=#6rRgYekp|T%pX$;L*R=EXJj^hii$glURB#4LCB|FNimEr%o9wtGkMtBGCGP+RvihhWOj7{FvF!uaU`M>l^E$z z+h65kWkm8d|r|K z%XrIYIXu^wxbIz5w2AV0qrN4JB#1@=Vym_>ijkM}sN2)=tqv45PbW0}#Eqo!+ceOi zk6J;_b5EwGv6Zz&gqTFQTxaQ0E~fD*RSH4%6+waI_ojeC^GfCOzUA|)Pq2@d9k{6f z0JLYuLkxOis+A>pAB7+!4wYMOH6d!mOa7ruk(@OjAndD;(;|ooORD zP;xk_RA(8WM~s8(O)G9I0X%0QRasP?LHDa~fB?n@YBpYk4C1SFS~Q{?Y*(JuQb*t_ zwOm9Z)lMp`$V=cRDprM+orVBCb6Pf-7Nj0?`Brq%7CkUUY*;V)304`%ry=fVn{j|U zp4Al4vT`~K(is_0ywq(j;6?^$y@t%y9Q@sCbctIXjYwi_WpGEK?N0KX54%YbVzZ{# zJQ2=uOTj-VHDRKC*dS(|8nW8K2>nrp_&PDXv|-;_gO9%z@pDyG2j+If=HcmPIbxTbSc}dCLmA0JqrYHcAPhRzMIK$_) zB(|pklw=;%El4SOe=+VWR>c)yB=ql8#B8AP$MUUhKH6y@`6GAF_g6HfqAQWfXmaz! z8cBCIAn~5{T>#G_uie1QJcv}Q1|Whe6t6lV; z+*K5Tv&idP{uIHPZC-Bax zqt6l4tfsdU0#kUvIIKwHbtfDShLkEoO7UfTIYC-TeUkqGq$tKg>FrF^SLOq_HL0O# zmpYEG1*M!uS>-!bSNZ)bf5pEKyhEc{8{6v_TX5JcpElh0K9w@9PBKZ$QkEX1z38nD z0aFc*hOJw&{h}~)*Axq95003tT7I29-TW}x#_~+;096?^ZgEQOiBpuFk`q>30uQeh zmA3~yMSB*v@Q1`}qs@05QOwAp{Fu&Ik81L#wURd}B9&pm1YrFu8d!=|72zbxcxtql zyo!i9>E5;P)IG4{1Selwiq^tQo0NhCX(n^E6-G%l>~?VU@T){;H`Jt2~Ju zV6(BpfH^gys~cYAx>HWg5~}XDTpo7wn#kLUPDOj~gZ>Xosp!zlsLv&}!5=aO2kH7( zn|R~E+K+^FhPS_uF*z*^%lBko@veG!+BGKQ8ETE52DLTKByq)Zcsy2(n*QK@YBOtx z;1gcg@Y~@Z_Kuh?HO=vPzdUM(1P_0DtWGwT9ygm6EFDVMQ9K@XD?1LH(Tt3CuUGh~ z@UrW}Gd2B@GwDouan>W~-{W3vEqKY|s+KC9So1-Ocv_U*nkzvGk@)TDRwf2D+6UIX zhv65)SoKK0->b*E)>Zivp&s?E@i*Z5#b(-%hXFoH=38^gWgW+@b3D$1sqF8mxAtvb zUT?tp>AIZtr3;_NwSK@A$Zoyq{{UfJ@m+4b4rhm+)-nR|if{xR3fWr)&M}&uG_~}{ zZfN$=CR8deh6;iJ>rQ1E>5AxIN>v>@RZG1;Xao#ZMyy_jQl}{HVv+gM5$#tcw<*+$ zf%cB4rC%~5QXn~}IqAhX6&=MUSFUOEAhR0dAbL{m_NCmsawzi<4z!y?vlIAzsR;I^ zE|?!Mq%x*4xKQMU%OOBG;*=>OkWC*yIHVKB{qaXK4oP+$kHV1h1s;4@&hbtAL?`%# zE_57{>^OoJfW3L9n~1->DSpui@0wibe$HKoZO77s(-gyX53L639QCKpgW1oqBCiIV z2g-ZYO?eXcr5BL&qn!!TpJGK{Q%~*dP#d`M>qpyT1a_s)FJm{*vN~i^fs>x5q8DmE zhJkp5X9A9N#r1lRp*ZMxr(ph-40n;S`gW<|m_GxIdeP2<>eXM_0KUeas(mx*S1ls* zjyC~a1)qlu+jBDD^O~P(hOkwiLo37jQ|cF}jg^lhbAL+qPYqey*^ey-(g4L_X!a24 z{#tNx)c*il>ELLholhH2TvjueGd-Lh6;NJm)r-p)T%VNHyJmtrf*Yal*P5dvMY$Lr z2U?gq3DBtpY7XO8Ng_!SPBH#@tIIScK@G)b-86et0gP0OEL%s(+tQ2Kg|16$aH`H* zIsGZEIBTGBl^>y}TF(##NaXud7I^;FNaSO+HL*i3?srJLROEFSqA8orXH_SsPPDfd zD6^^9PCdDz(on_9#&Ua#o9aG;%eXD$U@}xrD1?EQka|5pXW-*c;g=QTE$$4Xc*%nn#v9^YS)y*0_wbd2c2;krHHV$)GPZ0>Ko%C>( zD!yHhKV%M|?ZFjt!o{v-L{;UEJ*(-I_(9=i#vq@M`ethPE5g1TmsHY~(V}1- zS+{Nn)83|7u3cpt9dXMY^p(#K@tu?wb3%}wO8mp#u(a4nxar!qZLO|#{a!0*)X8x> z?N4g@L&9GPbUO_qDD^vJy1VMq5=Y@vEL?e%BCgH;qbV!B5%Tt;KY7UMRm`6#{Y`20s3oq3PYF`#BF>3( zZ|9V|jFXI{hGkcF|qkONV13=V8Y|Ssxbu z8e2Y{HnHLORw>|J^II?7kw;cvLO)vPr;3c*scjCoLZXi%k>Hn3NaLvfRi4UZ=fAyN zIVTLct7~N^7=@1>mC^O6BhHo%te%EKM!=5!s@fqVk)P&UaJDD;Xe)oBIP+BP*v}j12z(sx-d2O_Z|w zt!!aQ0o(HoVv-A4Wtk&|S>1>V0Le9OUoo3&a7P_0+5QE1PTJJxP7q(=(z7$ zSI4SR(OsKR%lYN+J0y9X{)egQ`eMs@VQ~aNfLYLh3I0`sDl)fP`(EbC3)@*OuHi|o zel*}n;41<;@)ga z!mNY%fbE?Ab;9^p!rG6C?`5^pl_Zii-rL(~#%o`$SzbmG!0Yar2owMTsnt}jK_5!* zgYeHHM!2bXaG4G0-%tn zA+jr5!x|LcA=aVOZCw^wK_Q=}2U_$Y@IO{@^Xe93@M@Qh*47+w7gxFDs$<*>5Gurr z4l<3Citc=0@S{}l_Ky0GpAFPdoXD}M46FU_dh=Xnm1Fk(Le|k(BV0(a*GU;EML$BjB!|d0_?2x|PT>#;=7z zfsvX|8!M>V?Sya&*Lofs6tVHUBvYqrcYV=~AA4^dC+lG&>Rz{PB4zRY~eNHoGD12}KSz30H63$#6V!xlP) z<6N1hP0kVU0m&cIx-sxy!vSzs=#Kf9{NkoKxy@ZCV^644j_moFkCvhKWqk#9zYRPg zH;eTXf#ul1z|7~r`y<|{c=yBW;hz#->2b(qg$Q`q_1by^?km`TXG9C(i?e_a+D|`# ztg7N_IHec4tsEpE+?v?X@ejfc4^h%&g27(W?-`C##egH!dLL@}!(P$vG#z5&PSjvA zT|(Gl)G+M8_XKlaa0>a`_KvrSd_|_r7ZN-LSdRESe>&1mR4kKvj#ML6JEOccy6&l|MXFjQ!bci1ZtQYv+Ed~0h7@t6TK+3iv2`12D)txD>80-< zA%!5E073j|jHfx-&$WAh$1e%#T0M=8_L*+BvKR7MQ-xuSgO6I}ehyk&-gxTT8^|M? zCW=g>u10ZFEL@aay9?@2Z^-k39DoCij@0kos3RWr_M-TENKi4R+JE1b{{RZ%elqxP zOCAfkxrWXtr?(;Fc+@EboFAy8>lISFLs&|0M10kySx>2IcGggwJ;bXR^cg*C>u&^j zH&5{1kd}}{vN7e|)Dp+mzGCoi?)+b)u<9<8`PbPo{o3cPCt9k6o`%qZr4My(c$dci z0EV`6Y4<)N(V|#yAsg;uLGsGI*bdA;QC~cSZQ)abP6d6x;m0AZKfOsr@Ui(WKv|S}-{c3LO3dtj)}C^fk>3D0@!5%5PgA2+wNp%Or9x z$3j(yp%wK10KmTs+3B7Wv(!Xt_qU1Wyrgmg>^-aJuM;NE5$a)h#l!Xbn)-v_^uA}q z-5Z?ki*MvjJWWS9#W$lIX)1ip8{Q<)bpHSmX;W$Xqzg0>1CLZc-c#7u#{MeNA4Avg zwAmYTTS`-R_lINoSKFtlHS%}HSa$qF)X5)stA3QQ)8&^c6M~x5^iSEtLbEz0&aEU$ z*Ka9|G3RQI!o8k&W1c69Xx2!aZDe7P!_bQIf7!xM_@!^7{^ij>m=)`Xt#HPfxs zX(eqD@;Alb4ME|*8C+Xgj9N;fXqWqd^Xm*;S#s$!{+!3 zn(V^O%MRml@+TO*rz!q2464j`u~swwXi6EG!5=O8CC|-^!YJ*P^p1 z{0XnOFPC++tNr97^skMz_@wJj!__I@nG}?@S* zS4P)7JLlipq?ZXZsbkJZYU6$^!{vDEQO6sIY<)#~5A69q?ITc!KXQEjU{|k-s{Mj# znZgP+BzC939v+R9iwK9dI5py*5p*atKNVbCS^_QD2@oIQ&uaQzjzG%D`r^58HEMfHH*r;uJRQ$GFpZ$~u5-p3jCy=RFf$_zit3aSyw?HoHauS2I{ns8 zmGl%{f0 z&REbHvU#SDy~Q{w+&G{tC}We>l~{-GNEGj-D#67du=it(Qf?#zPbk=+BWyex1z|FH zrQ{H4;xn|8X!8D0b4Z&51|zQ&m>`TAnPV)Sc&7PzUs_iS3UWu@paZn?$f*_}Q<_AN zIRb!4dglP=r7H6HCYA{PTy>{;Bh2T4PUA@n66N~j)`Tb($2?VNqERBAm3wN9zMi!Y zQq4U$pp*wZS9_w%8I3Sb2WsGLb}OrPBNf-_6Dr1mc_99jBvLyd(QE{EtqA6aVjs@3 zmRO7ct_DEGU$&Yix54|ulU8Ldjj0+xC^8SNO&qD^1B_#(RE~JfxC%MPHD=y;&ACFn zikG=a*I8ql*N}6M)}s^PV-&ViA-2ZDnvCtw-842*G%eWU0yBU=8cTLQV=#)QhT&Q) zg**;;=A*ZmuJ91IIpV9R6>c6zoRGL6bDFbcWsOM+q#mBNUP)igXW7R>D#fI&q3`AY@?>-txxT_|Wj)(~r)yDFjbNI%csk7&lS$|&Jyf#MChd0y3) z_wmQ~hXbu`>dvRk&T?yvyvNPKp;T;dEu@XBU3T0_h6D=gw3t%v-6x5Jk(~U?{Hx0o z?_&hlqkJ$Wt#0FN><$PWvx=EX^4PfG>A~F_&t{`M4lC0?XX{Y9#;rCvSdl+cf2DBK zpdjMCKj1VQW7VbS1<`*){x!niyqB5RPAVz0*K5rFKEB@rI%fsuMXyY+CjFr#$A>KA z2k%@1`2$@T*J^(>&OZTQ-{UD{#|dsuexz5? z;TT3I6_^2a31J%T!6)>I;)K|>D2DkHkW27k=&B9mF{{R^!^1KD8^Nq?E z^EKd~3*!>_^GF!T5@h~WjwV-gE|OME`o1(91yt76U3}5Eig~z&MP0SIF8Ld79py zpWe6}U3FMf{ofvffOHB-NjxA7rI8*X4IO-PMmXg3O9Yq#J%6bD?9n%r> z{n<{Org!J@Nd#{cT0L*7zYjG31@ivUx-+MrF_>NjU6C7)P0n9&$uAU7FGMwsPNW3B zmc@~ln4MnL|8KZPmzF+quOntd+u>Z2`9yX98u|iRj<$}!wu1an#@l)7=hgFhc|(m^ z&Jf+OU*aR{lO?+J`kv{VC^VEJoy*`J3@&tnNt^WtGnZFbAIBS{M4A$+kRv53U05KmXU=WNA9Ekh}I60f0 zQd;RsJoDJ=Vj7nu=)Mw!p^m02Mmwb&C20%hH$hdNTV3H+YFmK zGQd4hNI5<1CjBpK@}0prN@uNqW^wb!;fwi^yf%~mXrSh)U)nh)ONY+ zX;>r{t&+osop#M;rem(ewh_#9V`IIP*)*Vg>VUY-i94)?o5w#vEMWS#W3E1ELDbQ4 z7b%fVs^tDH*FV6|=&jx$YG3*}6{YvPw-3dgvWPBe-g#wAvsww-N5TTqQ9Vg z&4Of26O3WAYpPR$k=JY28_e~tc_#s{w#A|%j=(Yo!GV|y?SgWew9*OH@3D0QDNA8A z0S1mk{sE|Qi#vZ~eif|ZFNbIkG#6wYig{5A`B!=zloLR*3e1hM&+V;jFpo$^Pi{-o z)j6ppqT+nIdZGZxFbgTGgrMz)18_YPXp^#$eSU&ki z1Y@>C-z_w6^%>wWv=pE85LgHDY~hVo>+1IXO*@g28`#j`g#SseQ`kl@ zUtBVyzXOk(J2#*)^{E%vS-2CcIiPfCT~)k={Nc}8&WDk^7rOe?UWm&V7*<$)l? zlyOqPj3EQyy2#`+L#7PF4R}#@<1eI|5EF9KgM<=eowgZF25byrsW~N z_Zo_ajAKsQ4)}x>dJ}$NzW4x=KEtIxsPzQTr?NaG+7GVTEBZzWU#!FMZ@kgR{{TKz z=#*dy~OOQdS%6Yu2Gl(o^Fj_wZ7&I|c7`4B~aKQe=2s42IP_>G=NG6TR0D)JuA!_Qn4i zQ9OeN(y<@3@*C&Xp#~&YjWN6#frymvOs8;nP}9$30A6&RG`?79zZXCMmNH24#Z|fA zf@VV6KmZQO&XKtSVu?qiXcX^@w*7p<9>2Lg;t%otf3eM+p9-xHfuV#Fx-#=|Oo};D zN3qBh-0Qj^83j+iT)Ny$T@<=YYlv57w&T3Wl(zLnh#y7E*dTkGHqFD@id5&X z_({00rWl?)F91$D?9a!9D#+6k@o{ZQxDF?7quWXtD%A5u@6 zLzM}p22`)9)?Xzkx)?vd$qGJ^TVfo2$_}Jvi=;jp!+lv5J9lI+V&OLXB1uE?R4d*# ze!!m_OH7zcVQ^@$BBmtq73~p*b!G-^6%n(B7W&ER^eYdyiAs7@aZHmYXJ5uf=Lm(& z_te3Qh&+J{PZJXYJys2uloM5HfYwAiu|hO}cX3uT>ZzZJSGAW!+q@S@Fu8z`_Xc3{-{F?MvM zdtX$zf-cI@rw7Nf#3l2E*)*AMK8|OF!Y_7Iahc%|#W-fNT*^_8`rDyjF3ysi^~3Nt zSuo_!uf}G*xJX4*+4NYX^2InQ)GnUOj8!Za6Hq`DRczH!(^EmFzQvLb!EW*`y=aD< zZt!o+)h9V|p1yjiTP&i4J=8G_)%kFjBy{`))jMC*c9v%BDL*)y z`aYn%v1*scTk_LPhMx7yT<+A8h76Gli8<3O>NoAd%xetM`yN~@{Cv#5jb|ccilY5) zt%=~*jj`Dt<&#Qu6{;~*(aNX5gtm|MJ8-kgV;dv6&CZm;wKAP=-)BplKhx4;Ou`+! zn}tDt1qbogz6RKjeW1b#8}lvcO)!uf=c9|FPEk4yQIZ$ktr-$N%EM+^%m=H)n{Pk{ z2`Bk3vt7ZC?8Xt$_FJmtXbpdTNe( zLn1FB@kto`Hu8Az!63n(AtDG;89*i&nD^*=&AWuF(McUF7yFyvUCF=*O4*ZdKm09(Ud2`m>Db76%hH9d|{u3~DRmm6BmvkZAXOsB! z!J#$-@`)2eADm8Pl#li%%r3=;^^d!!$DELaEQlf8xav zCps$;EQ4a!$bCA4#i*M%-|2y<$dELtcx$>M`e=Wk8I~A{A}u1ax|KmU>`j}_y^J4T zlFjjfW}wAuoEU0v44-$VIi_6V4Ga+?(SNN^2STr^SIiOo`LkDDiwF^<{%%`VXx^Yf zIXvC}iFPNk7z5qHUWarAHVTx*(KRqZ_*jsBcP}2gh(wj;)nTUn#fK;8Vba+dkWJ%w zN#Re)?{4?e4G&^vtCf_8dGpOpWtWc{@N=|Mvq5U$qyq%MyKAjQ>;A>H_=VFF0|cpX z-1FB_=|cFgC7Lv2LTMY5ytQEmL26nKPMk91okNT5_n^USUh%V6ta$gH&?0*trVAi> ztLRw_gEyr&_tG0I4~-3+aQznq)+A7GS2K z54^qW7uYqJ&;CC~MUMUhK&4L}?T@=iT=EyNW`;C(O%0v4pz;-D9qSpt*4^Q-TrpE* zn-L6!>bivfUOvr4KERZi_~+Y58>DQbk??#fks!>JJro8abc_#89T=)&5@apHd=9bW7VU>!RpV_#P>`7& zmz|PrGx#wE;=R<+%--4{oo=yhnqb!X6@-1qH1O1$_k_Lcz?lD_7nAdITYe5%QV9wu z@B)FTmt&Tsi$#MX2Oe|ZOB#3z_%xv#u51s$Zv!W+nfP0n8u#zu)57s|96S1K?mplX z+%ANY!of`#lm)512JT~IxF77jf__G#+uA8-62)I2G{e;mV42cE`%PEEBih{DD)id( zukL4%_UXvjHWYOQ>Al6=OkRuWS$tYh==H~cfcGb;GK1Ed3C%5~A^on`k1bazVkm`x zyyB$!50sBRs4)MJ&-D-A;JynjnKf8k(poK9CZ8NAxuRA>R!lB6b<=D-CRvF801bEY z&ZaqBW4z48@gN;siK&w}C!muJY6{twNs^ttNuv@;Ff15U2PCXVJ&gAk}Ng;_BsQOv;4H z!Xt15{W>24YfYDA>_+F`l+@qCwy1}u>-V>u28u5RVJaNvEw6jkZd-e2$Emv1B=Vix8PuHr*m~X@xtn^DsOlnt$!Y!T@3v8JKEzguWo1Y z{PFl*UeZRk?AF_jwT4@?_DT-WY9B3G5VRIixh5<`m(hvN0+y3(P%=byT)5uM4Lm02Ku zv--zlwr1WqX7&C&-lb*;CR}Qb+?x4fSVqHhet(y>1sX+mhbEroM*&;gM?nZy*zDZ} zNr&&fzEdn4g-rxoiiN!@6A4%5thr;d_%=IH^u3+ani95TP5~Ciw*LfoTuHcmeq-=w zyoO<2Etu~Hx9u+W_>;*WLh9u)^{<$Gh!Q8pqk@{5nG;$z>;n^x;=c0AvcWbNa z9ZtMPu9_vTZ{N~6W4Chtz({Xs`1eOZraJMtXv5(brwTv$PWUfGi(|BZ3vge4STNpy zvGlc5U)`H3;(glikbFFhdPT``;0e(uNYOL90qn?&S9_K<1M(%xR?IB02JH9AV*}$2 zOD2mg;1n+7p}vZXZ?ktoCvFbwprY8Bj)uiS zeKwPQ`3uMY%o~JS-|tAzCMOe<4?Tw6vT!1(KiA(dny^i`k{-{Ig-bzv>xHiPnIyDI z$31~W{Ub9!Yv`5N2kd?4M+KVbKC0PTv@KA>q_`Rdx#!0MgR(9v<{N8%bN4-p>&JT9 zGWO`KRV^--k3Rf%QSrilGtN)EH$^pEAmThYSvkNZb*w}#O0QR zn4_AXM~k)9Z0E!gi?PC37vlVqNkZ3pSce`^GGA?qkE)tVY|YjWrNdH4r=Tr6IWRWT zJbm%=4Qt=$Z#a4gNt{pOQ)FMlR(sRW*(9%v@1_H?_ar@wSL%Cyyaix*;(k7e=Q*An z*P;IRH`78kBJp<18L2$yqUCWoB_p`%Ueu26$wMs5qKu`D-v;xI)IXg9UR2}cn^weH zC+b^;g|+-Qc&0Z`hHxVBIa2MGrp@~zzb!dq5f>Xf#&RWkrvIx+A?A#{U&+a_(&Xhn zC`ZB$m`Iyg(&L}oVOy)1>l^OK50^Uhwgd|YGjhFqhKa7)9dLQy<66OE?z0~q_ncpX za;CwU>}Rut%yP7Im>NIZlkYTwF>;(1vapNNH=~LkS2t${jY=K87TE~B47SUmucVsl zGjf8$nmq61UabTGGddz0I;og)?01v%ESv&1v zCiw2P@2*c;w@stBssU`h+3CPM%OC{Y)|DZQ7V$niA$=nhCnB9y%y~gQik?6?BJ^eu z7bgDzf+pblcU>aMq?Tq~DY}ojEO@$e>{oGkhxXx~KUUjv$fxrLZ!<43-<^bydghZG zs~2Q^MR4g9e@fHy2Gk)ya5ey(5i9k#K}>{^-*rk(4+I`1-(iFG;xVYQC-Qr)RDJjO ztf!=(!OV8U$53{Ky<1dVF71}|rrZ|4H^5V)GWJxdkX zp*wt!z&+AuN_*aEYH}p=&9abz+J4ZQ+T;xJIcfs>I{c;VF_*Py6r@3%<@=Ki4-6l2 z8nh_9Z2zGt?XTH0eDS^hYPx+l=j1 zz1Gd{!F&Dml83(7^CP#VP8?WM_taaB>6SrW(RS#k%_tMqtCqEyFEzy&pw^7GfbcBwYXel#;?pG`jHH=+-1UJzO zdts~jCsg>*T}?HJZQgzmLqB84NZ)Rz@a=npYB&TvWsPQUwZQ$3gXi?d6_ZDOAg?{l(#L-LjDZ2!Ya~kdmKXdHv?`3a zjZ0(Vn}0Sz!;8Y?-QP}M2=*RN{6doi4M|VeJ@%r;JmY<;-)`c3%_F_4TAfV9XyCG9 zWA&!M%_?+rXg2B3$UT?sA-_Ewbi+#EI8F||E(W>>V~A!rDWcaIF`L0~2gJbU{pYKc zI{w$q7?jP3v7^9=InR{l7zL5c`Nw*jLG|B8Z2GW!f)30N%>R2(%)f9C#|BAYc0Q2b z95}n5k6@VS{vSTiMqM|8#yb;_f%~N22sC3$Fj;yF`FOuFWH|{C(-p zg)q8*Osm{x7b!iH=#*xVB#D zqx7x?ax|zPSnkDa9kgq*eHslzXs4d96=Fzv9_BkegShSIZaT=s3!+5yqtSZ zaU3ODRzG|HR%K!nOb;agF8OT*L*SanX9+FqVFTWE4QhutmVcS+q^Dc~Oz}ylAiAR1 zF0M~hM7K8o0WzSqyW=x3YQ$Nr)#6r;mdu7J2wWxBrWY72ziB<0_mrXQn>*^7KH|u1 zB?lOSWG(c@))XC3%Y%;Ex2K71wftAa1rp#!eZ33XmUBSt9yqG#fr>oy>as(Rm&$#W zNk9aKtan03iqY==3Hx*tI~!-02^2kMaCoP_aq#fuj^{7Greqp zcWsqgCx369aeH;6Kj>7wxLvTvH63MLP#eo!Un+doMQ?Q}N$4EZdT77jMf5gp%k00) zCK@d?9cwG!{gh3sAKNkYu7VpH6f=GvSlT>p;k0Wq8Nz0oeGZi9L)>*T&Qi|fq4oA< z4>MwgGNmOjKCY{m+cTLDY_e_~F_!xkauHY5h|xn`(NjUNxwnWcZff zH?2GUseX)X5RxZ&o`PrL?(bP7D#in`2AO*ZGVTg8X3-vhFJIY=IqU0}v8ZiHn;~mx zQns^B1?Y+I4eTGJhW$H?DV*gitPX*h$SJ(E?YE9u+qjJ&>jSyN`)rN50yz*7yhsoH z>_Q}nQfd|Nbj%xkf=!B27g`L^jlPw=rDsan0W(*H9vD0Pxi%uma|A-3e%2yqDtUVD zsl^=6O4psl!3?3k%4AO1>$E`Hb0%*G@V7nxjOq`Nls;gZtCr%m4Ps5LQNlw6S6w}SkB?guTS0Pw(8t*lqLY%s{Wv{{=f@gRD> z?su$apAU|H-7*(&fW&t``vdn7d3V3pLMZq65XBBN@5`Gim9OxTi8P2DP*pVT#I~Ed z>AzZ9&F13Nt(Fn`50*N++vg=x)dsk4Naf9_oPXB$z^K_12Id#ot*I&nvmdxHS8xTh zvGPesUtclkPpgE@wv9;kmx}J1%A|oltFYH5l^uJE{U4qOlGS3Yk3YhJevU1 z?CEE$@zyJR#2n$Dmt_`SQAU5&-@$t#AR`QQU{@0?W1H34_D1d9o*gOkSS|kPS-=^@ zG{IX_0I7Pal<6oon+K&1;K$XWbhJYAOZa_BcoYRxXWFaF(vzxIlXG`D7*X5>zh>rV z8yN!c>mrwh5x(X`*KhnKt0qHX|MADCSAJ@!Z`NsIy8d{w z!@q+y)8*R^3utC>(WIaxlOWyH;IrvBu_bz)O(xbaK0R*$!A>+NNVrRSGhY9f{qstj z#O%r!|K}Gx$Kp(}{LAx&4=isbyetxPCFa6?o^Oaqf7NzO9@q9}E224-AeV|VGI%{9 zWB0j%e+2+5J<@sqX{j){=O2J0LvT=)A^5M2Cn1H|GU@C0n&x)z8sZ$hIT>x4{S+?w zieahWA%YN9T6QB7Z}fO&3AKyEZJ7dNK>weHKV((GV>ETAF$5(C@-VhxK=((5 zVf8*XE6(OB$0b5m_U6~zq&zz=(KQ~<%OdH6w^yYW@tXByUWh2HQh27lEK3zVO8TDL@MFx7B`mj|o%o0L8mr2e9T%-s3wFuIAK)zN1|7A=6-Ik8NBZrE?Ecw&)n`;L`X zDzi@q*(BXQtQnRhxpo9p{Id7(yLOgVw~DUwa-vtbxNw_JVDMUOl)X^Y+|-Q*knWou zgi|^yiX@0tiyul#gfWg;nb?y^WYCyNJ%bjZ#AuT94V7XYf^k6`tep;@)+KFxcn(3v z_nu>tZH77zrFaL1n^q44s0agPR?olod!QJKJG8_s{tlNO^Ec|NZRg@nLj)?!k)KKQw=Kib`vXH(poTmmT( z40-*OP2Edn`;S@&U}=BBhzZ1aEM#T^2Mx%)?TQ(z>o!gk9mb#^2mwO#`-d}RaIr5? zFi_P#vkQ@VW@X2F8{~6!PD^c$I;l^;X8{!%mHXB#7n?CFr=!C=2+Ah|BdENT2H$i# zmUicLfG+JGa0fu#|yD@4}R@V_&VduF?CR~Gg}y+nsqQn9AOZWz zYPZd+K!cF_PcT$lS#^ACDNsj|T3Q4%7<|ZkzC^0#^q3Aedypz#Cjoc4xjjC0>0-P? z6#82~A3=?IfdMp3_D5o9y<_U_u6nVt z@FdVd1@m+pKNu^HG`8n4cN?#-HI#gKnJ8#ouJaod|1sA9&FNd=Yl88^!YWn|o$Xhg z{x^@tsTZ`@fpZr(gWRnlp$H<98 zn$dMdX}S>R+vQTl9C{|5-*4^x&04+-LoQz0Np%UQ&HiwrXBY! zPx*;eF=%4DD*zE*vqG45bPcUm-s)6G^aUXdj-?l&7}8yEFddpGRQZV-Dta``u3q zbcIh;U* zU^dLE;U*ffzQib4a=_V?*?U!e4DS9Yzbyt{M3HY3X20dYIBhQp?q6L1_np2KSjTZN zHCAB|1eLG8sIUhRLXKbyMlKX0pWNE~9YP)TbTa<~44(aBTn?H}>i7rPW_3EpEjXsa zJo?iabS{9Ajj$Y{)0p_LEBOg#l0<$z_FqajV((U-k-a$~xeNFhv}3P^v`ejH&L~`k zR%)Oss_TP1PZoAVZxaz&yrV0(N9$DqX}@xo6q2pkyCbB#UP0scA@*vM7I~BK1tvy^ zC6Y|vFH0CrNqqEvuhE1#Op(ngmQxX@1s}aOPTq@FZ+h}G0J^i`V=gJt%IltTza6C;I;qWxspVJ@keec4aqT)11Zao_b>L`A@qL*4pL9y2-4NqQ_+{(9h$sx{?g}z1zHjRDffIl zFt^jzUda0_vC6i4G`s93PBM;BoRkK>P}}#WSF(Z+8sAmuT!25czS<~Di9{X2(e%g% zg&9ep!^cunAD!ippKo1G%)><}QbZS;Xn=*jM;m2h{$&UbESfkEVTLd2k8bA6oc)@_ z)iSLkB;5wl$bFsKIe$$rA6t&Up8+9(De<_1u{o2kL=`TX@*kvB@<_q*k0T*L{N|O4 zy3uzNn-OuxC>|%4HbwqX`EaI!SOX{C0S6YtExO2K&+AGTQM>p87kT%UoT(v{XQ)%8 z1DKYWNf0>;!Zw9%EhazQ>@YmMm_WvDJ@8VQ8LK(amwhMei772MxvECa{P>MZoh?Ba<>>)Gk^n~oMdd!9_o;)zKN?~ZVOuuV8@}ezZVQhi>$bR& z5tx9R#d^PVf$XblRa)QQnGVGAsi*tEO^QkH615*B`kRW_*#rk7{%2(;fT4iFqd8c=4LstN+I}^Ini@Nxx3I==_0in#X^l{iFQ# zhTc3&t{%IqxED013e9SN)A)RTYP3)$A3Je~vF?gN*A00FZvLTm^Q{u^9L_oWrEsxC z$gAaf6-`=|Ij2S)A9IedB0i6=-ZLm?OA00)m@Q{C;6U<#T|!n&_;5yl5))%lr=hpJ zH$nX~vH9J9b;-I_n=X!G2V-VopS)Yx82f#3ZE4yYNtyOH&?zkp^aUUZ{a0~~u***j zMDy*+XyZ8KZF%I)<@R5S zf=0(%Vg@@rEg0XduJ_<_uBgz~6{Ug|Ye%Q9n>L<)D3*l9w0yms0VXs(LFJ)V#G0yF zB$7H)&9W`aCf#x)UbO6Wl9K$T6&^Lw zYwk8gg6;emzn9)Y?vEKZ8alCQLZzed9@oyAc&y954 ztTO|~x(4x`sss-H!}cRbQ^nWTg9Sf*=+0DjbIRE-w&RT9E5pr>JaM{>cbP7D7sM6m zEF;B*S(4UAPLaUHJ4H zgS~!DhL4WQ0u~q@nlhc0-G3W+3Em~?+e16EZ~60I^+e!NApmR=%Xz-I@g<`v)$zFa z#bfMypG}D%nyPFWu{)6yLh!^T|6i^WFN%*tdN);;TsNElDu^6#T>cRBC=5}l9W5yP z>pSx~$&GuT>h%W-bDu1k2|7$SEuEfPaJ=^~#Lgeog7oa5zCrruJLWjx;nSh1B(|2z ztI{OSi{MKVJQ+Xjm2lZh&`92Jc*HHBqvyeIOj?H;Jf@BPg^n@aj29WJ!n zkB9e0id0w!V1b3hsYN?auL^P(C+CwR1voSjM)-T3^(@)G+Ov) zzZa)*OJtCc<=Nf6?VF}+czkcVQ-2y{d>hsI%G=)RRjX?sL*OwycwK|Kf3@#t;+_QG zJ%z0$=Zo5Q!U8&-E&=Jh^RLoMVeX}fSd)^As`3jciNEgD)?`)wtW_p`DVyPLr@m3;p~oqHbcT=4cnLC;5(rn&ysnI8H_6*k@t@Cg8oMHOsEtZcyk?7&CINY$^TN zn+KIO2W@w_!p#vCJLD0C6_*{t^6Huu8cfXM1% z%~)}SP9wd7*!C_cMO=99)x`p*%52Jv6x;T@V995mqzD1h`Syy9`XB_@I8V6hT(NwEbXa@}cfq zuY;cpkwnv16@0quVv(9M!OsoA&K8VtWKOGZHd?E85@LmWy14G|(AOzqLCe_qR~&AA z&Z|=c>7`s0?z8ovIzEkEka*9xu6l0!CDTW3OmKL8&69oRT^Kz#@~hR$?dV|=E&mZW zmH+-5o~Q|`udMqAV0I2#?=e?HTzFfDDjV)8O048m(yNQA@_T2&m=WjIguu35-?7shnWQ1=d(Fh1arM#v+yMne%y zA&t>;hc#?E22Jm5qcbwkp_GTInUKh9`VrG~&h*w#78`JZIvJfa$f!;`lFDjSb3A;X zH*SR@Hjv6sGq{u6wiOh9a`mYCEY-v*QC%(JD3`RR>IONpxq(H#+EmSU02XhU>*U|# z-2c$9`kV7M2Kj?`KJ`D~{$fmr^iAxcMmCS86}AOibk0Ct&o%C5~yX%9th5 zb|9O-d?Ui4p-|+;wRcC%bzF8_QNkc4PJc{Opo;URA&p(VxN1OiE7_t&zl;$x8rN{y z?D+CpZd@oKjEmg?rRoc@GDEF1yb*lp;8J>+Hoy#+92P=DU)>W}9i@sr`~wsz)%q0= zWFA7y5xo6=d1QZ^Uf3U$QH=6|eMI+#yO+(!99*dT+kD*mHm4%=*oxd@wg~^Tx=hv# zB42#+%#wSK16Un-!-B{%TOhA;ct(Kb=&D&I_YXiTDKjal@ic=6e@JJ#-2tU!L*hJ} zcb;V8(E~F!?>UwJyvRt`Y)cn~&7;CLBUMrH8=1VN@%DZA0O1dQ`HKSa&mlK-r@&Ft zsH*#8kg+{7lcR?``@`Q$n@KJcomtI(W@^D8*u@(^d`#2vp>N7wNr3Rl_Omw}VqdTU zaLjb)ndIkjHc9O~sb%LW&QOd9#!0yTF3&o_+Hrudo>#+!H)6OYX?nQzlUE^lD%4LULKNi zV%5cof;p8tv2gOYk&d!|x$@2vRvocpKm5K~G%V5TpqJ3cYZ(*XNr|{$bXjo}?jPAA z{G&)~HJy3Cy3Xk(^cZ6qyYhbQZ7764@+d?@Sw@tTZLL|p%DmQkY=FzaupNkQ5;pHY z=9I`OQ^&54*AUhd90c4}GaSOz-ydjF)6P~mF^p>PTAVV#et!FD&4yQ`0DPnTmE4rj z&fvd6fksdYzf%c&cm`x!Lo482PW+uZOIx$uI%|)ijQr062Aa0E7K9-mkAw9*wcXiGwej_B9uMB7`AwYLS3{0K<&? zNw{;+gziKQR7#K^c1DX9iUV4g^)vOE!UCOM|2E40k||98F>md)a}57Ma;(8h;FIYrak+`>yO3s!Q9_TNl6NP+g9i&1ezq*XfjYngZDB?YON#eftX4_* zCB=I~Oew0-l!;6IWy?c3CG1xfB28*MSyY#%;h7A%5?)m!Pgzd{R5Q(o-_D9}!Jz5}nJ!bxV@zctxUA~IKD#g0IB~3zqg6ws_X)TErJChVpd;mT~ z+OV)+vrk=Qk)cl~R%Mvz%21EJcQD=XI`YCmVBV}1!qwy~TSX`pM|;YxUrGD?@l-wI zwxp--b(<$KQDWs_@9BQF&S)9qd`~R7>bwQd7vXGNF~)LYSu1P(9#Ki%TA@I@x3t$K zt0T-wE*im#yKL4nH0(OYIN`dV_3BHauylRoG&_ty>_m-zxCzf@V_F%1irr`#$9jEcKJtaU z;LGlPVJ;)-X(`Q%;@WmE2GWlAf5^49xXf1Vlz+MBJ#AsD5&-k5R!yRR9P|%dOZD?Z z+JkQ@93@}G+hkK&-r?qmrT_7ArzV21nG|oQuvKwAVQ-5<<#i-JawW(O@OHiruw64< zx9*XA@fn)=A^`za=w0aYx(~bHT(j4cz_YALL0=NEc`Oa~8MCokd4J;Gb0aUw?TS??VMMDooGW zj!s?Oy@1I0=~>j$F$Q;^=?-%?MQg+kpPar@@N6b9ovBLFi`jJv{m@-IB=PwhjINmF z_QUh+S8lN$#Y^4(TXf?}F3Q8}Hf*CknBF+H4BFGX)Z(RYcPB02?1TW@v#-x7FP*v4 z$SGMdk;8jYmJ%{VGQ|K3EfT%K>-}i(D<4%h|F`f^RW{uiJkgRd9D6dUSLAeY`V4iO z!GDQW6DG2iPxYMv5b}iB6mrUlE zo*RMw5WTPAkpWQ5!I@b~f1jV2 z-Iu@F#m`LLbVSP>C6;xDgKBv*=)&sLwQ?~jnbW-48!~s2@ET24ffR*9ka$@mFHdW% z10(;~=WFkj@rhRu*;#grUqqkIHJ{{o{RA%t-=q_`z{RK!v{!k!&?P>S`^EOWx*e&X zxNADsn%N{j{6@&{TW78)u>(>W^z{Y`h>X?~q$zDIa&2lYOaIH469V(6YB&}i^!#^xU$ixxiyDC}Q zfT^G4e-H4fR@53NbhZ-}c&n!HAoD<`n=DMS#F3sw4=>ThRlL*vyi`F+)%AUS) zIi?X;KuE@t4svrKsEUS`M6atosqwNCGo7`P$8A19EZ%}P+0yr4V#^`p{oQd}&db$4 zVUik~kYyJv^tImcfq(&SI^mNiJ**Vvc zfqOTH6U{`3{~N_cI#2r+8@=^5;LKsyqW$nzh79Hv;iT)Eu}#Xr|EfX z{N*l5G&nlc5J|1!wx=T_zPei8bpRDJIGf?k+W8--ndsVdZmQ+=L01^L#v8|`X z0`KDUgn&qkLD_p?r z$f)D_yUS>a)1MUMw~4!QZM<0_kA7-dsS%>c_1AenzClRzzvd#^OHuPzPskJYW2c_` z0Q9~Uj1JP3ux^gy+E@Xrw-)+Um`?hzbo|%8@I#D!6^&XgW{Yt$1Gf_-^yl&uR7=>F zYEI-U`>@9C^;h#;Z^SCdTdNU+7?XlQZylLz_t8~3G2pW{tQz>aj8rJ~L>%H0r*OQ;j zLv3qHQ+f)+4ciP;^nP4sn97bNzSLD|eA0FI2@)C5Fdl}}VoX(rWXHPY2XbLTSL z)3Q31a9PE0T!Fs(Rs$~TaY+g%(>Wo#_-~6KX9jOdO3$>jLV4KSKWmmz3%w7)Q)GL& zqf?Ojw((^)`2e>zw)o?%$#)QoB(_3Ii@kH5V!RR{amCmF&tn2x^I2aPw@PPnZC^-gxtoke>(dx@_n+_7eMsoI3=9 zQYa=^JS1E~6_z{oYGU1m`lKz6xcRSt9ju8_BVelWe}}a+KaMMiI|*;n*C=#P>@Bt0!K=vjj;#g$q*;=<}EMs@n~V#g%-(jxQov}mG9 zpoWSYoxXfqh>Jp>sAfl|e=C;0&5jXy&V}HeUexAmGKotS9>AZfBn5Sco>1=n#OxQ( zf9jb8Ogd70j`%v8NVL3BgDG?^HcVBv3ml%axzhREe1QO@vJ4C4?i&_)N0aMwud6{2rRT-q zex##H?OEywsuBv0OVJtC9S4XCI~2rr8il(omDk%?%V*adW!76o>A1YaPeP_jKYPqmlwhrVrR)JRl)3TFrg|wOX_tVyy1W{q9klbS+R)+se|XD?Q@bRQntT; zM{aKSOlYkY8nM|5;QE~CP2yLhiXJKwd^5d%1Y&%oX{+TU^>)~`pJfl??Xb(#Ttpk2 zA_z*dZ<&6@;OG0IKMaRB+YpmK(UoxPjcy4d#Occp{nnxD{{N;*yM-sw!bKJV}&{+wa| z9@wlwj$P$)%MQUAO4;ijOl%OP)ZnvM%Ir)x_WAV~Ta8Nk@j#zMcW(Xkw{J?uID@-733ik} z@{)T=8a`txd~&(P3YfVVHfH45otnkAQqnMY)(coF|8#`9QYKytmBmw#Fu_mj%tWfM z6TS+X?Zh14<;q()g^+(56?jwuV$|%wS%ms$>*Z#W*$DapK^qrKs?Z%N+i|}ex&p1;^fw!D3Fd{Lw@k&*_pYiIKD+L54ffz1eE^xJr8DR>eU~y7Hr)EbYuPwU-WydtJT9CVxeMJ`$ zMG?yr#V3}<{S9bCZcCHc)P~UcnZe?LnL;M>2m^{$jg~?OCbb&Xyv*%1vD&jrM$=B< zW=4!#ADsmlxCHZBsb>Cn2u&oGGI^i`IrO9kbH;XcrYvV*d!%>YsK^ggY zrUp^BC30G(w~yrG(zVx4nd0FOB9hxnn`r@Z2kA=Uxr(uGXU22<>G0d-NX=}fn;>=p zIqGTAY4V0USH2A}Fxo6i2U>eW^NuTWO*T-RAqx&vh>BcEHVHp!!0KGa;ZO4{QI*Q+yNRY%&Tu_=zw0YgeDc-eCWTaoFTqdCYTq_@&llhpU8 zbSoV6QK@5tidKlMpywjG7&L`45Yf3g?NVH5_}jD-?dwIvbKN8v9Q34JzGib>2lh(` zQX?cEYFE+6ngNLO?MV%o47iXUpj6|A1P-;oE{;_JRlvSr+B#O0D>s@CLTTE4iDbbz!Nq7jnMqUT&UmKpxC}^~$e{H->f|uUvw@s2 z^ro0ySZ_Gzty%K6&YwS8frFuu8FzBMYO#!%@?X7beT`L_!yJ)RUMSgIZUKSK5<*NG zJ+@`TgbINB21xisfQ`zT!FKhB$EsIhG%b>|dYP#qj`{_r^&>}eqKin0;Nr5;d} zjPPl&`AH*iO(mcWwJ_wa-g8L{B3v?`yGsk8ov@kz02+=-0J#mboYP5+agi2hR9Vsp4l*Y-} zRB#EHl?MknBA$^*C16fHY1l+@Niw+#cpXJa2c71&-u*{vVnqJ{Y9KZZ470~LUENPn z{HU`S>u)(`V59+@5k}bs(-3gS^`|mA&IarfDTQQ9pP9P!G|~cVwQ~_Asxcgo7vJsP zop7?0BRQz;gr+Z*xb&yEwiYy)UYGGINwo3vKDn#JQ|YPH{SmMJ_tXZ05aA$ z`$H*gW~5k0o>7i7%_GLkaHKamqFCHazdv5}BN1W|+!ZayV^bL8&)=%|5jx1fHcd_T zR{s4`xS7_^GkJST91isfk;oXcVEyC!zMDiWrQ$r!0!N+m3Nq`oj}w*(YE*`cXw8oCk=)m0-N7 z8R=YA{h?quIrO56!cS7-XGv;vg19}ws>x$6TjVrRNxK(q1p5TvF$zeegHM=}LeWK1 zdWe!uHg4(Gk+j)p1nwj*a5DYJBD5Y=((!hvrUTLvu zfl%ZgzMZI|mBPf4X-l^oPc)EeKsy4Y(M2})5cJZsC*3sv0PL(v4%6;ED59wih;*_i z7>tm8Y4d3;SMFkpDJCH#(qu6Ku#dutG?pO&PnwD-70XMbI{}FDDj}lCcVS1p6jEzY zzJYC`%6A+LXQ8J-qcM?y7$S-(*jFBORxZN>r!@Or4T$-uqMSs+eHRQDIn5I2Jvq%3 zP?qCtblM85C>cLrTAlP25&WQ@ITTSzZWkLKhZmK~{M19EqoK;QSU_*q78`#lM2k^V8P~}CYch>r(ho9iYWpW^vK1)ZJDHw!9~jh5y!8s z6j9VV60V+xf4R@nl6^n-%2hMZLq!z23gws6!B6#lN2ON&&tnNykNha2t%7B!H23m` zBlf3TY4QetlfR`DQD6kqpUz>o2faESDo-)S0Q%8IO@iS*l_$zb9eU9=mclWSoS)0y ziYcXF7HuVh20YUx(#Qx%@A*+hD+SAKqkiW+oQg|*9ZW+bwG>q~W-GEdbd|%8%91TD z2xTB-8YrM*!KFvCK%j1?+G*$k1PqEOr4VDGvPG5hxCfei)`|c;5DgSlPeBVktYcvv zDH_%@DiA>RqKZkdB%aYq90QTwhCE{xOj?GK!93$MQB^3QL+$OC%lXc5Xtjx1oj}eHS}3O!0!sod!i;qk z=%Q7+BOOL)qK1+Xo>==)UYX{L*oVkRuUaUiwqqN3!2&S#re`-x(~(6LH`EJljjid5 zg3*S?1oxtfxA7r#8)m^;MJJ)i6%0}YnZq^#JW)kJ$h`E9;f{V&%?9Xwto6YZQB!ao zB+9o6>)V5hONob?jHB!CMHKGGVP>B$ueXs*o^8$!-gu&lFLCTn(-&R42sK*XNfuU6 zy(prnRuHs_bHK)FRpt9j0l_1j(M2uTbR9a$^0OX?oKbMD0AktqqKX)k65=-~u3I?A RK9un9f%6(DsBPS%|Jh;v%|!qJ literal 0 HcmV?d00001 diff --git a/27c512 mod original docs/bCC7Tqfg.jpeg b/27c512 mod original docs/bCC7Tqfg.jpeg new file mode 100644 index 0000000000000000000000000000000000000000..31112330485b66ed29ad5a3fbcaa4e044e64a8b0 GIT binary patch literal 180851 zcmb??WmFv9)@I`l0UBv&v~dd_Tth<#ch|-mcTce3PC^G7cX!tS!994e;Di7P5)uf6 z4DY@3&HdK=nwd4bR#iQ<&tALEvrpBjWA%6S?>6Ac3n&ZlCy-(B?QFhxa6 zEgelLOik%u3FyLr|0%9+K3+P?3XDd^CX6^+0BiszfE2(D5VMARd;FKpe>*?=`!D&q z{Ev44V1f6)H2)W8d|Nwj_@n*(;~r?^?BVm6*?>n3^!ND}PW%VMJ-q(W7d&DLug45N z;*Njx4*$ke|6rScKri`^?mzMLu?u+Q4FEj%a`&)t z58z>hxxx7!um5A~UIYM$T|fFr{g2Kj3jk=30syGj{-a|l002l{0|0Haa9=OKe|$a) z&Er0dHicn>^#<3O;O7%L3T;|prVGwgL2Ai#D3-RL`I)mv5LR3hDx?PS{?)?3@w2~w z|E>cR0oa&W*jSj@*jU&&IM}%OPw?^a@bH1eB!o|>fYj7fKuSs)I#vc6S_qhul97iI z!p6?Y#R+2I<>%$#XXW7J_{Rwv4h{}JEwjglxPb@bD?As6jNWZ0sDIT*4xv&&0$f z6rU?Wl~rIbboKNN3?G9Hx3#l(a6~wH`}q3#2LuL1zK)8HiH(a-fAcm2`7SdHRa9J3 zT2@|BS=H3s(%RPE(b+ZlVQ6?{bZmTLeqnKGd1dv}+RpCY{=wnVm*cM&msdZofBw3; z{rwLwGyulGX+0kQ&Fp{UMf%7K9TO7+6XzdZXz2d`5GTdNViLe6lh?+v_9SN(495j3 zq!l&};6a3R&M9oXKH^id2=B07{6pK`aMf^W8`(MQV!)pydh=KN4co?JrS-|y| z{KGNrVO7lRoZO;n#a~9qzkrj)003575q})J!B_9`? zQO97we9cWBZ?qXfo;Kse|3pC`2j!i)3;+nTG3%$sX15YRc%CD{3v_b|RYEAAx+2EH zz_KtW*)a`IRJ<8L{4W6CC$ahowfJ>O>~~)*j;|OS)e6`!;8N1FdKNee=||A2&+n)& z2umu8>9CY%_rR}8S5i!zeUryE8F`4-@Pt#_=pbSa^Totv&VD$I_9@DvWEZ(^{nDK%JP!rd`zwo* zkU}$DV(=k17>@Af&~r=tQOCpb2%QG<@c9DA=&5hpi?!6IuZl}mK2fJ;u%JzAd@}$O z!YTBbb*S<*MeOagGRLMxg3Z*^3T?x3;%z2Y!C^u=9ZA-JRM?L~13@ixoIN=Lo~ZZ; zxze2WH@_-vNkRQ%{pDsY!E)52A^N8B%vF_I1@BGL&8W0Yg$wrtQ{?9}wuJb_WHVSq zSyq{#SMj_NS+K331qa_NAizo0Uc2K=YOWpNRp#-`0hsQ3C6S}UeW;^AFQg4x+V`L; zrxx}hwO<`=jAJWqySzVg$(bJ>5?}Q|q`L1{C9C5(0ZtQy(lwdWSuHu^*gJuniRMu=kU93pjfWh7Xv=G;@`496QMcqp8|82aKvK6wK$GHgi;oWI4xD z1Ve&|HW90QydT(>Zq+3YqK5|OHx%!NZY%PhW?_Gy$s~PYIHSgKtZ^kIyGackRl3t5 z+T{E=LgO!)jK!4x{Buv}Tbl69h#PI4^^s>Xra6nIPzIu{@W+~u3Bz%Qi+bN`9!#ir zUKJZ{+Nyu+HwHUe&bEpzdCGzbCPcN9cMTSh_E$Y;PnUtG2k9gANo^^Qr`3#BkuG1& z8tD*uaJN^E?Ro;apDI3K%jhTz4>{s^;_iEJVa}!>`307@Ew%UKl**5$^@|JhQ>st8 z{_3dK@^p_lD%E=%NfTD0UwrxDvhrBLYT^Jo?|&^Vq|pUX`qiw3EynOvys}(;4gI>@ zli2IM!&mS4sKLM&UN8~fiG~C;ISPVwNQ&3CwslIU-sK`83e1>>BZe_w(lJAR&|k*y zE-j-S4=@n8y%lF9S@le^qQ8ey5#j(tOAfuz@*q{|IHIcQCSnujEz2M(mVTe2jTi=XIVV{b(1=IA-b zOt=N74|3NP2l|&(M^ah?BZ?;Oi4K883RfV*3(PGtt83GKM^KB8F z9Uid(Cpr_yfq8MEorr4dqu)tN(MjU4nvd{kc8`XCteFH(Zm_q?q*R^`cVt- zTk+xIb5`RzD&4^VIWH0D%7-2M@q({b2IuQSe%%Jm!|9xxQ@yqC%l4BI%e8U2FFtnv z;f|tzyF9R%KyWAqK%v&@jc?WYJB_msK*KUiGqmB$r5Ma=fr+B|*2k(KMzJ+-bz^+9 zH!V+;UxWze&fo%B1XXqXz!hTG6=|AzpR|9JuT9^f2}y$Z@UCzeXk)IJVP-c(V#vI0Iy%5ol7i;>J)`IJE1pgoiYn@d-X+ulc993G z8Cu1{Kk$A=&M0x}UHzUzMsyPFQ_SE28@fT7^6}~ViqCKob=GQvbK``Od$ht)C1#|< zyv<$dio}Sf%=?l3_(t3$t7(x9{O^)(Hq`h?c4jhF!bSB17b!0d#5ZkgEgXv$z}#sg z_?d~;km9eeFbi2u5Al+$y(inmElf`!j~~shxuMqHHc74Ag6Wx{LO{BJk%!Th z3YCj&z$N0BnrOxPcxlE34TqwdoiUXpR0FSEqkvCP-N=3_;gU3Y>+O0a_5qqea!UADLo1eN;02|tG*WzP_A*1Yv}rl&GyxUr9$ckQ0n#f0#rnTfZjnhYs zq;e?+gmHu1<2+dLtf0K}t-`bm-AU<(-K{br^6y8~xC4)_7-L<3o00-0N3+yb)@?s4> z7xvAA@z!@@EcDdq;y4vGswuPqUPR1$Ci!~NywYsJ-aPS>eM(|>N)SFM$tF(Wk2>}b zhLhX81iQp(;A}%B-e6nWyEkmw(4eqAu)oOq4ao=5-?9JOI}sNA#eGr=<7VOCj2&slwT+q@}#`iM;y*za%*ySk16D=ki{M>)v~$JNDpE z78R4bpmsuz_Mpb(c5T;11n(x*4LwE%P|8Ky64@`K~lG${sP|DE2Y*+Z+HUClAdZgY8ZTCSrl1$|$ta+9_rt*rch>9p0GE!RrRY|8b(@~P+G zeW|>-OxThu?QVXg$fo_e`h4pf>CJ(4aHw6@Gcfs5Dq6p^y5gOjMEl^T561&_=npUb z@Av_c!xGW8K$?|E*(RQzCiRt{C5F_D9-h$Smp+en#=QEy#nX$(FaGiD<`5vf7|c@;p|JU!Dnu zNjC*b85Y5M6#UWV`+SzJ8A!ic;ZlQ{`!etDmF}( zgI&BHdXvA4IQ2>5I-DHta*z4Zoq7Mcb=<|R&i<|&)6<7b(Jom~>{qp?s@twk=NAvi zpL|KEz%W!bHU^_M(0y>0?yJc`dt8mKPQp-9+4DCh7Pal4h(@m6H(LVGRP6etuVClR z<|`t1EgiiVt{JynyWgKXv$p3A4}eMbtV+%%Jzl~}_N*%*^DQq!HCC+Nn{#bzxVX{G z@BMP=X0_~j&ks6Tv<+Tb+2S<}PhL7izLHoxm4fV@nd-hZ{|kVBZKp&wHdO(t8=x_c zAdp)*dtPg>{DRa=qLJLt>VTq&%XxU$iTWM-P(wAkCphxZrX1il0`;CXbYHl@KD&9q z33#xFQv*Z<&qA~*mP961%rzngBe z6=XNMqk>oeyxM)yTmQgV)_d%zR6PimXgAwx;Ehbg5i!Ix&JGl)6t1iP`Ia`?Q~w(+ z*=RHy68abL!ZPy$7WPy5PSG|oT(dbUQ+#uA$@`)6T=NBTE-6vGP+IO~6NAVv0Zbir zQp5@$A}!U;G43~L2USUvI=>??BAqUf5XL$Dl@M`>JpFNetls8$fBVb}=Y>3Nb~H5z zJ$*~`iUsWX;&(U;PlE94AJQE0+6S=9oCT|-tegn3ljqv+kw=X21&u6ZDb4wBkANqz zzW~1v2;o%-90w=fG+>q6OF%AmC-r-(D|WUkBy7h}IL+;f<*3|*(R|`BKpZ=DRN)er zWgCt%0zFLi!{6_yg_ES;<@Y|R;BT`80%8K&tWk}`SAY+m@f8C~jgs&28%dD7(WX+m zY9M@0M_bwkt_dd5PCkM7k|?RR{ll8*#C33Ff2A6a5&@dqe#cJ=qMXpK)NU^X4-nRy z1=9+k5H@GI)ieqIimvixy<%)k1hJQL`r%EEK9oB4N$>Baa+iJ?#f4g7!dlT;PJx{H z4Q3V}?mzV^!ps`u8X%f==ueAO@4)*RwePKMhcyP?McT_V=az%MtKg*|o5OSVwP~U4ghIp0!f}U8_=wsT zHDY2SVPrQZ2C(sU+PmPN9L zhL4T-<=W4xM29I-M!;;X!O>x5s~Z`5Ej@7|)Gy6)BOi$t^}t zh?!EI&xYhMvFM;F%C57YR(rog_Oc1$vSz}rO^^e*sfjBQ0aStT%#Bi zdYyy0qmeo@qgMMUE18p5OKe3Rhf1LRg%X>&KYOU8*-gg%d8?Qr!Hp+IR~osvOzl1u z=I?{b*-EnMErTfgl|AoqJf}rg2xI&$TIwGvz+?y(m!k8RU({?Ds~*E`*0PKQsI89D;Dx&(9u z;q&>ThY4a7601BS=%A5j3@?x;gn9skYgUsHt9VXJC&7He7j0a9djQ6;NVEe;H+*HS zBrZR=4eh$@Hl;TkLpW;DXyk~cvcG&##&2+bWTpYxUuf$an?sD)z#fzhFh%SlF*-j* zq&PiCNEK-i$q?!GPh-Y*SMKj;YwnZf-yGz%~X2DIdc zdG6Y|qCg_xsgRv3TWzLIuKS~S2{Z^dNY7ITFlYq;>k&M>_k_nIv56pF2uAw5H7!AY zj%>ecu%450UeMmL;+Y`ncv*h{;lj&p+=_CR>G5NYu%!JAG2lYGcY1lA5G;bF_4^f? z_BS>!|70QpxhIX|ZIt_0W`6Q^*6_z74t>pGCdp zM_e=w5Sq4wDQ`31oGj#Z?$-VVgw^lg-X>ZgI z{@P2TVpOM>Ycw1U4T*egzpV@+Nh z6Pz||`PljhNExExk?@cHR&$na_!po?rSXL=;UVywoC;3i0A{k#c-_^n1ot^T+-Bk9 z{@v2kRNi&5_xS4pCmP6^S;O}8L>eIH!L5nS1|ASgQX%@*Gsi)?+4Pmj`Puupdm-PF zEveL!e(D3wUh+yTqnEf8AI(A7ON_o{=7EV%tU{y2Wn2fiT>|reIt0qodk? zH&({lKMNN6^^;-q`>0#qpRdcU+a!=3mo3tdEZBxA=)q->;CI9`L+F9xr@{C?pd_8j zV4t$av^s?xPem89#+iV>fDf|g={IJdp6{+}g{SY%9Q6p5bA8qrT}8$0w8wnA7unu? zs=R0day$F*JpdF>HZwgUCrwi7+fKj+1baF0*0D2);(k~3n=@G5yVpEl8GOaEoUgsy zlG181R#nfwX0H~;FJ^#I-fD545-H4p+dC29uW?7+v1Ui_lkT>j^YW+I*C0cstCuDB zAHvn5EN8qdfovo5Zb$5OH$lI2E>@zhW7W8wOGU=B%wz4ZAo$&Km|*%&$VFv~EAh9{ z(FdkwJ4f}lV!Ed1@i5PWYV6GsoGt1B*(hI92k+cXCl2bC89WGBx3`68R$P{(?zE44 zJs?57BV5>K!A#YDDB3g*vU(bcY2EO8KUuymX5<0fdqL)CX&oFe9bM~Q@q(4b4#Ljl zL2Pss<{^Djf4uI7is=|)ko?s~?y2ZyH@+#lLs@tnV^EMAo&HQV3{bQfnr zGc-5?991w@E?%porP;7}i2IJ06HO9K=q{a{@N@8^_9_%2Qs5a%&Oq z+1B^CC(Ezcx-ZznuerHRC;O{k!b53ATE_ul`}I>bIOVzo*Ga7Y1KKYj0QZ9VYD-}C}IZpYe}F9(&BTJnfzVaHVygu z^XlnDISajq zV-#3g)v(aqd7yoxyURKobr$mUWo3ZPg7Tf`Ws9qgp}9F}=KTa4dc&=V3`;6o!blyOySK2@7%WaIcEBe z^N5K?z4wzCv&Ey{sO^mr5!X@zbet*t{qVH!u$?p6U5ehrY}Yy`2@Hfm2_8#JvZAL~ zp$b|gQ9>RbcLeTU;!4rxZ8$lX#E&v__bIC|BFXnBV>IutH>{YBK$!7)r@K;Ciym=A z%TNiumEeYg-ETNTmy{d2;ae9E8Rmb1b@zM|&i!G*xGuQVJMkzAHWcu4^18^}YwE z)IhyJMP7Vb-M-3Zl05%FVZ%lK{Tc5V4jrN)dh-6m7OM2s{3v@Fr|X3E4cj{{S~mv4 z)PBO<+$XXQFXw|6T+a)~F|X89GV>3_rva*NLTI;RyV))>P}-Tm(${|h#e3FbWovFs zVOofALj0O}+Z>1Z5YiE;sm9%0^wdjhw6gx1=b0vIID-|;HeTMdKn3_^cI33grg`n` zge;e9S7Tgbq#EuA<$cl!mC7BvJ6Vn(J^W;*SDDi$akh721JscDw_1Xko(CQ45t=66 zvRQy6tsl2Z25Gt0Ay$oIyLBcXxYlgb=HFTr!%uURu+a(iJjYhw;S;ONOw$#+XA%eN zX+tnLHv7wlxF@FFu;;LyX3R{tIgaBi;4*TqpQB9m**<^&d0mz%*xgNygMnV2uIy~( zfkUCKpNMmafoh1Ana=&wr6cz=^YC*HycF>Mlcq-QaU;nFxUn1>KgW=RZ>4ys!ejwO ztM+P!xjlO#$W^Fx88anFWR$7=)5D*9n7~>PuVu>UK1;tJSHl~$BjANZ4SVo_>7NxG>sct-q|M_Q+D;U2u2^G;*j*bOCai$GoF8XTK zl^VF-u@1<+(&k1-YWe8E25@M%hdG%~FITMf=Dc+h#!6^cFSL!lU`l25xKM!3KJ>F;aF0AQ7n zz%7xguuDx0J6j6p@Pt=jsjI@izi9)Wn$~&(69E3X*QD?DEz41wzKQ7N+_z&zlL#BN zx~598!>r(5AlR2tZ((R4HQliL06wBpfUX|KMEBAO(MH{YQ)-A*65sY{T0rHGY1MB;$fTYT%dfjmh_s&tKp-eFNb0 zRtymIuy_azOHlBVns1Gyv+97|27Z5ZZE(j-R}%2ST{*DQ;A{X4WW)jQUM7B%2!~N2 z`Ai!s9kE?3dG;?krwqrxdU4Pah`DV4C{1xd_8&2$Pq6Tpag%l?;KymcquLZM zd^f?sS=lf;;z>*Q`JpR`WK1KlT;;Cn1b@RnP5SLN^wjQP3+`Hy`V* z3s`5Gaif5bNTdLd`78{ep-$Ls{dKi`n$NESJ+9P>LLnZ@hD=6(s=?Se1lZuto6S$#VAp;hftZ z+b=AGPRH0`^h#_Qk~T8hBw)Qxan|ta(ILc!H_OiFx*0`>5Yk|O=ZqWSc(Q6l$!^c3 z0#aziL&ag#JkRk~B@N}s6Ht(YVUW%EkqK?R@&8WOM$CEcei=7bv6KmYWj$Wi7bdf% z1z{EdErsYc0#~Rz;N>4Eg&>$|tXksiXKj9D`FOK^?Z)sUZG%g@IKZO| zyXH5~!-2O8od`&ekN5XNEE|*Vf&Sf zeG`IEP=dgiv|m)eBw*=&46ejGD1%~ z_V24`Uqtz3O%{;9{r>`@*?unlcz9p0JKslpGqN{KPe_wo3pRLnuF6B@2`x1cRq*~m zraakl`O;bFLmtP4TkrOrw64m6&-l~&@>B&kx}xYUfDubNKvi5)nINTy1VD3e?;ZRX zfIHH@mrJ?e^gh;gJ8uAO{qB=y8k?j8i@>1PJfBas);x47XYotSG^IIgE z`#DooQiHfrdnh^iBz@L#qCx0r6l9R!qQ>Ri$cb`Aw<_^aBsBZ6o5RwkMrBGN+a|*~ z>DHC*_&}Sy5zetdk4V~@`U|Lj`s-QR=Ym;CE37I+qt!B6_a^USQR^bTXfmy8Iok4$ z#qE5@b05^@boJNQb|g?MaC!y3_Ae8#61dO{8>k``!2?Zz?EQdaQ68E z<7TySaiCvX*@5>JXa7E!F!|5P(vL}JX^HjIwU;H2f~CtCEp# zTamj1X>pi2TE%GD2$q#FGXy=FbKII`l!bWKWX>3}ofbCBV&j%^+6OR~Ss(DzMwqDo z%&^D6J^ikabbNrP4rJzOXtJCuX>w_I8ZM7Ac<(aMex-kQft|FY(j&^-(un4;i1au+ zEc=EJrk6jJ^sSa*xu8l2k=@xOQtyj+fGqj~8{utm$7k+q%1pnpW-ac0>RvGr=97gO zUJN{JthD4em!{C@AEh0Bi@ABw?WW#aV5_~?oUc0#5!uca9M$i=GjUF3kw`k^VJOK4!#4iXZ$)&}UuN0VWyou0coBo*CBshNM0N(eU z^PZ8WVKxwa0S;Qh+X=-Ytl-Fc2`%Z`8MawJ7{f&Ld@d{H`+8*1l@o#NNr zmgZF$xe@rZaey^edew>;%Rl|AAJV14Y|~VcC;mGPjJaB(V)6;zIKWHO>AW{+Zy3cM zB_%C+L)voUP6z`}28kFs$QaSG=)|Kj4II%)tSDZv`Xo7wd?r~jykwcLEAF&neP^SZ zkyMPi@4(xRA0W+-=r~P%v)TOdN3XZ~GH=e8wWy?c(0;f@L_hrCp7UDy(W?Inz5>M(&*2q-Xb1H8)|`O z=537kiF@qyOAH@mNp38SyDoBm_eN)jRw~z+Lu!{<9j!NBq$Z_?<^Bcm19Ip!IF)!; z+!tM&Yj0}nw!VBOY$bv}?@Z=gvI$_9YvQAM4gz65QDm6uN>bK})L7W-UHn#C%lnq- zN|P}On1hBC!(dqn-w>Vs^nIA}XA?*`(^nj_uW4%XPV3)A!$4Kf14^E!>|Ve$mr)FV(o$x}Um! z+=96r7#kj#HAR$zMqPf@OFh<9u|1)6rU=v`jeE>rK*Liyb60~vndOFNxTp7P7LsiG zNa|_j?RsJ-3(y5$Yw#{!2vgZ7&GO2QhIzW(IE6-ZhopXdTw($rF`2{W9?R1959R_P zirdpRdJBXV(?yz$$Tg2sY{pp*4dafPSCK$tP6C4zy`@%KURImVrE3CJT)`)*zJf!g z$toZlY!4Fp#ZSJyg09?mFL(_G%>`h*e(!Lt&A{LeF={E_dTB}thuBx5PNIG6?QLD6 zT|PwRYZe?nOigxHNvT8hc%#%m%Mdis1osQ?AqkljXQwrmH5biL+qBAd)ubCO-ER@P zIq=UEQe93m&aMYHSzA;W2b=pe@8WzdsgBtuWLv0PzzWIXFw#S{?~_(=#>npE!Cu;d zJnn`I`)oUZhd_I`JWN!uikpF98;{J%UaI`qK5@pu1J3!+1lfVRyEx$_8Phh^ox|29 zq1?JV@sWW3(K2lA)*HpLW4}Ct^FNzWNhMTNq5`0!2nxfDh^yYPN$^p9$P%JH%XjqBy3;X@1U>y- z@u7Ws#B;uQ$mT%CMoHC|*-gIT`u;dPbpu`NTte4bi|($z70zDDl4rTr02}5~dGBQN zB*(Ahb9T>LVIOqz1Ijm;7rLKLFN5fRye1s;BX&|%9+LIYYDeb~4J>EO3)V{&6uzV@ zS#aIBO=(4&=Iwr#&9o+7fWG;5l4;FDLieok-Nc(|CBo$9G4$l1#kSLaSwK8FIf#G| zb_qU;&zoh2-1Yp{BUKp$1pvGac)@@28w#0H>t4HN0yxTUhs@qH+UnY5 z#blx}m^_`sF%>6Z)E^r!i5+7~4UdRyxEw{GGUdp4NGp7br>giYIs zd1r7(Wiv!SnofjfFBi45tT$`~&zt0@ji^&Si7%f>MT%jUTc*$TJqYL}6S{Xx2b4th z&2`-Nw1R)rz`p82T3?SfO-wJIbHBhEu9*B;gFtE_KW@n_`FddC(t)fy4veC zOoHCZyy7yI6Mcqx=_CvQVGlZ5y?*16%D?$_A~4h*{ASny{*Vm)Tv_>XHdS;q6{l1z zk~tP?^G5tcQ?5^0>h}V~yK!XZTFO0BX1gT3iNH%LW{e%xNUF(lpswt)KeXpq$>e{T z4D|3+$Bps2RTEA3){!BqL-(a1`^Irkcvzk|=6WtS!lB4jIRs`Bu^M|d`-|j-ZQz|G zTFQ{_gL^Y^)-b@(VC|w&`$y%}^ic#be62856vC3+Y8`&{Bwf5x1^a`*9Xuq1^*+&N z=A*V1L4J>TC11aQZDZ9J=AQIUQZO9YE+nJ1?cpR23TeGl%BVXKqaX3Wi(nU0-y=G zFK13Ww3-;YQWYh1j$+iAPT@6T2iEkP#^vrpJgZ*8TNE+3Y5G2kbaLhFRt>4dMGq_| zID2%!AQEg|j&G)%Jv6>H4AHu2`IK&qtVdA~Q+CwJ4X>f)q;fLw;%;AP5s()bDYxG6aT+1qzT|4enH=GluSQ;phXHUjxT=Mst>g~h$i=ZJ%vJUu>tuvB|Cx6Z zU`cY%C@K4#+Bs+5l0*hjNsD?;7E zq(q1EAQz2Q-_1lFxhXWWG({^2Bv6^U|FG5+A_bb(-OT;qIjri+J_gkUDt#q%K*&CRNs^C)R zTuU8_)B{qButdSL`>)O#!21Feq+^UOgKb1mn$ya5YXhu%D3(grw?t#-a1gZMuFdo@ z?XY2BSow%YCslr0?bN_J>O^ZAbf;odJfA55$>_Oi6N=PPF;Xe1&V!$W0 z{QKK_n}RW!>p^_VwJ(md^i@5$I4CT1{K?~Wo2TI&7YYjWOq5IYz> ztaw-OrIRhr>yoP~CtgXx{w|jzI59ytAux7i2yIa>pE1rb5AjP9rDUEPSeTjxw8D6? z7VENQv_4+0c#suD{I;nOA-6`1^TJea5PBBvuNGt#8awE*}ysCLpQ8~zMnU)&xI%~*wlgw5MMjY0HG=Z6W^CqZu2 z6-Id9edbNp6i%fcm&%68Nrf+h^(-Lgd6Bz!D%KaodG3(#gpod^Nx37DkC@F1CpVvs0wXUV6JLo@&y(ZqqQ)oK8% zIHVj>O#HgyK5FIc7 z($WOa z^ZMwP^+|PFXau!8bUn&zKFg4LO*Q?+UqEw?SHNMDuw}Fi{zs97;6Ji*Nqj|;X{AV* zLc7+0c`PP<42Z6}r_1{?GLA0Ob2?1wGBJk|^1Hxx%1zrfAV zla-_iMR;D;XshvD$g|=AlW86)=`tldH&bt{Nuj(z2OHxQDcc-k34=wY>5tnO>Y4A? zw5-ULevmI^(HO*)eOdl^+-05`Jx1lunw3Mgj~uswjkBi&74M{A-2@M!a;LT!=9gzo zQ>8YWB)<)?06?+YeDkSrkhz23KV-5)7bV>f?kTV?XR-%)WL#j_UVP|%CihL z-pbA`+fCiSD#6)Ld^ofDT1tjH*){JwFR>+-qV zv$?Vi>Xi=2I+2TWowBqaz!64HQzzX>JI2Uph#qaFuLLBW7wpfW*?*x?i(HvcCzB2G zOAkC#Di&qn!kZTTSTa>W9GZc_+L9Vbh;O^#YKxyN3bxNi9PadKDJe8}x#M?t9?R9p zdrMNJbFA=f{^5p>?zaFKx4n&j!UPZ{X>#^wCVml`kO%>oo9M(x(KEw-rHS z{4k{u26b9weVF0Lc~L{L{AuRYTi3LjI_z^YhZ@K{WR^>=uV-<&nzLP&|7V40+b90L zc+?WC{qA0izm@QLwg#ZmHLa(}KWNF>4Ku6{w|u?9ah=Cefs$e@)%LowSaaikcCE^E zJ4?pNC~OUS>8OSSE%?AbJ>wKmY}uS{+NvX0Xvq`$`&yVaBr@kh0gkQYxWXeY!aF!p z_{xoo@abFp$i#(m-B!U8y#m&Ca*dL-M7KwjIbi}iFmhTC6*}=!I)&Zt=gWJ^O5|N49n|}}m}InGUeX8} zD$%><(Ozk&$~^n08~V2(>m{+<_=;-&*Xh*~=b`|Ctp2m~TRe~>$)x%S{(eb1a z4J^%x8M5LOz=nsL`#*@E>43Aap!xBKj%|8`bU0z0-t&R%_(qq;%&tWaTtl655#FL_ zNDnL>c`vbeP$v1A&Jcuf0_|w6K%k+M9fPeTOgtw!`n5onmf8ozFzMOW9(E*cLXPJ& zb20Bn%=zXH+z#T;yp**q4Qp7^yWFxCEvtpr;yR-!1mM`{HY|gJu9-ih31_~%BP^n4 zQ5BDToBZU(%+5izK)9JEFGtX=+zsxxLtI8aj6AI>`Hh3#4yBi;uaiT*~F5a z9N^_WEx{qz2`T?kk`dn6p45^oUy&R#Y%^H9C6`U0Z~VkX4PM9DTJeT*kL#-J7MDjGP4?7i;4}YKe#s0_WVIk3Hcqsm5sWAC)Xgg!oWA5r{%G@ogb@q#YH$ zKCS0ixkeTKlTnOX*-7)z+8-1;o5i4fqa&64;e>GfeW(_FMs94>$GJk$s}>L?#yjsw<5Q-UZnk)8hUb>puW?V$4s}?k zaHENf?Pj@O@b6c&S>do`oGG^`K8y{AavlpxwvKJv>gty~!|^z_@Ob}Zb<9k`^!!+B z@eSZ_JcS|^~MD{_~2D80ed-fTa;Uq7>t(K1k} zxY?Ups=+Mf6{6A?=Z#G;WPxRC1!GZ{x-)HN8<*mMN|vk+=}UlZ+_gttw7yGp+Gqb| z0wCR4oKt_QrU_r>{Z-@QPxum1p@|&zIP6(@ASco?2FA>gizC0L;?F2vWqmV+Vt~ANhSL~s^8J`FY|6g)3NnE zGo%|8DqqI@iLvr|2 zBxHrAnp+q37htvWEyX;%nCo#ZK2EL9k_fFiL}AfavNUa{IYKp#lAnM=iAQc6Lkw&i ze|3`UZrm8QF_qZx4CfFt^2RXx-uNPSxo|tqMeo(O!+_n?M)m`ofYCnfmjTmyZl9uD z%1XK1ii03EHv~m}jeM0C7()UjU1jASyW`2GS;Wsz`gjz zR>}o|%H?iDSB~*lWNI~bPKP}LKu8g%&yIr;#7#Gp&V2qk_L`eW@PlgHC9>_}!;CUM zdgMxSR^G=*pg>>0IU``o>PA5Xa6ug8P^Emnhm&7AcpJxhzx*OvbWsFo?cx@|Cq)VJ zfJS=l0=jrSU94OI5G5NR0CV}$+y*M2C-f8J5SpqBRzA{ znJ|gB*jBc)dyi=pB!#9h-^`;LN=>Gs|-S)A!9<*8XLG5AP9X-Ro zQ#c1cl^*A7t_a;+)z^%)Z!tFAgO0q8DU0Jh7th?+V;J=mILW<(+QYg!n9G}{JBCFg zmN#Q8GC8hVKO1Ob;h5cgr=>CcaiIyreW#DDH5qmjuTQ!(iKYCf{$tYZhsz<^-mF`$aV^KyEex8Mmet|KNf&zVF%vN zYGQm`DG@;qNzElrLi+6<=di}d?CpdGrM74CztxO-*NTse@&sg9LHG8hPmEJX7SR%t zDXMY@jiu3^%Ca}w9F@VvKGB=Yl*kiSmY3t1;Ni7z^mqKy?;}R=0uA5%7!6+m0i3=s-8aAApC3Hg$E^c&jxv%zkhBEU5~+U1Xw zr|l8&eQV`os%ik?=bHznNgPnRrQXHeJllI@oKWaAOU=K!eMI{8nUP!V5!91-j>};m zX-fH`88thI{K!)2)c*hysUo0~>gfqtC6o|(RwNNZpw{e(X6=2G=|kchCAY&|rb+2a zd`+kM7&j@;(!OdJH!g&Sk(GHTB;uoMsXxBw{sd{PJ-U$dsdS0-n|Py7SPGk@#X@{j zr-*j_seYVS&aTrSR**V}85z$$^s3XkG=t1!Om1#SAK^owyOaI3Kjl7#J}=W&4Xt)R zN{u{ErL;%=B}wamYv<{N(Glk(uH_6jWMq2P%`Z#T^))SFZ!D^(1&2)2SY_NJoKxV0Z(JzyZ}j%de9^wbvUW^QP_{|n%$3mkH?xqDFw$q z^vJ#-Xz{Cp!2UJh-eKpOLg%>@{ftnaabL1M+AoNjTxG$*=e0(k5_HJf$N-b;n(*&4 zibCd(WfFh2>z_dQf5*CQ?c*m38}K#Oo4Dm7Y!4Jjf$}DIIl|Z7mKcC zzPGWM?%Z%Q-m{%9RduoGV6#taE=rmXe7Xh~Q;+r&t+@T5vX)a@O z%6AHE=*q}TfAy$rEEBtV>ew{FG@oi5VAQjr%AMJ!O&Ag<&EA2NT*)TTa4H3wK_Zd` zSh$RNUwi3Q89rof!jpP}A8{l3igGxmyLQ45Mrmh6*c*8!nJ`Z&PEJKO1Kpc?lHAi? zMp@V9w}Ta3=rpbYjr!1 zN`5!n{o**{ppCacS8oHoO={r9f~SfAVx6#%8w>vO_SkrC7n~tXfr>R8O zXv-KIfd`6eDar!Ei@z_-UP;A9ij6jMc;|{9;8?f>ke*}R2QWY{OG&IF}AdWIUrJ@LoRS|DtdtXl^IpuX&{IhPtI^Du2H4WCyIT( zz)2vQI)J5A&-c$9RAoa-PUC@2R!z)G9McnN3~*^QD~`2D%Q-A_nh_Al#IdO&*pZX8 z(_(e<+vFylgb=K4D;6Z;gb5AipP>~x2C;wD+i;162zzZmLr>QkYcB(YJCUVqQ!Suh!Iuaq+vk&bd|Tb60H zD{;;STR8Nkh^NV9dYmC%Sh>`zC8F5hx6;p&RY=Gk292WlsoL7va?>{4cExt%!oDO7VSz+wdbm7&YdBqMk@c9$(T}+0W^!8YnH2h*l0p?D z-dG?Ub*f9HyQxJW=c&g^+woSPccgi7T(XjS5!Sh=bvL!tp^O&WF9d;{9@PzMbi$(c zN@?hJIu?!geLCXlkUKIIRX(1z&AyKv#Nt=VIODx-qhFX*t4tL3*m4KPn>bk zf+@=B7|^NBC+}Qq=_-VL&fs!!>s_{zw()p;ZU8dGz>n_|YoG8&i+QhV7f{17L`cCo zY*1r#R2wq7pu2*7?Hs-D>RylE4x?J3nm6|;6T^a!~a!4)NsZNr+RijeJwkmHE z+P#*h@NX^@4yLobJ8^Y$sa#nsb4oH9LJZ^HvRAp^I;u3(+tHqZa;m-`#5h-2g6s#c zy>UXq*La8!&D?N=uHqn`%i?xOBp z{>z2HmINe$kaN)2S+30-np9S>uJU70Po_Ip58)%I>Jr`BTER4cK0zIarF8>A)^~y| zZVCke0x^tLS*2qt)v3CQ^3@n=1+}{s*s9p)@u^_5fZf49z;eKYyR~HBtci6hzToP_ zZ6}a@E3fcRgEb9T!*Sj$${5JoRe{DcQ54%cF*WJXf^&P5_=-rbav)`JK zh8EA?+Dg%A^*qYeDb%2&2X)Ms;(Eokt+Bg`c~v%KZXop@m48}zZ#=ls_s4_4IRI8) zfi#U@S1yf1s7%jS~3 zYr?)0)%CpfonNtbNCH zj$gz#uWzZs%1CI`9o_!`&-m9zZKi8?QAacuw=W!u9b9J&2(A~z@)p&mP1yi?h*KdVk7j{{U-U6ZgoZI;GL$%{87X zSP)4(YH&j^ADO0`AF!v`xAyy{>oX60(i_X7MkRmFy{c~s>8AF|Xzik3Bkw3thZ}qT zJk@w~EjLa3cA+E@jnW)pK?tL^I(4MkN{kmn#@g=VC-<%S&}O*>qSxlD(Fr;@N4E_iCRNg6;#>&cjjY>F~RyI_~8<~$`NPMyEc-EU?d8y1|vqq7? z$;V;qQ(5S`h271}5ky*Ba7>C$F^~TMReDE(^f`20B3sg_CGE-vRVRgBpnkM?BTBrp z)ODk&JZ(L~t1(s>`H7%8QRiA#hkL3S#i|lwUG2Ezin*eAM^V*b^W)hZ8QK}oU!bp7 zhD$MLbv2oZL{W#C};-qCIC&;bbeY92(J{WMJj7WRf}L z8bHUm=Abv=^o&$(c-aIX#V4-C`zaO_v3zrr`BtZgr~5XuZy`T)o_+CHM)~vds2pOZ zx44_lL9mRG&eQqU7>Z7%IY+uWaItW!8Fpu|L$3!wHynPII%>)eLk!oJ&wVAiI~aW` zq`o1XFNu#id!EU8;+cNVv9zblkDvy)4;J{VbS|jnow75UN?>6n+;;YSbBy%#ZKn9tL zrrWW51a{-rf!l-5Du>#oJxJoOTS~H~ayMh9Xd9;DdPk153#+x#rPC~)NR6uQC_Cbz z@s^sJcZU2rw-)|ffM!s7oOZ2m8*BIaHlLzLX5cKAh)MN0svb7Dn^W*EfqQaEmO?@I zG~;%3&N26`xkB&aCCnvb(`_Sy+AtMV9C3=1;w!^-q-eUj#Hlshd3+3JuxLQ<4knTz4AYfsa(4Qea=8E%jyUC1@d zHlYdQaXqT0ziDw|@j-PH#VUeIHwT)>86R5Wsa2cZjsh+YKTc zJcqZ0MYu8)--0jmO|= zy45-fWcXC>o~NN|UObOd@b}m*!FkJu5)cjtwQ}pJKu_Kv);P19BzaD8$vaOK8Mlys z1OEWuCWlg|VkeT}CiQ=by1JAZ`{sqzpy*ihSWjm#8S>u;o`$U2=$e({Z?>?yl{1aW z86t&JeNltRuzmjk6K2}>JBx_njP7|DBK=9lY-_r6>31GffPx7G9-xY&;lBv$PFz~%CPBV80`qJ+D zW~+~GeQEo+09CYn^NBD$`qtls=JNIHCg?+o!3TlYn$gju^7R{c&IoX7B^P3-zFLX- zpH0Uykc3DHAa|+bBkdo2@+*$gb!hG(j3!GA16@6|+no-G{4pZ}@lBnE#lURTv%7f!>=ec>_TO|2cdBRtVhl<^ zIi}3R%Tu?Xqqb|?`v~Vc^%aYFugi;1FX`wR`@JSVIOBe^r4ML^NWC6f5 zFcwk!oP;K!`I;}dc&kHuKzd^p9Bk$yFfmL9l3Ee+3{fH75}l)pdNUYVMmVVcev^7^;c5JFW)fR-tw=ec{g)Snd;m7;{cc5JFrBPzGvCZT|o;TndZs%0jrw z??f(ELc6~jNuaSH-m?%flTWtu128AOSDA>IVY#9v%-Gy>MfC#A%HmK#8Kgk_$lNnf z+_&91G_eKV7_sR?1T2n*Ozb>U?LgdHY38Cb9J_4dty@S$AcW%;D7HfK2A3rT5JFG%UT)Eusuyw^qk~oL%40_Zqt|HDy&DN%P*&qWwdr*K_ z%Pb_7J5PFQ$ifmfj1y0FWw#k)>57HWgiNuf_X5F@#5mXp$69JLq$dD#-kUT;BH_sC zQcDioaCaVh&>}ybU_CHv$-Xe%v3qM=i~+dUt;rDkI`Vn10{E42=Xj2FR>)75wV@l- zJe=OIEem%tyf9U7Ws!)&M8tha#Wn(E)h1v#MaQ=^%_b%gO+E(R7xAg|brK1s1ny__ z^sMnxZgxJ~g7C_YU+p@{ov*`ofjn6Rla!G0)RtoouSI8pcmn#b@im;IsQ znDs=0 z*Csa_obt#?JC1YDdaASNa^zHBv~?xX9go6YUK=Pc-*7XufNk%9E7F%$y1BG+@Gwjs zK=3Bd|V+=Ea>0Z}zA-B`yDypccPvk1pbh+i@YAIq~olls&Q#)MiR}2Bh z{0i;<4rnsLsL!ZeKm<~P5xR_u;WcZ8I*rW1da+^8y?bAWHOmbjL9n%$k2A^yf$D2I zR$8;%&E+LR6ylD`?t5!^%T2LB85ysb{7X2v*KcQx6PX#m4E3*N@qW8)aih%eJ^)kJ zyfi-Pm75E_v7f1;q`8_%&m^Sj)16j&$H9FL=TCxLtJq5Yr0-TdfKJd*wX z(X7t=eDV?p<6fcRj}e<)0?NxwP|_&*xX(GS9K3S^`JkNVzpZ9jC&J!msFYjIV;{q$mMuF22Fgguae&rbe=wDwQfDgmcGFFp(HVQ zD)9hP%mS}H^Is!)ojk7{cuI9UC6?)J-TLJVs3V>_J9F>sD$@6?abfPTPNG{$A6EP^ z(e5-Y8XJ3sTsjB&M?!!7b))0WS{qLe-$#%nc#5uj=CH25AzNA9M`dpilWrB8JY&|m z?}*yeI)l+so?Ft~YD#3v=C&j9cQ2KUAOCAC6S++U&sJ7wgruskuK zofAol&NtqOpaOc=$e#r@2rab~)bGw^xYTnrpWfQLhaCqjdV1H>7M?P^zMX}t0}wgt z2Nax=W#S<@(}ynFzrC_wiTb#=@b%rKi_C#kH(vGN7x-d;U;uNUYV@y(ny1@LXh$Kp z5DrCohM^!yj?#Wq$pG}Oc}ns=pCzU2aQEuZG}Wf_bt{+kkk?i4%S&Bm+g0*zOc(Zm zO$g{1bDHCB6@$v>yAxYp0`VkT1X{D0i``tsia%zX$Jk0aYQ<~cF&XsqqUKO%Me=nBFz!lMBN@CTSGkPf>$d)u^fNde}T9X6<8`*F~D?>kL-@ zT)==?NhgZsm@=Q0+aFrq)+Bo`6i*zvST_Qt$5HKB+KGqlG8ix;W4TAQDs?W+F?eXx zjnXSy!z9NFPo;HU9gfPyW&YBH$s-YuVN2l+7F*UwXkW=W`LX#`>)?Dp;|mL$_`KaI zTnTc#74$VStemV~9|)W|q>ejVSdOVNx5`G%lwWG5Qbhpag116@^IID1_Se_1b!x$5 z+;&D67+mpL%_BM@6Y{2Zk4)CkdR*hhMlh9-wlf+rlB8-w_VUT#I2XZk~ z<4vTDz>XAk=AGoW>|wHfje5?X;~g_l)Gh6z&><}>fkq=49`$>~-Y2utd_gXo28Rrd z8BhEM_}7>y865PlMfh*1>9$^7yEFZ|?Y6dl z==2o&0VVXFBDh%fOQu^vY`{SP9J3xVR`pwN5$e`WX*|}p`}ug)zGn8R{9&(Y!%>3D zMt`(h%#sIF_ZyGTir1cNc{NC`l3QktWB&kJTbc%8=?mg)TAN(opj4fNMDTWiIj$qbJ|d4<*EI1M z^6agpP^@~MMp*t-?j~CC_|){!h6LZyLITJJm$BoJV&A%YZ+4LKq8DOi-FEMQUlL?MR}-c8okAW z-pb1X3a2>Z0~Je7ywxwS#5R*m_Y>f7+?-Q<)oV6k>x2Q432p3^)*V*z>_AUJd@uDG|>4% ztU-0{TY8SGqv)4Q737BU`dllvbFdT7(yr<)VWe7pr&YZ(!l0O84V}Xr3KF;;bMX`G zgI0no2;F0j5T%=|@_DaL@JjhU64RNRgAQ@^CcKNqn%UQNKeQ|>6i9+JKso{5y^q3h zmGGXHqo3R_>zZs4rwoZSMo6;2oxYXgzZQ#+6iT=tgi-bDUcM3Io-8?!=lh^_uO;}Z z3;4q9V2mOTzSTAZjJ3BaBbkZix_eh(lPOgScooR3jxibKMjR<6Pa5XJl4ug^65vFvUMB`RM9}vx}-{7*x&#&lTS-I zj4JGqX&mZafyjr2T)g(6&IX+y0Rf+pAK92lp986Rg4yM z-xU>Ij;uGmK2C>}_>D|@u%3JLXAPPv#iv`42M22Z0EK!N$6tquqzh}S zY`hK#-P{m5V!nR5St4SLP64b^OQGLYG_+@?TKKx+_7Z-}98rIZ=``UWwp6 zHsefDtZH+$t&C%Gq2S5*S419%pH>>!!_w_%dzQCYoXS`YfODTp)VT0gzS6TUn=w{B zc&+~c3hIfi{7H`PID~PzJ68kH^HnuZ7Hes%Tw6nM0<48V$86S{9I6RB_cK4?3fK4_ z*|LoD+x%#M1?%u8_px-09!X#aPpx+`NvTJr9YXh4M8+`)&TF9XA%n#_lomI4Ok!rk z0DAubg<|TXvKT&XUWc1re{1TZ1-?>55s1(ZNCKAeH5atDu$9?hg#PVV6#$z0L&mli zJ`B=gxw6yXk^l;`NrFMHDLjASZCM4Lr>9#an}BCumum7!&q`j|Cwm&ax)RpsC8opT z=xpIgWVUF)`AL{oZlmF!5^5LAcYQsx%A^sxVSoiY#rl<{rNaLJVoz}P(k|e;v$L-p zRyDipR~u29W%E}k*~t3T&ld63Se>t=NoP_KnHwX4j0)#~`Lo4o zc&9pL^srq5WLCk+QkXpHD_`qfJ4*j>Ew^VrpJ8(jmARw>#N~ zPep^ zWL{|2mhX81iZdh^URaYD$WeknK5If-o4dQIuBIhrGAk)g3BVlvc;=sVyHVBJ^Hj?u zh2(WkK4Lhn5zWlV{57X&*Sdv`l-6(`Kg_myN@N#ecDG)tKb2U|jmSi$o>&C-8T>0rl(^3!fa0j!uuEB>i3aIdGdJ-ZQfo^BXGP*z z+WW&1YSy>22;(9qkA?t%GIwVnWcL-}x6-_@OC*vPK5^xaO?R^k)!$%?H;@<1Wed=H zpFz!V)0m>Tds#S%q$QivjwyU7#}Nls4k*f2$j*7KtA7t_ml}85p|p|ha8C8dPv>19 zhCUySe#NgXFT%yVsK^GrgTZ+qqU~hC-YHfN7?W8aNrZX=r@XG6p-;!lASMYo$%wUGHG@?-3|^shU&BX~WsDa&mRI+W!~c4wk^LsDUNEMyV1@m{H= z-j-O2LtZoCkVdZ{1OUJv(!FO#M=+z899IOceQgJLBdoZTm`K_^YOBcY2q8xpsf>BH z&hvl)r~+<_0kB8C4Ktw=$s@JDLBOV@j%~=}r8+1H&9p&N?0-+@nq%Nv0t^Tjm^2^e6|_5w>r z{n1u&QNXM7jmDPSV#vXlliG;NtnH9ZHLwI*2I08on43y4B%W$SaIV-x%_J-YkMbP) zQUNUr?IH7#?M=7}lLEN{q=HSdFbEE4x?}R4fB0LTRT3Klq;MHv+&>ll$o7^IL0XLXBdVbYpqVF(+CCY^90APzH4m0~QQQ?P`2 z4$!5BDQ+0~N;iTiXwc3FYJBeybzBNv0D+wCLB6Dw$qKuv0g0{#&~fQfpD)e|d7&UH z&g5Zt=QP&lMa!I2@+vgobpo9l$LI16I&`ALWOEYEimXT&=AScgs#uztSv>xO6s*Ye zfCV(QB%yyY!vnVSg+hNJUpMRb2J2S2mn80UjQwlh{Bw65ripgLgRrQ;^{<_r?q+Ux z6O&yyXzwHEbD8r>G|PdEI!`3wve@^n%?C~Y0Etvg&I32iw0qWV^@Osd2?#!32JdlN z7ZBgs*(rwUeo=)$IRnk7K3qY?nSB)FipLWOje=4wc%;@gq?( z6bT48uPe5?ki@GrjvoLq9nLB>X=S`bNlbBI?q*!`+NDZc7gH~xQe5+m*}JMk{iAa& z#FC#jLRjO}(`}@Z%El{oxo;&ig(uY29a1KSz{zQ;7MBC$34%}7t3xbiCG!M~*aYBr z?^CKb_E4!FSuGLL!+kJ>;&O^|2=%O+jXpUG29dWOzNDI`X&2frkDWBITY<;QGEb#X zt;HO6f9RJC(-NbRf>%8Llx`5G8g9^5xwGNjQg091w0dpWjY-J|o_#A;e;R7HcJfB| zCu6Ax++w(h8Zy5+IAFhqX_j!c)zrpWUI=gkDH-Q9IZI+Cf}-Wl;;ve2cy1O?G?EWd zo@+uIkfi~TouB{-=~)_`vO^RtZ#i}i^A4N}i6$%oSTl_CyQMCs(x(cG-a09+)?@+Y zK3UHM@mc=>XSAKRzsv_bH@#_Bc2Ojk-%JMJZjbCdGVT#71Zl3 z7kiy=!z&vbF9+%CbqpfrZPyMH=T6>cVc&L9S%QA!|_Ym+vwA+tnn5s2g-Ar z$s~5lq-j!i>~J?uL{cAPSdq$5@mhCM6^V{`JXSzpXB)omYtg5%`R+g7osGLVE@Zc9 z);BRP-e5Qt)c7{nSGk&5bdexl6`5ND=}_pp>{@o0JS-5SuiZaRl|O{<;<2$TZsoRl z*o7<5cFC>NRy?WEx$0gCven?!ntfXEMxI+DqsyGPYHp9C>z2CW-D+2!O`Hy7k(x|~ zQJyQ!?(Vfnr$)TEl10u^P)-}%S6c!nh58+JTbq{K7+-4_ zelxwih68k7c4*WL2)C#|%*J+9MsktB&|e@Qp_6&fB;sU`{w0uV}pS zC7=8wYo&?c-M@BsbM&tp89UTz^gfFLXwgkAS>RqK)vjhkZ?9Q-QUXfKqoMlNMuQUG z-`m8*6=oZUs@F&HI`TiW7|s|u)_{ zt`A!HhheK*%NT*-mjo%`jMtYO6?nB%ncVh1w?(H8Rbv7-jyc&E41nY7Qj9Sq00wx) zVcT32ChYLUn%A`@%BaW8abHOXXkDKbiH9|2md%~7h3&L!D|qJBBr@E~g0QDJ9+jcu zO<%+Mrkr&9fh19{2nfavcOMS?H>OxmX>mMjGw@m51KP8`B51l@?DtZeWC~c2RAUw7 zPP?1tx%E^q^r=IUBg9?-XEVbL!bpKTSac$~pNGr4UyL@KH4X^!@sJ7rFF{;Q#@j7M zXwkPu4;kt!)&392Wwq9#v6>jQNg)CTlefKk^k2Di=5aLnYqikR*8E`yhp*n+$3?fc zzmEj6fyQdyhvOFU2B0I0N`g&LMqA8aIU~Jh=)M8ClU5ggD!YYZEK6ogmo+w(;EVk$ z#S4D7mhf0ZCiRp_&dl`3(zVjYU%bDO<-ZZ{wF}$n$6K>C~D~`o^=XvINrgG9d7G^z3pwB5lo@4F$nzQzu zAOJkMkNgUdU1>nz#VH@}Qv#bF*(RHu9`$i=w7>}aJCp0U(hKc1asG_Q>S(wXbMAi{ zK-^=KR-XRApOVmlk5ftgqhvAuhA=(N7Xr9Bsci11w46oWb z#53$ETr3}Scdwy5GE@E$eJqTSAYacF^Vrr#25q9q{{Ux-^i2=q`O|b;dj`_ul)#}? z_~}iwGu0o<5~*x%>zeTY0EuiQ@s0Uhl^b~@*jGU(#)-=@T`nx2rvpDq;k-@b3%zqx zlJ-brh_DN_M_@5SU}K=o({M-1Y1(|DHv`a`fo@)FqVJ5bBZ{sYYjHwmRbkAmbr#o3 z4l*jiT)QjQ`{2I$aty!vCl2S;S zamIg@B)c6-yOeJ+d=cVZE5VwhPvOZVY35%jqvgX7rAOjfU&I&J8jimXp(tWYkfl@) zP6t6;)|28J9UsJch5fyf%MfgX~*0#Jo@fPxJas zb1pld2iUVu6@yDMebB_7e;zBE*Y&HdYU6#u2@3xJtBHQ;_2?f82brL&B*!tGD!&sgl7U|5u zl4QUhyc);xaNFWtM{xfDmd*U>y;C9+wWN$h*RFilONkt3j1qBOzlFR-7ME!Z*0F(j zBqihrs^@`TeSHE*Njx4aZ$q+??Zj}LmE&*qu34m>r>{a>{_;I{UHGRSp`wJ;T+8K* zY&_)GfcTeK8iu7T*6L$dVsJRFj(9DM?G3^5q+`i$nXFw)OcuMDf|0o3f(XS*I`y%k z;Ak$TxM#cEu?%f2I5qBCR54Fvix$$#0Qaw-;MOIE7?w96C#81Y5AmtBl#8-)wC(!i zr@eXjtSw5O^4Q*nCJFL&IUk7enY=-Au{oFL+*{urE1J{no9!v*U?zF3o7ZXXrIm;! z*s=UgL9-y&O*Y{b6TVnz%T{}z!Y>%;ngCzztALi+z!^OL1Cw1oz43Fw_ctsoY+2MW z{o^Byiut)CWw+h992%P1Kp1X38l_dDRss^$v)ttPvElWGM1x5?{KCpYw+q;2qnF~= zi}GhnV!&jbr<28a)-b29dK7OXJY)5v+ere;>7L3yFlcKI4vJb$#DV1=2j^9j;`WNl zR(%|v5B#zxp55!n{IEIeQ9OCU0-v>D^7?1H=$rJptsw2s@ zym2PP=c0kr@)gGG@NU&D_WaxDR3jYWk4h&iElgmpXLw6jywU7!Y@wX08C!sRoMO5= zuN>=NZiWbF12`DT?bfh-QKG()tZ2X^D3a%IIrXZZH?^BZ@w{+tV89kpj--ms&hF?Z zOPV%j?zOM#TI#Kh#LwjnvkdhDu`RUaYu%V3Q8%~eSo(8nO+3#V7q}dQpIWhR7Oib1 z@1AztqX6U(eo`v=rDK{{3UhqS=`E#_9Yvl1cLf0Bx4m{B@RYT_p9)*#+-}3;kfOY^ zN}BjVaVohk7^^YqoKs@^Ygn0Mk&fnNW9mg^$lKKHj5$_^wcmK_ZM~A&4aAJjbHMhk ztv|(fbIh?e6=YCDcF(1J_*U=xHCYRUIbw78RhxUOn8Z@=ZIFQ2sg!#bkErd4`#%a> zBdr$iwV8I4RQwsJM|t7vIf)-UVEgo~NTrNU+;ypr#TDZ?nZI^UeQAgYk%Fc(R$5gT zc>v(hB~`jO1dLK(MQRvI-zPNbOp9=b_urVL%4S7JM8~$tv7-=9Xu1DjZ^o-azPagV@nwjE!Ax2nU*d zTao(EVq*aLhX$8G zOr3!?{I_baS*9E2>JR{NfuFobJsL6qRL0QFiW0ltHpqRZic6~jeNda^{6(k z_f~Exy@V`VJm0!aEz2u7`Asdca!CMEOTDD%D?O1!aS&xBBN}ia=lh3_)g&Taq^K0O z$suCgfA#60WHaE(Fb};rGPJoWPAOd^Y0u2OQEwA5b}hvw1EG)^80p*xK%Hsi}DcO=k&X7lEf5E^_gcQX~H z#}b8R4s%aPSIR70igsWmG7}>mql!@@J;qf4#Slb=PG1zjvE|P4KN?#K=LPX<@uSif z7Qhm>KT7enA!Sma91+3jYtcR}Pv&362x3+>$sMy?R)wO=u4)Tw1hK`DxU-&v`qzFR zNL=|W#k!cABR|C&_2hSAYa(spAOjdV0M-PaBGlX+_fB))y?V~A@cT)$(x>}wvW-5> zsN)-}#4eP@JIBG=NX>H8rj)ck`zXw6PJ)D%^I)h@w1XOlj})n@1iH4|qz6mp?FMNZ}Ms@?!`JeWNFIQFI=#-A!B*PnA}-Osd@nneSi7}QU3EQ|q>*b&sW zd93Z^s2StEAY#1^1v?`@ZBqM^T-u9<6Qr#a(;Qo5^1d3bhBh35Bz?Fh$&=c0RJ|MKCRAimE73h6wz6h{u?OxIaB}2C-(0bQ(8~ZM2x#waco*_l; zbJ#2`JRhUk`3V#fxLiAJ3`xg5YlQK}li|y&X>K&2kX)zDg!w`C#d{sMhxE%`G;Os; zMPHgmP=7OCS@9!DvP&tZuvAB0p*j)Yis7eH^W66=nA=;OPU-A>{&zyiILz1DnXc*)gDC$4CBej>cFjdZx7f&>A4 z*?PIhP%9I~7k(+Qf!g{zd#C_AjCl+{3iJ&UX=2i?k~lB~U~}}YU&j}+M`J&k83SqL zas_w_qI0#npIz)^p}w|0f4I7Pi}K0|F7?`I_LmmMIpUGyka7x~5nNh~-DN=KiLQ>` zX+j0_7!H;1)ABw`9NeX$=-2vmlXwyhLh9z?OUJt?xpVhGu5L+h=82-3_I8gLD$m7R z)jUZZ$ANUM9st7P-e;Cq&u-PsKc2j~agmXp{`J166-3+7l)PhRuxU{~Nq;yFy|8PJ z3Q4ZZQiR>xGCADCk)K*$hR=-aQ_GfkEdA<)jn82#gdKMpA&xY+r3Sn#H|qguyvs%e*2u*i0+%7g-a zDvp(<-s;zjYo^=Db8x_s8VsCz*RlL|_`w&Bd^e`)R#pbpBACrH77daH6by76$&x|)-J1bB z{Og|hd#e8cV0qf*oYE$%Ya*;sft6%na!-B;ADugbgKo&`7vK+$3aR@HeD=xX^sGN0 z{44Pnfwa4ALtKg^x1Id8DET412>Mrkem?lJR1UWm>yeHUxNnM|6E*J~*y+}qyT&c; zS)@@K?cT?^^}ynWCl-0qI9C*R;-l!);ntcmWT<)etvfr)Kp*EG&~9rwZ5 zl=zO~Y!{LXc6if2dA&X9o+nLz#rn)^{gUu95`!s$jQ2e&meI8-w5!y*vvQKB>OCu` zx%iW<$qbRk$r)j{a2$_%Pjgt*0Ny|$zmF#?Iqf^b+J>R2-D&pfjdyMfI_~R_Pg+Yo z7F#>B9;X}Ix}HOfVybD{wdS9w8_Ri_ZV4o|diUnF?{(YH66!zcfV{4vHB}WAG*>SV z%I2;&SJQ;C7KPE(J1<(oy0^O0uiz4#D*(lFpOp5mOz_u(XS9NLzQZi40VZ-CZ0=qox3E{1eymr@`Z&4ESSOx=kt- zngRjO1OhnE{{X76m6_{d==&siKZ`s)doG~CY%UOi^KOic8sW7|9YQ?D=;{>hD&38J z8RK7#272>`%@zu_)bv%+0r^?fZSs%xw}j z-==C6l2ad)0V+>VdfSmTsoV9rb~o*$7XU>OF68i_WSYK~H!(IE33}r@4gs#S;N6w1 zGkv1r5X??8*x*;MUag$c5@S&k<8}rr<3&h^m`WO0@EGl8B!)*A{{Upv&0{zj@?<&m zQ(o`ky$;)1n)z^%NghlghcXOy{{TJff5Sc#wA8J2WV?((b83O(^kIT>eYpK;x-srA z?I}Ko%>u^YGwE4xt9YU!fWXt3V3GARPf%Vdms?pTB&tHI0I=s{c&98 zryY%sn_)cAlQqhd5eZ)~}3NB;`6o}}|F~B3I=US+! ztqv-+U0HK7@kG?F{P_H*S zc6lDXtC5@H#of-OX>jtB_ISu&tw4S->UWyg+a+?Q5E)m1IH^)&vD<&bs5*LT7Lv;o zdD5hjIPNjXqeJ*&v)kS2{&Fm_-ZmT6G1j>&uZx$Lx|Fwa9zk7-FaX6=hvJr_2D3ft zNCb@gh!lI@BDx*!m+-t@fu~6_qr%dO8#x4LipIC_5;WJACRoh2)^73*!vL;-!m%_D ziI?!-OL8Y6D`><8lgu zcs0`sN$%`ok!msMB{4Fwlr*wT8F7Y~@qd!V?#!bNSkzNH4#Z7YA(A`@WEAXJInQwONQX$&x}9i`Hn?wEKug%h<{P0D7#Oh$Mw}Tq}JKYMn|fPK}f$$<10Y zlNNl#(x^!yG-666!J%#^C21SPWk~YppWJm85I<&g5w$BibxLG zm?{z|xndbk%u{aYEG?)Z2@ilrN@3i09pa=@3PsnPdemUYv;mV@vaU+GSbx?KX>HYn zWcipBmk$=e6;5hJk~O#crzBGX4E^>jb4^o-kIq3osqG?pU|{Do`AmBh1v&3XEHyB& zpWYv(0hC0;c?Obc08pV%Jt^l0Z^&o?20X4=z^4+cZg%dc+)2WyjP>-SoydqNOj8jU z2rb5UZaAq(jbP5xQM`qMsE?6ST*~_b`B*g8!C_EDBF+ipny$h%LP#LytUE}M08nx( zKUcYUG})wO17Z_Nna$ZYcvp`v{?)GBg+C&;1!rg)Hm#|Rx>#MwKg88SZ-!dj+XFWW#Lyh-6DixI%dTrdv{{V$g;sV)QQMc1@ z990{Q7sHlPHNE;>M|U3*DRb0)E1^Cp@p`Exu0oDWY&Zkfxcz!LzR@15sI%Ptptk+J z$n99vrKQpAVKMb4`EJgC#wn-TJO@YfHn2PQoQmeHZ`LbM;R6ADRJQKsLQ6i5!7yMAJ(~zJHgIe8=N-AJ@8L}% z{!38-kYnX8cp&%9YgU7TQjwJmbEw>6?_&eScMvA8G18Huvp6WE=M_Urxmj;fZrQw| zeqz3rzj39{sZOy&Y!H#1!|vnjS~`D&k~WOolbns!bf`Lsv_*Vtqa>v*S*Kxr;b`Iu zE}7=z_>Oa22ERTvD~7XE9kFszKe|0Drn-T&+016ho=6+>$n_q)RarC}jZK~6fI@>D zo`*d#SVEt@WOPovxjCD%DtI4Pw6oORwQEGYfsm+I8Nv0g?rnR*%!DR~2m~HNfO387 z6HD-XLBD!Qa;G0$RzpbE(->OSdCK9(HLZL*r)!wu@vgFbuIDv>G^uqdLQxAHtLQV* zw>%lDT3XLP_NA&_D<})RXK&K4TX=5cRY$pKj4>e#^KrQQR+9K$7;PtbP{}eT|%n$&28fe$K+ecr^0J+qd`+d_>5|*q=$6pAjH<|p0S6s;rpe(;dwn`{ZxcPp+G7A@k)D4_#Qy+Fk)(kw zl)PC1JhBNCRiLTP%qU`AXkITvh9299Ra;4d;r1I7khX&-oc^Ze^)hW+0>wlI!0drxMbq+TlV zjC!YsX4BJ8f-?9bK!bAN_BhC|G%qGdB>r{PNA}C0&_`xvBjr0#5(mRw$P!o>1HRoE@ z^Iu*G7?Me^K=4kcG*l-iG6B2Ry%|CK7MACn>cv-5do$>lxV4AEFA$wP#&Cuc&Q~34 z!@PZA0!1{?NXxi#x#GH+uby2wu27PIXEo#=Dc4@|;z*UrOp(WW^XOA@mWQKKuPkoN zJuq&$emmDsq-{(4a_D3M!Pq;T@GF_pv*xiGBD-%1T}NrITU<<_s*}rf&N#1TSN3kl z&nBI9e&@Y-ZX4^J9%(M^Kz)FKzra1~%lvV5tJ(Rfac(EGU`F-o1$I`RD!7t4QpQt> z7Y&Z$xE~q#iK17xn0)LyA4>4)$KKfbSxH#(0YPd4J*QT8ZynTU(FTc zF$ENW0PS8^6NxnzE1VOW_0JFZ64p&39Ye$#iddxMF-MHC9<}e&R!7WMSDR?k{>$(b zQU?2U@3iM>ZVhYr&sOkmucDQs0_QL1@IRlEzUkP|dC+As^g$L7`tKz>3!(*Wx zTS2>9+gR{qUg$k*M`m-$%}3ox;p{Yf%hzEHEc-w$ft(JRHPOr9+uLmhOUW&mME?N0 z^8g?N>7MmBgFJsWgQV)Za@s>8Y8zC@M=^kT$F4`e73mkgIkeV131_6BQqfAg@_8z! zKj-OO*qJz{&sQg*3bi7)Z=v($?wc)|7n6FPgdWwEs%i3nXU!~<6M_gB?OwIxJqJ^h zRe9~KCA!mY7&LNQuKZ+lIOH01-VqnEJU8*lZDz4=%M3Fda0h;(ynnAW(tS^=`n4&^ z#xd0K{{ReWlFKo41cn<5`h(iGZ!`;=Qo=p^GmISkr_#I47fiL&ZeS>*5wIsd#gOlGi?b?TdZ>718`r2!2 z?LF8rUo&f<^dR;8D!#j*Su@OT<4-M4B85(Jf4plr4-R z>CVDWowm9HdSjvg0P9s(#m<|$J25yo;nJtcba;P>bVabTgaskl_|I{Qz2OfI+)Z;D zq7q~TkbMPv4z;G~_QL8*u?&+rD++)%#;3nM{{Y6abUAJ8ui}<4Hg3ZYRUm`<8o1(W zPL=Jb@cD9*b-8^J!rXXOO#WZWn~&Ws&187LL^cpw!Xnzmhy-BgiuP%I9Q9w^UzRn`Z$rgnPZ?HIO4=Ah4(mT=p=+L7;Xe;Z z=j0shEcxkyPQTD&Z9*iEU=5JU4SEiYV6?nLB9jYdHy`Yt4QL+)L1lcJhMlTIac8?C zIYAD*dX5bhaTO`b=J?zzqiSk5iQ_&k(f-Vqa6=f~mixTqam{OZKf`h}@CHJbaNKY^ zSDeWAjKr`BWZ)q0w$_?e0M;zEK4nda2-w(PAn}o$aZm47s?AEMd(QO47VV3te+a_^TTm#9B~3Rlk#)}Kc#yT_)AZ-kuNPZQ1D5X@}yj}XB{)1 zdGA@*sc5$52*;k~w@EYdPCE~3#+E9pp4uFrRjFR^<+1a{!kFh}AOa2urh`_stbb}< zf7eF-bx~{Gi@H8ew0UOHJbguIz~3n8S*SVhS~mG8D_Ap%uEyq<%CX~;DIfi74|-iS zNR0IBO*r#d^VY3yd2;xfW-M`vnKBOqR0+WLsZbvEXFQEf2E{ckQVUro5Uhs<+n}!P z{vT;7-!{IS{{XTpgwSjsQq>@|idBiEI1c9kS9revZ)cV0AS~>UGX-9r1++5d#yWIjS@J- zExh#tq1QY?r0BMGdS$e)ay0lzE;-!ZmCuWWr%A>v^>8W_>nTZWb#UqTw_1GnBYBQ7 z^AY?xuRigkO%;ryQVL-5YAJka;|XkB>AFPG+DU_gqbvaQ>s&vHd||223tH;-Eo~zX zrEqJ*qk`m;lwPOORmM3%sVxco0U-YXgwsdC{{WYT{ZUNzY39C92fS&`IN0})g4?&)lruv~6YZ?XY0Iq$lWuA18IA$S1W5+ey_=iEcw3QcA z)2^)>I1K1OVO-9=_IPd;EE)Ew8@GRYlW5t++d{RCt;U_KN9GWZ6Y|P(IPdRX#bM%4 z_@^$+a-G5!2cK&43u#(pJD8RqooMMZN`~Uo<_kI%9>%$=(dM-K1{)C5aFNegpq5v6 z!6TZ`*Z~Xx%_LUo7nmW-AZ!-KIi)8Dy%Kt!)*36>>S*crw-&NPBo4r&$ixGT;e~x8 zsE;*^G8JhRWNtCZ8O40b4()^^0KkGX(;(N<@_cIWoSHV79mbgRUc~~(F=(&@1;zm# zMtj!Oswk~aJZ%X|NyQOEESAzFmh4tY&f$#ps~X0mf2-;eO}7|#;ecqgGexZnm`Q1pS#d!r&`9Exfgp5=$P)J@hkklh4O;HwSk&XVzCej=1W|8=z)zy>U&1D<=~xxch*@SSeKT)KK}iNO ztBe3WFR;Ep@`Qo3r$UdnX!lCj}qalC>|lZll6In7Jrd!r_?6sMem zY42>&Lc=7KxWLbFxvILDSv8nbIl>J7Jk}`FVn4Mz%aOprrp0k1NXKzF7_C_CEy{lH z1oM+tS4_A2KIAw6Wb>L_$fYD?t;Czi_d99_>BWS$ECS7(Tf-WX z{n(zMlSkEH1&ngxd(cydW7pFANx9rGgmlI;n$5jk2O(HRlh>zO^3^(xwob_gdiAEs zsND<~2Q_h%TQYr$>UwGL-jQ(_c%4@xsA4Lc_%Pw`V(+>>lw)r;KkAdHD98w1HRhj+W%&fWTyCSpn?-|c-fhCl@ zd$WVdHMyvG`EHHA$dOhkmONkr*zft!t2eqM*$EGf{8M58b!Q9eI@aBX#vM}GLfu&w z3OUFB0A8?S@nyWoOT-T~el1f)xrcngRzr|ff%s9)2fRa)OR3ap{{R`SnoZX>%%tPB zcis~5J-3Kr`$eWX&&qoJYsL+pgL4|V^77mfU0=djRv!`GrKutalqme`3f|2xb!ux) z5^1;4^~l-MBW zH3}=KRxioorkN!2@?2t?*lbDE`9tKWA}{6u6Q?z1=2rQ5KT2i1tRyY4kbg>6)MKR# z%(*}*_blQyK!9eG&t{lmPZV5C#nkN}iUcuMQo(kN^{2O*B??q$(w%KNX$jbJI?@?k zt{ChljRx2{%JZn$DMHF;#sDL=BvVLbW(W>ArG`m-#$cJ|gdt^FjH)=u^rqc;+msxB z6zJrY<|RO;$t0~V2p=sr2uQ@OFvuKrsb}*{h%i42Wvk3&V}O3N=V_Ybw;!bmXe*Do z5|u!4T%W{CsVw|Ea9bOgZLX?WCi4^!GAqpfF<-aXp|@tkGh~WzSDHsAHh#{8ndA@i z%Z!1O-?eu-Cyyr4^z^h#8+kSjyMXKOTveh&E$lJeIgTb^8T|%&*RgnZ-@!UqLT+J} zY<=knCcPV^wLV`388+H!5b0hg*Y#qbX3*jCh#x$I01sN}Kegi0;WF#f*&zcaGy@{5 zYC6t^cNdp$tqWK{#@NUe$zEvQ4Y-iK-nTTYPIA)cH7d;ZIk{DnyC(53#A_S4rL)rQ z6#0r*d0sxX!P>%FCW>f-!cKOu$jxu~i%0OKmXkHcm8h}211JM$pGxujzZGiG%1};5 z4mwv=DM3M|u5!55ola=IPe-=X?JZcfwUludzl^nmkMyI*G|}Td zYaolZts_#MKZO@M6{`Gf^_e3Q#3GgvEFk^KMnFEk)FraUxVN^BBt2F&#d#C>!&zWg z?T!XIR7b^{$UpJ>B~LXg^IKB=jw6?sn?u(c)@+mIk&2c(GdRV?qDsH*s5RqF;(cAZ z-p%>bM~Ss@_iv^jMyr-0oYka^>EP%QYr2~N4eZz*K4VM$x2YUv@@_hO#-&xK7tEHWyftY@mCHlg+RP&Y2pHzA5p1N% zacgZfg-V8z6p%j}@P^f|^Uc)U{{XaUkE&lc-ny9o0Kkno)`d@r6H5nDm%%F@>m*`i z3Q8#GFh(i?Y-2f6qi=n}yhHm&_qEL5_t~TSO7P?xiBJ3p)khH&t!)W-898$~YI}#- zW0Pr@%g?VFsgl+;U8>Ozf4l{FQPeL0AL%n>f3#_z+822^xSRg^H7c|s{nT9Wl_sqz zT=#&f8Tq6@z>aqcx%Q3r?2j}|uY$yplj&bRt?ki2@$n`H`$m-BU1$AtpZC$CRpBkr zQ^HiHtr;HcFo+$dSb;q7;-ZC;7Y*me2sz=!cw=4M6Z1_cAMF}py12+9SN{OMjW>yn ztkEj~)8^*X_sgwHDU_?v5|+krK&}ts1dzq0`DrS<$itz}0=)d(OmmqZKT}BZNbB=6 zH`LTRvE^zi*ELvKIjPQ9u|jUO80k_=$)r`T7rE&tp<=?^+)76{P+0v1Z0S0u*>2I2 z2#Trb%bqCkhMRwTsb@}D%OLr;kOmKG^`8#-UhS{9NuyXy_{kfA$)<>$w6ZDb9xrL+ ziWzjPc@d5o5dmfui}3?Yw$nUEBvwPqibPp_zwTsouU3M_{@8N(L?2E1=y zd#N>>p{THHu^|!=ax>^Xt5mxj68Bc8W$;#5B=L=wojL$-Bvn~^uLnP!a=#oIo5mBE z*t|(2!j0>+)vpPxx_-H3bcFAT_X3@Vtz-DsJBz(hBydb+*}*(=Pg>`k7d_7EQ<9={ zkXZszh{+hO%S@{SjN+RGu|de@u0tN|3=!CnD`gaU)2iho*St8RRrpQfolr!vHK|05 z2KK`r*1mZ1*`;i$CnQ(B=vLZ|pTg~4-Z&&Sx2&by1BEM|_2W_6vP~c-Cw51@VyVZP z=ut;OT>5xb@>Awl|%}33=)O2VJm-Z~OF3w*Ef&lJ0 z=Dfee+I`jLw>|alV|$65o&9ncf=zOAK}zW!>?cvtNxPUjJQ6+How+8yf;PG@i!{A5 zX)nITcW(l~(ZAg|?kmdet*!Lb!>}*6Bi_A_!+NHrsn}cW&~0q(BT<>|6ev6nKOqQ0E^jC>Sz8(8C@{An4Wm$yiZ$gZpQLQmTQ1i z93X7|W}4c@pBo%boqKfp*OMc;9Z39X#hY(aPuO{t9Q~zkQ`N_dY$0vFdp0=src2`s z0#03yYsrfrdT>83eJT3{W&OD{^oi-;@RZnYKYy-AK}tMdVxt?MAIiMVGlNZRgPuhm z!7;q{tKA-*Z>?BN9(m^*$@xJU+CRpg+UA@O`oYr~#&KR;q~idZW3kUtDE2)rTF>T{ zxld0XEwYW7a>R7vn*J}cApE2Q{Hx42bBd4}J%TcpcU7xcrKvcQ{&yW2i4_z;wlUV0 zD_1s!|%N43Nz>LR|IL|eiqUv`VMyD0!s}+_b zk&oqGmuuob5BQE)#omZ6H4J5fFD>e5O`}R_N<6zVzk=@c-5=rGYFCykaW~o;Gq`r` zT6)3JNaud8f}u<*h1MV1_@zWIySo%{*$6<*DwH< zN_QT+k6~Ei9jUj}^)MA7?V}lLj)pyBSG%_@XQu>*_)Bq-UUTsp_FKD0hf%RGOuUBm zHSO?;pG*@Xswp2T9<}5D01|IL)o(4lmh6)bK|S$aEeG$T>hV?S%9Bb5E%4!z9}wv) zv~zHoKaF%B5iY#17V1%j7!n?}%y<>H_>V~hWBnyRopoM5wvK-tYAZABj7x%jGhXHF zu6$hJ>N|OyddiIz#BB0ycgq|f|4ETa8+qha}fwv5GAc8Ua*M0Ec;m3pg zMGzMnw4ZA0N!eyml~#2-&!#IWS?Z5N4Ng?mq|A>Uc!&NL zK8w3ejvH7l92`z_E0gJgjB)E;8LfChuBMQ+%n?bQ%sCa&PvQ}!%_G>UMCt*~3GG}S zzpdQrFC&&A$vGh7HI%Q=?osctX4}Ef4qa~IU^yo^t?ehnVejpY#^9gc9mR2)4bsUu zH#0d!$IH8|de?+6B9)+t#zEn8{58pluHv~_r93520I>&Hs)-?tndO*#qA37&*2Mhlgi$qN8a9DCB3 z*tbzw;`zI=#RB=eF%_?~WqoEH&7Uo}$^B^>H`~b-kZ)VAPZWarvGsujV;<&?MlY>X?raq&kVoNBwsVc#)>yi4dK%%El0`pe#r3Fn zHQ^-42I0>f*SdTX&?MA!Eq)bfOSB0*K)wp?W1Rb9zH<9p0uD`d-W2gpt);c<>DO|? zmoSKB3URq}oO^Rs2)MhPxT^4+EQ?+wpX~RtTHt)Q4sty+ish%e{{V@TxFF#F04jE+ zdedIZCQk&`QOP9w)|NciCT!Sh1s+EBtF~IH5lGBFOyG*=7dWY7C+>{k_M?{OPF6bW zO7 zzcEtGJu+)v8}(JTSjG_)WcSZ{;*#j>RmaLW6=dGN(bQC^bvIIdPePMXfo=@ZkR5;w z-3bGru8U2YJBR^S%O17li+L%J3=adXb$%4pnSMsV1W_yQ2cbu1N`kSWf95NUfz20VGGx&qahA0|UA$Epyf>%Il5LamTvu;tH<=Rx$}v}M zV~$A3Bp%f6#-!2Z6L=R)lpU~v^f;`WuZFg4*@>h~`{uozX_oIR2EZbtk4b__Sb2m2 z#|D~{8k~{fcV7)W`l-FD@gHDF?4ZUqbv9vYY!N?*3D>%PztA3eRs0*@ZU9jlx``if z$2A)!+j2a@Dk#BNapoR-W{m>Hi*Cx$?b-x;W8g=dVT070gmnb$(2gsyPsJ?Ws4(z;8HIu&(D<1Fkz z0+}a=btXBA$ZNioG9(b=ce$uzd9y!KLkE+_i$P z_Jc4;=Zah(dgvwa_Pr||+IxfF01Aix5uI=n4V1aZwI0?z$MqaX@-rbB_ zX&U9A0$Vu%Zkz+sq5CGU<|~Uyix2iVr|lzRKBrx822yjLC{*3oHKw{wwS6nP*}ney z0Y~dnwt=t7g*I+T;A0efSa&PxIF68JNlY*clS-=D;1X+k+d%OILmyy1yp{g|8b|Q& zh}J;sXyela8eZBR!|E8Hg0X&A|B<&R&OR42mP_U>$@&#py3 zZ4TpD3`g=mxX%lUbL0*|tE0lY>wn9m{{Y`YtIMHl3o(uDW@aDV=9jmJaCi(t`w#9Z z@^jXdFCFUF_7<)%1)SXbRhWD)txCIvvo3ox0BQSZbz+VO5iJxS+-2=-{nJV_=xb$s zEw4w)z%IQRK62BxLULo>Z=tc7?6r|E4jUDU$e-}G6}0z z_RPI{(n{}Bo>dY@W$^36sd^^Aywn!X&Lg$9wjGW$itgj_g{FkwSBIf5fCEo4B)G3K z(PD2VOysE}<;`kFgK;OC(Id*Qij-v3PfYVP?-<%M!Ft+Gtg2l6_(vkVn8x31kz`=( zuECfbgO2rUQIy9NYMBI+oP8@k2Qjc^?N*bp;a8m|&u#sh;K+&qo zpyYQ0KHinN`$p)xb*wsMRyL5pmhl7vH3N+BJxzJH!~Xyl#o^nZwA(?t<2^fP9e>ZI zH^;s*z3~mxJ=^DZZ*Nbfb2RRI5vxr@$m8x|Yj*P3U;-bYkjLh9rLP0%I7W9 zARHggxfx_K5L-F^m7%8Hc_0ntNaQf>S0wpQA~hna^F5D!(R_2H!|?X+!}k~$aU+bd z!j;K8zP+o!bqjbWwQJ}kK_}XFd3on+WQw(Xw_3KKf;kn8q%H@kuAX0on&ft%MQosq zH_qS^O-e_pQmkhO%5VxwKHj)Bqo(K0XB?FsJ?p4H3;ahL0M^kAjDzM5YcE>x?y2E+ zhD}NpM4LNVTOi~5*6m(4O!1T)WSo|Vto#)51($}Rycal26HHhWz7xzyZCr_DxC)%L< z8BzYaAAg)xayPM>=6mczEV4NylZNfus>>OYHc$^BkH)mcn}5$(Pp8f)8rN%ouZ2&$ z3LPfZjcC+#=A@Cq%8agfH5uSnS*mD_aNoGRwoG;0+~%_fhTON!eQo~$eH!O@c^^Qr z@RGTV4>clVxfQMd00`m9&Go+?jZOamgm?^M{twoVtH^5~0;=s;$0CrrG=F$;?^jNM zyl&KB1M#Fj9gnu92kT4f@+^#Hkudzj4Snx(E zT4fF2irdn(oi_UAU|FSCY~wiR+O;(w2iw7GERjyk$O*ykS}Y`*=35-|%_9kNOGa?B ze9{)|YhOrGW6!-d*G>{ns@dCHcGhVK3Znv|F=?E*tV~+BQfOBYwnt-B6kzw}wgLr- z^Vv;h1^3Ns6VInB+|ts(C_(kjSCD@Bv7WVWO#{keGn~~|=2qRn&uWzH%+vRoDh_+p zi@_a5Mx+utVw@j7;;AxPBs`wg*?b=3$1rvO08=OOu4h5hZgs6r8yh7JG>5+c4_e*v z2A=*2)$Q9))K**3885Sfgz!aHvHCn1LxSkJSJV&Q(n?asCbr zb?rU5{{WAK>HhGp)8e5k<4gQtaz89rvX#}3oRs+;(Z*;x%=%Tq3^F-hYjWcA?T~MV z=45aN=*yC)>6+)K3j8vR)r|_q{`&A)Y4AMm`Cu240P9(|Z4RnU&)%lVZfBlA5y;p% z$gF)q_mZ*jdSrL5mtVHjH0?M+G$C+KSf@Ws*An*Um; zhIK2eEBH*3ouq(IpsXD}cx3zJsm>}(sl;ux9GviKYEz9!*yM&HwK*Nn#+_U3+Afn4 zup@C}gYAm()7PP`eOmT=)rllx%Mc-RS!E7;)KRDGd z(zhWWWzrT?)!T{;=FCr<1Q2q1=9EXpT9Qld2x2*}3wRs79*6}8ub!sWF0VmlIRX2(|WO{}rVnqFN9Ao6(~>iG^y z*7Gu zEgf#3;tP+M7eAeA>E0u7N|rel=kUXFvNiz(Q=)5>nZ4<&6wgn#@e=vW9fae4UP#wdrNHEj`cuvP>o5h6J?S(gdp)kDZf3`rIQOd}`WCngxMI9&JyJ;JA35fy zZE97ONR)w^Isxp)dvP-IIjPH9`KiKVHRHeAvMVX`iO1gWc%fP^nqEEX{+;4TOl%4IdR5&pvpef~qcArjuG&ixix~ut zE0NN53we>S`8BzxPZ5mggPtl->}ba%Tclnp)uYGd;~a|3Nn-m(4@_0t8DreabJv4H z+y(-NZV4p&)vKQ^VcnC>MH3G=VK^LAmu?#aVIArcvM7l*eV?h#M$CZ}V4U@-<&f-9 zBgZr!o>Ut;QuG0{n7oh>9GZcYGbmIwHOmnwP^XT1Q=_>3@E%zw9jUYgQj%F$VF%uy zBV?k4JQ|cp&2f<#!0SUfHyeTzj?`E}P4dOJ%N*1SjgI`xSCIub`i}wSs%&(ueBBnn40va*GRaTfKaG;HS?9^hFggo zoR(s1-F_(Ey|;#?QZQfTuL-t{++D{I>h1^TYp)Enx$`;9`Rc}*r(xk;S5dMz7PgW) zAG^oi6sN&HAQ&K10{ z(i{#=H{rL6HLYs?=k50e?V*gZ`FN?jwawKEJp75fo+YWrJ%!6bG<#-JK~vimNv-byj|&N1Jb$O+hyS-BpS3?w-hGSrG2iIU+Y*o3LiKDDgABh)kZBLmYM zR%<3s1~Xm%0K*GAUoQINP)OvrU?CyEJ!?5dP2NcLaJA=!R-){3kK!xYA`!_1PEA8M zh%X5NVT3aAj(S&Vq3E$`y7soyppxF+7APG-%C>NS&!s(dTTOSu77{#`_H#ocA(*e< z8R<~y!uLBKZHj8##|;;WH8{&OX$WF5yKP&G#hQl2^C5}I9eL;8yNR@&H^cfo8brlz zZZD)?HNY8F&u>ruy=iKG8_+dPMG>Wz*T{)hPc1-QpmjdH}gRxyCSh)!+C^^#Z)x#hhUE=DR&RNz(2-D`b*IIEw0Q?@SjgI^gthYREujAZcaNoY z{wiA?FH5uV&8j4qCPk9wLZfoAIL3O`$BukI47vi(X&MWSHBvc|Km?&3{)UH29f|(b z#NPbJ0^Tgt@T5Tw7-x>vR(}=iYWvVCMtUhziu7x!H0yn1!&aAe=`WXPSSHbu#B@Hq z)^4%lVl>@LN!Rp5Q7A2Fe6Xz%KgC38N3i9Z;$*%fhmXZt%f9l_V4RRp9`z%5lKjad z2%%E=&m3m6%BlbcKME2vCmdtcoC;2a9=0WBn5Fj1Qh0+>4p=lnPw<85NBksOpkUr& z;Br?SRbM6^pJ}%T-Rhz@{lgQSxj$ZOoI}P(TI)Om9i7jLblZJZ3));ilOP8KZWssir%no-u7{4t zRL4;EbvH$E5dO4zeJfMMRtco*x3*Eaksy$wvFb%oBp#W~b|d8-&qnYUgf#6}K{q#g z%r=j>lNlU=n(3|n9cfcRJn?ve8YqV6Awdc~O=5U+;pOG-hi`awD;Snl$X0yg>s?-r zq3D*nMx~*qvk}y;)B@kaG0rJH9)eWVpS=?T_u<}|d0}@ohP;zcYZFK#3Bd!``B$66 zqu$MJJ;;HK5CCL;%U-KIT5o~;J$~00@m*?Hk(gtS1Cfg4G~WAJT}RH%>QAS`b2a2K+AF;KhQ&@!YtZbhyltpY_ItB>igEME+f_wy zdNsw}rl$?YoxkldoX494yfXc-V}0$h5OGC~DM?#foyUzdUlGl9Vzx6& zBnfV@mX1yf0m(Jb{7J+X8YY>jT`Sz%#9C5YCvo+rcuL2{x0+4lmKQU|A;DPY$Y(y; zuR7LN`};-RYx9r4ydjW^;B^dBT7&4OH_V z`|bJEx{v&SO`LGVRbiUuZQS}Qaa^dA&XcD0$JUUsCp(YlO~-s3Qt_HOnWrixg(R7_ zBNA{=Tu}saNJvs}D_g^fWP(Yrm~AYvh40T(`BjKM$zV1~ByOVYp(ChIU!^;+vg~Hb zrChAH2@w*Q3WnRxbLmfg7U>pDkYqrlrg_VBA6nDWuI>K-;UK?PkPCSZLCyie7^X$4 zqYYZ|m>C{bXpr^b@M&Fzk&<+)kkF%GNg-eq^&5KBe`0?&GpFNiNz2_sbUgnu_i8%Ap@#4@DXtj!zmKovpy0Ye>%*7lQJK=Q-VsJ-4ck!TRVrjPzWY2j2zS0T>|iPI?hs zzBBX`Ff2oE(pt+teptvKiK@pn&|Q>^!wVi_5nZkIf5d~!dnc_YlHfyu7MFA<}7Ue`-p zk1r+ur;p`b6e>zC%VUcdLNz1JZBbiKP%c;BIjv2A_7$0C>-Mk|f-%RvXo)^c4zd(}BJ=Qq}_>1X#X z#yP4@pEb{XnpW20UlSyS3%MPKTGKjn3v`hY+&A$7#YdvY6t@VM8S;w_*z1b=uSl}+ zEti08+H+;(v_o?i214X^9dnwOD;@Z3JSr>5`OD$vp$3cMn`_IGLzwn%kdt-0*y#^a= zG*BLC_|69;1I-a`#X3=poH`XXjUU6lBJyU^wFI|VkVHcc7!LK#{6y94tu$>jLeb}I zm#`(8Z05Z)QEe`3c@Kwetgd!1%^m<^eXGj6U8qg0U%_IUJbHA{f?SlrKVMNz3Q!O3#bb6(ISPMD~3;A*e#}&}n>XTcT-UbFW1nu{%szvT~;3~y87JX&o-7mxXr-UbaxNSexW+wn{ z2Oph$XX2j>!wi>F+PL!5=E1J#Q1N8b>3d|jaC#~h&MSh|rn#J*#E~aC^{kIyM6S+8 z$`&#@_7!NrPdwIyR?BXL3>g^nPch*3trSlpwRGnBPHyipKGEKwG8)~!vl1~l=Cj(& zj)JAc>!*l|iYRY6ptwM=ba(weqz?SOIhqQg~V z++dU22A6-*teqm`(vR$$jPxI!K2}e+r`)UNcAz#Y*Y-^K%_!1m9_{Y6% z-pG0YC(tdkSf5W0tK&Z3aaH0nmX~;em6aR((Wz@IsB^zD_48zMwZfGEXRmq^R@4tDy3!-_0V;X-s@Hl_f~7}Kdi9In4#TlZ=kn=U*S;N$AS;i{ zHBAj3V?LI#)Oi(n=P7Lkjan_}@nUToBE7S_;qOltY@{b0Xg!L0N zqIEN=+geut04#?IoYrTV`_y2Ej&WL1&Q?`B4lzeiCW2S<3v3imak^3RoQje-PRy1y z6majEi!mTn+e;O3uRM(?!U}z~&SfmhJt?B!d$tJ_M{X4f+(jka0$G{vPs%CQ^0xPF zqa)U#hCUsboC<2FScxosjT(V!JA$R#0+QNxW@f+@0w?ZCU~0vsylS60IW#21G3I$C z;0`_MV3mxgrfKoV8F@WEw6?bDOS4sKA(Bk(FdPypNhOf7jtBVDA%z-dB=q8+BuLVA zAPP+}Gs}K6Ul&VjY>rOi1$lOzb}Y3EYn_|?sRNZgz&$Ih_@{N}c#25F7FfU@)r;Y8 z4c&N-^uumMaUjf+xA2PZqOAmcelAk0ihR8fOx0{WJL9WMd*i4JS=Dy1BxmSFQL@oI zE8!Vd{^L;lY^ZXIq!JI(qHQO@T3U;H>$Z~NMjgW(=kTWO_;5ds$@JXDs{OV*moLQa zb5mZ@d@tiO7NRvlYavm%1_0yGipbP;eGkE!T-tVo=IZ8fL(Py!<5EJO4qiZtSTP`S zNane(iGB}_J*77A$5H?ioKXt#+{ALu=qhf+-MO%W@flpO|Bzu2W3W z=afiol)EDDa604q*6bb^)SQJe#-nI$%;Ok6zO;)v`^{q3eHGzOXF(a*2 z@LkoB&~72Wk}(_;36&qK5I@GSpzyw-G?GM(Zn8!9w@HeOczXS``R+l7$%ti$lw|v3 zy$nU0JO2RfKNZJ$bmAl~S$7^4RB%12--p2A-=UBM!~*WK8C1V_7Vn`pz!U)T6cprsa926iI_kaBRC_j zJu4LGm(pD{7)}>?khjT?T+?OIuI=xmv~Z6sfE5baRs?m;AUe%UU$@ezs(m>S?|Pw1h)F^t0QW`8No>*T%!1r{1|Zw0qg&S#99lzG;Y+=WBWzp4UOtZV`^y z(Sa(e@3gVcMfIkx7d5UlH?#3Rnch1*BTrp| z+g$OCu&$>SyIb3+w~+nP%h(>>X*_Fl2=I-KmG$&@y28o3%vHfv?g^~zXT!{GVY;%4 zDCQ1QSP}T=?y~2%YUCzYNn}|A2^8U!^#pdL1T(rqj?IjLpUSZ;#m2LAz{t_sr(M3E z<6AeeiEUjl0}wzpTf*-gHuGD^F)r+k@sUCT+Gu)cTo~R?e(_=sD3eCh6#oFJYB#9zm>V3sf z&R-|F%`ENmidWR7vo|hvw!fIX%6!*3E$(VWlWSo09c!Pm8}4IV_Z7Vf*?AkO82NY`#>J{yu-Tj1gbz{ zJv}Qw!#@(W1$eE+gi|m=sv<$SoN-lK;#ROGEF-sx!8yVJLCsdXqmP=DW6LLdoDYh$ zZAVGf8XZpBSeT!Yn*lNBmwDM7}||mJw1irx6B%M#z+_#9jcdO+xpUN1Ex-BM9QS4q?p!H0N@PM zTc=L7Av_FF>(FM6NldKE)1_%4@~pRDZdK3LsW4sK@$FXcaJqB#`?TB8rL2-Ti?SKn zm<+QMpT?jV%{oUd$Gu06Yn7i<6=h+?xS`J?kaIyCP*4(ajGR*#_U4zTYGz6ND7Xq6 z2aM75G=TF?{DlA@&U?_T!TwTmc%(mCPzx{$2|2(Xv;l5wIU|9l5-WrtJ`dd{p^n|9 zx0Ye|V;~=?HLs)Ei;D}0WMYjZ@uwr)=B%_9(djoL)f*!5A>7A1v6=wMpGuM#9sI;+ zj0TYhRR_H}beV;?lg*4sV=@TyhAZp&R_3rRgc`)Q`@HO;NuGnIarla%;waHgw$1+l zYe*4h1MY#2zm*meG3BmUJY88N}4ze8KXOJT><5D>r&pVk=SW&s>M}H zXB=mDN;L$I?g?+L+0n`U^hdEh$F&v&RJyU20TA3BWzNF6!N(O9-JI4_yi+L*rw5J+ ztvi{GwU&zNPUKdT^KbsOU-1;}s5P8Xf@Qmt%?d{<4*-8!V>#)q-Wzx(axpL^M|=+T z1`k|Tw~0YIgCuCe-rNWfk&n7L{c9(8YE6KnpIS+Y@|$m^1M%jboSaYv3uxL$`9U={ z_E#(199EUGA{>q~Yc^qvnRASSYLg;GnC@g#m1A4_eC;GlxROnB=-oXlqSJ68kD8>} z!HRw4DbAvruELT`m5PC(@{=Bd;~2c0BrO$(q_{`jd>~HATPHG0%F@(@**{ z1<&5B>4PM#xDm|?=5c=WC5@R*S0b)|Xiw#c+C#MV&q|<&pK4a*=ADXCad$^?d*fX~ z`&F{lwQ{#@X&T2IM0}f6W2dijYb(TlHr2JqD=fwvW6~J-0D4wc!3NVEuq!loq_i9= zNvC#i=z4|CjADCfS}7MTkk}Q|+G}^-F}`DQ38#K z*M4jaRh0Jn)V*(F@b!5ocd9^yjuet$gX?zYfi& zkk-VsAdm|4n%7T=w~ePgw)a5e2Wwz8E|KGFNPIi0SZWr3XuX}#+RS=GjOU-}St!W$ zUM`c4=Lu?YsN3%Q-eTwT72AAQU_4JCU@*}k{RMH?ssoAt0E=*A_0DV2t~_JmeN7>g zQ_{T4ks6~nJm7m*M0y@dahhuOJk!b#?UPsj%BccKlKjWDcB5YKU_bH&>Bn(TZxZ-C zMigjc@yVf(pp*EIJDx|!V^!s891mYg^oH>-gg^x7C{Ip?nEom7sekzw;%sB8^HP%R zX?y7AdCV5AGZFkkhPHJDut*0L=;Otn7>$Wd0wIycR;*k2qd>cqMF)o?k=r;`O!G^c zz@VG5XOz5Tl2jab_NX2)lbZGIQ&`e8wTRvLS{Q_f<;o6ErAK+<4K~*vZ-k>>hdxLk zQd5b#!_97a7nBL1m^Vk39mY8o+`q(IMPs)>v>4<4TIP~3iSa`wQ$)2KV1SEFBN;+3 zsl)x9@J_>yoeeoHq<{Hj=jf)pyMKxM*su0&w0YX%nF7J$OfB13C0fhJkwBw$EZ$>4-zmQ{F=FQrC-{GYpXe;Mo__-4lsM?rB5gq z6Bm$t#kUjcb6-^G_I5h2g>6>q2--OzP;L+w~ z>>Hmfv^;{)1(AJ08Lp$l7#=COQw zQ=fcR6%LHLE)``KdiIYBnYQl7t#sCwv5>=Y$oH;iL}W7|!6Oyb+8Fn-+H02jp6U|T z(LCoY^!Bxf?E~iPOCOi#5w(c=RL$ipq1r_>!J-DYh+Hqt#VzH$3$>Jt=B2qPvM0!S zG~1x97%GGhT1;q$Na6F71Rj~Gu2vx;?Z+6Xojz15hc!IQu@$_sMG1ov>_lz&Am*#i zu(SXGH&v-d_&!+91wgDejK&2HOe{e0!}f9Vk4}_J6hCI+0phJT3>XpcY3i&kv#?^F z!I?%2X)VZP8TwU0VQgX`$I14ssF9u~3ZPRUwP)Ni1}alyFd@?I@K<2Z(yG3b5?w3p zJa)x(uvy|_7>Z@(p4SH;f|&F-&W0Y;2Bd zbr|U+3I-69QoX}6A=!*nrqxVD?imBEMdgDC!wiE?%n_yKks0~VN{Tp&#LOJ#pK}_< znBGY|b*8dLuzm6g_o1*u7B*PP-g%`fh)FL*iyFkaZ0D~ulB{MiwW$nmm%86RX9v=l z_FzUaAvvXVi9(=m4+j+Y5rU&}p)eTHVO2MgnziIA!;<9j>r}{%t^mm(Rhx#3$RKBR zH1rcIh}0uFbC0b{9B3`ed4zH6P`bzDfVs^wSl7uLRkK23Wve(-1)0uIsiscxEHb;f z00Z8Ll~xa$HqB&so>a8(_0+{kAr23{8?!pH<%5@F!Td{c_MK|(T;v%J54LN+_+@7+ z_(N8b&kc1ZRa72&SC`DjNgbO!^Ib=Uhl%tpx}KM1aQ4y;R~c6xxUWquEPQqvr|(>v zz0P*~O4QckPd3WvFei8J*z;BY0J1d_c(S?A{c|NfH3S9`wua zirS^cv%?L&ybRg-)N(7!yi2LG$g|$OlJ0D?9!_c}dtBHMvqU0Kw*^LzDEX z3$1Bmf+y?fDd$0+?&PDJKzpJl-SYhSJxU1)ugjWsH_NN zVKPUbG5s-~)eZOjBXApcI-!!>N}C~!5H|6+xbI$EbQ;U67OzG-dzNSB({qKT--XKy54 zOia-RNfaLAs3+FE%1w7e3;VUbFZg1fHT?)+mA%j5iY_zLH9coYi$lD#wV7m)TP!Ii z&^NY8I6mDd(qBiPRMYMoRf+_+vk|ho6Z4Qq^{+KL{(-aZUY6~gQ4febJ-m6b-t0Yg zk&#b8Jww9xT0Wlykbi1Ig(PD$GLj|(p%nx(XxC#-)TPwKCd+YqLcEsU!5*O3nXia6 zB6gVXcIP1R(wuxj;ov@4Z_Y?H+OULCG!tN`KV?Rp5ZxLuXIJUeejyDWZpoccb80Q^oypY1r_Xd07 zq<;`-UU$i9dFAoeL7GXtL7*x9#iia)_m~<$Le}ZK2nfYv>n_mBh>Up$KJ~T#00{<+ z2Nu^myXA#*y4~a#m!a)Zk}aSB$0nP40Z3ys+0Q^SDNhv?j0qJs)THz#v2h&Xo1m?$ zNmcGs-LqIRan`i7!VT1aT-J!>trcd^V)#>!_(-01P{Ip&AL+$&^U7LTGCTG3uX>8=?Aw0k6&!Jz z^0OM;jVm8dli}RsCwm@a;|Tmyq}xj)-odC_fOjcOI3Bg+LPnnB%p(PkabDH&2T{0= z#$}!oxl%U)#dyV<@3!Ucs5c($SmtV5$nXq~LNYyb4w^)1_IBaxi)t^_IuU z)YY0gR+W9KNMo?ImUmH;uy8)6wOd_yyd3%ukQDu^&3QZIcKqwK(Z98Aeiqxw;kdlX z=be_}Fm*bE;|@UH#qcGD9*(S_s<#o%7s#{{UL9g*q};5)wDZ}O6OF8?R4+6u#`>!4speKgzg&RMpK0>NCU9R zsp?}oe2F)?5d?bD5tHpta(EQh$vvr}5fcHC^{I7}w!!h}Kb1zm>%ilvr)$S%oaMM) z{{Tu-HlwXrqlYJx)`NrAk?tWpDLra4I%lb_Q|eJD=|SVxqVw0TO(>o=^`_7PJfdW|eg}~roaapE(^ifIY^Y=v{HFX_U z=TJbCuqqh70LLf2XP&=Wi_Yin(dU1%C<0DYvxkdadQ^T6H z`Yy3=eQLx!O_DkW9l@$vkfR=C*>gnjt?sL?zMByd$g0d)yKNlRj|uof_rw~E@JAv; z1-Zo2zY4t9wP;#Bj;S*Evc^LIp56CDw;wSC6I>gXvG`YiExd&!n)!n;;GTL@z0tq2 zQTT+_J{_sk<~mNL4dk$?EgJAh9-ozYYsS&YRDuXN!RwmzPlZ!Rd|71}ciQUe-0*%= zTxPWG4!L=>ec78C`qE0~e(aUvtZr)_AD2P3vJ*+Yu3-}l3}7DB$bd7Bde^G_O)Vw9 zi6Bxzu|uDH3gf&Mngo~fj}kno2w#(7#!tN^5*#fyk{pQZheffRLid>aGfBZOR#k1y4IirC^VYI>OiuI?fnU+#HMy zwQFdeEjo&crUfNl2tK~G#fqHVbXp#cUxuLx-uIE)c+bL?m+c+B%(0e_Yojkrn#jGj zfWa(yQWbXR9)qoM-Z}Au+kK3|A!5>v-1eyM{8?@Usw4%7$6E6z8FIFdp`&hfbGgv8 zi*K-ok|ZZM=qri3(_mkVcJZp9h2Y#*u{%#Sb4Kx8D`o>r(E8UPz9NoKihpVIN0zbe z4W2W$o*$o;`V)$TIrdwhLq*{^5(t@61zE7G^A6*R@vS35Z6C+CHn$sCYy*Fc=JR*+HWPK8<8(nrtY z@YMP57iMxr8?YxeZq9jB1u9)fCmpD{o+;zRf4gFF#a^=0gfN%;PS;`gNaN{U9Oo;+ z^DA6MbaR-_K<(UAgXJEzoc5EXh0_Bkpfz?6GatNA7=N7BiQi+(txJ*G z;;y@It@xFi%!Z03*}Qi-tj#(^k6+Vd$ob;{{cD+sDgsHr1DP65H{YHN7p zFq}RqEufT_1;`np>Isp?Bxo26U{vt=h71xa7~)|ort$|hEv3Hf{N&KP11rX^U644U z-CRt|zn+x#x7&6`PBBQ31-cxbXhCohu)5{WPkNT>3?}0tBvCwJ9Zx-a)YIFcgs|(1 zcCrGbu&Y~w&UhZR1IC_6IXM+_C{VypergS|`7hIOIH+i3i4qup)*eMbkwxXUP7PVL z5txQ?$Gt|TFE!P%infG{7fTDWftrfv$^{ie5!2qKX#-9Q$HgMEHO5S5j>4s}p_zr5 zKvVftk~~5{yG|(%_PE@Ujwykit}+zy)KJjJmyF6jLUHXuc$$3dz{fmN#P8;o*l|ag zet(b=LqfR78Z$GUhpjB~BRByG{3-U#-d+_t_oUp$e2C6R=|!}#hHqApSIamRH1h%# zLLR=9#V+?53Db&Kc^Yx@9w=J_B%W}v?bt_Z;eIP>K1YVUh02*5Z$0>~*5*fjtFs2Y zo8vX}Nj;^hAQc<14P7<ap7+T=%HUy)jrc8IorH{Azp*A^Eg;e{LywtOLwj5GI(y< z{{X~#YDJI%FJhySQD%=;RjBPPO&<|_B$CeBON$%yWK}J;QHt?D6x*M%N~Z;* zJ#$@-tKz+1Q9_p&lNBeNF&VCA?lE$VjyOKFJGEwV#;rKjQi8HP{4Y4~Q%7wpuH{DC z>w{gEmu8mtaf#I$9l$rr3EQ538v7qWy!d?{hiPpE#*yR**9$p7=a1sgddaJ9eHA&z zqP%rJ0(hNzgZWbel6~vz-`XN?1j+FBWYcBSP4Y;s3R(pup5X21F<&KG%MrVbP71_W z1}n+NdHpIbYNE(iCOs6N!j<84>J5F};Wqed2ZyxiV4FsfB870*Aw15RJG0-K;lF6R zp9RhE@5$0`w8guMb!cO?RVA~WjITl8tviP+?0k+C=cPR%cl)YO;ZL=l1O7cGf+@_VNUqv(SxJD6@M|{&y{ZWK9FCPT{vaCkn?7cHp1bhzZ7eiZo-Y%d zDW>L2a-e{Ip0(A_;j5`a>rLW|-H0*@ugih{&3XQxf8kFI>Gt!%7}Ygt5HT)3SlEu3 z=qosUN7q+V7b`l5fydeL@?+B!BeFH&2&l;F{Bdz-d7w&L#Zp|zpp}3q0o%~xyoZ9> z=DY6}-}q-<(N3pp7ua>BDjpOcESWr-;4|1}hH>I0%`2fvIr>sD$J4zp=gl>V$F&zR zLO>6myo?i?uj02q*{%UO!K+q7b-?z?=B2!WE^ecSKp7ZdgXv92nb{jsl7&Sl(DVBZ zCs5QfY;3M>0Phq`-^#09TF+}cMR637O1)9kfH1c!FijIyRu=rw}(^%BlEUQ2x&G2Ay_PA0l*=gXj)x>YYFKkh4Yt z<4oTe{^tij%9CuXqvY*M!tYMGFkjnCZ7>-rDwRKxs35nN;#GQCYh*20efqNlmauItPUu!T;I3&UE^De zbe=B_YGyb4r^!6l2EXCmSHt&0+gh=n%5uAvCS9bDTKbw#+DB|;4I2*GC~|R%@c#gg zo<5hy`p%tx*239st^9zax!g%K!1IWql4$})rJV2p?_G7j!v6pnPYhDU;rrthqjEOP z1|GG^Slm1}P{_I5j;uceUrWRLMBhbjktLjAQZX199AI_&($}H|W5B#m;O%$9?-J|U zZ1zS`x<55>xM#Istb30Jy+`9e#9PlES?Pl2Ad6=1LGDXq{42`iW4pgJSRIc-u=qRU zi|cr&gF>9gAXZXH84NNHUrNmJhr{0z_(Im+Rq`|3aT4|cnbuAn218@ff{&d}c;eUy=4Jv&{RkK)a;ZXK5M&`-=Y9AQS1{!&bl7Q;qMf| zt9aS1EZtzy?JcB86QFDkwVmPLvv`+7)Vww4rM1f38RBL>eRIFS%u@kYmZw&G#V~N>)RY@7H zpTJs7H`>pYe?0a?sW8l-fFIttn;#JBHul08=D=TScl;#Ux}2rk0i5ycS$j#}M0)Vc zu<0i$yZRpS4~B0n?xDNB@d_JgTg+{YNhEgsMPg{aBD8Oa8l2Lkv0G{pm{MTBbpHT) zyp#SCooTQh{$^g_vBg)D#2S;HZeIqUw0(;Doi36+t}lmIM^j6Iu0+uJf=reZd0gC0G z{{4af085wYyNY4GzXX4!P0xJuQu&^SYY&Euxs#E*u4sDO-IO-sK&*C^U_q>@w4GsQ z2=?rZdBG!^s`vM-Ms8&|@4GaHYlb17c@BCU)F?aZX+LFeb-SAB;olH!&J+SW$||aO zZ&!}s$^>D@@finNsIy%^0%@BafhUSS|f(mhs9AmrxZ_58fY@Y291JJbSHKO7ntSAbvH> z>N+j%nz5VcWQ)ws-QDrly+h%wFiGKD1O?Vs+(^$q{;IB4S3El$V_RReNgwXMPUES~ zSG|hr(g7^)fpfe_KC?}U#(hG^7J_Nu4hn%Vo%(-D#JfR>0Kt6BbLotM=C-L z4^xWOHh8$aDx#B5az*)+=M_KzB_rOij1X{YgYhxvy)^YXA=JGA>5AIPTWva1gR)XF z_=>`ZAOp#*mdVngamh)?Z)(n}(CEPFT^`+_&RAQv(r^WKFv#rjx}gO2HNtpi;r_{P z+eQc&72LvP#7=NKisWa~#JO`Mis*c@F;Yz|jTb0!`O_}U&k)^?y+ue?U$dOBBN?PJ zFlK0jU~R{0l^$n^{GfKIU=sbD;jjm4lGn{>zV|d-2w`b(=sI_z-KH_JE;f$li+Ek7 zalDLvwEI}eX%~4I>r1HaM{d%R$Ot57IidqFo&I4?g%6(U8<9f8iOAk^edt#KvMio) z+`tpooobG*!CZb6mk^&da>VD}mMd^4BY7NETTo(LqpYgI$lb*W?lfO$cblQaksTASYvF~J)}9o%`fg&48mN!X<&_`JZ6#Pq&&?W@8?6-lSD^wmBmvk|>>FB$^r+i!-oxP$-1D#_m)M)J+Vc z0(TLU#W=#^0rQ$kF^dec1ZKy*L>^Bp@HwdrGNc3MJkuWCuz<168uSt@+lf{#qZG)5 zzdME${{Sc~MDH}yZlVI^k2LiVmeEMXpLiW}L{PiT#L3M~3@Wi_aU4^ig&!o7nxR~+ zBqYnVr06==f&5RpHy$UQcWx2v?Hr$ zg`L#2BM#-y2w7=2+Mk!MT^ncs$Rmx%>)N}g@bAOnl66Kt=2A_0IaXuxs~@1vOK)Ry zb0CfgC3hzPDxBA1SJ3h(RppN_CC^^5@K1v^7$%<5@@I-JU4(~<&utIk+A$A)%)_tF zYTk#S>KZS@-9yZ_VRbksVB~@S0P3$Q)AXC&M*S`A?WK2i0~<)kJ?UG_^d{6fQikVs zFj^w~9!2+yS0&XHcEf=JbyZ<@Ub z&#iD{>pF2sbv~1aC^;$CwbDHP<4KQD)1=MJAniC({O8iV^508&H4h`6y>VWpr+5#< zklhh^rai5=88ghlApWMb=J5WPYjYU30J01;hpV@G;iX@t9@++<%E&RIB5Vl1Fmb){{XYjui@#mn`?!F>0C(~yJ2Ns>z`WZ z>^>g&gkH(^n+Wagai2a(RIlZnlUaLt-Sc!W69o#69H)`k=`S_!lusO-*L?uQ*ZxT!6Tqk>p`KR+$oJo@U!!tTmNSzO9N80UkE;`H4qt}l#CcpdB4w66g8lHN(a z-3`5^nHVy(s=(v81DclWLa?=-HL^fL3>g6B&vQzaB3E&}?sMU=YZXy99T9_P;km8! z_->|+W3+FV&SSwNwko!#rfKuq%#lt@Fv9$}8Lve;Tlj;+(CM&T#+Me-nHDKXQ7WH9 z(;$!5uypSR_@QoRhVsrU8)7-0NffCbpbl#XTB2_6V@ymrX5q?s{+FbguNpQd3*1+B zJUcWxV%^DX1PV6!qzoK(uA*%tOt!c|X&SGVpcm+5J<0a1=F-l;;kDAOXIO6Hn8_S$ z*hzu@AFgY9*oktfBX6jzJ|CNw?Ve#imuGcjEMiqzN)o&tqqT9mK9Fv&S~khWdoG{g zKM~nHr%<$p(#&wvOCphu_#JaZnr51}Xu5m~v7+tYj2AiOsZp;c+;4N64TM;ls!eE) zb{`IywB@*&Q7wSm<~MU$_gY?`cV#GsOu14Qj11SI-RRHa9T6;T?h-4zNm@mX&K6`N zx41p4ozeUi;%KiWit^eED^)v;(y(PK>Y#FXto^jpcY6;L7N+2$c~*<18>_aB^M&h* z@1yYKpJ4k@K(IzIWOIOV{LOUtT27e_^8JP+NYQoza14jJ{OeCq(PZ%-hGWz0=UA?- zC1((^3MNMM>_{TFtB9M5YeR3TEH)WSmhGNvePLsBq|OpTW(szYIM1bUP}s>SlmLD~ zlU})_cq7D--OUZwpY}_2<))l~g>%$*H6M+%{W8N%m-{jxsT5*AGZ^z2o?4w8LsI45 z=b!aYtT#5Sk>ne2m^iBSW@Ev{R_78>-_>LVVB(|0tT{m{`GT}xD?~huzBa*Ao>uh{C;XS!BYB}cv%4)rFb)$Gv z&U>vFOum-%rX#tQBepD#x$RmycZ{^SNU)mpwC%yVR2x**M~`(s7HPUv%Fl0eqg{=H z<|G^s>6+0i?s7|!YZJ{Nnns+=&P0sGi+hur^-HN0{uRCY0A$+1T<}W)(ASc}en2tL zdh9>pBY3_W9UedoQQr%IG0q6&S3V+&osFSO{QXCo$(&eqn%xq%Yq?cy;B9X?pW>ciC6EEQ?bmBjjrlf%-d&lwzN zg1ida%G(kgO*sNEIOLpEI;NVMW%b3K!3#7J6lEOp4SLUqysbJ2ng-c4TUs(Z6(EE8 zio)?i{eEY`8f83d`u z2T_{8;XesJrtom)Nf;`D>t2JWcy`N65>0T?-d&X08e{iqk;{9ZO489)H2L9@AQ8~+ zRvm~vYOwSrD7i@);<1pYB?vRmb&D0#Zhp@*4=`k49PygM*KC8@0}r0s{DxFzNgx`l{&JYDo13h zQH4Kfy0J7RO)gb)iwBE^X8GNZAGx(_i!z8Z zz~nD_yWyVdAGdKR_0>&GQtkQj4L;(6%1**W|8r^j$6|;7&;TE+^Q=N8;z$)a?*FX zlj2P(*TYvI+0rnOh*9#qgSm6Z=UnB*tW#;SHsXf|3P)dT*Pv?peU`ar8ePcN_i=!U za-%s>oCY27RMSSWw~E|cOxGqXkr*3Qk79e)Go@Rfl_Rd6BMr&U_!cDcY1E0q3GcxMl#I`%(&+yl0OUqS_M4G+E)O(9G)veXf*v>O%{5V_@+fE6~bVZ zQ_cwQ&2?77&NSC9=N2C@r&hC5(H?6(x?I}_5RgGXH)1_19e+R?W|<7x%S#f-NB4Jj zuR^rY?Ck8)3yYC*isDQvZQMZM8o>C0C!3~9`#{SofI2FUg1Ko?scA~0J1b(TDMp<) zcF#D6?+WNN{vuyWz>Hys(zo>Sn1@=*JBBCWG+h4xqc%ao6$B0yxXuktD*phZHa&$` zh+tNhxxp7@bI|-bccBjo&kgif2Jz%1ZDX~DIO4c=D6H!qLX*cmt3yxE*#PO*vfG32 zLQ3SkMCvCN^hND30VJIBwyWL}E?XJL6=zK4r2hbRs@?)_TLkv2xq|qap*B9kNIYO> zvlNldY0HnWwn4xHHJGFU%}QdYv8iBlZa${5JU{?_KL7?O`6Iv0TXq@yHqn#GtPN@R zPh>dxRmba4+1Y@9y=5+$Jt?gD6y^kic;=yEbB=0HWRSOBd8B8?J!y=3Q*)ej;-=wZ z!j{;35njzFfb^NPJ4tMoK`X@-!aEb00|B{DLS1;nv8rippCeyU*Yk;>S zZuwMz7dbf3TIK#8YePoYC6VoVyRnvM!16R>QQ3>^U4wjA9N8+ zZ1f!~Mzqpmx&F?LQ6)gEqmWra1pd6%HM`tt{s6R-Lx@XoO7GaYJh#k#wTY!Hc_5rs8Thzv)oo6CRZEfk^VYO)Q^$hTwE^G`Yh5yQX@@^7 zihreJTb$tct(!(IrCy@*fE)5Poc3mzS8C|>ZwWiLlWxWGHj4BMVIx~c%-ni))yFM$KAtZ_Vo0QGaCd?@sl;-$8S@WHjV<$So#gNj6zgb* zl5rz`btx1>Zw#d$_op6|`$T*&4nWN|(jZz-n2va*w}oC;QsiUahKFH`8w-**<2~u| zMn%C-GZfPbEzaHK=dU!48ZFobzF(~*fNMlj>+hUrtv*Bobi>gy#FL;5aqCGs!X;7| z4_;_m0JmzpLGuYeS}_{3g5;7q(#{|d{G@LFbp~MGnJ1ce)CbI)vUhP#DvfR!ziOUQ z2b6krs3S2Lhydr)6xsq*SehT1>I-FuEE%vzdXm-S^04Z1IK@W>&pEzAM{Lpo8_Qdd zyht=jO+|%|zoDYE=zSatMqu zj}+F!wxzke#f?>nIi--yk%72i(_S!U3+7~cRF@DYRYMw^41lzxA{G@q;liqy6v*I- zu0rIHD$JJgwCl(!J?fB~A)FnG41+Zn%+i784m;I}V~@_j$4+UM$c9GT20iI@0=2_B z90@a@N|Daklo>`nDblQMasVS0BM6MjtBxt87a_Q8MZ~0vNY9sVkt2-Ni;$(2KwMOp zeo|VTV?C(1Q8SeBjqlj}H+wGjR%O}`Y?}GzRxHG^@0#}Si}$G?hTyl2fO(&vrFiy} zY;N^STYLf}l~*3wuG}%8_ z16!Ulm|S>{`c)VPL(_`JNLh~<;+>B^OWt=Z>1rDK1?kRtHP;E%4n(n!Pn>XTh!O7N zKQQT8vuHZ3)01OsaXe(_F@jdS>|_wjD=}ME0+39zB%(6xzH>N@NLYX+K zDzSa}Bvv@*<_5g-eG^)UeAYK$`UNLHl~XpFpkcb+?>Xc5ZCr4SKFpEzvCX3m6c1B| z>Im`s^i>C|9)_(py$eQD?dh`^$D92t$)vK>1V!h9LDgkS#)yFvvNqgcI znk9-0<#OLB%$Wds^IkAyJ8((OFigXv5Pq~gJ@+U3abE5Rv4d`^S)@iM?=h4TPxPtb z)UEA8x7uPs+vgk_@ndr@19|%9lw3$hE^+mzDi_k`lF#8KXA|9K*5IB!v+9vZg|oG# zBvo^$*apYjZT;}v3h^H~kMSB$HL=4QOAl>~)_9||PjVWzo*Vu@pa(e4;xkOU){@~C z-V5b@{C}*-TJY~R^Y@J?o<;kvz|kx+ZsGm87VhACL`iHLnWJ{=xtLXj)GgoxGsc6l zEC)5>Uu>Veuldtj>Q?*C#C>S?4ANQkHog74(%e4#GkD=&{1iemGg+yr0K>sxk_Wf^r(QR&HZSF zOE3TvU5NQ=k5R~^;B#HgzM*|4oo{P3!?ZCj7ChhqT+OYc`HBLPGwIU0sBQjL#!s&m ztP$knYb26PwP_y!)vJ#Y>Yv%uX&-BIr?LM4RCxgVdQw3i!QA4qBs=aL^aYJ+)br>` zw#$~_ZH)js-LMC#uc7`Kd^OXq{5blqt!osqOJwngWasA@{pYdc74x)c9T2HI)aJdT zMEH+&XYlgw$#N1DDrCpZ0UUw#{#SVgLiynT&vN4O`P^ z7I)V7K|_e7SK4|U9+jj|H%sb!4X=Z=O>a+v-uvv@X0#DNNE?>pxb?0Z#hwDy{5m9> zNW}Ju&z%|QJxJ|W^u1CYL&n$o<*kD}P(*Hgqb4?xI{yImt3SoQKh^w1j})#Uw14?z zoyVc4B-1)-I&y{WsmUqNrF#a228Ey-T{}?I{M(Dj7FE2KJ;}L;0=#qsk+c$XUD)vt ziM%^-PV&V-a*+0kp(33;b#_S&|K4UCphnW4LYVO;he`Sh01-<9?)Al_Tbi z5IM*4=A(Uo!l{N$P`Dq%<>I^)lk}t{=Cu0Dz3h6QQj1o(?o)W5!>ZVh`H1KEds2VG zQQ?*gzVck-viJ0_4$gbhW}nuWmIe!{?oxQ0!m+xKwNA^9a69@`P2#@`oB=f0@5gHK zESgYwqw8!wp#$3`@rQ;>97}L^@V_qvRBz(%445*qT#0uKp#XwAn(=>p&=2cJ)}LT_ z7&T^l19-o~qXIKOJ$MxN@uq>ag*O%BnflS3njS7fS$#Bm%-%83n4N-S?@>t^*PfR{C(=m@GPikTDmYtJ` zWFy?yn^E46u1sq!qIy^SCc1VpuUGL8;m-7RbuGvPth;wIhAgQ9M+q8#7v1 z8dT(@w;dne7&XyY$B5VIn&)B!u&$!d?kVZTazk?QZpjuWOj|I|CaT0L{lXJy%xaPW z+}5b`;Pf_h{C$t#n#*h!CbcYond$H?rO8-H#KG33z1c2JCA-V zGuj^8Hi8M?oDuCzJf7l(BAa*^)03wn)Q_1>Mc;_7`2RY-lFgN@Wd4FZ%I2%fY z&2cC0+CI#rjQu{f?Nj(`OP5ef*>w1X`N=zRlkPebUIV7;7aC>SU06zDok0P|P!C$~ zG;fF!$KfmZFQ$1lP{-`@9^t>jfVtRdc8xx>t4*lcAk-sZE%z=_VFTqL{d&?|T19u^ z>zVvRZ)+rR63o`)IA32}*PVD<$9LLY?DAh0ypClG+s_~j^!)2bQt|Do==Zi-HGzSy z!v|(L13VM?Q$Tt({-396nsueT)@wbZg=nxo=gYzTtAf%k?5sX4rLL9~+xcvpRk8zY z$sd@k`F=Ky0P-noAc>k??%^}eJKPVtgiU!BsOh0r^YwB z{GnSATP_HRPjTGVtRE7!SfPz1jv3uR9%B!f&l0UZOz`X3WP^ka%EG{gZ%3Q#lAnbwSd_8Wl$&p zdB9*O$8UOH4}3tfhd@KCZWWAoAHvc8GplXB_&G zpVG5_3ra?zsUQcKRQ^r>0N1Wd#J(oK@deY#bs<*vOI}X$BNOuzIP|Uw6>q~OOY8|KROu3hF%Aw+(^AiBVpT>)U6!H@y z5QhU5V&X#9`6ziAs?*KAQG$_=)~Awp^0DQd5kg?ZiYQ%+Z#f+)O2kVRkdinREOABT zmhbx2bLA{#Fy}QaXr9?2R4iNO>raEqDD2En<4Kqn+;rSW6pHXIzax`C5t9*8**WMA zYIxQG5IF?mpl5`}suw3UW=D--`<=1Ugf>%8D9BF$)Ykq_%t;xfeS!?^JF`fNs|H## z^`Qx&(D_X>Zft>0oWfrj7^2hx87&zj)}suoBR7|o0-GhAABwcNwe5a5OA`bjC{S=& zk80<&4LZ-mdb~GFY6Dv^EgpLR0QKvp)9wxCr6iz;!%jds>4S{cKg1ZqUR*DlCC*84 z(3<(&PGMdZ?H8hZ9aOM1Ck|;w?e!zq{3rha3BxA0px(yGC;`$=s7GqZ9|?7yrsmLo zCcNs)UcJ(_D~oG8xuHp0a8+Uk>hAPiGsT+4q}LJO%@V5R7Fh^q_5!}U4^h;nrE}%+ zm}*q(IP%=F{{RY)T6g~dkE>7n=+@*G`ftO%CgCQ!7doxV?Fya0!o23=Sl2CXUMa8c zqmd37OOee|wQ032Rsl4!O)QcsmSd86t+`t{VN#qOp&d?($9jF0jh2{Vh^^ew`I50b zu{E3E_R*rcmVI^Dq)WLXQN)MRx^Ie_Mf6t?T+0DVB#mQM2fi!LfmO~4%{25Pqj0Nx z9;>D6-Wk^J#-5%Wjudq`d;)l`mc{g&dnq6x(5itEj~LIP73Uudll~@Gw@!m(5HZOe z@l_@9C`k_TLX(hd=X1<8O3E%9Bk3}1L=v?0Z1rs-*2exx5__oa@VQ;%IX;yXvD-r_ zA0q`g3;&aiqFgh?gJgE$4@!yUqq?4dD6$(+QhO=1AogvYA0mI z5R6g{JP+ch{{Uy62IE!i*^9@bp>-X`X$*_&R*sx`=QybwNp(bX%C|~h&6D-iP%;7D zk1=!9)#0X}Q$W*!^`nuC>&U6*D{+u0+&6GX6>W6RNI4YarXNv?uVlmP$fM>c=xH}8 z?^i~dob!r7rt{R&_F?tZRL2?Om|cBp`{~4R3B^bKpTRkyQxC49p>TWtwBUA(@rsz( z^%*pMgp6SRbaEB-#8J4xVT!$?qWz;T!9ew=N2au{0_P{tR@Ih_ARx#?pK7?loS2MM zIa+MJYZ`9AA-%^+)wWU7rB$_n0l?>=tr#M2aBEbWK7O?Mo`UkI&7Oj=2i_!en$*;9 z6%nC~<;cN3g=Md&6dTZwD!VPDf-(Z+p7^eo4Swnm4>gQw5QT#?WdUQ6>s-aW4Tn6-t=Oth zd2bxewl{TFZ$%_z)e-XK@t&2Tp?#P8UeIbJt*4s4VISSkG0AV zMAfxnuFK*mzR1O8@)&&T0Q;ly73ba;(%W6uZM68@MrAy?{tyRR>LvJbe6ungM@=9x z!+E$QXRSM?a??__h<3N)OD#h|@eauyCcCbAWMOPmTO6JT`4M z-$QmMT#$qkr@!G`v20T&qm!4txuc~;giQLHsjOL$C4sYoryq@6jm&mHuLLe@Ij){j ziH`H@P_znCwx=6+r^^HFaz6^D(Xt#7&2=}>iJvDV)_j&Lxb>)ax$4uzrnNB0BdDNt z?^_>XUEG65*i$_YDs0Vtyi9|f_cWR3y=#4m3mgNA4xXd2rjrkE11ER2DQs4!_Il@` zr27ivob{(>KW_siq`>b&$)44@XQoFig;-|;G~4|;IA#GqN`l?LjBnwSL05??>21dKx)cy}S&De0I$U`Og@xg2zpcNeFb$ zdXD=|NTdQW)O4ejiDDssQxLJoL5f279Sv#=91cZUvC~pXh$=_`(Pov(b>S6r5hPsv z%1Egg#8>6WKJ~4ArzCR)MFWnNTkIpa#R)bFv>LiIOMp5PO%6}3Z&>N6B)DZH0nIL> zr^OVCsJJ-iiY)dQ)**gV3nqedT4K$5Vwdb-{{Rm(nq_-vlweY1QG;DYqI{rpP-!*@ z#n^+9ir0z`bImx!^YM6vCuB!+k!IVwWBJt;>C^G8JwOk!9C663)dY`fw9a}@-8L-0 z?c(8ClJP*RMK&2hE3Ts1KPX7RpW9Uh&EmgNk zX1FH~{8rYU#9Mwfk*VG-nY5jw5)_rr4}J#~4Q_Q{e~QX}P-W;ptyZ{<$HxYh_0nW! z7SRlnK*vh0ajMBT{Iftkg+gbvIC0d|fym<(P+m6dkhH&UaJT_~Dt8MOiN_cwg*|%J zZ?`!;7xJU+vUAg#O@)gxwoX6;=}ZIM^H6=U$iV`UuGpT#G>2l?#yu%AcH*jit?2tx zp7g-9y?fG@?lVOgoj(Qzj~F{0pH1$y(+o1Ukdre<^8{b(~j-lWlRv2c)j=ZbJ6 zy=RFV)c$m#*zukyxEct<7&$bdl%DmSxrgx@P0gOBmB(XL5DyjF{3E$rZy3a+fF^~| zdz@$bSDWr9s~l9;cQabtM?4ZTOBu?rJcCZ*W9n&c;}WWXGTk|*M|BdhjhHJwR2<`z zUpM~%!cD3P6~5IWBRJ=!M&2US*~6QsEI9`>TpAyGhAYd6&OTRVAAvQSyX9~9y=o~X zd0m;nWy8boe<^+C~&RaKpR_2iSyoWx&m0Q^Jo|8|^?EV=rA81D0aNRvC*Ypj$9~lO` zcj4UrUZ-|}N%@cSuV2w8*vK$gbla{@}(wqxd)BJRdfO0IJ)97lSSN;E1(g8DU%u2;R(P!0qst@^D?D~036Z_ z5=_zn`L` zS+?_j&beR>B((-lBZY}ULNie~Mk>Bp&su%O(lY^)MLIi$HvpcP^r7m?7U6J;1VB`B zvw29SEL787A(29cAa=z?HO}Wy5P&_Xb`XEdbOJCADtni0sy2b?Rs7~@auA$REYBpX zwFn^7RJ~A@E40!wg#Zyi78iK81-zym5e6oR) zkx%d*p&S=?H=b_QNE-t^&2zVRD)T#&lFU!*U2&F{Ji3r^YF4v5KN)zoe-vG1q%m0` z#Br1QpL)~q_Nn1ZjS(z0`*M=PNn40i<*UvFfTjT$H6RQlB;XFzCD4^osdGI~MAm#s zp=o+t_8t_C&!5DzN)AA-76@d%nIMKUERVoYGEG#A55$j;O7#J67lnKyZ>eaIi>sTJ z{pHc;%J#(;O<1V8P4jJIknul+AM>cd%tU|!2^8Xy(^BhHb^ZeJ z1&)(zacO;}S=`)8;gVZ{ouCet*jehZnU+P-^gHO)OSCbl4UYKtuO`-EU65SFK;6Mn z)Ebvaj_1r-qL8BlocF6zve1=9Pjl8`@t=jRW)_#e7q_;8Pm$$NGJx@)TIW1%;YQIX z7Z!{s(x>^Lop~5NkG*oU&Gws@emCcwde^A@Fp}BXgd4PwfVeL{P0=PYUR+Z(fZF_LG8|AiFK3i=hmCpvD7LusXl_17ON|8>-CD~D6 zKEw{x!ESPL2o-rDkg|mYk~#`gZ6b}*tFJvsBAZuQ6LwKCuH#Pfz#L@N&o4ayJW@1# z4WJKELh~n?l~N7E?&gC4UUrdI$ij?qgG`Z09I^Kqq|r)6IU!c#2i~HRB^d3Ro>R2p zPJQWtURMD@W7FwEE19jzZ4l|4oYly5!79Z2jtJxtf;p`VYXwi5P#OOKO1F%h9tS`L z7BQ)bYec>QYL@Aq6App607fJd?^^LP3zOWOcV2BLJ}cg=R`w_cX3cX9A*< zV#JPfLaQ;yUvo>Sl2IQNB;lKYdC8(dw2(8mwKxNeZaEa!2OUYILwbUqKDFtd576{2 z5*sa3#uBb>zH57Y5&*~EBD~tzs!bb6rI0Wz2XUU2?E0UChl%`IZ#~bO7KXMF$dWHW zHuMzPy$IdiYR<00?!xxd?HWDYCds7omNd2vgX!&D@5G-9Xxf~2KiO{#TJ5Ypbdbg| z<}ZFLV#8PP$AEM=mfh!gF5Q`2f=OZD6~lOH^{3Z${{R)-w1&ZAkt2C{+*n{Jp&gYP zYnASK&X@MHc@pX3Q*_w~BhKpTB=8T4QrybcQV<5>1i3Vy4DM~C)^8%XxJyf$m>DhR zkbJ2j>HO<=T-2{TF`&m{+QGTi-sDP5QWgkXjLJ!!Y0gq59(^BuM%az0QiGz^@K z8qvSP+T$LTo~I|F0Mw)?Y(pv#{NkbtOB%b^j2@L2m&rXxrB#g-ioRdYXb}wYlU97J zj+BBfbAgO>q*pm#$`~}!9|wxHV zz#JOrF0Ep@gfwR>jDy@CLs+uF@V?grKhB!5ajVUzQZk?c!0AIMK9wL-j0_rcp}_Z{ zBDsll#r^T%^rmVU7g=7rYR;U5vz!dzReR(=YHv}BT}-77$ru9Wsm%7S2l7o0UaJ5_o=Qzb))K@n|bx;N>vq$rBfkH~fMqcVB)3~_D zY*ad;lW;zjZ%u@mn;8QY4g6$W0q7|;sVZLm$|66TnhN8v#aLMKdSKENBQ!K=&RWt` z1e5Dmz{7Ez)om?y#^*iyRiHV^z!|AYoONB~%KDf5dmpH*__4I-t!e5!TW15P6_z>n zrxtHV;Wh0zvL2k)K;+|*TK1pulqX zn5ws@H%xkFm9@A<`^?atztE3fK&-LewI#r@Z1eJu&a!^AqB?sTx^6zsMhQ7J%lM45 zEMO_xtl6%b!HBj$Q&?B1Ci4-`8U1QnxxN;Bl^Z$OE##fC5`sws9`)A2@YBUJK+`Um z;Q<5YY-j0J?ewc?;kT9Lkps3%4%ju?+I&mbV1XivUFjGqtizH$e@f?5x%AHsB$MuP za{M&$Oi)cTX^*lbF2oRiP&(Hl@f32bMn_upOYezZB)GMn0Wn09HdZRU;DQhHuO`bg zlg>%1Qn{O_DDHKdPk=SuQp!8KOJz3ljHB-0`+aKtx5Cd7&d953o12ged)2K6#d`LW zrbS_6E493Mz}uW0Rk^%n;)yU;c@Tma5TiK;r=52-juND`NuGDA>GwKbp>bn<6GL+Y znB+$42<=d`xcRR@^RCz{{UKtB#Dg)&DkSX&*49auD5w{#Bke2GILm-FYsQc;VnUIwV;sB z(X6U7)lWlRQ1MrZfcb7_Q=E)(Twjc}4M$SdR$W@=S?3X|jqRO^IsxrXNp~FZ^Mbot z6ZmVwSAHR$XzV48o*)^No_Rg1rH|n*uy136S>TIzR&0qgM-bvL|%Na5R*=vXnpBi5{;)x4!& zwKxEM-Nv)LN%r>BSuXA!<6L1&A74s^RZB$8<$$Xg^EjNGKs%^n03-r^271@0&+xv^ zM_1Ezrk>wuCCo#vIURdfn^@V&G|~L0VPFk*_R?QNWU<6$*~!3iIj1VB0;htjQ&f#; zeimCv12gLZ8*2~>05Sm}6Yu?N%KP;lYrc@)HLDr7gaDJeLQhKI;2rDd??dy2ra5I0&&Z&au(Lp+F7pB z%9}^b2^8pJx{+C-nSk}&X!gioQmM7IFnndCMWFbjQqryNR_ZHROlvaqagMc+y()b{ z+3#d$Ida^eQ&0}Is%H$7S~A6_`sMo8$@`%6{*{SrcFGNG^^e-9^YIH(+y{VrR=%Ft zo-UtwRyDqIJuzCggl~+Dj1gC<=2X$0mx7u|yi0Pcf(}pXUdN*O-hTiLj%&vJJu)YX zmAFvr`rucx=`+T&qiz6W70D&g`YJN!ifG!h^Zx*}Fr#TB@T=0St8$Fa0X-@^dzgjh zc{v<$Qr_GNC5)&)Dd|wGkL3 zYl6Lp&jg-V&io3AA(5asbGOp0J=(ly3f(!XmiI+as6s(JVvPe)(7_?MIdhJ9qQ>4< z3|3MAG`80bwbUUaH2YbdcZEWEqpgGx#VM7Oc?42} zI0A>T#kYb%D=2eKyM{%T4)e*Uqt3G7a2V5XMEg~kgMvQ_Yhe-J!!QepPY1thZOkp? zDQ6{s#Yu7JG$ET96^&%BxnkZKJe9C2OBLkk);S+tJ zsdJvWq`?YRj`ZGhOK-fPQ6b~8?NJz5&R2O9wy+KC9f%;(Pf@*#X55+B5;&QjH>_#T2CeX_9gmL;*L_xcaVF~v9=k@kwZcf z-VE+zA@55ZXU#u82=7ZBurZe2z!8&7w6#eCZY_b(=dBG0O{Jzen1U(LDzv1TdQ*PP zn_n6F)Ydlfg)H2YO(1VUw~DyImp_epSH+!4;kmc9w~&=UA35L}^-GAOGXOE_YruXY z-kYxxT?D{HI|el#hfoGCQc@+?w}qm|)@wPLX9l%1A__&mewG?z2g8y*wVw8qdUn^Vu3 zHhi({E19~sj@DTbPFHsxxUR=WwVLBehslMdjxqO_rE?nNgEM~YBWD<`)rPSVPU#U^ z-82@G5ZDd!`f*DP<-4C+p>W_^Mu1~Hnx4(cQP5(xi#ThpZCSBy!0<_{dzgS2e7&nS z9OD5=KGkwHZMef`wA|pDT?;XvoOH3iXyF6&O8=2PYXS3Qkr=$ba6p$St^i&V0oAG?yy zF)FdgL(Xf-3)d&ml3GvVll_p6zO%%W%6G7^q+S%6M~k9WgJ9ky%nx#_^ZNN z#r4Fua9Lb8n8dWRkfhff{t?z=aDL9CpZVyudizz?rHf?Ox27UqpDp+pvLl9d4*z; zm;%e54Q1NK@34R*|+^ zL+?!2yis$jfdon|tP_N{P5>VMwacpAN>k1fu>%8kOu3E__L4VQD4AWI<~Z<<3V_Ty4B|9s<&B zF3qvO*KVToBg*`-0xO8sx`l&RXJ{{RT1ar3xF-A;qT-XqfVD|?%! z(~{>^cRp6}lvVzf*=SxVkH&CnRywYgXppVBm&}PkDo1+pqYc843^D=RE84r?0dKMJ zr-^UMkXkVcDHzEZ;k;6^2O2JM*CkOGU*zIA{KE9OJj#b8EaDJU>zEru+ z$EI?&f!q=?gUv%MoYTZJGh<_Q?^htRSn|z+$Jd-t-h!0l5}m!H%E6oEU#D8X97`JE z03Nkqg#cuno+?P9Esda&-lp1|@|%`~01|s*p31PtzGe!!{OK<*BDGzp1Ijyg6@@&q zO6BEdB%IN6dRSRYR#?5iX?G+!Nc;Mlq@13F=lRu$tmc__#)Pk}UA4DJkM9&0$0s#B z$nI1p82hmzv$1DT&I+eqde!M?wzH3F0P=rycKm3#nnM=IN~T5*c{N2WE@VP*4m*?9 zj&*%Vjt;cl#ER=(eT>gD3d6VjC3N@hak?Ou8@jrsKd)V)9lJ@1| z1V?N>Sl95bFU8(5mt2ZFD{FMJ(&9Nwc=*X3&2xg)T1|_4Xiu*5{uL+oYi`fmY_pE@ zfAy&5DpcyrbW|_%XD9m9sKl`(l23EVrwc#c5%#N2Kk27hk!_VpU$t8C-^}8gk}~?e zPQw_E=O&sPGAQ?{8rbsRX|^DGNIKCKxelynw*xspycGGDa$X*%Vtq}q5C~85yMgYHult+q7=)^9pOhB5wIe0O0(?ilrnU zSE<-xKQ24eZaNZb?w@S87jefs`A`-Cy^4=tW(SMRyP&9C~*Ks5CN+WkAokJXdq4X#N=f%m@2+b-O9J zqe3tn)2$7mJS9h}TAqI*?2xWO!Nok_jQ6c=Gfua-)(UEp$!}&$W>~;u?Z>@z>*4~s#SAT8X6$+QZvOyh7omlZ*d-)@(8}wvnm^@A6!*762sJL$r%@;7c2?sQ7dGC0j+IL z!WPtI`y;fL`>-Uy1&6LHs)I=ID#pHUuc&#r8^@Lg4{$1DBwR4n8rb7>vILA+o|RWn zIJ-T1S5>cC_-eukJsG5_xTTuT1 zk8Zi-R%&&}YSGk3?f~blXQ=hZ9Vw%FUkR~j39-imDr+YIW1RbXR=guNLvBV?@mS}t z9MVftVbs5+jQN=a@l`IyE?6IGx23ikOyjjwy+7$}dXq)<2>eXFKm2$c`U=Yj9=NSb zkVTQlpsc_+#Sto&#-5vlZ^;-W^{h$%0M@YgHMOUI$FT>o#bZzYz5b?)>Qyg^oxg-M zDD}uJZP`xgS%yI5015o~s*~EoX?B)~6|(zqyp`s+ejna7o||cN5F$uq+`gFxwRJxa z>C@`bYWf}eTgc8NmeS=*F6`uh!=U=t&*G|16(3{t`VpxdJvl*XWODu{(4^D!d8UF@ zl1T>97*ZS)?ewlY!rmB@P``pHP_LOHpa+cP*S0kF_EOwk+}#V?$wMq(E+d6cr})=D z1eWn!E5tyNTW}+>2RW$2R;cN_os_T=#NMSvX0|h5PO`GQlGhSOQHpIHuyI;Cmxtho zO)|vy2{4a#$53~k*!8VFZ%4A%r(63iKxx{DAjpn4M&4x!ki5G08P&Q?}Ff{VoVEo=Z#HVYVBn`O5k*?_IU!DOsIWurr}eI$tvu z4KgWh9(iGOiAGDI&IhT_YT$Jr58l46WV(UTB*UiRjG^dj)a-P~AX{eCbxAK`w*?j` z(_nF&{M_^S)LP!D9;JF^lYjt3RT&DSpseuos+&(^JT?xdCSShzQJW8k?KF=JY1fj* z3A|@Jf#)i40rssO4@tDVvuWpq1n3ws2mB3fXgB(EX~Rv{?8ViAV!(0=WOIyi4}Yyw zv(j{%844Xz_U6SUGRJDl2hb8a))jrOx{*?idNbsTc0BjS9u&Q8PC251{{XYxVi_2Z zn;lQ*TKXS{?X=wr>r$E}e<{)?2dE4W&H0R1W3K9_QAN^yW!ma5xk!p8}mg^Ws zJdU{aJbtyUrCqk4bSH=n*ebvdqtdHfX&OW7<5IAm?@X1B=ZXde2_u{X$j`B>tqHc; zX4t$XYBIegbW`zXht1WTwwgR@_YtXSogXQ%bvdk01NdLe)UBYs1rkYAGkbrZ=UtoL z+iAL7mO6B89CF}IE4E%y>GZ7lZo*8D9zj+FWOwzaOWJ!U7Hx;i=Qz34-=UGJ-9h;d=K5yYZxU7BsIZg=SF%EpT`JOZ4Jr?IjonyMj;>@QVG7mM7 zI@h86QiWF5(esz{8zlASy!C#Dz3LKjf^7M`(t@Fglo06nVT#<31|0XrVC2tR+J0JUrbdVU2V>*PIXOo#n=K^0Dmg>T_!{?8S=>X zuM&z;4c?tA@BtXddiGr=?N~zK9Pn$JYq{=Vo#`X6Nte$|#exM}xNsAQj~vw`xeW0# zt8wdE7g9UILdI}<)@NMZ&m7*)KZ3d-{ z7x%G*VlSmswvm=cP=x;gjZG6=c_3f_DkxH6JE$Wjn;I70NfucEPN$su)1hfa%WVK> zuQbCP{&@kwV@>j97fK9-8W4*UG{0y^z!;+Gq`+=44JF;XDyiO2JkxG1zjc!#s$v-= z?k7)~a(ESD=1DxF3n{8?Y@Z{7PI#-b*+yXRkT~X&Om4++NmpvXx*>cn>O{i%j2Zy}9c2vNc2 zgtQgPlNIw9=REhMi*KBA%tc$eg+4=p)}kt@cAN|vIYwG=Ohe~5YM4bNa12N)BOGk# zpp)y(PjIm;SlmZ$4JD?a*%~aX2_jCkGC$dX^9LrGD#RlLap#V-3uu>MS0H!hfF*Tk z?RNQt@}!D3TVl&ET5ZHpDB;OC^{E;NRuS`KJ$a{LT(2fl5B0r!Rf~A#5e!N?Re7O> zT>x&r`Kz8xOAsNC9XYBEZua1| zTVV^&dilOzzQwwdYo`wzU7tCf&sfBbSIn7IVD4Z)TIsxLI%;;d`f{e&?cx!x(a!GY z^Q^B5+Kb;2*ueh)aij&lg15Dsds#JG$l#S1%aMYU*A=B*j56rkR`)!XJy%!IO!n57 zE|5M$FH!#h)~s1)mT5C95?h{qYp3xJn{<~lK@0t%J1`xpuZ8?QHRiEz1NCE;9dHL) z#YX4Q&QOGHxzF5gl4V6xkTHtYu(jF-@=aLOZPwFNxe*;k)A!x~wHg$UbuP{OT+HI$H>x?$t05pOwRQ_N#3r zspr$9I+YT!!|FE-Ze5j+Tw~g)nT|eYB=;2s)Q8O{oD-2uKB^63kEMiERf}fkWkB}s zR%PW#@9kY5hxKu&29Ea@()V_RT-Tk_}?R&si zN(iKu)T}EpmIg-YvOvf26-$?MI+Lv9X)7J3lj5%r-00TUo+Z-E^V=@ek|B(be|pOC zUy1E+EmKU;?FFWmgfU!Uocq@~1b%Fx10s{aEJBR?n!WvrE;o7hLp<|vwAG1qs?Ih@ z%vF})g^f!$V526XWMpElIR^w*?VYvGpLUa5U0WpU(5!%rAJUTY#^(Cz<+!@D^IYMJ zOa(5cZj0s7YIEvA&%HP7FhZrDWoQk&&hJsd6` zE@?5)M$b2sZmQDf4Da_;)wwLLl0q8kOLq$x6zvaW5Il~h%dsb%aaLUUgt*8jpw3Nm zHqWAr6m&?YAh=LR+KBuGO9q{DC_AnSsqR;wz*dd5s9)Yp266XKK}E&MWg;Ab-Mju3 z$12jCxoLgp(@@IjVJR#|VE5WRSdrRWTCQYvbDj)`C+UIL@~J-81b9>gkM>3Ytmi%0 zl27YRUPvqW(e+#FH*rgdT`e$_qXp@yrf%VHmBGR5xMWiv+ScS9hie{khXj5HkJ72* zjGBw)3=`L=9X&$ekHV_5U1Se9f8QduZLi9m zlVqsiH-E;TcWABzkb&w{bR*h`R+Tw&)%(YY%JBHQPZ5_rw~tlmVVLfeXU`4)009-s zT*zg(c6Q4Wd9K>!f?LSk0s%jza8eQp&m@}cN6buI;1S0ZXNrt^bj?4vr7{PC0qSYt zvUtF#RAY*IaB@aP7XeEUJJWJYQ`?s5#Vmh&k%}$@e?DoYjxckId!Bj>(@LE7$65ep zArnG%T&keYeH)9s*0+l%`4nAR?J$l!md@}e~X?@}k7HQrkzR@0CDhrEs zh3{TAS(FS;018Y?&RFs4DCu$R?0C-i^)zpMADH9oTHY(s2ZyzG)Bgap^u1GREB&WT zxbxvT8NhrFM}8~WJ{Nex%fv~q!++p!1OcV!mlyFeX$!gJh%VjalaO+Aj1%f8PU*1O z*zj;$Zg(7gX*|P@SmwO~BY*L8#Fjcvk)ilwL%fa|okpK(ZBkq=;vXA{I9v~{M|beE zSchKI^jLK*2Jch35*gsO)D?|@i~}dy4*?2{=LNp$#(RqWLqmgSAx73Cs^}UH zopQx(W!7(g&-R=gF+sGF0rkgTGf8z3!U?1Uhi}f5PbNRsK0cmwC8BsA+c|eY>)p zGH$@b4@`YCgNJ)+HL1&a()IHnBi@itFZ}du{cCT*z7y5_Wvs57qC$@-QzWv=;Uj`D zKxrM1MH%gr=n3Mw9})a4)pU&l<65!Ryg#q$_N)cenl<;@KFkjQxY{rY^v^?_4rp%L zg4LWa+9u9SuaEbQFWP1!iC+iY*Pv*h2=%R3!+LJM`ksZSXxFIhFEtC#A~=~?s7ED! z^AK^jaKPk_E1B`fgmpgz>z~=Wxq;oHS>c}D_r*MI(b2Fn4hZB9lsz;BuErp_oPWz# z&(@qSW*N+n{{Vd&^-qNpSm_@P{Bz<7^gSC_y|I$bU|mwsTq1{dR=_wTgV>IP(xmbA zzMZZ7EYQ4YJUypqI<&frSr}|$Fxy?k0bwG=&|rYyYUj&@%#@fOS$kr zeI`HNu8+fBC)70i9Yagpw>BD#ep|yXNJk%bRqhymwbJ;T!CKzG@q&L1Ew6_(?OCB# z^0dg_8!!YSPn2yW5<2n8{ORN22EAcvb9~qO9o5dBqHFCk*7!?zYQ4;e1_nFfkG5#O zmt`fYIcZYhWB2cll@SwxfjjZ)YeHeuoL8jXuZHga7HjXI=)wVGb!>@yb+${nOP1VO zhX^nL+PrXiB+_~-m(?T4h?txinwMnw7@hwB3Z0Y!Po)c-j%jXDJ)N80fg0ROlV>}K z+_?9vGHP~q6I@$ZLAve{^GPD(aN|7nKcK4`Vu-9RXHo+<$PYrk`TT!M=4Sr@k(TG? zBn3G>ymhQC?IiEAJ&$Vg{4^ajy`lqLQUjpjPO(*2Oo_x?jvs~+IL37 zD&C^9beWLp;DQLrAB}A*3ymXlaM3o<+0Uo={*|kbv1!9*eEY8~;+UG*vr)pZP)$k&rXC^hNu4k9?6V-?!L9056V5Ou`}msuXNeBKjT+< zAg`gUe#aCdDelb;Eq~T^?V6`|{{SCN)~;!J9%tcIZvOz|>GO&fqdin7lm(bj=AMDaEiL}G_t_D4Yc@E>-G;cZYPuMQx{{XBLe`NK0j}YndM$t!c zg5(V20DIK2>biVr0^LMUwt2534s+CsQ6b}#L!stec!F!}p15`U1SkC=J^Iu`#JX{B z^^SiE^4Qq33=>agD&0*Ag6hRA{%>fWmnVsA1Gn!S^Z<_aBz`B;iu}{CZoID*<;Bmb z=A~eKuzJz#Q2zk8s`foXPZDWcL0g6=xZ71*#TFa>`{U_ea~b37PAkuPUd0YM-EPOC zj}&ReiOi!NF-pECv~#f%M{+m{xg^&IWo+|E0)l;O+0|E(kqxoh#FIXkfRVNR^TH zoNiu*qO$Uxz{pi6sqODx8_wr@a+@sTqUd?+THkHJv7OF)Z5aJ)CsEZuvlt88JD36P z%fbA5SI|R9(_@Vcx{O$1lZEMAH;dr0n%?3WRc3**4o3_XuOC;Ppq(-$al*ERLDQczYt zJ2%BjwO3K@O&zBIaf;B5HYPo*OT)Sy?zwulntVn{4=1n#*01Z{9=yfr^%`6aM@deWSUKb1334s?-O`mQ1I3F+3({ZAGs=z zmBlo+FshqZ=v35M{JlJbz*C>DYuNlb=1XC1DPi|Tc-{EjrrJ0l?PgP-^X*=>;Jxpm z+mj(bD6VPsJ-idWD4vUICJ>=wrnQ33VK`;vRu-PE_BC%bk&czMZmjTvWg{Z7M`+to zF@%7?Q+&Bha$&|tG`6UB$Tys4{F;qnK4gQ>`N%hb-lCsz3M(PrN|S@lHtGdeQ@G>Sgn*J% zkj8gN38>v&;loLs3VhbcBgkXxO}36UgzrB$^rqMqF-)2Pwhn0YKw5NV@%`eC&NFo%c*W zXTYk^M6lb(_K#3IRg0JqC@Ygxt=UY3lE74wGP41_y3u_BoPWiM7SF>fia=>wABA{R zNLjjcuTA*Tb{g{1*$Menvu3=>M&>{$eDlBc+? zpT)W?S9bpZ@Q`RM&t)*os5vn;aQNCgeQTibr-9F88kAL(it<=OUvFd(x5^@^Mefh4lczKt8`Tu6jgd z2_H%qXHaavb5`g zXMb;S(FsISE0iRFz#f_HR&^p)1YYFks@mDyUT<4iWSNmmFh$7TIT z&%7_FMw;}o&PYjFwtF^uS7)nSMWXm#4GK9Ul3QKVT+16}T1*fW9{2{lj7ZBUkx31l zgXn0(Ida{ZV=2a>aYw6at+vpC)rj`2*kZ^zBoj=x)Ef6qws}F`Mo+(N(^^Jh!N3*S zW5A4EHOk9Tff^i>f_hYP%eWJhp47~pfRZu=1%Mo$O;XrSLknatCyu?U9;1Lj?N;sW zX1kMRx)wc2><784v1w)({o|{Z=Odt{v($-ArKv{GPmRr z6>e=wE!SnX8S%>=m5+BT${`XGT1f#U{cAdpL$@xAm0o6R#E30XM+Y2_da0waG>*y1O01*5mDJfJG1XHOe`fSV(WYq_4R!b1>B{WqMQNO zw>4WQzCfjH@sJ3o6d`%Z6}2jAoKi=bj>bZr!}N)6KW)KA3dWFw2lw3KDAor+$FSf z{K1%&D#NBb(31wr4e3|(*~+%YB%^fpHC-)bl0Pyv1qtBp1Dd%NmNfaMlQY88wE19=kDUr+jNtY- z{eKGc3y7K-o+%e>h{afEJdU-78f~WuZjVDg!oo6+E{DNWUq{spU&5pb#(3tKGCe9) zR>3$puB3eU-MOc2G}74gqj@}0a3oQa$;K!Oa(-ct=TRx$fz3a1jycHUfCnlM8O1S= zy*b!&Fbze?6anmh7_*DwFT!g-3h9?tv3RFOyq4nD(&9lGyoJGLSjph9Bcb2{l0f8g z-Xr*F;x7g1@oK&)j?Yd4bXcuk?l+!soU=x#NFjL6NXA8Qp-ws+Q_eO4-kt3(WGiWA zeI4Tu1L`{0#rq!}SY7F#@QB<`X?D7tmx!^AffUD#?k-t2fC0%MWE|r=!(nIrp!|QQ zi6cv$O50Ggwh}N<^0qL!JH~xT`yj= z`z`!RuJH*{Oad|vRFTu(yMKWn>-=&50AjuO{>ff>8AXhS2Rz`4s_Iv<#VG91bxidS z*|C{EDBG1JFtfTKgMtr|)t&G|O3`)C9;L5{(i??nBzs$1iHu2gascwgC$OYm=kulx@jI*fXDn3{Fvs@z8#+f0WnSUDeiIUJraafg_ylx4Q{bQ3^-U|r zJ{VieYdv3DvW_bkxP6NwtK`MI)v^E`{p)YT{u9-H7kE>~7v4DXH0ISbxisr7Jlw}5 zmr^lboGLLGO!9NaYs3jS?L|}9?yxOB`<|)s8PEJF&xQ$>2xGoGbH;q(&MBW8No^P5 z(PB^hQ?PaVn)2Vs&__IChp*poT3gb`vwR@e^)C#3C-{M@XjeaFzk*vIE?+HQZs{3M z3*Msw@rN#UKP8am6?e zK=tWTQKhEhdpo;ae8g{1I+Ogmf%(@dZb{beGm(hRZ$l&7%Ce?6DdROF{{UoaHmj%!-zV#$X+1!RN3p=wn^~Lm>C7;?Q))aYxuj zdJ0^F`r(`>UW6K7FdoLX{{XUM@&jP*BQ-q=>EzZ%<)c*i)U7&eqH1Dzk+yjd1JRRUUHKcpn z<~DjvS!pgCjo9q8y_#i5A2qW%%{y63Yn6A1mG(T>v*{Y=*)*G1W+FKd5nS2u{{X|G zkh+EIuo%vInv-9-vKk$;S+Q$yHpYA4af9e<&de|{uN0ZvhQ`Uic<63?PLdy$#|EEo z;uyvb@I8%q^~Kz~i3b_!?NE(EU=QyP=U!L#Bw9OuxdeNJo+gD8Eg=V|TID=J;^moI zC|I%Q@UK1>^AYngV^Zmo+sAzq>O0M`Y)Vt82DZcG(1fooOsiu(-*m^-?#<==(;jkh z`3j#)1fj@3TI=pSAExToZE@il-r?iVnQJNe$n{@Z#!GVm^4SVH@XEskA46Y72y*C; zpT$+vUZ=JE0E!f_)UHH(?oqMtjAs?f_|s9l@i&QCq_k*dQ{<$3PH+!;Z^F+NuZA^N z`&Lba_!0s9C$X<}O?SfA8*dhCLm?U7KnAo-=3KR)qkCBLBjBxP!1tPdy<-`_3-i2c znJbagAB}n+j>KLi_;T0xHm?-v7?oAm42(NfSbi*M-`g|T+>N%;{mHSAO=NsZ_=~6B zXzi!yvI$JUtc(W=wEB*2oFMGaDU}0h3VLmqKK(1zd>3q^N4F~H?uznq=ldp5GmkM( z(!E!}GRZEB2Hao(E0(5`XI=r`k~{r6*c&{DW1hU$&6TQ%2I9v)^^c>pzC$Pj8LgWi zJIccCAXX~ZJpfB(j_U-RHzJ(YsrH;?;EIaoSyp8{6VvHP(%cJ#ZO`((9+ozn# z$lLEty?`NHXOoJKIc57%#?VbV@#P?HVd^Q}G%c9xn_wYKWPwb%hh$1}aB4|B<7ziL z@vu-41k7iM@;ci+rWlL3`oT+Eh&eW6IoUmN{Yx%CSFf!aqa`8w^f}-Jo?hfXoBH7)DyGh z6DT;Q%`Ab?nL!*MFfmW&f2U^`QxV9*j}B81R1$t_?; zg^oxx#kWU^!Rjgqj@`gYK&GqaMgf>+lVh>1Xcr7y12pB?=dt4gnH(_~hFFgE6D(^R zv*+5X;bPP+7%iMrQKI>e%TUD7J+|z2_og6)P?Mbd(3p!52%1K2DT_QMoE#Er7-e59 zh6f#vDHcdV5El$jTvBWrE?JmD9Fd&TNb&i_vM@cUwrJ8X^=Cgyt#fr87S}SoWQ0EQmwVj(AI#)*|c{#>${Hi}ME_RXi6_>YtjiZG* z#`v8U0MA2JALQIYI2_dbX7@&)Rps30UJ|l}ZZl7o7^6_j zD0aq5UHg_}>aMjyF> zcVpD^TRI-0diGN2_6iGKO02x&kQ#yI1(V>v7GpFx0! zEi3mq@T2jkqVDbmDN&v=ihAI89+Zy3r7${kLMa10Vy#=n<}d|-9jVD4={BhY>p&IR zeqUoqvHJC?ZX*cfBLY9IByqbRloQ&3GPQ5@s;3+;72W(KRff}ByKj`NK^4zHdXZjz zsIhCO2l#lax>ty`9V*7=&dy-A*CZKGs5v9wwI&3b$rInsPBK{6O{Oo1Ei_fy`ivTE zzyWPN;#5&sU)?UDW$461Mn~j9qRR!v(CV< zDubz~X*y%chmdE`cB`}A#b^pd151p13e!`{lWp21rgXA|?glhJ!aB8N-CwlGP=Jfn zDDU;E^2+ncz1Xv#(x@Mxg+k1E}wMd4B|=dCGrKJym-tCZ5Dx2W5HFX;<`)aIwQi_W@+A;$6rL+{TuYfRbwqaU7B zB7@haab0PjH1-yG;`3fMGO$J}4sp_`OsH7w2X9_ZO=%_FvqZb$j7ntrj?OmJ7b^hN zK@G3`vhR*pM;@0oUz{O7+FJ>v-HXyRDJdHGH= zk?&P+e7`gIIKTru@DF@@P?u0oQSjMrh&wX?dyHUzT9#ifD^DgHgDSHIU{@F-tSm1a zsV<{y1(Sz3= zeJSAf;*?0eHiJMBfOGFoz~r3sPZD60fHOmpfI4P?A=|p9Nb*1wD9;>Ks4%KP$28Ld z28@h@kVjgGryVOn)VDYXy+qM&&q@#!O7qPw&8$Je#aNOtv||+-p~fg+3Ni-(=A?L- zt~TO@J8%^8Dt5S$kSSnD>S#b@x|MP<(vq!QqYs#hs!ueS2f3wOupk0QS~%}YgoEi|nPikN^9CWR(4k-Iu9&o67d)7D~KQ+7IrNzX@s0u%wA;oHKuvX6FfB`>K z=~dVh&lsuY>%~IA4EDt|%~;;K_oV}mr%DGy?deDdJxI^3O99Q2rm-#a{iA$(4=m=l zFgAT_3fG&RO^$F7^F_qYelDK*u8zoji=H^HZZ4eXt!hJcv;cEjMDy`9-1auF4kJJa z>rlpc?^R@<%vHe$>r+H?)SA^Zhm)2%oAHtM3}+uDWTEupv=ILQp=14?Yc)?i@lGt| zg51;7bFxmD;;LQ$0LRmgYUY`Zk`bQOPVfFcpX);0mGLtD$tK9>vWm-A!R0{}t#khX z9u3o=tdKd!G?N`IjV(QM3uD%^W>P0`dID=tPd~V0-*sooGc)s^O&vuS?=mcP$7%|K zIvy%v7UBjdF`nIMFPN>g6a6VXrRVuowM@6A0wI&ufvJ@YUoiHhE9f&aKO_V5uEc{RK@dp4fbT+vjyf5O_Vx(2yxsX&3=7Rgrr zB|S&wTR#wP^j&9GiuXZ+Se|6f#5mwTwR1@sb^!q_cmNKjyYGeCHS8WVu#)dio61nc z?}+1}$6tD+%8Z<}N1PbCoDaskxqMBg+59|@MAD#fd2{n!yZVoQ{?+I@zK5Xry83%| z({8klTX|6+h=h>muS{2(__x5izlNN(e#@?7Bn;2@3JM9Awh?E5&noc4;7zF>|Hd{I?d*$YJU| z4QqIx!B+QH4X$`azSE|+%_IYtfcj&&sp<+>kGgOo#%W`XAq2CGoueleVoka}nRRP& zh28m3+4)-?Yozdv{7@^wAVrvuk((U*XSG%FvL)rHW>pseUoOL~1HKzs2dEl9j z<$RStp`zXiB@WS}KF(WcCj-`>Duq%w2c=rk^;@kV-3vKF3#eKNK>&h>86Twz=?+bE z5rWpMC!HDG;~P)&sFLB-bO$4ldK#KrwRl_1KwR`SNm;iVl)9SE-5GOB)h{&5*zRm+ zcxFSDWk02Qor$yfgJkTgmYyJuOBPXtoO}8j^35wvyVY*Q7I7DI)b<0^p4Hz$s3(Q= z$u!+Gqh3k=y6AI{VOvF>eQsN7VU%cPc;py#C0J*n6*O#iU=xo@s2Q1wB%GX+>V0a= zM}Tl@u6Xm%rEpK$806%ERxSDtrlGk10AHLQl{7tmTvFU}*wwWg^t;vLAO`2y*QWe2 zV%kEea&SoIyx&P*HVf1};bX_GcHa#{i!25_6VTT^P0wE~f4e<*MS+Nu_lF*WwXESM zc=H%w_N*-#Puc>B2*}B;m?B{_679#Kth%13LQC6yxI4)??@X56cSd&-4_<0p%X0CC z5;hl_iQrQTFk&c_bp*)nqDbaK82T8Qt061bsI#X_K1`)TC2^>|atyAp$76c6S zrC_-PR`E5yOA>MGO@=61-G@=$=kg-;<3}`Sc?5&I*o}WsMWPxs&WNpV3>En;>fDkrQ zr4R`aV0-#hGzis@M6mD_am7~M8GvxPJ!rXjLXbHotB);;95x49a(aRsJIg|BESJ4oKqw)>`|4?GIn2-2#$JENC|YrUr=f8 z1-H13V#f6xS2N>XQ7rr|difjKc8qn&t?AVHd_)JmdEdp`qXvm>Z?Gwm^Z8Z5UP&By z>YmPoqBcE zxVq4!yNU8vP~ZyJ`yHHGfOzcUR9;MMqYLh9n$t9&6vqf{HaqB}#&~_BADwS$x^Ir; zws{uj7@_D*)QQeMwa%IJlypg0hsAg3x@7BXs_E>?IRLa$ya)iJFxZkuP)W(#34p0lJ4v4$?V`dtnNImx zy5!ca_lETwT{;W-V_&mOGK4ohJBrPvk(%`H2ZV-Lco&xE~7W zzuP+0cB1au&v^d;U2?@yex!7+qg3#oso~3nT|yODr2ha_JWd`dN2;*k*F2PEK32`+ zIYt^Il<}RzO@5wZZgLB=dXjqAHcvd(mxyFCYLbF;w+mUJcW2O7O|7Ci+a!pVa6L_T zIwg#7#IsyDMdPniTvehmnRbFsc&?V%Tu(0fL$eQR(g@+jYoXEHY7ZbWLzto-olRAS z39eiS!F&uBJqP1cSlCS_42o4f$0oPYVYP?}*dQGvA9(&1t?i-Z$*rPLwbMj@Gmvro zU4=I4`A`(LCqLh(U0*%II(cCt9N<=ZfX|#`6zy$HoKkmXn|pIS6U`;WYSDmpHg`Tx zYO7+1bsLtAw*?A68j)D!Z5TaG8Vc@PiFiAuHP2F4dY<-Nzjc1*#gxKZ4>dtwz1Ob< zkLghI0XWF3ae!?~ub~`OP^A{QF#iA){ZF&cKY@m}+^h5sa-$9K;*uC^*kJ z2BooqWw=z4UuXojJ%(y~dx)Oe<_RClSrirHAdp8|rLfz!#{;ag%c(ft6C7vou8&jy z0Fg02>x@6mYn9Y?wdAKD{O6I-it6<nbt#RVMD0-hkkiXpGzrfBR7c53V zG=LS)%snYYpX`H58GSKbXUz$>Do-78iafra^x()x83vrABkuu20irC9qv=sgklnuu zv#~;hxD!>S`^TZjr63hE*FTj_0~K(1KE|q{JpC#~JPx_dGzOA3lvAL%8wQo4vEi zGyycsSq^hm3gGvtS;$;u)MGxTfD_uBR|h@l0P(=hIOmQ92nJy1Jo89Y@!F&(+oddvibsIL9=nu5pSObRg0JtTEPrFf+|74^By=oM7~z03K=Xrx{>Jy&wP)!2*@r zuqPgrz(L6;lU)yoV^QXnC4p7r7{TqyO8c${wN$)p-986;x1{~q0qe#prOtlT++&(+a}>SyXON|w`19Y?lR|ovABm2Sk4jy< z{{UKaC`%3xH8F+yfY=_?xr+93dZbrX!)K4il&?7$0;CCpk`J#mV3Uk>r@1_m>@l*( zImzi!5Kae5vJmb#89g}Wkihx-jy>>cHjzq{-l;OPFDLoab{*K@{xxL6{{Y-_I`lLP zd0IfCH_77|rOb;|YJV&Hi}q8k!SXIRlzrCheT`7mEc~~3KyVHU_o=Tm+p8ITtC%H@ zTmyz3s@8g7K?PCD|l9>(TNJGibOVzR`+)b->V^o<+h=B;mk z3+fTWHMj&iqT#XaTt=^<-dQXY%L7Loz_MrfhI-W)H2b+^RgMQ{VgTcUO%1N5u#H9V zrgvBW02Q_AZlrh&DH&o^ZR8Bq4Qt{qyR7NbSl&$;fyNsP%|t#M_@l`(eSvX}*z;F7 zu6j)__Bk3e1MdfETyvUtiZqov`!vdDoYu#NyhW&Zdi^eR`G^@C;%~Vi^dhyO_;cb} zVM!LsFs?E)GW4w7Yr=ZYit&v?(5CQ8m0-Z*=}Vb+C1LAD-`*Z0k?qt~AMC5<#@lz# z(9q`|=J8egKe+8b;WzN_lCd|1ZHg~Kai7k!Ch=B-zcKh`*bl=$%DK1FZ=+JuTS%kS zihcfrsaV=uU0mBT+uVX;amZ|S`hGODYeLtxPR&2K)4JC@KXm2a!>FhIi7+bFuZXle zc)~~F%W@A=PEB%a3zNbz&#|lG&g?^j1e*^(c8kRnqgm{q+-~b0Esjk+t#o}lEj-A6 zc_ZNC&{sQZtk8l^PEY4fHa8g0ktpx?OLPZ`uYIOu- z6op97r?o2Qj8!#b&6BxW@M1y8qC?;tUNd@C&&%P_H z_-q_2s=3H+-8xqxp)vbv1sNwl$k$Ks;1#-&8M)n#Yo4;!=c$%Ib)(fS4b(F$M<55@ zx?MI&C6JjMWY#6T2(m|#aC+9=t*Wich0X}hE1dPy(9x6=2_9fIMR$k@^8gv?S|Zg7 zkR1~9FB55YI|st?CMJAB9gci z;AR__%*XYnKv`Zz^GMI9db?_|`O+@Xanhqf7`3Z8|O9;f<%g4k#{EoDIRYGUM01BxG1s9#rvNTOzal|JCH)2I&}WEv1@T1{g?VB#+iFD zV%sLW2tI%s;;uX=r|nj?yL+`Gs_+5y#cIdk{Vv`lbD(N^jE9`0n*~C>wLSPbC8@}K zLoB+Gf$S`7oj}~Sl5Y*}O?kewQALr4PDP487sRRS`UPEtLtHNZ>P-hIQd_I2=%X8KW*^uhOIRDJUnkLOl7B) zSO!D5)fZE)v+_`Ak%TJw2&BS$XjhAh@`?S*&#BP^}U$AOqCojMr42 zhS*whj<$C^*@#(|~9s$9sDIXedLEeH1 zgs^j>%uAU1GHaTRKui>82lz>^;CR2_wz5f6@!qROT`&otr zV5Q%J-FWBen!AYUEN9kjZPwU5njN4il0*du_55j?j)|%pp5 z8f)qrw8kZxG+db;VU4)zfBMyK-$=2#wYWB~d*)jv?>0cbQ{$)|$F&^*=UaC6GLp}e zl6g6*I^2PD{14(_D^^I9&GHZd;<0>C>K+)BU;&dtU|@n*k(~W%^6Gat7UBzuUR7VY zypmVgR>y(7D*h_HxwjV|XGkLR8cn;tgB6RaY44`r%K(vO^4PBB;2ct5bb3$O&Yk7# z4D$Ww&JH~*z3{~M4e*~;i%?fQV3TrQNQJr3V#7}K%knuMNZ z?9b}w`P4|?DIKXF?-EaXMF*{SoDG-sr;TqB=H0M=cddF(hkKw1)ZNW~t&HI!Q~hhr zESD|`&2$z+1JgCER)?R8Nxe@%y}h#gC>lb}@;E;=#s=ST1#_`Gyp1Hx%;$`rwGF-8 z=?|8#h5A(Ssq)Df&1)SGI#yc}aKM63Jv}LbLg#i(Neqn~Sm3EV=9YN_C{e~~wqn~G z42T;<%|yh40qQuevy{@Rx2D>EKj{pM9%_M+ z&ozG6bT&;a&Q=K@8vw{8clN3%33VfaKU&j@9gxEubG&m-rGV;$=ZyM&YqfViWxj)C zmPxHAxQ1641I*l@WOVD^sM<4#?jnr|X%r3L-5INQQOs;NO!6Zu>}cFzAEh?MPuS)T z5JKb)*~0=m3TE@fX7e)2lL+K>~Tb4|{DX;<9lmxy6}Jt@SHC;?S-%AVAiz{fP3jVm@!910i>C)$v5c+Dw&?f&JQ`KU>rL0#SBJxrk2mGIaD`%Vt^HMjMq!y!J9`s z^4R?=nZt4iLtRIQ1~l?}??1>;RCg~+*B$D!0-)y{)u{o%&ou+aSd8|h%OX`JiN|hz zso{9R$u%HOa0Y2eBhZQfse5FzAy(msDo0~j_fq}4OSu;a4A%W5?_C^;lqb+;xtIVJ zI;lLKlnO=yKS5ItM-^0Xd)1WaDVZ`@`hm@8!}mMZbboktt=|v94aTW&4a@9}*luIk zRU-*Unb_C{%j`i}ZdOv9F~&1lr8XR?3=ewxd*VNYJQAM|Ah@xT814iMX%YZYV zLtigMjU19L;>*~YwDr)&wFMaSBVS55jzD^GRW85d>G6)WYe~tDOy@jRYmz?GCNb8o z`kq?&*`st1*jpViD=B@BYgX%0E&?@o$T zTUgvlf(a+BZCU(B@fD?;TiVBK5XK7y8>`N*3X+p?KS#^+95x#X3UZC)w>rCvXj}}$ zqC`J+m$9hQ+7pe@rf^0vz^+$S_>1BVM%p;9BeimeB0!jb&Y@2j-jR>7L(}`+Y9mUe zuFpn>XMmdb;*<0{OM74m5yTnK18U^{oYk9)S)g3aZt?T{agqG%i?H~Ct?Ba0ZDWBJ zPBDy;^fhU|D|nr)q_>rAr-ng>6E5yOk7}1nwe(sUOFF{Be6WJC(%=Dt7ae*ERz=4E zb-^C>z}xt~{SYhKz~mhAPftpac-vHlRF>M_B$W<9IV1A#T6;Oz>y~eUP`X<7vER)F z#F2?5f@VR+_Kc7R*V3p*6mg(djYtO|?a9S*Y4Ib)vE4M$-6E6KcKo>X#a5Tb+P%fp zlikH`Ioo^Y;~%#J2Pd8B=1K!Mb+x@0oAG;po{Hi;}yGPk}vnd?}xybwtaJJq( z@fDPB9h9jAY;%F@Mx*fq#M*_gk$ZBIra>ErKb=pps@}U8e{W&b?a86qtc|x}IZ|_u z*`a*L;w5Gz^c<7;R|5}<^%X=93@*#u=bw6U@s6`}ZJu00EwWjAyOz#C4 zb3tAD9sR|f$|h&FnGpAMAbxclv%1F=ju{i~gVAe;Pak-eGT>e|*#Qh2kVZW!ou7&| z8*pUP5Z~saRx4)WkxZi ztkJWMMFSnPRP@`nx`dWh3>ya(bGaPXW$t_|;NaX|<$K%^opG9MCnxDoy#D}JxapdX zFK#)dV!p|*q%loG-H-Qx{41pJOEh}*&eA|EKpvkpa{7C1xrMzLXY;O~!?Bd{J?6*x zS&0C8XFt}lt!o~RR@LN>WVBgv8EFqt1#T3UIin@I{{R(p`g~`~joMOIj8{V}WW^hA z&TE$1p4v55IT43@M3o3l=^BS=wxblzh7}R$*(W;{}oM+aj7SOz8mpC5uBo`^c8u?9`2|3Lo zTgT*Mw2n;Ad#@m_xXWBVDQo_?PbF=_+idf^BZSu}B)|DfbVJFP%&M{8FMH!h*$>J{7qE$ulrd&b%f#%Sxbn@m+KklV{9lRXM6g3!%5CsYnnW!=-NM+Oz4m z4f+w37g_0LRu{*}!sUAAq8O-D^E zZ7;+_Jdq2%9^J%$^Tmo1e_EGQ@!p@QLd$eDxEwD6JF&1I!yH$i#O#3MxaOdE*bUhP zH?2oA>UH~h74IbT9$7Nyl6g5bPr+8FQ1N|~U;~LaljsFYs4EiE2f$R?UxV1pQB`u_m>>lWYx zaq@wTDMDattjk!1_kBToiq+2(-sK<%1W+AN4lOr zDzBqi+stO3@XE15H|8P(1KSmAT-4&ewu(4R^6Vvp&y0`uc%*EZ~dUR!G?DY*wDD&ue5$$2Y!v7`s5d>WbyJvUppk>c~Vs;U+Nh`}|&YLb1X{%6N7 z#N+B~6M04jNd$Klr>9B{!o0{`4+IXiE!kXgS9UwE4d_}m`rFx^VA^XE00dVNfeSI{ zqCj{j+;^=102NwKrdwWH-62V%Zz+|!hRs2y*&D0NFSDtUrI}HbADCqI_2aMMTOS%O z;+I!w;&9BnBawmOnN$LQI_ITUPJFE1wK%Azk1}dNy#qVuY?hCb~N` z8$rf6=CJhs5O8awvl)-KJ#$;dXnDAYsWe=Zz^RjVaKB7bwgpkh6&d^ADIoRDJG0Mj z?3wo{13!f-oun26p4AdFWq}}%T6W_hI{`dZUrk6$QI~1HG})29MF#`5Zv+?%_i#9@ zb$33tsX~4)KyK`K3x%G6HEi{B)#drd&INq566IF@&ikb$;2cfQ9L>`ll z==v;=6$L3_D|{6+^nDn}zt&?teSK?2cp#9)7noz4%LQ1rRJWn@suHHw-ZPH9dQ@`y zgVwq!RaGO*k1rpBo({94KA(wp_9C`$G_FLsDp0m^J!-L?jjF+cv0r%g&MGi+KqQk$ z08)RQTam_)3~cTXTvHfid-@uFFmk7wYbgz!oYJtwCu(vz#bj&p5vM*0t(;9VO-dFB90RLbFw4sr6X zNXYCl>P>L-GfgwgBLbo~19T=J`K=yO?N zp!J-$XMTH&rzuAXL*S>UtLnvdA%3*gQX3|mM$$4(C^^Bdv**L+%_s$%oYTFz%^@eD z;*b(>ym5i+O<*z)Uutx0Mh*d~B~6L>!Si1VHfGAb5AJu_M-Z+!31;fjHy2cYJifTxNuNfl;T_JP5u zm|$l#m=T-<#V=l+=}tEL`q8xQ&lsQvAADk!dV@|XNGBkH#WZyGp@6-8aZd@kvO&!t z;Naqy<}6B+fj|iG?L3i+RF5Q*KmbyZM=Urs5IOar2{DdGHPz^97QqM@CqIw9a)a8t z4KNR|LQ^1Z?@5&wOwEP|`=`H3W8|?oAB8=XWRuMx80kjlgIA_7aY>H3z!dD~xTghP zN$pDDGG$*YX(VT#!ns(EG~H11f^a`N>ZIC1-be@1xp<152T&Osyv+U_QF3H+ZPZlg zcohNr)jCxfGHA!l5BU|XYPrG5Mh78>V_A@cxOc5sdR4_=bDFH2bwH(^1Ffh3!Q)A=`l?F~~)KX_9TGEoUFX?0VPELB&S;lT(Ju_D{-2dJudBNr|` z>XOvqUlTMgiCZTe@&+q39IrU7Td(-@W6uC$vk`X@j;5t(g-Kl(wAKO^`u_k*$JWQn zTR9$RuD?lnZ1j0uba<{vmvEXzCnFzs9X}ez@r}lpeP?fRrrJG}?I-#~2Rl{0sY_$f z!(k;E^Rqbt_Urjm*-m$GF+fro@r--ZGJWysPZHTBiq)2 zv?$2w#UL66w_IY-Jz0MZfx8$mRsS&*;{06lTX(u)B2S2IQl7%Tz&>u&Ezwf^3@u#KHcq4K&M zxLo7$tVppGspez=%5vOsn&|Zpv(4ixi;uJ-+eE@m>fd;PI2iY)gl9gMSm|jN;Vs}H zgLDKoKb0=2r&`&|8VP3^BrtgJK^@1atqogJN59f9mkI`1l{qRmW1oC;O}n~*ql!IB z>|Mrggo;xntYmZ7r*1u|w1ZN*X%I>FOk6noM4MGvKqPk}t38#S#iiVqetd6i%eYB` ztO>^lvG0n}ypGrVMk|}U#5<>7E6F)jh;%sWJ5#mEf8twsw-0T;NmRzR?VZccb5E2A zBGIj6)FjhUrWZ=5_k@j^13f)G>zjP<#(URlFG9gFHxRXFed* z1Cd~oJf&C?j6n=KjP(^C1c8&9R9A{=_a@W5v+c>^qPdbd*K-mNYD^0{Q|)Vk(<}}@ z3fGPBJM&!bmnoKMTb^-SaOACAUWbK^kMA2Lz2*Wq>JQ;n;rqj$l{Lmp4aeh9MV>G! zx?Ja938QVpsmE|@rP3G6@i{xr)&a5g0=alGo_Vg0&=2@XY}t?BjSfEw%Bk6wKi)KZ z9+aQxYJAd9rfa5xH2WfALP+Wfu5UqtHnq!gdsk0s6lTkI22WmVk)HZxs1-I3-kf9B znEqd!xRi|XoYkvqXqpu}LE@|2!whn#KndoXPQaNM5&7hq2pH?@NESalhmn9i4OrP1 zo}3M)pDYnA!si$~VvKas8Z;jAET?sL&3Xp)Eynfp|pN+Hid-kr`eCnGp+|p z6Bx3Y)+JKF{{UJ?Y1TCdXa~I)Nhah5L4)Z*iP@D)ll7B>w;^TZo^_P$WF{rDi61f5uHgzRhQ7#AK5A9@XYLch5Kk91d%#_^)tf@iRmm zy262a@C|c%GG(3c5AfG)ZyHC&;^*x#OxR463IQxm20PZxw}v%~gbbE%wD%{9&4FWC zV>3IfSs5wR4^du;qxgeMu!IXuZs{i{2kk7yagMm;S1eKNVX4Mn5zE>5KTx>`_qLYk z4hzpHP(MCvGhWgn((TZHX!6<|rkX>w(9A7uNtRh|GD{Klu5ZP9yX)82m(sWHqCQ+J=(*`yUODl{i1l*XW}`B} z1b-}%K%Q8TgZy5##$8MoPcZ}YXCx0wb9x?@4M$#6C^8Au&6YrZV7*AKxqL@!1W~1p zkwuU0nI$Cs4m#Eqy@kcpyDeanSvu{Fa&c10qUtNSy@k-)GT*yBDo)}0wzDRsA~cB{ zTSm|$7!`ZNnq0ScQQU&U5z91kg<+4>S3c?UbRc?G#f;i?aYPsG=`#(o6m{B1w;BBD z4I1}4cAW&uCApf=$(9@T%s(~f^sGy`qPCo(Tt#rCWITBTACEP$E|aF4^|cY|+ww5L zF3=7=s~1tViVZ?|V3@Q>#Z`}5Yzp@-Be#*Ve(61ZtBGuvKBU)MtPu{CG2BUEv}U=T zF=K5;*=Lbsh{(I0x+u?6?MO#&;_n35X#N}1uVA>G-Om_#74TOi4hL~uevHvWdZO|d zo(}4du%DsrUXAfzRkXQ|*4D=6-sU(|4bZmXj8`M!SoG-cMb5bd^XcdaWP(pE$^QUk z;E&F;n^BF~a811qs>8$il>xM{ADOK#dSeR6Bi z?z9ayeH3e+8=h(O)qg5@ZWIZvSoGXS3=d)}%sfEbwONN?Kp%xo6$Y;n)#gspGkesL zjIgI$N9GndBq+@~CHcVX&2El)XpO56l@wz&(O9P(u6?TyPEVbBXEo74cHjZXt)h7~ znK4kq)0%KVMos{vA$jZTiiwv1?9bAzBZ;j@q{_1eAPUlr)(c?UMmFU8)_IJ%3Qh?% zcToM^pd8~Mb5lPcioD{4w~RimZPRYXY@XGo{ILV4wP#q%pAp%>*vzOqIvQyW zI}*nE1UKv4QanSApb$G#QwNR0m&_}SV2`bGN{rzydS7w$0Ng+$zj%DohAxuB<|}Qb z)q0F00N@WwLJoQyVy534j!67zb}I~maqMXN(q9^Bgt&H9HNw@5*RG{)g-JZ~QV@9K zKT4O&QIdLl^rZy{OlF_flhO&c5#iZW*A-SUUb>O2JC0ajezlL{ZBgwYSS?Q1kaFYr zN4LMfZ{@QoErnCb?TX6OH27~B49k+E0|%uMqdCi-uiPw7XF~}^;j-nv@_WBShah!a zif?|WCc6`$+L47*oQ`s6lf$-vgOidofNQNY<~d&H9Ls~vDyVD$*1Goa^|EDLHb~Cm z*uef&iQ(IJY%WfCA2mDNR%a`;fu1)Sb3*Q+l}R3=y0hWiR6&O&iRDQ-6o zSNwsdod>8AoPGe(<_j|)D$BKo?D|zn)qKozis%rW1dhzBRo=LAPfLU>sv=cxeL&4 zCyGI#-1D8HfU_~Skks|-T5X}-=NJ_D^lO0NI*q-^pfxfXfH>@XRArZp53OuTqR-CJ zoPKocuMb|tkuxHK%eV~kN39Gj%!t8movX9c@GRoScI_N`S2_KacBBt1gX`M5TR7Qm zBUTt70CU*Vy@wP%+nzE-F|(ZXslEr%$QI4z6=k}0PIcO%k~ zMhF0Y6j%)_DD7@_OK9he`4SSYql|ERAFXf-NA^aef;oJCwc99rTWN2mMpz+M8~*^> zBY|8>DE|P2YVFv3%_te7))P7N_k~zaaaGUXsngr7GZtw>5LHqM&NEkG9Q)RFyqO_S z6{&6XCbogaR{cvVzL=>|ez>Mb(>OU5GLK5MaZ7UkngYbjeb>-d)=g@2(U-H8 zne_*S^)CfO@XFX-i(fE7iBN!LG5~UO>FL`Q^Pj}u>`A2;8Ud5Yl0_sxQIT0OU&ygE zYa@t`M%?6Lv$aMaVF7cE>x#+6?$3IbDiZg4vE&`cf&uiT2V->S9cfo{XC!sS4gedN z@Hsi8Y9U-4=d~j+a50d7&otQX5?B4uBpxtnc9sXAG=Oj=lpF(&xa(1a$2=3jIHv$d z1P`qtZ@f75CYk{mj{_Yzq{$$L;B=rIf;tPfAe`d27#Kvj@)$m(c((qY&o;e)z zR3(M(^-z;TZqY{?qQoZK!RdlJ^HpRQEMHs4N%v6(NC26 zm6UOLS8ERBz|3bLXB~O(?^N{|q-C_Ug4Hn$j>Q&D<0l<`I#Dgf%yZk@MPSjekV;~a z$=(NGPj5;*kPp@2oiyvysznOAGOfEt2j0&kBep$ieP2&lblG6FTPYd{7FQVk=okdB zJ;yxz8lkF(wbG`9K{!j9Ll%+4V6J!=`qS^V0}Lx9w!%Bf?L~EK8 zl*7DJr?TW5Eh9y4c!kSxxF`DOXE4dk{fsVc9D=9u4_kA z*R+f4S?%6;NTgk-3PHtV*ywsi_>fuMOy&>^3=Z_#5=LK)E?~ISBWqVl6hxr1j;AKN z4~ObkOugF2XP&tq@E*0!c$-O&OSpw#XDK9G{$r8|Bv#kM`4w%iRf!+GL-pX&NNnYG zAX8Dh11I;cGyebrHGzG)OR#`}lZxqe#0#w6g~$R{BlE18B0gx9KrFnG>M2`L=p~FI z-g2sP2yT=AFEZhGb51Ptade!YF}Ev&0}pDe6Lj7T8135V3QnQ}%W1M()hxDE&$b*=29CYVX?!2L5>R@msv-Kw6^ zpenp1?;|Irbk=AZ1{;VxjQwfbK)$7EBZ*!xu217uCP>Pt4o|jg zF@JQyxM7e_(zWh9lLES9Cj?ZaHZpG~?C0ksieWJuU=hx7$oH#dVQ4`B42os4MAptY z2lb(#AWtOQ0M2uclv%Vr3lK$GvsjuFxDDMo>rmP(Ydnf}p5mHL;6Z-T5s+BbNlYrp zeqy{HYf}0# z1`Rb4GdprDIQg#Wo0&Aj95L@<_!u$1Q=Db}zt z1&oAq+M2MK*`UmUL!A24;)gRIpEw<9jR!q{-ZDNn01N@rns`+DNd~O@xs^-nDHXB>Zkrl<{t}|1H7_aAw-f?pZHWpwA4n1&c?Ajis4qjb4 z^$|u(G%Nrfy(=PPv@s{{iW_a%btdhqvCrMB+-gY@f=jqwI^>$~JY#nzkEv;v_L4ku zSwg3RdgG_PdBoGQ%pNu&!(cI{U?X%y!Rm#^Pb~O8nykt#|a$^C{GOE!og` zfwa#U_~(b*=piOgZ(5iIP(EN(zm=t;Qd#_SIZsD zfvse1zG|P9{lEQn$m^_;-m*j+Xz5(@jpUurdkcO|R;I6o{?~79av{^Fx`tDN@xI1W z_4KPvsA~52KWJSt^Ki5vKvKb3Pn{MPS_B_{GXyCVMpI@0)apjvC%h1@sl zS{R@#FvUndxun=FPD%$OAQAzsyD1l9d7DB3j!zXsRI*E3*<-h2$c#WI(>2@p7hlr! zcec2>@W!2gcL~n>hGMPtT;o266`e@7v^AwJS97oMy^Y3;@W)h_P)&`h%CXv`@L3e+ zc0D~aUMr}-n|U)4jJf`G>AolNE&jJH#rB}!;Yf-!;UZqjqx|%*DsotobCPpYMw^0d z?!{Vs?&IKK*>rF2DVa@2YowlQI{LEWCcv0GMwjtbzg z>6*;3Mv!|}yaX=Y+#K~4vOJ2e?2`@;InR1)AO|~zODNqdG-o5FIs$$>0XP|{c3~flc@*SGwH1mp zaIjv-_e&gZ^dQ$qXX2k7Y4ClWZ|&~j+7x}JC6ME{BxbO#^yn|N^&Vc*pp{|N8sgI4 z|wN*(ZpGxMGEw4l0!s3->rs(w?lLxWSkg-}yALu&AmEL}b5M@1W7Uqo;`c7g zX{Ga%^4hQEQMS3_xf4HR(+6yebq7DnytO2mj}tzB-ZiZio}uKcY`MYtfx~h=Y2A%T z??1OZS^;md>6{Pw^+At%fBYmKD{acQ8dh8WomYciWqNL*eX>b3ZH`r#gHSB@1aUl{ z_t~M3ou{Ge3*s*qtjQ#HT2j1xfVOLc>_#dd?avmgyZx6lBrI}S(;XeCJWL#}wIU?a&PfkBSt$Fdbz9`r;5P>UR*$n!2lpEgIb4uFhiDL>zouuH716wV5jQ3Y-BQM$}1RjOWB3@n{zv(k^)Axsp zH5W1JY2t4ZrMOe0XwqS~Wvy2u@unXWc#-6ln?=!b;AI84033B5p0&Wh`hyIt_c&qQ z$;hggmp8D>&BW@*{h|#U51YB^N#ZXNDuw>R(b=(p#tu*U{F;$QXvNBVm)^p{&bQbGG}spLE-|;PK%};{{ZyQ82xHD*0t;c zbWJe-0KgAFt#KDux{5C5x}0;I?>%at_NJi6{y%5`0KUyM#N>J(_U5;>zv4xw0#0`Y zKjTe(cU&hw*t&SnKXm^9jc`z3YA)alsn7fj)su66iT?l}6R02Unojp0G1|7ft&l*_ zbk2C-AMvCb=CB9+fNV2@IS2e}&yK06ZaBQ3Kku5EH62W-b-bG%(i&)l(>Wzu4A;1HOO8gRSb~GNVMZ_9HxgwaHv+x|Ce4+{#GD-m1e`)W7HQZkYSjY9`Uz zKC`Sb1nAa;#$f2-iPpnxKi=(e4G@O`?{HnFOnKd~)A?}C5ExZ$koV4PjbKN@s;&Zm+E+{ZWvp0u?Zk=UJU zQdAkyEdkCu=lRoROJ`{*f`N^XrtaXy> zm-4FPK(s={0h9fUZG+Hca()uUc63y;?Jv^xH&n(Sp|}Z>e9i0rr_jf7+?$zPt;TxRic0 zSbW+Vx{vn0uG_EWSmMYe0s{SUSsJ|1Et=X}Hc~UVxA%=_&vkPulQhoj=vu1hamPVS zhRDy~snGB$5)% zLjGo{#z^bay?Q5yJU44&q>Wcylt$917LezX#PDisNvd*ddmQwk&rvTk)P5dmHuFtu zr?z8^p;5GV02BDbt=Bh{13`D4EW?(|u`$D@09?NH5c zzf-@ArsTkL$4=R-i;H%)lyY|U3^+CVE*gH;lv_S08A>p%DrDM)i$u)vDHtbmdR9g7 zEoQ@m^R6qi({JL{)LULKi%{T4J8-jlEBZH~@7}OHQ)X=e4-t+}Fa}aFgXvn!VsN*O zM440{FP;hXsP7|bU~P?(2so+kEnX{)uAmSz$*Azl%Md&8Ya7`1ig4W#A~K*xQ<09w zt=ve{Nb(Q?W0D80M8NfKtJ?JZgo)2%vmB47m(~N>gO7z__C3`J8-N+Io zDKdMJjFIond6J`GmLmhNwQWbQYB0}hCz`%xvXxAM_ekU)UMix}+)Wx(Ak zav0#y+UOQHJgb`>V^LeXS*N#=Tg>uKSrncaAHt~kg4*KDS&y{5_N=7Ayb#JW(2n1Q zQ%zF(8|f}%ot9}b9D*>w_9N1vzq`7;nPt0_y8(sIVM*95Xgj=q9JaX0&)VB*JxSaD z0M@O|QfVz#ON+4^r`ggd<6t>)h{&zZZ6$- z#6C@+08g*iiW5Ls*Z%;~blp2o{wU)!OV{r={{ULCqZ_3~E7gGdjMn7-CcK<8G*-uQ zlyBXRMlfp#WROM%J^7)5+Ui~(w)-v6x3?a8kC5)h8zYK?!#0MZ3WZFS~e<4}v8Bvpu&XXw5)c)`|>MEQvVZqH?)YunMka#`oJvcPcoa1zKu(2@x zMKayF!KPXq#5-|I4guN#GI4hj~2U^G~1? z+*>b{*m4d%YcuSzh*`H1+0S0Jr8TrgerGwyJl1)RGLAP4j+CT^iG&EYp|=u9=QQh8 zfWiwn2ORTEhTSBD<<8(eX|}fTvOk%RZfT)5EO107k$0RBdK!I=ylTz38KY)i*zJC*HeiZQDmusZ5+sQC8$X+1Zf%^Xdo+~{3 zPw`7We%~0!qzRAZT36l(@eZXOzxIx+VDA(`BS~&XQF{u8+u?4daILpf()h^YUEj*E z_xc|H0Pi|%W#4>9@kQmN&_1B5j-^L~_jk(D(k({4T zYWANEct=3frJj3F65CEzA`Gh_0z8hn^{)lCQr95ljB{R(;g19AULf$r-1b+`1Z~4Z zj3eXLyIISGX}enNrQ>vmNtV*lJVu(er_C=7yhhpeuQI)l&blnlR5Nw$jP~@-D zx}BE4Y)#6<%MQjrB!i*)Rz8<#FLgK}bl$FTR5(ogWbiAUNw)5fewwsV(AinvpoMhn ztt9Lpf5~jH^(68C0P3vo6PvqBV+k5$Ycmrk*)j`|e~UdUp=+hExAG^_b$MV>vuiTC zW9kNfTHfmq9)!&~!aJ}=^saUdw*f2QlSFONPxEz66qspl6$0T1VVU|&W)}xt243aoG z6(qqKgDRCi)W%Xe1It9r8TK%GU ze@Nj|ua@9Xv)@E6p)9E&^CCPF0pxm$>E_oiKG7ktQw6SZ6h!2r`eujZ z37Q`oHAU3?T0F1-h6Rj}2PY){J!^wm3ZAvGeIndNJ6u9u?nVV=4UN9otZAT@2?|V% zjyc-H2AX{Y&wcn6cN|_1y51sfw`H6&DIj2A(I?*)fq=I0F?G3*oM$ZI#aZq3ClIm?2_L{ZCapwvf1X5SISGqZ{>^d6s zzkwH!TKKN&Tf})6?8E>tdy4aE?c|IHDC--Ou#v$PvElpZ>@03xD5PCPviVmFApuwT zfgSTtbV||ceJ$gCUe+H9YIipA!qKg>2H*k-1Xsz*%)QQQtGw5*Z>-E0QnMorGV}~9 zoz!BC&hlBsB3p0(W1XiRGfFxlq+jrq>mP}xlP|p*VQoUDRnl`Z4i)3m%z`q zdmpWQpW(@D?e#U6Qn!-g1y=cEeS|hRIrcTN9>0Er<(0YhB+$gRGyG+CF8o)j+(Z>t zONSsY1A~w0SpH*r)`p^yO%!Gr99-p^R?b7`+qGxgsQW~IYL`vJDi0)Ps7(56z}ls( zUJ=qG0tq(TFC{@B_x)?jzB%dh-qL$_d&rU(z&apRGv>L5gy~T9!L~NV0)d1!k;d0 z99Sj7#PJa1q?p=pr;cmf?-xb1v}w+rV92Ui?TJai74x5l?rkj6?rVtVYruo-j*Wni z>fWOjsysue&lAM%O1j|V(v-Iv)f~Od!S1GX0a4wU4?szzNlxL;IUmlZ)nSG?P+Kdg z+Bb*(_qVksjJBszxBk&t8pt^Fgl7%6+Nej^T2F?fn@hH6tu&bjkr@oqN6u7w^ZD1$ zUmmQEm+=N$MuG?#E#oC&Ag)eMc;lsJTzIPTD~4S@d6v-|Y$3_c!NTX?6^pH04M}Fa zyq0M-24w-6vI>EYX)PH~V<1Rt=ud)rGF*H=H}??OO&i{{U%V<^NE`wWBig=p3fbBQ zO?Uc!zYc|`TS1_`z-eL)bS5ByFRJ@uhO{OpfAP-B#?Qg<$rY`na=p@`v`PaE0!ZVw zE5SsE+Pf`(Tz?RVW7S?k9C>fu`s1x}EDE+WkwVxdx#)idB8KnAMk}aco*```807$i zjCMWi+OG6p52c0Eu8n3tbnMtr2*RFytLN_r-C1e2S9*g_a~`o91-4Yi##8sVR_))7 zZ?5d+w{x{+LX1ZT2dzw-xZ|H2l&gPvVR!U^OzPNCfaH~<`fpIZ6+2PfsuYt;0edc(tdb)*^` z*At1O65I)R*(n$xf1cFZ2&=QL_}iyw_MQz{tZZ%V=le=3vM3GTBaYaw1ruYiHRv8B z*MH$Ajw^flUK?1+e4xqQgNpO6%W^eGd`=3^qva zGmxi@SDQk)QJ$u~Zr4b<)NQqRVh+66~BisLU74CisxOTDf=Q5 z&ja~YEq%hq+pqxZnv=uY>eQvz4Aoi-dq~b-4sXc20P`fi-yxf3K6v%E#WkSS?{SyfuN>PhG zOCL#2vzj&0`07nd;!R#_tr|G4h^Q%!=fXZh{1VDh~V<2X|$5HSf!sffPdo4>=TPb2! zbLS~2O7uBg_3K#avFjw>sPIOfLO%K8kWDA|+w-q=m*MxrciNWPzNRITVya$6+N+K; z$8+AiQ(3pSveoY`Vvx%m60~an0NwzRnpX~1Rr93-@0@*UeED(r+w-qY@CSuF9j^E` z?(bOCuI)9Hx)H>R;2}b}>5pFdt^WY{SAP%IBuP9)e77Y-HgDA1gde z%_ko*&(@SpCNa3zbMZ^U+6RXQl`n@iXTFN+BGRmc7LCCiagM&?sC+BY^gj{!*2hcn zHSD%Jb--|EjD;b>lAx220X@wZ2NRVu%iY`aq%%lQ-#Pl%v}#`r{2y%;f*%mv%P~2K zDN?MtBc3u1WorKb5BxW4;hjfOx7W|w?NqdIIS0+gIO2<|2P-_Y&Xn+7zd8i6DdCUj zO0q$?ZVm^vd(E%HuL0fY+D-g^DV=`NY?4f+mvD3UhTL(FL+M4sm7WS^eEs5o8Vu4B zes<5+y{_}&Uxh`>C9jFYAYqcsI0x{rD)DBYWuo|w{{T+4x%*@m@@z@h7;%Bm>q_W3 zS(E0;j$OYxJjoBm!yYiwwW+nuYE3ro(8uH^Kvz~cC!S9@7#%a3-nRHt z;iGoO>%>MYWPPqxm75viha8G7gO#2s=14j-esp=V9DL3B*QR`0@Fs!b9XC$9(DkvX z{iWF?h~skLaDA(Y@T?kT{{V>e%S~c=ZLBA_NbV(%mP986igylEFU^ynV@PI``_1{+ zyXs#G{0x_Ou~_()M=>)P_ecm}4@~2e{uNSxhCUC6NVuH%f@Zi>Vv4LeAaUE)i=gFa ziV0-?@%-syx}G*BIbBKWLlH^75XbN1HR`?)@Lz*9j|^#7*ZQU9z4gqKM{yW&h_;cn zv5tfqE*!0%RP)O0S)Gd>Nhhs0%#a_ve>(OH--rGTw~>}V5L`K4+;Tp+t`EohE}Nli zOK+rJJ?)#svMi0%6Vy>~acgs&iHkl7Iqgngz22CFZUknYooKn7wm0o~jWL>XWJ+_- zG|ON0K#Y1+ia&aN&1jkAPpN*`oC?>sQ!be*oZ($tKb>RS_UT(zI68gme-_m%j>Isf zQE77=KkMZR?wcp*$cSbcs(;xwX%Jn2F?hmE#eW)k29?ks7aUi zq?7N`tDq933HHAD`qS^?Rf{TfRhl&u58fVn^HSYLf)>M*$E_u*4x{3=hDe5Td-bX@ zz@KTA7#t2oO=%2m5I$)b_o$jBH#jcXJZ6&t*73u+kmn<(H2Zj>6EYkSJ?c1Mj80XU z^y8X(LZ4{+z+#B7WA5$a1Ym#@P9=w_XB5Rl; zR0k)M&{D~57nDqWahh48i^%y{WO6yEl_D`@KPQ9FdUgX*Qf8O{MnL?kOQo1cg5#b( zwH2~lNX*`89+c~(l|TkkPtu!O0KpW$B8MFM)bqt6!hd)_3WnYpB$wuMk?B(1#~=y= zk_qWT*h~>!Eziz+SI=J%Zobpvi?UmALJ%KJSG{V%t+d%?X$T60`gX6HH5r3}l1@6x?C z*G>3+s9i;GXLG9=Tb@C{1J@&phUZTBdo9=5Z0_}GZc7y#RF%(8;CHO~8rJ8h?4`PA zn$IH;10H$`=XJ)+%7BiUuBTp#8(muJ3sTJlO|?sPt~*j-*9=cSm8AsvuFpFb+E!;> z;B5oLnuW7It*eP5ztKm`9((w|9Tbq$P+_aLACT_9;#(zr8(Cm!! z6_VEG=?6Pu<+E0A?h<=QA%%%p!RR>6UGV(+b)B=zde?Ewk+jB6(mn7+WRdQzjYFww zu*lNs`W?cMxZNYM>FPKY#A`Q~7y5*g-6Eu<;Hr!P?OuSFcAAW3E&l+uk{lAoH43MX zT-OIIW6W)!j1%{{G%>Q2aklP3{3$%5WZi*-R)9DJ5=iu^Jh=oR*$NVH0qgao*jS{g z`_OP{HEV`~!dAc|X&;?fY^#ir4Q2S9%ITkY9qb3zg|LoFBrhtUAQmA<t7WzP)u z(WnaX@G<^0fZ?>@_V;0zX#@M<5PqQXUV|5jwQIdF*!j@gY3vj|wWKQyaux2udY@Xv z@a@IVhi8LS7IwEK)P#!e5h^hCDr>q)tbAXf-D(#4UAC91*a0N#XbQt|893Y%_*fIp zIOe(MRw`Pp4w%!LjnU>lE1bQ=F_Xe6;<^6yt>ShER+tWo0R1Z?y>y(!v5%N_#ct`U zs;Rp~hYSQbuuFMC7h@kXb|a1iFslOq;g(f5pdd?v>0wZMRY{-Di63Qg|;!kJQnMUsV4ErCnKEHuKSzj^zToRAOhUUKJ;w)ih%Bq z+nx`t1_i4Y*=8BCPc2z6x0`hyJGE=L@~qtRw{Hj9vvkD<@L&UgO-T`c>twpaVmQ|$ zB$8=#^iiivxD)0bxb~)MOa#iT0ps$m9WFI6LN@>zvS~@$Cir-!;*NjB9u>Xt?Y*tV z!TCxMpPa$6h#$mL0zK`I)XZ%#8o{`X1UVfTcf^M2w2~#+5OUc`&Y4Bd@fGr1W1!6^$CMb4epgk&0J1%@f1d+P$UR z`g|ft?4$FhJAfg5K<`ax?b*?7;g$Yhn{ekf=sp3uvC(xan6*fxeJ1(?XL2JMbPdth z)Pv3|YHx;;8Itcu*EC&6Pev~!lHCX!W_pp-A5cYAYO{pw_8ln0mtD^z-m9kF*xZx$ zatx6M88ON2>7It0;hhruUTExet8+ckSCAr+k`!eAmFSwzlcZ_(x0d!MJL}7q0$YFy zNRW0ydY^is;dYP1`sSag!h%t6CSEM<-iLxeD%P8mgOXa9)KTY1^ZQr_+CojZVBwb> zioYH->zQLm&7IPi=0+S5*!vvxuV7Dyuhh(Exc#UiXwWmwlGhoE1T;Ql(}qf;Rvgyc=nfOW}VU;IW7@@ z6Mrby6VnQwwf4HjAgq#mRChpp4KA-=)utEBZ1HB zS-_;4+evPr1AN;+;aK(jGhVZE;5%;*!)!cJb!p~?5(`^7{N|s~WN-(qbC-58+-mY( zc@aYMF(xI(BLjdxA{?Us?KU&CRjY3#zvd5+kog^o;2-@?jy1n_f;rEBohR?;o6{{XjN zNVc?{2#)mLE3$#kRaZGUtS3@W-InICjCV(oU!qMdnP_~r$Cm5{1K{v}xa;(-=zKXm zniTrFGMjiOSPXpbV!)n0n68gmf(w_mhfRnLKGAl@T|j9G#$5hfS6kpO?3pyJQ$W{e zYim3ANnyK8lWRJR1|$2YI6q&@c{!?AOH%})&#uRl>DRKu6sXZc5RNydTkx!nTt_FI z-dR^H8E`lrqP@_3C)VsWl)sVmJG~|}Bkd1(6*D((GXV&eIrP$>#Z& zH(PM5-IYCu^sK2=yzG|dl@xhTKhU&WolF?*5VNdpDdVE3$u%NM6_PZGP@Xq82Q}aP zK9=7|@iY@(Mh%mzK{_Dk%Wze(?g7a9R;P)65$o+DT=-@r*Yw#WE|XixigtF$BoNr( zjCB=t>Nro8>?%q;x9WL7gY6fR{kS=Zp$0`6*m3foermphWi83s5Cxt^jAy1vuV=gP z!0@}zd#ynPGPEIW^retU%H?snh~uv~&2f57h_rDmZn4O$923)UIq6kKa++--YQ`z6 zGo9A7SJ7{$u)HJfFtJjr$R7Uym1RH3RP6^1oF4V=UNZ2Lc7EJx+IPL3L(RdMUEZ~>K(L<&Sa#}<)2bOPbqOn+(w0oL+IJKeVVmMn>ac&8T zxl!rHarjoQr=(oz_LdiODu^$mX(2hzLYy4>S5Ohp`8OKBM_pszLC>#CD?c(^Ao%&BJY5>6k1a1NVnK@$KHJ zc$-eJjyoMUO$lBg zq198&OdK5GgX>NBblzp2vmToeaCu+D|jcGIPVK2 zFY>bw;UsY1oqD#n@UK*PpHT4eO~s6fpu)O?)DhOYtIkld z&q^uY=bY+Mp|Z7j;1O<83j>|!)cP94*KBOlX;NnGVt z&Pc4*;Gyza$_B>-<2b7tZer3;5UEYnN#jbd6<3<-L9;&wj=FT=a7YbJn zih6Gt3w6sSliQD+b6XbpX9S9?}c~nCrbsY6I=)Vvx*5g*w zP_PLdlzYhM6>?fw%AN1-nA*mzJ**2XM;>-t1E9~K>??-V^(&i}{p&V8Gr_L2#g{sK zmUii-`RB?)u4czyQCv*yCX6#2dVOooh87T;cGUL0yxcSyI0C{p@N@L4@O{PT4NRx5bDG*H^QXCcOVMsMnT5Ts0~S%w zOxBb5dsMWLeZ7(>oRF><5P9^iUxxBD7n58^w8;yju6vMkkH;0)c&Eb`I>qVK{4XpM ztcP=0%==mrPD+k?WS*7d;_J>8T2Djk@OX5vu&Ha@;^gqPh(uG&KQI^soM%5ucAKWYp?xF~N`iiwk-!b@ zlf`;n_JIz$;ma#)X`+Kyv)qv+hYKnbxO3AW6$m|Y$B%B+*6Q98 z)1j6a;A3$#L-)5kiStk1j@JIk zj#l9$1Y_KFt9qw}Ea0)3^|?~xQk8bY1V`nI)wu6m@x)C{Co7!rq3vHJW2xhsCV_LR zPZV)se8&h2bjhyWv}r66W4W0Ca`l9>weE1Oq@<9%kg{PxCoO}IrEv3F zwf?6lgBw}6HS4i>PViW(_?CNnc%$nKE(@{r$gJC43ewu-$r3DEi7Ka%2d6#h(ZosK z;YR`Wx3!WnG%pNXTj?KYxt276*tp!-$Ojo7yw-)StP;pj-GB%2FvtSCiybpc*0h*3 zjZWdMRb^+2<~)3bhbntxI3wI(*DoJ|JX5FZ6Gi9S{gg38yAC$UzN6|ZDOZ!d-I<+A zA6J*NaX9ZB#S~M+Z4K0SOn!aw@;UAGs{SAF^~c&)?U*ES0OgN3&mY#io8JoQmheSy z6MdrT8+`WzBocpweJgLnmew$N_V?)DW||`jW$LH1d*If--d@YyHjY&)kac9W>~c#K zO(8{;%5#QgPysY+cBx~fJ;}LI*hWShC;8T4T55(7b4vw0A zw074QduNR8Fon(=*Y&MEH%EfeN~r)gGtivY*NuENaj(2uzlUXrUb=xjscbgz0Ng!6 zu8Otgb!TLBSIfSu6)Rlg=F=r+3@0!tJ6WA_G3&)~+T4aq$3ZBSBFZZchv{COrg$gB zxA032s#ew>12H^SPmFvsr&(HDHku1Z1Yu0M`|LWJbTKW@mQLpsu(GcgD6Nk-UV^Pz zTm7Om90$(wQj;(sgPa`K zYvC^x-RRd}X1TVKYYs872`TP78tV0*2iSP7*y?&a8+)ca?m`v+0Nx#qYLd36pH_|A zaXhNrk=F!PzL+<~cA3UuVm_mqrFo}aX?N0EUq;bPhoR_4sPz?hNE@eh2L0OoMS#YCk z8hy>QUQsFl$jufDkW8^DLy$r1R+S@-|#x9;d-jyZov}mE!5OY(|I+46E{iFxMQ9~0z2?M29wt_v*8y$G1ZA6RKjc}`w7isnDMaQ2ciVoU* zG0vj}g+V8pBy7k)6;EpcV#rKM&N|UFbICaQ)MA}&9KK#wL4)hfHZ+ywZ{A?^;-5lJ zbK*_hmYx>6W^tAq2h`WkKYBsyUc>RGnc@3&=xLjwirFBx$Ewkk_ zY4hR~dmHwNwj}BATlUx1koa=u(NQL!dl20|TxCSOg9$`(aN8aPD zFNE)GEOpen)x74mP{;QeEx7dS+cn$E@ikbMZw+aLo<`x5{HrI#8k8O;&@Xj4w99J; zwIO2rD*f_%G4-V3WurSeQd%?5ucs4TTuuQ2*suqv2Duxi{qb&2YofY+kg+{YbF$^! z0HkHP&!umNjg`+I7cOZQ!+CbwvCi7gQOV=q6{B{fT67?16$YDbv&dEcRq9%{leA4R z5WLX)>7bFr+1m?f%7S9uk-Hq9#Pe5dd`Wv@Y?koFX(Fz0WrR5y?V8KD3NwtH8i5^8 zQI0)pBi}m7{7RRaQ^Rp=(9ChQt(yUlxb6A(u2I#Ce8;^!Fl9Jw^Gs~#xz8NXkb~}W zgzg;YJt_(3nWYOOyPs3dTLWt2YJt~*K~$F=NgQn=hK9=O>RU44V}o4hj2|9EdCw)f zcCM5}H+IExJ}!<(wIxYZs0a$@(vUL<^vw`99CM2A{uy|CP1Y>+yPa0l+$FR*i}!^< zY<1$e%|_88xshPbz)k_|DQ+Wr*HLLoB%seLFP5>WC9~K8UV)JXxgQ94qgK*mfN65U4AK3`mfZ}i{{RBUYiCy0F0az(P}HZI>h-~C zWsu~aKR`Vzo7gukR)maB68zT$7G@s7EbbMT9dhwAs3=DaSbPT;`7xlR{&vQ(M?2EdCZL?$}jDRY(mY_S4jN>0lmsex46+t68qf1ZSvjNo5 z{EXI=%G!s|bmxP#0a_N)ExXyPym5vHnHVU}I%M%#Qv)T$gkuHqR=i1Sw>m>az!u0T zf4W6P)|NUcOOm8+ZQb8n6^NU=W&q=fSEW2jZnp8s1eWCwq>6BTE5+qjjoBO!%5XvT zrUt;QY<&WjhqK;XLjs4A;vmPclz)vx4O5G z?~Z7G_sjz>b5mX3+xZ4Nf+Pw~GQeP;#=JzeF80S#e;NnO&t6^ZL&ngu^dB%IKb=0= zppxOCx{**EjGzuf0l*_Y3GOS$!<=9eK9m_7I4pSgr>9XS&sw;V<5gE61@3ffP!uD`MRXmhZ)RSD60*-)wzN0#^qi+0Z4B|kV~h=f zhVjK(FtbciA`Tbk!wi);uND(Y&LnZ3zc*S1#H-R*{{X&?D=o_A=z7J;n>#`ed}Eqz zoN^?f{PE|ePCpv*fi$1<(f zqBUHMFF{^bZf3^cI#9lwjwzzzRp}$(_4$u9wCD}Dx$4jmg+BK6Il-++HGA7=7{NWn zTaV1B#4UKT&nQ3ZAO89@@3hUg9&3UA@ubpwjY+MKS(fSUHyI1ad*tNSd|8rERyJh> zXTKHZo_wSJT0i~tY0Ggco+NSfV@)Ks6L;M8sIJ&du88R9FtRTNN7t=3=J_2PniOY85H90c~)T}P8l0$Mi z9-j5&<50X(3f)XG(++9pR@5Czwe87W+puIf&rJPsLrI0tT)x$>bsKd{yPK)Dar?;{v?!YM0o@+ULhV#%Ttvez@CtILA+%(2|bGZMV7V*6gbp8y`5q zt#T8e$1VuA{(>^h3`HrBNk2RE~jdgYHa&+SX%dAgYWSkSZ;?s|2l)bL7D zJBgrPc}FYP=}eMOGUZ7!NX&9xRxEy>O7msauaFQfrWqLf$E6?I*V+F7kEu>M<&7Mx zaB_Pdp&>_7cBo;32==QsSBBD6mMLBtl;vJBRO9Q`yrs3BLAu?_d-bLbR`<2coO{sK z_XO>+>Kc}zb9XeKX}X?tJneZ2U(2OtxeTYv0eW#<7}hVpIFr-MUGqh#*KO@nTY*s&qs*0Krk;Ku6uQ-r-`*ylu7oZ zyVR&ON->t`YE5i+SCOMY)2IXGC9~Y)t$AI^-EkuhrHQR6^}Ryj3QKb3NX9q%%t_$rtbJ=Tc&E*pArkUL`ezi5q|j zDtQOBeOclkj2bSv;itCLw5ihVlrn8_R5z$SK>F8!_?u9Q{hlj01ZwS^4oS~y&B9Fd zzO_yZLxY+L1){m-OXD<_@t-hfIIlzT4~AP!@P>*mqcL60E3s3-E84latkxA=C>+z| zvB^5=XhjO}1}aiEw{cF6GDyWqBxD}kR*^X6El!WXge%>G!BmCG&-wMP*Lsi?f=K98 z^!MVte(qO-(7aB{cVmyGW`AnkkNJ3zf544-^{I1BpL>+%bm-vWPHN}1T}n2EQqLm- z0}@H7R?UCbI+9Oz>s~mTwczKLJ>>rYzNtU7E;3J=`TqcT(d=1EKBfGpwSBDYKfHCx!P>-B zM-3s#8TBM&*Np!FXk35KSN!SU+7t2n*Zk=$J5J(R-4yPkdoYu4-o`oa%`@!_l0yUU zo`$@4?e`f!y?%e%rT);k$NK000KSbkMeNG{-K6w!J#zA8WCd{2vte`BKD88f(dROt zcR$44YswP-;2eouA6(SKRJ%MIi9he7Q|uo?qn*)TWc8SUM%n>TLHTLS-ei9}mO;Sh zfNRPhSiO7(x|M>A46*4@eY)ec&(FD|*jy!?(r)~xt`Ct$IHv*vpO|F!HRMA2ex7Ub z{?Vr`^!?;x^)!1HSN7yTl=Y>WMarv?4^g`r6;|cmX+k3$5xJW=J!{Mb?94_baDU#X z!+UzIwh5)&++j&1(CJ%NC7e>d`AO1`4SI=6F{l=?slU;2tsuXP)01BmXmD{&G z^{o_o9CF27=#NSG!8N7MrDHXf#4}|Iw#EdHt#TSu5qO+r5J%{19uC#3rasHaThWC! zx*QXg>M41hcfsmyUy|RF#1B~~tAn-t!?e_{843oh6n)TfQW-u-s z3|A9Q=h8vwZ(5oD&R1x~O>HdhHm(AL)YEO&R#H5`eQ9l$WG<+{VAQ3lW3L4Ja(3Vx z=9tFdyiTMHfGSIv=JH80XB?4G;#P%8ZaY$y?letz=lNeb8TAz#eWju0m4-TEpK%<& zXE~E1n+nS%xWoHX0jMq--|vl?siBVHp%|277^>+Mo>PUC;C89((aaz$gaC0$AjqP% zk~^?G&Pd5VwPyAyJd#=RJu1A>$ddxkpG4Djd7Jc@!FZ12p6cePlyjr@W8(}B{fDmb0T zn}h9C_P8Q@I0MFhbJm*;s7r4Wd#A9Vu*~lqn`ozSPN{;4dn_T0H6D zw=J+>E@+7|(OmIwj5jR)Ar||~c}dsMn&ULZCTx&+6`|t`*1hos?9vc(Ap__tzLK~{ zJ&CT#d$jC)Wl4KnQvD>|uE4ThcXK z%R{5-&1Y)@?J7tN<38EPr(X3hi+pgpmVp+BqG`#dX=)V6p@TGpbByDrc_*o^%3lxY z)9GyfCxb@PE_f{QUS)^;1b445@pZP5YpMA<4fL1R;d0WnWk5cF_xk(ME2ZjbQ8=fm z$?HTdx{i4`;<2sRnZN{**0g*;*z(gODl_j?H1!Q3B~KOAO>pRJpFUj&zS%wcH znP9>dlt{pC7+Q4knWe$`LW;QZX^G*sjjc;+f?TD>;l5zPOZvq#fIF*Xdl8QN7K}oDw->k4nUD8nra5qZ<%d07p`BQ6|#R zxLL_u@AO|6TbXS1{a;1Xu5|RoWJdssZNJ_UE)MUnTCwpXPlrN|<{KHj>siqxf7k|xx?_G|M6m7Q>0DALWm4c1A zIpo(-r;+6-3Q4Wvv^Xn0O}$T9c&%D4OsW7`6#oD^+0s57c!KxCudPoU?2ZGbA|Xii zCb?;?_dHC;18_Yv(Ehdb0{mQgJRcUHZY^WENJK9&^aidMYV7mzv5Z}! zN2(0UgBSsO_o?j0%R$H;PZb`e6THkoBp|8OY_QK9FIu$DNvlO8Hq0yBs5#|^e>&6G zID$3E`HpIqmliKju=P3oD&DO5D2MKhpM2A~rt?QNapA$K7Pwi&FPt`1obg6X1jO`fq zt5%viOB*vOI3lIfXzF;Fty#;4$mWz*<;I$+GcEuC;+ysXgT)+-uWuG&6N&?8v95;C zOA<3~UI5KUd8VvWZBg2nBe<3(7qN$)C@e*58x_tmQrhXOH0qz7E><^)idQiAXV#ZI zcdgw+PL3Uc7a7lLt@a_t)z7Up2Ek$?)zO!qttS5ft!piYSw`Nc6>{@RNTJA4pIRIk zo+c@z`ke4QQfHdf`w#;d2Q(WWYB?ER-X>oE0CPrjT?=Ulw%gbo?IyF<%Oa9j6mbxi zsL$_6Umsf37Cdk&<-Uxs5MzeTHwJ;?Asx--^*|AQ*wr9<;w=$fxYtUfL#Z z><)8E8#S|Mq=~m=bf~U0;S|M3YDzC*eP$Q2mO9az(KbN#H6^~8=ExM|7|kaJH(o1N zWp2+*(Vo?-b*2bPh3aY_u%7%PAomFdz5*k-B*p9dK#83D9DAg zM=K9)6}vM*;*H$(tA@me+3$*$T`8hX`J%op?$%w%SQN(d24+cn$F&9BK*bCwv*WU{{V$^-wEcju-0XR zSHyO=vH1pK*a%7EKF9R0LDPO8_;x)>8f|(fw-RH^E}(VbXVSDwqcD`Yozaiun;jy@ z#&#DX7F{~&7I|Y)*!AQ2)}7zP$?axnbW1x}tfLr=I&+Gt@s<$>iXetC1W{Y6rVe-p zxNV#R)C$o?>g?pHRmN6Fq3OL;>ALm>GoblTgFKZJzjuO9fv+?JI?sT6e zZR2~Jb|=V|F}OOO+;^)wPK#mS{Wd*g#0rw?EH_))937|B()df_1;&Y@%coBoUBrWR zznRI5=cap)L0nF^sNL%tgqK&cmyyrl2cW8syMvq;y&c8Y^}Ejy>j`sw`-VtF9T0j| z4NXUvAF=IH*u%PX0|yzU)RcgPegP>6NuiX0KgT6$w6BdrdE^u{W*DYG+C z1+2<|bGtu$~uxo}4YvZb?J@GA+yUg-3wV`UhqzJ@`3deRxd;DcHZXfsn= z>8T>_U~`{N)f|UU*Db3ue9(IuUod;sxh%rS%jj`UHn|za9Ho6|tY!gu%_p1>BQ>LK zr-={DGCh6iw>B#jm;eqlLV&)xt%^Qq7GX|cr~)zfGHLNEQf;eXNHOq^y3@tZOr$eTNdX?s*6BELo64Z6}S41#c_m##o^d0Njyer_{ zH%5s{L?oXa$tDS|hD$ZFja4J^)DM(n990Q56^V`;A4>SVUT0et?6gO>3Tg{evP}*M zvKlQfhkWMfs#T1B{yBb!~9!cW~NA zD#zxyPce=H0&$aD;IPuGRx+loV=9wW;@p|%(A`;Dml6k(RU~5^W|-R5%LfpV60(&b zcOI43>fZ_V_`J!ie$S{xNnr3uGI{hh$?85H)ijA#Jxa=JW^cMATm$qy4SlMhmaOt; znN*Z{6S65-6ZV0e*8B=_S=w!r7BUbxHL(n25!aJjMm9V=RYc{ZEJC% zif!jHuOshOT;Ni>E5rtB{j&v~3gd!5I?s>q4n(=}}viT!qr>%J3hTYf1@3nyh=j)Iw*R(a4Bu5|s9zpf4C%N?0o#L6&TNxFf=OERK zBP&YVWghG!o@}uEvJgEfmT}1-Y&lFG)NV1* zLdIDKm_hG~mev<-*^q({N{wJ~bF@fz5!cq17@cNyEEGB%gXM?T?(wU9ss!)v#F;=Ob~aZ2%&-iLP09 zA7h$X?U5xxlZ@l9H4{Ju$|mOIbcot@0-mhth7jMgMe z=1!y4tE5~80Y(5AuAD>BBjoULyquZYd_B=MYx|pv%`W1~)osStn(PKqpFlzU>!`o* zhlw>niFxA-DPlZ`)^e-(1;91P+$1*N58-sWg{Qf=JKq|{LrBLD(Ori{>#ba-y@5@8Dw8 zE@U_%H*hi66%N2gMlx$cTXi6LV{q@=6$GJ>;Hbd-s!q!htR!tNP6+8zpiRUok%7s@ zE=ViKY7v?+#~d-oK9pQSIsMpG11G&%w#mWB&Unb;pvYF|03PP2w^Uv-Ni^<&qvH9S z`zGd6z&RK@Q~~)4^Ls0Eb9W3Qtd1A+HQM<0V48eUsUysOeZc4W)*pcq-d`5!t9x-3 zydG#RB&~%Q^*yR?2SMZi00n9K6|KCsQ%qfk7&`(O`i?RymGI%Xx70U4(JO#Q?+`MP z^gJ5xek)(Wd3h98bH_Yta13p@3dzwfr|_HoqwF?!Hxj4JmvD(tDd<2w>nf8`R<@>; z;NZ7boL7Bg28>Go0L1Rl z91f8`AEj*+c~xaL^hXtMGkL*ZcEiEQHEb9Jq=n8psm^P+iq}Xl{{SJ&GrN!kZIVAK z(b9DP01w^X#+Q2aly?0Lffy0`Q?lw~8CAWKvBBHeHQmU07izx?8jNJt{nJ_ab}(*2 zi|-?V&nZ|Ez~?DSs-#V*Sq6-D;BNmxeDoF8sKop1xfYpVFaTGRg9A!}ILQ6mAD zY-a|VcQ4q={LS)x?cj6U6?0I^S&#t)fH~_<)3k}MF5_2F34N-g zl1^)-@mGghEne41vz4dRB-&jujl5HKPR1?{q^`Ogri~G4*zV32rM-vDygtAIUaev9 z{{X|9Sb;Tv6Wc|oN(N(D0SJ8#1_wU1#`xPvpThdokui?q8HVU(LJmOm?f(GlrOkJt zr?GK-%*qHL)AHEJL(OyYqBs}_aQ$oAyf@(A4{Lf9a$oq0*3xWZSee2V&u(ik$9@9R zygj5zd#CErUo>v0%8aZ5IN;-7Uhg&tRY9;DMFw^PuLIq96&bMW`WI(Lb62_V$9cr?JO8b39FG85Pn#dizvv%}LC zj@!j{^2>%R61o;`y+9r4Z6{__Y00aj$28kfiCE*X_Nq6~DZ6k;I5@zr&qvXWn$zjA zxH-8ni81JLoK|0m^tRJ=o2UzHbCI}_AZX=1ALC|u)pn3vB)13xcc#;GJA z4s(j!)X*Elz&HY{m0p+zh@nDOiYUQu8sa6%Ad&c0?P?`RV_t-}YU{om_+Hz@+O)9T zYIeGKfkXcJ!k5n+X1nc6;Vzvfr22DsiVwEKADiTYyNDyxzpvv&n!cs`DauM~W9Q_6 zXP`9pw@uv+J*%s+&_31TfujlHM>8}&YYqt&9<8EJrfX7Zw@4Ovr3sKYIXn^UX}zox z<}>vhRF6(>LHR~25A5oz*EQ%KH__imovdUjB!^+j{{XZBs$L-QwE85r5zff+UBo2{ z2i+&P>rj%h6r&`a&OFoZZpUr3caP<2Dm(M6rO8t_d&g})efC=0b8)!LUj$#1bT|} z%?sexuRVv_eCv423=|xd81KzC)r*uTG}KYznzgtW2&8`we_E_9(4L2y?7VH^yWIy_ z@-O29a^g1~7!JSZ-nP6o@W)cs?P8v3p|Xx_d16!ZIVaPKO4hpyahVTMo_jHz^8qj05V6=RrR+Xhl-S1sa%X_`BhnU2T_?`PXzJBX<6HWXd5{s zn%9TJH#6uL8oXOgq!I|5JRF|%L1IOuYB?vT)Y5h$q@`qzO(EZQJLRiGQ?~-wjP(_@ zs(5PaL)9(q@BFaxqRhKbW83Ri^(_W=@aff517?$m$vtv^r6&|zoVnML!=S!#@tSU2fm)E9F|-x7}&ZH~lI8DfoG+czW1f%p;oAkPML$cK-nNz35gneD=BL z!rRlO9zd>_LGbO)x2XM&!)cpiJ{aI&Q#9Qc<5JY))9sKOnH-=~#t8MQNY9;|@VC#_ zk06{@)&83;jqKKw;7bb>Y;?%0Q+R&vJqit7f{Qt2F$V4!QfUjFoZx_ZRMFe1$7aC#1XYVMb5bE;|(SlZ>MnC(zc z6t!Cw3f9ucRpK3MN7a03VKlM3X%KCNxXCCx`-dOgg6Ea1Ws; zIQrL}+UPoj+SBQ9!d~?pqXEzn-#(SqpM~200F+r}wPFTB2?!^OZ%(6&a=UT4AH;1# zPK!^|^ervK(Ang)gOE*dJSgvqv2ka24f(T{2}R1j&nS%YD_C8kRo_QFl2_5rSd`D`wTCK&p02LeM0OuJUD=4;l*3oxImpJmahg@v~BRDll zhED+1u%<(_0!ikr+uAIDG=yj0pr%hZDMH%Eam<+*siyMn_`ofW0IL@_d=-cQI%IdM zI4pi@o%bnS>mrPZ3l=#gj%sULMwR+ued|)&PcFgaTo3NmiRO+0wlE8H_7wV!)o)0Z z3^3bAk^mlpvo3KnZ{ATtWZ>w{(3T>sGu=TL?_E zH()p)UrO#9;SRU*;_FZ3X9hwIQqgK_6(+Pir^>0U%y3>oB+-c?R|U|Iyt(9lRq7|< z7P3z_T6R1B@YgBguLtVB8@fn!8*#Qzxhisv_|mo5+LU!@q2friw$>I+43lc=2^hc! z=~>tCe&8Gryem@L7_HZIm?y1LypYcS04_Fm6*kn*oyuIsNjwB-qiML;}=2Nw!JOG?N%zkQ_WY zLU{r5atF0wrO?~8)PtOYE8U?+6uuOB)#o~irfg~2&Y`BbYa82$3Y_62Ab*8=FOD@! ztKWv+9~!KJYw4si2Py+?0P=nLuO?C+LDwd`?-gnTQ;SQs-dZa=aDWa7By;?$wMjF~ zo$0Hy6H1I2B;COWyFPBVfr$*ZvS6-qPot8sJ(jwx(8o4O9=@e+LGZXl1!y@y7T z#F$sd9=%O?#j`T&c79$?Q3oBtW!l zz^bqIg}7`WEJsSGup!ow%wjuSV>rb=+9?`V3i!uddel~C;T@M~Jn|{S&P$fqLdbgh zQo03kWsZ36)Cma(y*w<-bHs;ns3FPO!O0i4kut_%ero3|tfIPxI z=vXBeBlm}%wKfZj8p^i?RmSf0w{XnprKAUG%_GAi+$I|ZkKU;+Vs%1%(tWCcis2qjf?text1_>apkR`D=cQ%p z^L&b|Mt?z7N;h^hs`+Bf5gBLOfHG@xI5tLiFe9MFW+puKQWYTMftiR9;V8~{&2P{F6kBYfqRI+N||LNzLR&R+oJk7~Ar zxENl4omq6!Hzl20y!%?xne4++y>k-JJ(A-t@fCfOQ!|hcAj#6mCW4QHN52m zZUEyTSEG0rSG>~hE$%GsG{bco;Ut>LBw_E*e|pBHK5bF8Dx9}RXW(5j=fd9)bv;8? zQ3b}PrYk#V7?;aET#myBxUUS<s=8?HAd83 zj>AXMjHDklsrOpxt+WOTF>#(VjlZo_@SlmbD-G)hkiq(ggf~yEcJX+#RQo@g64V9c z1%X9x8=h>S-mXVBnl9i&P65XlUMe@zrb1NA0qKyvYpsvR8k>wVTZJRED^T2c+fz-g z40g@zVN?An`^HW^q-XdGL?^}8a>*clnQRfq0=h2*T79eHY}XRAvR%sR%AoB7rVcvv z?^pZ_;>}9(=I(2VEY=H18ceJz4sq*T{g=cYV&eYJ(VpHbQ*x}{R4S~;IOV$X53MbF zo56C~HhL6)@PyhnsXmu)axbh^jD|%bHEf;%{cFzt7c~AL@%6>d;M2&C%3SBKOlGz| zEX{4A*&RPlX8T^JC!IfXSG{q56!6BOsmUzXF*K4uyW3$SU_RoDSzL80D9@HCyR7LG z==$a5oz%-breej{pS*e+(DUuq?Cv0}gPvS*SX9K1D8g7|yHP)dk0X@q`cEq{l zE_?gcA0Nr~j~hH{+k^^{5!_&NLNJtEBINp#f5IcKYW52@onb7|IRqX6Abo1@iL|?q z4*Wi|u)d4Qxtiq2=sMz|vhk;iv?%SM(XTGn-3i+XhkE@mM-^w{mZy7nrf5s5O!Ho} zZ7hYx2q(9vdNt6}Dlw&XbIm0i-zL2SLsWy|{<%5G+^G(Fbv40!+ql5V#do*k?4Jur zUJcdauha@{nA6>!e(;EymvLY^5z@N<0EKXgJX;gK2#zO^{#DD!8bsX~C6xTb`qypn zy&5UCo4FJL1)FkxNHubL6;1oXcm+J~7{@kp4AM)V#Md#d2ffyBX)5NMIzK$lzz7IsB?LxZ@ke9>p()ZYJ<&hwPRes+9l5R_;$_9f z?cR@bbboy`Hx>}h`mj5X?raXFuucGNOD7D57Lq#xaTBP_YoN+c11ZP{xwkn z$XsAn$>?z{k+Y%c^XfW^!>7XX$W)Lxy@PCH&TYnVAe}82>R`$`e86@ePboH)V z!5YQ?0EabS?Hw*fNrDt1lrUg>5NptUFXMfCTD{j})h9==Xc}UMAObcqg*`z0dsIqH z-ja)VySe0dw=ey%E!-?tQ#(kk4hTDrE7qsczu`WIMc1^)g>Nm&rTRA?bo2Q7eQV6L zoi1%_QHt8mBGby*I6N;sYt-~@TzFqv)4Vm|*;L6agYENR5ZrRKN?nauN>;S7j5~MBTO)}JH5yJ}O>S~6xrt9J?QK$qP(mz_%@k$HtixI@QV6w)a zmui&74Sw^uzqpITdfn%V@9jM3?cs{v7@4uZJxD#e)H;kp3!QUWi5fe5+Yu~e@&P06 z=DE*}y0N&{Ei}kT^6fxr2m3^E{(b9WT}7_G4^6ZH(IZC9oU`?^}9=!@|B3)9o~ffR_F*GHbtF_6CAw{Li3jdXyd%u>Q-_ZeH_Ic!YNo zvzA!b`=isZO7ck&T1R3@%N+i7)!SHJ-PzvU+QiQtx)+t$@$b)bT*Qo-#yIt%D;)Ej zrtZN}0LbU-Peu!Xpkkv_mK`zrROuc_M+c}i zH;;8E@jrodyZKDB#`7FJhyx(O`B;vm9s&APr1VVcY6|jOnST_QS+}y%q=ZVEM0V-( z#Bc+V{9lDHfVF$u&jfge&hB@f(g=%2vK_@(j&M&nJ#k!ri8ap<&1n{&s$EXjK~zSr zxX1&H`u_m+t9#-57`zSQ$$8ph3Ox@MRx?xPlWSpq4}<>z2}H6C{FbgxJuy{29uVIU z?GEJzN#AKb$tRDkTKF~2yMEaFwr`)xvAh+gja$Wbw$i&s42D8f^OZU4PDCf9Nqlvs z-r9JpQCY3!{p778uu?%&-nKQBXg(6@Fi4Dy_eHj_Amf_d*8V5l+iJHq&{#tvz)WGL z$zn$YpT?m0m8_?T{1c(-3v4Y+k`-vxvb%seBifd(!<1r_oLS5KEJEHn(~5%IcQN+j zvOHcG_?uJ6A->Sr2d#HL3K4iOK|UkYAulDv2;qqE#dFE3{{R?th?f4>U(?R}4MhWP z^*G2U>OWCZ_a;z&$sTTzvz#a=9-Nx%z8Krco-@;#k^WN5a;E@b*8c#9Ce!>c;OI5O zX17<@QW(&<%C0+mR{sElJbc>5y&a6&WxPu&HXb8_tOs9lPT@|PO*Zu?X;Yh3@xG~U zLeCt9QSr#G2So7}uV<`U-P>PH=d6pvF=b#+AcMgKS8resiea`)4ujM@F$l?#KB zir@IOtv8E^jSDxE7y)@Fis9H0NMHs(N@;g8oaChJdLP7#>Ge+p_-_9Aqg~1l=K}?} z>t1sVn;0-S#dqF0&-^RT3Jd^tlY`f%TJy1-_N0eb;yttBf5rphy)`tgRtLMWjQ-`7 zu<;M>^T8gSI@I3~el2NwlwM&pG&>U(Q8AUuHJ^NO0{B!Nw*c-B2a)Si+}tcHvLTk~$3aj}c3b3#kiNBCf_-UO?#bU)3|ZK-6Pi$? zgGv{Uax+f=oOH!IG2HY&gX=p!ytW`4zp<8!*L87MH`<7}k#4STgrSb*XCSH1HE+Ut zmZ_xcmho1>(u&YxSb2a+P{b+l1(PmOtG9XW(qUu z&syz#ZEfOI&@9(kvKH3%$|DN{10Ng$M_ih6YfBo(o0YbCRm`n4gp+_c0=s_z=(N94C{$x^$d8gMDaNO%J_4kX`4WMqHd)19+fW4DWz+aJXPaq zwQE1JSwOmep1dSca9h~oxr>z4Y;;)K`5kQKb0i?HIp}%oinF0K34IioT%sJ0X6#LR zW#5got!Lr&)`P9-(A+d7jkVH@6v@s;InIAN=cumi&sPTT9%Z@W`WBqJ)!eBy^`(SJ zxh*q#=NR=F;Qea0ov+>ae)?9in`EUL7AweQJb(4YR=Y6Tp)XC!!l?5sgkxb5~Sx{KYmbVE4^PoF3I_9&F`zaRBEyH66$Lw;qC}W;r;hrffvy z`cP*b$|!mFtC4o9Q341iuEKJAQfSg&ju;|7VYb8xJ&5=R5IEfHIbBV338 zbQ%8esO9@c5wJ1FD$JKm(GX!_+N(U$v_X8cfO^wyAV|F%+%#bAQ;}7mGpx=6joIWH zvu`w>TH-$5wO%=8HyPML{_mw408S=ZV=sW+GfQ$OSrCi^o((PQO6sJ>1`k?g%(FCT zb^tq?OFH0mdxnjaDv&x-K+PEDm7N#MAwl3(i@9ZF3+3Q_YA8I_ zoP4{mIi)jr7(& z7`>g;Wn&|+b6+<;S-Cvtn(V`^E_~*9Qu2%qZ6hd~hf`a+eey$p9Jf}n-NJ|cOR-Sj zh^%`c7A5&`YpC#D{gsZPB$v7=)lT1)0Xa^3;|8#to1KS)hKVDn(k{lf{$7{hYk7`F zWD+nz^*F_Jckp;WMU5oalTCu;51P)+jLn}2Snu#v*kJ2h5VkCCml)>~bNs8Y*FGKJ-S{>Qe@(a-Nh6r&gKy0V9Xa&>02=ZOm`qX_+=Y=z z45xw*rEx;EX4+3weK#YDI?wn_BHg&y@8ghOq&_u>phINDWBz({t|gZqln$84tq40N z@q`BwqQB$yyNn&L?(KWDMUc?y=b^xt9K*Ka`MP>&UYI29}di#cfxpGe6b|XD6u!aYf%|&Ub+}X*9Wo?<|GnGGu0B9_KXt-kC3CkMve+p{aCZ}^2nR}!`VoHS< z_L8#5r>5pcJ6L*(^ZQ%q%oD6=tL9*^I5_%N*Mc+~&lLEk!qZBOxke8=1>!i=oQ+!C$n({z+x%hdLo`ttCt+ZiDGGm`gmrY;Z6I554*3(dJ$@Q(Fxy@Eu z9afA0URbX^y4OcrjO>E57^4^u&=z0t=Sev`dL1LpNpR1oi-lpjdN>Z|0 zmKiSmS*nZc!EXl0mN$_`*yB|ldG31FhLz)1@Sdil!)XgboJ{i!i=R+?f$3cKxvhP= zCAGAG+Ue}g07F9JEq_*)^FhDmgW7W&*^V_CKu+3Z+>!W@bQg!=9CEWI0w5 zl3VhsFmU4l@;lY&<-}leSbkLQ7d;QaUNh6QI}>Mrpuu=mnY`~a40F(O2&%f@#Fo9% zFLe7|BG%B#Sq!n0ou{|Gakj0LV!(g(t0G4xMg$R(PDLdZxpBnF`=?3aj}kV4Yp5hP z==V142PXb&ta+%sTBnHXpo}-GA*Tq@!kM{M&xPRaIzE126bPJ!SdcePJ z(l{z}fz4Nqe&{&>(2(I$N+{ga^%*sNX6p8Gch4bgXFEoCAC+j`c#?ZR3EpV&qL}Vw z3}ojZW073_peA5;j4!1wp_Ww*k&;QK)roZ^r>9dgH{5wV)tfgWUKoyQv`RM|gT-35 z8)O4%>qB~ty-wG{9x%P|!(51!E^MwN#Mbit)+3`2Lt2-=6Ks~%+ey(a?Jt-S=JChm zD}ubo+2q=Kj`f%2ki#RIR_;1gWy@=wABQ|kccgfZ9cD7J-76MhAj-%OP~OJ9Li^%Y zhjnJxmfjyoHGF^=kjakcrhb*;g>o_J&0b812T}B;D{eVrB?V+lsd$F}08rNsr8U7@ zq;S!?@v~{j0=+WV;)a8%Y35H5=u0-O5dbtmY;ljh&miZwLz?ktIVT;-%{>zXjE`Ci zjcG*eJWJwPd`)>1&WRPYAo*75(S7TCNBDzhWuwESYg$rYrMvEh$r%g%-g@Blt^&w~ ziMSl};;CJ>>yzJsP4+QWq^dKx@sEz%Rk1pLm1`Y^lOLQ%!EbImR}69#1JAuFT!3(C z$T{`zO=+O#Hz#&JA;8XfuBXAb`aYd~B%1Y`-a)hEQH%!lAoZ?XGiMnfRibc;zz#4z zwM$fI8Cl%+7(8jxMmlljZ>#rGK zVh%wav0N~aZYLyG_lI@Vvx-{{QX_Gs+>m69ADSlZBz}xPUWTQsY;-!Vs3f-~sxzqeHrfIftgC)F-)2yH}N{9GcR!Ow?J>u`gejC@kH*a@!ql>6v0y%boS_a1f z$EJEP;Qeca@wbYimr7kLL$ON>20uAa0b)CQS0SM5S9&$)+ASCmM#&<6QdB)vhj44D z)GRG^9WG5*M@BXo0()|D_KbTsa+&Dgf##;%th$wC)#7LP7sPOQe&YU1s9sYwyF{CN z6YK3%JU6aSplf#em7=3b5j&B_Rn+xU_*PZzyW2N*jPyRATCR?BoSLo7RNa-j9?5;; zzXEEP2J-Vmo9zw(a6l(NPZkdFK9#Mf_?N)? zMbFx7EGJ8aQWdaHGCF%#l&~rX98(zafydT@mL;WY9p{6*V-A(8>L1z`{(hH!%w|9` z%1&@csKLP%vYPLJ>?He5o|hrHPniKzfNRTB4t+VbN}m|Qpv%d;H%9B_H>-n^uobAe1JB>GU$<5{cq zI)5GbnRV-^W3_}qVQjl$j~Kx9u1w<@6z3xxecsfPY!Y(xC%e7MnBmA?a(!xv!Ryr2sD?rU44S_M zq;RJ9BO{VeN^K-tl8Uk`TG{--$@mJM;_^6G%Z!3?_zHsJ?8tUU!g~7EK)msjo}Kea zD}svvtw2)0ddNu_$orU*YIrS-t@8q-txsuYNd`g3rAu*ekpiiL{XK;z*$K}2L|@%o zMb2~S+|?_Inr7sXy@2gev1th`a(|rzgM*Pm&=R(+RUb-~G3}pvhy%N!sYe}-YOYIU zfDd|JDN{d>xTzNeuynj>2z7Cf zpdQ%iRjhArH2cVItmSAfA#KSb91v^K2gJ)e`*^?MAkZzZE|3A{r~^Ly^rd}tI%)FG zJhFDN2gB=|%RdA7o=7B;B~%hb_`nT}98}&O)wK<4;rEDQ)UM^Y5QTXB!;+`#_*Peo zJbfmurX4#@vx>sOvAI(jU^`ZKhBecscz;&1@>WrN0`4t>l;pIzv#A*AeGWtAH)G5= zP2+L&8Ror{#oiIR@hVtdcz*uM>IiL?ArG1X&IcmAZsg+PPf%)GTbZrjeY~DH4w6NdQ+2z}3L={!B-?-1Hs z%%c3$qhLvE0lYSKt?fT|Q#?ez74T#kQ?$f?D{0z zt?k$@KvDSDjZEs1#kdX{y@$ioM%qoID*^(K#<^;(YVmglV{^M+Bm3gGchu$DCr z8HO{F+lqFfJbpU*g?-ccBkA*tW|_;8#L)AidbXhWMK12d2%5a66=6-6hF9$>^_#Zy48TC|wS z3Xp^kQfjn};_MYt7@j>UTN&d>!h?!s)xxZSl#|U<*byb%lKCU$0QacnnpU(6vm+fv zI(wMj5=SJ8NNyMGm}~>j;YbJrO!j%?Z9G-`XPQVdLiFOR#d8D$9@P6=lvuX2 z5s&3U+!+PGo#(h*Wc3E6uoD9yI3AS5x<$BbpZEW~a5)-t0{{Z@{&-Cybab2)hf$|vn`&>=C8!@R74n{H073)xHTBm?K38qbN zWn<@GNxaC}EruI_IL3JQ;=G-R1Cxr`@h6IPFA!PS+upQZMVf7mV@)K9%LM>l&O|G}>erlcj{mGC-~JvEx4cH3EjBoo&hVI| znc~StBOD*6uN2hob*kx{rPDJs(+~#cX8g@zs^zn^5nES@w%;487`8I3!>?-T!^caW zJ|JySR9OS zN7A*DD-SgWnWy2;iKD|8Q;j=Pww}^Z1h(_U&m6wwa6$JUjbZr9#hMnmEb?0EI!&X> zIg%)xwtYt9f%#Vr;tenC7X{%vt=!~e_)n!|7UH<&hle*aTAx8r5ak=7x`b{%TZSIK zwLyz1!N{w-su`DmAahz+E?3FMa%cqlns=7eq9d9t0<2Op?f{WWVv{_EtqnWO`HeW{X|)Es9N>=!8L-c`KJZ!Mv?LNT&O zWx@5z^InIdc-DIz8htBExYM*-DTXH&z^s#xMv&y5Jr8>GStJCSh_KCO>Bdg%rBX>* z8s0Cxit_d~w~$F3YqxUr&$V;lWa6iMF!!dz#GsL!R)h$uLFT$o4PC`ycNFv4Ti-?j z1`B}O4n{M<2P3HFvL<-tSd|bf1IhKS^TL)Emp69MO?M1I$Q#=@AK_IUPE16cY?h^4 zCOHML0q3R#Xo(|KY{)qL>(s}CG|*L3RWSdJG!R!*v~qvExaOnOV9|UwsL|cQs9&;@ zs}Cy~^gi`YrSwFqGy6t0o|y)bY?pe&EMp(Kw;y@_wUer9R~oG4q?=?b!a}?%j@)|G zH}gw>aA&!4@)O^n9)r@eHCJxZ6yVk0kyjudDHY%AgGAsi%2~C?{#p(w!MkJ~PQ+ax>gf zdy0A>)*B)e+&hzxTBxxM4eUKm4;4PEmXvJ=0CFlA7YYL|Guu6=TUc<|f0TCNTw@$l zuEQ;s4oJWPo~){NV{SO)Q*|N)D7Ynw98miaHSZ#j%BFMQ)1?WWg-OSu%`fnfRMd)? z0N~^GsrR0WEVc8-xaV)TLK465-S*{K*+#8l{%Tz>Nzk@3k>$H8#T;l zoSLMO00va#3ebcVh;n(P^a_vNGj1FA?lLi)b5TEB1DcXfUREHCwJ{?hL-JOs7Pc+v z_!2HpJ%t*J;o}45;FC|Wiv+fCKb1px37i3v0I6?XNc&|dkd`}08S7Uqmo1!uo+;Mw zqGYi-^gXK5MmHz{aCs)F8a;;Iulg}u43apkhLe&;aynL?rMXui@K|)L_@fT|jw)89 zQrMc?abLP~*EMwfunte%=}e78Xm~t!6!~-H5->hf_)}}Rs`N4O@{H7x<(PM<*$6l! z;8loY`7%2CQK6$Qz@y5@$K_OJ8M=|U#zkmE2#9h;W=ct&$MEKh-I&K&GIarz44yht zFvdBh1PpBhKBkEmaR&mQLYVTKc9EPKe6C2tU}KN|y)}ts@5L#M9lU0eT}dKr080=S zp_2aE7DRR`&C?*`A6nAUEg-+vp@UI#6|UjtF&tw(YrOd9;m-wW8hwcH{E-`mkLL2< zc25{%>5-g@#nf${z8?n}K}npihqZ;ZhD%GuYmFx0g7FTqxBT=^Mjw%>JVl}iVvUv7 z;?7~Z-sw6x{)Bf^T!w=*k8H@yN-4tUwRKt^wk%dlJN0XgE_0b-9aQ#o^kMq+HKcCU z&N|f6lULOow2?e4R!%YB*WR=|J*?g6*Xwa+<2Wkl{G^I{s}A6b)z@ro(cU<&7V085 z!#WHS52);YMRN&&c>^PgqbNx3H7g5EPfu-AM^pA*KGSS@Yi2*qGts+ut~T=3t(fEP z9{!%ysi11tIyKVVTR99qR#VElo~pyRJ;iHlG3ob~$$h3Wq;j^|Y~1|NH~T-{L)iA| zQ)>!p-tsu*$m!P=G*5xgdTq>W46K7b)X2ckHAsitx~GnN(uBr-W6f8Ij&OdJVGeWG z6f|bV2+ROdGw)21MoRbf^{G!xXB891Gl1tm&V(UX>z*jlyj9^@B70fnS*KEd=^noQi1wwn=S4axtMxpx zq+ITwG5R?JfosFZYk7cY1fjEigLUjd~~4+vO1j4Ti@|b*-%)Wj_>kt63g3 zxw?&#GDrs?4xfjmd49iXt!P^7$#j<%)59@n?h_$P1CYwy4IYIx7_CuP#P&D3CaZC# z-bdxl8RU+PI}zNuMXU5S{9?K!y+tJSl+GGv+4L(SMd-o zli?o^Xv5`{DV{{nLPz+Tcf$Dp0JQu$;$0pGRlJ%Y@uOprf-*lJ=SP`-%{g+OcM_J7 z6O5J%`I_1BHibWjwH36wk!5C$WemLtVbZG&7gLV?VUoh>Wmf@#i12e=SH?F#@R8Y$ zL;Z~d1K86gQukYXoGZ6{)J3`DIITp|fjjFUm8|rAInO z@WIq;m{QqX6UAuh=QfYi0flCv`#}BL{N2Fs?^-%y9gdDM^8j)W6>X-7of)kxXI+E# zhX6tde+sS*+cW;wsItuu60}^&HkP%o}>6vKbsJ)llZO z&oLI4D-o2zDL@G>6yOhB)p(|kSpbdI%Mb?A4o!NufKepy{{WXM4Yo#8pL*~mw>JJM z^6i~-749QB+BlPsrF2rn`%CGu&5Fq*hpEZUdmf8x;jKnkOwR#CAKvTr#WA!C)JAnd zAW@T!qP^1VN1EPgE$&t*r4ad;+z2X1Vmj9&YO1o%g8+ep_0L-KYUWjG*Nl2Ix}Hgg zoe4{-Jc-?H&gmOz2Z7$GPkhod2!K$3in<>W=(1gFQj1I(81T6RcNK+mq~2OgBfEj% zB=up%dlc%%YUuE%fpn#7T$0F^gzsWVZ>?ut3|z^Mn~AQT@*7=0NQ&MG)$W;$jNw59 zdSFvsgtH@qa1qF zsf>zNFq0c{K(++r;fLW~x#0f*DoqaA)QoMfB)kCHH%ARa&0j zTJKEt9VXruv{Dg9Mr!qM%sIznS~jpLXT+=+b>^{lJw!=o8hOqb z0s+V#wKeQ9c|@oHjzOw$!M^pCoPatIYQ@E>Y-~uyy5}?}p!$)b#%zJwq>erFOrA7* zK-)kdcIs+7XxGVb?a$VdOKs2=4u3k9GS!?>7!axfk6L3#6I~T5K9rh#Ddd7&f_r9? zYiPy5+aUw>%@1JeOJN#rkw_T^lTbto4glt>+r=8*ureM89QCUgaK=!b$0OdUYJu)p zjbku;pkS}1Lu?{3m246?sG*Jl0NW`9{{XepqqT-6cJp75eQ13F4uUwm=@qe##;jX; zO3bT+^{U|_TY?LzjEW-iO~WZX4s+WTC~wt|-t0>936@ES z&IeN7xz9j2uRSN`BOni2TkF}b+AlrJc}NjJ000F}x3bvi`ph{R<+Cd488AkqW1Nc0 zxQo+|TD^HOW>xeUs;*0a76p2gm7_E^r-CF;I#qkr_?P~w#cj%h6%@U zT>az5NkhQ*tfa1vs&yQ8XA3kzNdhn`xr~qD#dOy;=pTR$Rg&F7?OCWZzBrQr#(n76 z-u1R^#@qlgK(q5406Ag+9;Sr@RftT+Uo~^Ik@Tf6G5gGOUhSp)9JHRo39c_L1gxO2 zOaaoSli=lx00q^+Z1O<_njB43nc!Qc{_&({l=WuCd-8l7)4;*GxhLvB!nv;;{5i4k z=8o5q+)nNoC|AY~X;^M;E3?S9xiq00(D7QoXHa@{rz{DKkSe(d_U2Jie}vM7Bakat zzyQeunpoi<-N>cLORi_zM9m`c&uZ)ZJ!dSJNww7ubCbxdn4myL0L^acY9k|afS~mi zq#({}m6K;ulHMuu-dlA+(YdLTNsPO^`*tIo43EaS>7^SLl2CcZ(@l&*8+mGQrJ*ox1G5yHUxHNT41EIH?TsMVvdIKpL5%b|!+_S6P{( zDgyz}9jia=_a0{Agdxu&uR}Aft1~lv*(7GGM$fkem<&=#h+9^MG#3b<2uTONF;Yg1 zCXEUZRA(lh8I+HnL61|^RPdI0nTwNvF+fddW!|K%aQhP~Ff)wP_ z<^hWQ-<>*pi4{REaC-_98d?+z%3eI7893=ir3|d`g$z$DF;`=EeSj0m=C?d6XEw9q zTWd|=Mi+DBcFhg-2t%HtMrVdRA**Yy%>-p52mNG+1L^Nxfobre%wWxXvO+oA7&xy$ zmsrp|EovjalgS8~NYOH1+uE`u_`%_**!g;G>!;8He_Dq((H@GSNM89irMsP@2Pcuz zs>c-KVJIaCAQdO_rvTBZ7{_jEz`Zdb4GIu>QbsCgyN$N*w?^iyyJ#}l?nVHDMNzrl z*DMr}a0P4!jIQN{P!2fnP%PG}WiJqE0pscQsJ5m_d+e_mAwF;qy-OdMACZM0omz%l zVv1T4a&kRtQ#2B*5fN4cj(Mtk8cSwmUK5n(7&)lz{$8YY&N0PYnp+C5NBO7S}{vF@=b>SB}y^Z)Pr}r`t$PWYM zJ-;6HpA*C#1Z0t%_2g4EdyljrGG&Qj$>i2@g_+w+87gq(F|1%qc$EfDI_9L8EPh-8 zntYoEGC-t>R!2LePzOxbiCaUSQf=Lu61FVVa6nwwKCRDgYku?vhlT`g>MI>!3W`=l zB=!fIlPGl^7&|kcmb4>p^ioG0iiX}GAayKw`6fG648egtxu*6By$oyIwZjs9 zDXZI&R@x6X;f_W!2Q~Djh2aemv|BcPKG~h3QpCmyB#N8cb80kQRb+h7xfmH7_p1@O zQUhc0ucnWK^d|oRm~F4&7^W|R^dB=LO|}QuV56BD{YG8Snu%S5c5Nw?6+c0x191bIBSpzm4p%<{fZPf8{%PERM+tXamA1@jbu4V2|Zowm&+@xtXSHu;bIxhjtco^e4EyE;hx0zP-&< z0Gx11%`9lraJ@xn+uI~yI}@I#G`20tLqtoX=~G`_!)}OPSqN28{cG4Pb&G!o-swIZ zu?Aa-=2LRR7y$MBYtH;B;)rw`o2!Fm=geX&0~3v{>V2y&%UYQ{OAfJk4D+nQS6t&h z-rm$bO{rAmS9`P4thBf_=Tmix>%yNSxH!xeqcf1 zA9`bW*7hG8&EhRR1TP~1&_638V+C8+>)7*Md-(gq7Z+b@o5LzDPt0Emes6Q%>qgxR zl;bqj?0IF~jm?>l?N;)_BwgvfNZdU|F=mx`5-`uXt^WWQ_=bNHYDRgGM-l?DMh6PD zgRu1ml2?#^@tMA);<~Sf`Y_cs#JIY`*xCO2RwILs)yeJpn)ELM_|r=8 z_JeU@f2K6;Gac-t5bApz(z$e+a`&0dc;j0B-SHjG(zotG*$Vn7{{T6zhv7B6QBA39 zQipkT=!+t$>ygb}e-!v2#=(3sgNy;RfBNf}@SlpM@Xot6&5R-JCStPMx%%B5kb|$UrE=d8JVkA%I2qtG+6%tv-CeX zq(~YcF`Rc&1_&KG^{zI1eL5?Z`$fo#24Xku;YYqXtwz^wCDEd=k&L$R2QK_VV0dC~O~5z%|QfvFuW-3XoczuY~?9FNBLjqv={~*&BSxW62}e)~#y)02Z|k zSPAC6(`_A(Fvn&0e;)q;oq4nxKGkNI8T6}GIwTXMyTBx1j=8H;^wj66jEouT-W~D8 zdh~3vx=B0%RozAb9RbH`>0#9jNWe(Ro(KmYg?P7zH2Y0I#1YGLE85$HVA3W?Cmjgx zDY~DGq_n%1C?qmK56Z_pis7w%=m?;R`TU80W2URKZSlRNq5-cn$z24a#_9$gIs% z#rlSz2b|%TJSwjh&qoZGQWs)#o=L5{3rNCjWf|+5^&vq;YWP{&e6r{cEB(vGbLroSQ?Ha5GZJnqd5DRRg9Ey*{Tjm|S4l$;lln z(S92)bb*H;sUsQUyz*7ev#)Gdcksa$O9?|31UVgRnyKw&PuytrT@Eyn5APT-BOn^o zwuUn~QrRP>4P$6=267)EhB|kx$Zew+BViz(YYQH_2Dcw-0Jk2;oK?x~<1ye9$3iNt z#jsfysTt?ir)y<68{6BSDaBZCQoX&LY>(z-^H9YcJ0(Ko5P0ULiraLri5rhfi7i81 zI|Gkv$U4LnHwISl%C*`wKB@nfK;Dq z!T61THJ^m8{!+WwU_QC7o;i@*Zrm}9b+0`5*{Bcf%R5NeA2G`?9)te?uUk`(ysU9! zr^!N0@vc6&?N-wMH2`^M1Ky}ODo%UQ4;eMvD5tsckduqLG*?he{l_%XdYg~P-=$Da z8?`-8ekxTMz0tC-KIPGOA0?FWQJa-6N};OXd3$1kkUD`)Qij$m+fTUnZSRwunvCOr zaw+SUZle^?K5qD}QbDq;T-5Rs>Z>MrrnCk^3pdVBdW9F<+SK02=ug8y zITspH9WrhPb4WfMm}QDqlTUR=84EBhdFVZBA?*CL1j;fx1*^UtxbpSOPcW8Uvr*LNSwKIPJH|R2c!y6# zX-JVr$WKA-Tp#=^%|kygk)D|(lTwm>PU%WZWOotj7PCmPjW*Udaur%FQDq>L*KRU> z>kHzigYK_lwSiv7ERy8UI6;B+>ssCj_;|LLaotSDSr_>B;Zx~YUl~5db*q|_!au`Hzb_Hq=!Esp+YoU~Z-y7)PHwbZ5BhwM6+C)AoMGHS_-@IdSq<8N!;!&1N~4KVi`(-ukHdSUbzimUtjGSI(x->vz2R&#=}ZT8xl#pP zZwzVi##rA&xb_SO^Y2yQ(XAs2&2p-_!1=~ARG*l=j5@Ex(YN?xFj&JIH)cF+U~5{- z;o`yyy4o@C&T(1LP6fG!9Y6&c$^trldsVA;#3la#wAltZ`SVjJBSlJ@EKBh20zfNj zNy)`P-T;m>v_)!j>PK3YCsmAv)d3)2eAvgeBv3>U$u6SF+;otKet9JuA`OW1h*6+RVn_{jo)YOT$ zI0W#_~fE zo;Vc96L4+EBRy%NAciw!3@8LqZMZa#fsTaKj*6hDVt$nxrrTE`fFz#u!f2-)h1@RC zIVP+f#1+^*YADx~!5PLXU7*ND{C4X|q!6|oU@kyEjdtG%Egs{=Q@SSaDlE1-jGE$1 zYLAs-PC2b_1#8dYzY)W!#)4!<*(7A+avr$%^rYR@ilL=jv%mP0;XCa{>+RM!b_unk zV}p$K738AQX)abDEQuAuh3}l#(4H;vZi{i@Np&qI@prfG%sIr0$Niq8t$2oyK6RUE z9&N1CDQOts4tX@9-Hw{ki;{{S{mb51L(CbXj%s6<e7cWll)yPF$p9d`K^c>Htb0!J-gG?a@m=8O2zSzep-$kt90h2xBxSf z4mcGgN;WRiC_xqOlfrjnf!3ka@*(+%$sm5Ub{N6{Nj&pVYA9rA!;(R7(w4$|qJoc< z@CIq|NZH2FK;s6VG+Q&Zl!McnbSg>!2;}p}dUq|3V$B*B006xOW+X+h%tm_Rx2~fK znBDw8m1Ynl!h#NJR;F=Vvd*7t_M5AFe1$VgvF`RY^*@LH8|m=rcJbYkK#zovt8P0Mtrqk#T+nYV1DTp^*6!472f!tL6mARY@W%M6Da8~9dYt77Zs(ZS zyoxO5M(Kg|r^KFQii0>Oty+N+P|D!*(-j`iCPDKLsG{bDy-Of6@^HB0=~!$0J#p5y zwHLz3rw5K}D+{m#)KW%p>OXNS#z3tbP2XYXJhv5|5RslST2PEwCP~R-M79a+XRccK z4_9C2E9q|&YBT8G1p7^y2`o^q-%Q|F&0wP5Zjg`!fRpS9uc~0SwAOq(3`}FWw4Mdz zau^XxPivlr5k6OAfcRhIJx^8EUdL1ND!)Mx2YJd93HIj0l5s1(hk9;SxD2wA!e14v{e2aM8_gU2-19eJanNr9Dt$T>AK z%`~Sx55QG%o;l{azXoYv+IQ;;6wciI-0_~Z5`3!XMGAh>Y9as^bL zN#iA3IS1wks5SIAjJ_S%=sp&L?Jr?z(`ix{V`tTQ$^1op^?dI4^U0jN&2@ zQg}GRH;W=^9r3t>Re)l-0jBd~6MI<(9X&QyL+nYEg$l#R+j8_e< zd>hd&f=Q=_v5;pWK4ovtuznG0mrde-v&nNS#Uw{^yAm=wA8PbZh#oC(3EJ7*8)H0j z$+56-M;&Tx(>+Rc6E8@|MALQEi9{DMPFrbH5g|{mM-2h5^;qBo41kM)}QH&=BnqJDcqCIeYhPx=^9obZu)R3GB)$gDCB(9jNIPC z2*^BgDabk#iU2Bj#W_YXoYT6on`DvT6NRZz@x8ZtbNz^$R$3tA60YaqkMk}TGX&7s{qhrqmde=Q=vFqh8Nh8;E$jZ(XNJo0u zSdX5erXh*rv8+odLAYO;vyM5fhO^0yw-d^Kis$a)C2CH?|m!I-rcj*9xJ{TRF%(;#9=2w zZY>p5A8}8{J#kX)+CFS%lmJkY=rQ~!Ijd7fJdA^h1XAt_F_A)?N6Ndsyols59=WB=yPDj*fj1UHSOfZ1g}RCm z#Nd)J0qkouU&IsTAPRwQ;%~i-9_N}Ak!o!h#o3Zh`1Tm93nHwcu2f|2c6&dB{w3+JVGXXiX7L!t4Cpcl`gP53zr+s&$F!Me z-PZyZ&3yANuWCTr$_Vets25UzF=HUf?~W=QV$Ri!l2)!YE z-ehw^Rg{%Kl{C8oK2i_UwK?^hd2m`^o3AN~i~CC5nC_Sb`hK+TWqnJ^;DAaNW8RiU z$NaJ@nyi|IwF@MPCvcI zYj{UFv>#@q<=`qbWU0b~>H4x?!P_nDx#8r_ZfI7XrzT9hh|Xtmxyk zkY?fM3;-ml82q}@$l7QPu-%32z%_?FFRMCjQLO^oS!KA~@UFwgIL<1bwGwI&N#sPJ z1G!5700|YPplDP0k5m>~U9*`OWu4m#68g1!J&(d|4&O{zUQ4%}ug@rx8Dcp =@u; zy_dp23PID>e-KYHUbq;F-NqwdLP+HL_O2u1pM-9FB{;i)8;vSsI*iypI28+yvh*5w&x|)h+2Fry5Kdwz| z_#eY}{w=-oEMO@D5NEh|`B6{d$@Z^M*1io}4JJ{hU);%ftC-eupk{pA%KyHH_Dp82`{D7ZRRc{Q|H?zg7 zQ>MMzIun-?tXtMaBfs!E02xBzpX!n^+fiQWOa@RZ4Xk1ghvF4TF|KruFY zIP4E&(zqm&EyYinjPcW@IAUqdUM!hmYDY$kR{7tCP3~R4OAZ2zdZKconUq*v+b6Lu02yJ;lqMDx!jZr_g)X zN4KXHcFfiuqjs>8wjw8JJQ~UJ#?;OO0nK}P_$_}XoJrLnTc0mJQHbMqI&RPOuN>6$ z`Sh()do4;Ct*+oZUMEqJ=zYQJ4P{FgMx$yha>3N6t3?=>bASLm*2GKuf>}rzz^W0X zDsry-y*BkV>V6maS*z<>Qr*ou*d&A)Im#>I(Vv8J{bhC zwJH2aEv71fFe10Cb$hD|83moh(D|P$d2UxD(1F&m6#-ms1L`wgi{bwOh8p&>qD6HI z!EBNeP+XF%M`Mh1G*raXg7=+@r$(&41bJ2Syt7E|NhOX+Jk%EMQO-O1*FoZc4&V5D zS)WeTBl4wFxlRt(Q~XMMip*PonHg@4_v_K<91= zC-APP!5$UV{7Cb`rNj=!%ShQ+$F}cUe~x?&toUX-d#zI41*4N6ofB_WZo#qBJ+n~p zRJpl%wI9`Ld{)rnR^d^{m!5bh9jfrRfz~HxIc6M=LH_^>fZ9d0VaGi5;;va~(q3HK z+Svw{XK)o15?JTc`qr+bQoCaIQ+gy-)nW-GzMTisvYmi#g1u8)_*LS#Ebgw_$~hJ! zGBA!{%)ktsFH(K0&84^8+oR{})KpW%Q=h`fczTfdkz6+5MQN1_r@@X%CpA!6w$O3` z@0#v(FNeM%)^uoYwEGV-1}6;85R>bM!N}k%&dPHL0+eq)o#YJpQIxF9HG=>_bT_TSZx}Ad*TwrmLRZnidwcdP7 z_;srII`Lj@w>osqwkxQ{2Jh~${uBKBR}?K}BZM_js|txqF6f!jl_zN}NK{j>4NPsO zTz>7pDtNY=k+A+%qM9;kkPbP;I~-K&Z8pMux7s?Ka4Ai+fPKdNsq-T?nOqOAy*zQ$ zaZ-pS$pSK1ds2O#UI7jHRH-6bWPSL}JX6FHWA3-*OXR+OWj~cWrb|qWkV8Y;nRMc%7p2X8lSfrU7bmy&Zcvo7u z(e*of%Q*yhFgD~TkbR9}`A>t8X$)`ZMmyBX%~;x#lw_LR`g_D)C%y4M!Wgv~Y+_rx ziMAp{cal_`pJT;*!FKXB#D?KQGO@~ZAdgz=w28I!(jppJ)V|8h-|7{Lmi#!)a#zvJ zZszmD`Mb{j`3H7+&Uwvc8((wPtBI7MYcy9ao_VXfV-qB!r^{7DM*sysm1t6` z3@;-TCu*r(0W*D3*r`yd7SG&01M8ac zo7>CF*;-4f<(b&z?_x*gRkoZA=9)`$mZmMW%N1dyl6`&Y$PRLOrxZEw=}%P|#xX&_ z1-j(Ls0a2XvHO|131VZi)Bc*g@J;RDq$pasmOQV^RN6Nh_yGI*J+zvSyr?fNeC(g&V+N#kv zEYf73N^)Yb?=1oqkpl)8AdV}5)%**k-CW#Cf_MuY?MDG~>@i-7mZhQIT#O9h)krLs ze6zC;K~G+WH7P=;#IAhv;=LA4E!aX>^U=E35k2k7NLM)gE9tKg_-fx!k&uQy{V`lT z-wtgJ+m=#Sw_0(VzNaMcRQe;u$Ij9>?iC*zv=u++c7q_|i6|aC#{HYuP{HUD?ZVf-&fGib*~k*_(hkAb)i6 z^rYq378X5^8#<-HwMVJCy1Q&B_k=Gblv$^RH50hZg2mU{2h0qA!OQ>cp`*>M>KyFRDkPJkmXC<|Fc<6|batqFC;u^WYv? zAQw}DJ?pif;p7{BRv`U*Q&-{iA`I^*9oPBL=K2j`ZP3y35^PNt8YlUchaie;!P{+g znmvlx=;>tGArlH))6%k~PJ81u$5L%GqBK&_^)G?8DF%~&bWjN;m?rN~qo?a$qF7+_ zTwlVwa|eU%i5N07Kjv$&CyL^Yep{VRJr4!_p(aUuL#05VZ;suWkFjnC>H5}R!96)H zd~XDfftK1PlzTToe_D^@EavCp1Kuyv$GHxb=f52#jz5QY!axa4Td1!9u&cD8MdA9)O+&eRtzagJ|h=>8UID_N6Z5*G{x{ul4PK~u)PW6*76iXVh z?UT>wD~b4taBlp6uSt+VxoF4HKp)b*SN3|h383mzahWax`|vBGZK_rdOE%9~2M2*( zIr~V6&XJ-Gpw4bzY3>(iKdvj->%%{2*|+LC4Yqhhno>TcK>k(FM(SuSeGfSB7MPlk zi*%c5lr&8`mH>7f{{Tw*;Z!IAMgZUn`KRE;x0~bZFG$tj^slBW@iOL;xG3_g9N)xf z;gi6c^4tJp`y7Pl)C`09;=V_U6SN#;<0ihT_#9njKpW574DRk4oC1X(?hvTpuEi-* z+}+(B3I&R_K!GAD#oY<+?hu?p2^t{KH~;tReMt6p@Ah_{nP+B5AZ~Z6Au5W^i7{-; zlIKwB!@bf^YzgKtZR6dq+EyNi^|y8do02j@eQTy9Om99K1{2l{ur0sS46H7P?4RUh zKrh}UJ)ju0@3od)30jwlOn2-($B*Hl8-x8XkJyaJ$ z+x?V?oV3PLFWjzQ8M#qW*RQ6q!Bv1Ae0QEBw+Qzbf>#`B`d@9eryyw3@MvPpUS0?_!hz)~^+mYaO7e_U2p} z=3L~})krogl0bA(y5j@Yj|J_tUcKL!(L3%qVA;vBXLX|F|7uyA7yql>wb&T&U~_mm ztw+0|xBxXQWuphGzx)^>n8b!ufIU@ zl8a;#1ObVYm;$5YWTvf(jyc>Ohe>L~aLqFLpZ-h3bc3XC8BbJ-4%9KoKkgEi|(sL#Mzv-y*G?NWG@xjmvUywCE1Xl8Yk*Z;#yAO|sRN~e4za`Siie*N;V z4h>UVN|+eMwKG=%KA{rDVsw@s*h{kUb6MUk=fEW9{M*Z}&j$4+0jx$g?h?MN5+W_t z4tM!=c``ZQa5Y^7v%Np2D}w78(wd}{wA$74caq;kVLi_p*Z`S_L9|x~oYNEDM$W<3 zRSr}OrhClJ%C^t2Op8UTJMC{Ie%E<`KS67lpmM#&dz%V+pCiS zuKUmzbf>j{0J}xtWtyW}3I3+<->c>7WMpPgoQ$N3kn`<(fH(MSx3s_KvJ&haRSpT8 zfFnu6D6KnsVgQrxi1gF)>~4KV#H7FBIk{1j41omX3y&Sl`1(@lvm@<7oUo60Sgfzd zrvI5jstsYRY-T-HuD96uabQm#Zzsk62KXuLz~c3K<&!L?JJwBI za<{s!uh5{5ZiDbSf~(eS{k?}v*|sWv)T=WR?tul`>`q|aFyS+qHXv*s6LN)2*FhD9 z|EB;=`wb42f|-$t0*1qAzvPaJ)_{J>!JljK1*OGEIz7J)uvVc1-w=tgHy3&Y{%Ovc z*BBG*e>H9yN--a-Vj3*B#P1gh(`1m)HZ$yPVl`M)n%ZXf`UeOx)K^o>mi4x#XEXg1 zFcHeI=#@POhMl8%JnB15ik?n@*IoE{uap>_o%3W@!L^HbwStH~EwQ;Xbg&dTaVNnj|k zu2Wn^n5r{D>DC|eYh?NK!J*NMz5SH-i87*lHk%lYb9L@&9t}h@T5I|%;-ZGEJ^U?j z!*&E&7`&Vv4Fp5FDaQAL-+g*ev``riNdCr2N2mB-OqLy_!MIV;xn5BGg-VC$%s7;E zX4wSBo)TSFqti2`XjYoZ(*?h*Msf{|1!Me^&Tr{`9;(rH!$zT90>9i zJm0+~pw?4*#8R5C6|SbPea-yAWyX@_=5hH8!tGK&d-#jT9^R`QhBx>kecFS^{-eMx z#-75!tx)X(LtYq@>!tbc60)zKkXy>=z+!1YJ)R^<2-g-umi(UB}^vNgxr zYk%-SyIn$KT>YXY>HGUqkAZh9yPxkwu9{oEH>cHXIW!Rebz_!W8Qxua`2hYEKf+q) zbO=s#Cx_jYXQZst{QyN9(D)c}>}|!i=4)qmHHn4U{oOdtA5;Ml;R^9d?zS(tqoZY{ zw4Owm(_FrahVfq2Po0?y{24&JP+UkY(5H4IIYO5u=e1~^NX0xvKvSv(u5n9Fbop9g zpMrusm?(8fP*!_>t~(q)gxeDJl?l;;9VV1^X8s?!T(O19-`<7HvW%gWvVyu#cx+e~ zbyynQNhf_}?rbOunkr{3&G@~RNS}^P%kqV#HFp&9-QtCNuz8A+F(kzVXW}A(ag!W6 zse>W>rl)^ruT99q6Y+&3hpW!xr9J|y%kA7~;_|~088h?tX`G2 zO=zfeR?pPi(8$sEl~agEp6snK*V8 z(iD+KkQhwBtu{^@RiqK5Gwq$^33T$hnp>Yp^3|Z@?X5Bi|1$YXpRa_-IBzYCi8MTt zYk8jMje^9F_hD3@6F4rX(|h<79BV5R*MhUY*x#W>6*V4_Lio9(*si!1w)HOE%*$95+Yta8L26Quj_DXtMYpq5q5wq|H>VzbpGVMxyE=Da6CIqw|Y_qL&ME zWiu(TC|lpTI#IX2PmIY3wLS?`yey z^?EBad5GFgZNj&8sx3B@GyPf@ymzKKN9o9WVP~`B`{Hy>sUaAMn_e9Wu$BFoYmCQHVw7ZMRb(~Mc?ZbL&o_aOFz>=e^#K0xY_@< zmdjFUlRO-!cQ5su)n{YdpKl9z_&~I&>uL>b&BUpT9lY&$!5Dy`E5}C45(Slr0=q=X z)L~tRX6N%OuESzRq%u@B9Oanj4fXk;^Ym4*d6P(ib|v4g$vpjGcKDDPr=}S5e#(cc z5!8yWDvjxfOxWiUlTIcOn(T5;8X1196CG2eayzYIc$D+L1@@pDgu7O-k#EDO&1i(~ zF~XX!o+upx=pOC~#YbcI+`&MUU~D-SE3Wekb( za?gKhn;klsaNk1>q+xC(I_=FP3?LbZ<%R9^7V<D1wbQS-lSAISFSvOr zRX1#Qa=G#bOI7q^iuShwW1MIc*90gUwIjlRV#-Dqvqi9ck|F=pd1ii(RV`}*>w>HY z+to}7ZlCa#9#^0}7*-?D8wHL7W5$VY8_pS9wLrt2<|ZvUcZZe`!LdJ@iwWRwvV{G* zy?2@74?q0Ui%s+YY_gd)D!OCQFUP&iQnB9>P|lFPDf^xMm@f2a*qZ+^4Q*O3gf_*2 zPIWb}E~K`%K_E&tx9 z&hh7U%bW6VL%~+9PyY>cnI<2;`_S|E2jC_9TE9`&IYTk(nqM29VgCFiXBrTS8%rEe zbR`0*1Qef`^Xw`SOeYABs2qj#dn_j>E@d7V@<{;=R^Bn!x5VS4r|1!tP<;GF#kQk` zg*0DqBEt*ezVe!TW%dui&QY)3H36==;;R)~yPZ_op?_%6`SnOCazoU+?#9`N!x`|y z7deC)BX7f>4$E@9$w&qKY%#=O>S5N{+J2%L8IBVrJ$Pk&22Ryp&ba5c`kDTj}TY{G3-nIy}cD=A2J5 zz3N+F_uk9OsI)4uGex1@U)-P~57>nVRk%%B@%F|LklrIRtlXa?%9V4m#>zDK`g^fv zC(l}sy;BxtRCzCIvFLFowOx2z#1fORBw5h3q8rc!CA5sAGv})`iT75%XaxR#5xYLH}drtTqB7(+^WEu!4%o+rVUpI zJJi>CE@R7t#T(YV%>IU|&Fmf>w)x)ehCSXMb_3$3V6myWG-9}6+)G~@@e*f&`rJDx zStr^SBh0_r(u+tr+(yU@umVS5&fkG}j#rFH4qQn1sO~B6XxAb8zIps-&wU$YsXHGo zL-l2cK-%&kNdTc9Jn5O;g!TfFHJNq_-)B33s!Ybns*hm+1DXm2GUjQT4JVz(bJ9&<3t2U7EvG_lL zzhUFctcGHoaAhBj)5P_kADv14qdkN9DqgW|8c`J@vx+LV>w+fheMh3#;(vesnCXr}Hyl=)hZ3jr6FXSdN=d3JcL+=YaSm%7~> z56Q)ks1Lip!lfgmQGT3LZ?>f!I<-*n3|4>m^Ob3N%7URdEG^B9j?eU>MI#DOR zq;Z2rqHZY`V|~k{%puF|_N>ur zuv)+t)53J^!v8Rb$b|&}Q(7QYZ=bI8AD|@ha#FtK^!|JV^H8HJ6bAN#W0&|VoOFoH z6U`Ww#;dNP8MqW>Avo!mV_s(RXonL8K?@_HaqdSqU~dQ(4H)~me;i5Q?THO;d`jo{hIJ{pod?@-X+&OnJ;_Jxq(Qkd;{y zV+6BG zle49j-iuW?I3;z;T>~TdYen%*hu8LmdGTM|$5DQY5PktO>e~jPA2snubfuP(JIiCF z^ky5er|hAi9fj_OkA0gD%cFia1aJOW!laN5Rw1-CXtSw5+hrPdvRp5R#&!^2)Dba( zQZ~tkdBON@@Cvs;>vJ>E4ojCvtRds#xLJ<_t5Pk=9+1&fWRf>EAR=ymaVl8Mvs-+2 z3Kqo-Or19qgY>1SDzef;n5IL&xBVCQz$iRB{VYat-1o8*+jV{NX%}S*yDkV)pBKzr z10W0?L(XfhHycCYdt3N#kXZj(iH?Fx@jrmP$iX~(wstz3MaPg+I`PqPH}vRIHb+B) zm9^N)>2L+}O;*NG2=FpJYll~gQ|fKR|L*3-1DTbqpm*bZhMQgiFr3<^w!(uxb?4e! zOA|R8&URsm?0{%KroE8G3Icna?0^}VU88zFXtn-+ z{Y*(ve{`268m#5Sd&^=j5%KLkD&$4daHJRw+;Tm>PDj$&95}95=WO{V0--N>pY2Zv1^fYN+^C8&!pAd zlKLlm{;*}i7aEZ>?Lc~+L>pPU%g*-viyZ}Vjt&{2Udj}bt)Z_nXLXk^S-5NHDQ{u` z9>Y#$bKYgX%ncCdy>>FIJNxUent;`S32bzq!$PrvGZGehdhaQxh1d-0_BAm8*L}V* zcVrpLw(#Ccka&^4&ki0F<^zGZJ;6mMGm4e*EY|bV!hUemFoBpaOWA48#BseLt8d}z`e@N zn=65aM&Ua;^F~4bEJJI3Rbhs)?fcxEsKI_@lNjGf&ImYl-{(Vd)!tgI&5^!`d(YUlEX^p zCM7#h=&;9nn0GBf@e3#*GLBW!MkV9KqJNrq)BZ5qy~exl7&XLEROu4VWOL<6!<(t( z(e_)h_N}$6=XRF_h2er3MheA$1;MRV|1G-b^&VyE|7aQ7885C?_4^bl>hi~(KVXt- zCPY#5Ad5UvUgBu0qJt#&ttGHrTh)j1RDaUwNiw8>co3%Z70vg;f#$`BDK#9K2yX0~ z`@51CQFZRkUN9mQj7e|Uo@64R-jKx2ap1J?weKZ=>qn>B z8mS<;@WqSgce)13xm@DWzflmIMBq4sW%H`)%4I*`7d|cU zN=I|&jxgr#B{^a{J%6*pX#S0|9%WGCJXnHS(IxM}+n0=?hBn_M%PMRyJ@_UhMYw;^ z5Cz(b@qtuBVw!y4h;}E(azfJ564$2Nt6N(^QF@-)1T5@|PVW_w&V~AZ^j)|4l5`P< zfWe3FOusV{oxi)3U{Omma(_zoj&g7*xq;?Wlayi493hcRWls_NH-udTfRQc;Ot|F|5UZ?cv7OqXLjv&V|0 zg|~g%QK}6d#_qiono?H=W#h{Qa2`N39N3uC@i}G0Pu@qr(E&A}G3no!e?FG(d5y7N zbhEy0rH3*8xT8Pf{P6=t6k5hwKBnDog}IbL3`D)&?L4~gd^nX9p}@4rcix$)6*PN< z6V;Fhgd(|IUoR6gXvu30s#r969}nHC^*7(1hADid#KE+L9Ai3`<$hxQ#?cOnBgRXk z?BD#BP%Qh*6RYt^!5|`&p+!3ebLp+WT&i*xw?8lYc)R9g8)!%c3q1R?g}Mmp;(q;h z+Y1HJV7yR4>;2b7ZMUW-c#*a+N`jLbT!kQTxzofs(Mm@l<`Tb0(wtY%WuZQU0{D>^ zKS88CXR6rIqFV3xQ5C8ma1UK4>GW2b1Ia92BQ#p6iiw-@#QRn1iQu>qh_h3JU8j8+ z&s|r<`=6%QPwQ0{mm6W_rni|Cd=+&s(|5f_jxB8XjfvsU7=f1*M<6s8HCY0|jF)y_ zw_XqP)KZp)WH%0V#VRPUQ4cyYb1rmWmT4s$PIP7})<3T81+5jJ(ICnD2Vgd%I!6P2 z-K!M^;E)(o-i-^qL{=GY@kc3SIMDkDDng6M5 z666M4GXJ)TdXydj510)q_M7>Y53e(MuxVABVJ zzD^FjWWlpY8V0@LJ>%}(;8b~0#Iy-dbQDduDkPcA9Y)9{yQ2S zlYCmQ;iv)E;if$GDH6&*WmtSPW=dTn|3geP*Hm4bQLdgKV8Q9XrU`;bB2u>Q?i$a%pRfr~0r#+*U31?b&pbHdB_4WB zjimHbvxgAYS>teznyXO%MUWV3+itsH>hVJ+fRd#;`5at}U?7R>Z&z~$@Hj`IJ{VO`o7IkJ@&@sRyjTf6>U~_Q>yOi2?6J$8&aN3>`OOz{>9le-2C^GzK5j3|+pPmY zW}TJ8S{2~9^bu}n!H|Q!~W|t zhT2g#eEHu=Z-6L)mA&oCr&3nU(x(@C39jrWS3H1YDFL^Vix-y1VJHWD%Ev;tLm`Hj z=c4|c3TQysMMdJ-kOO%Nd;KiTLJ(o?@((~NLT??vXMynT&If<#=3)5Xl~m|A*8duICfM5!EgI2WF4dUs zJCj3WJO9&ov)o(|8NBd6BoY*Mj@|38Fu@pm3%a2Mlg|>%f-T$5JgBK9u$dCvc#VbVZoPj1R$Pd97`uXe}onWFU;oXcXdk$s-{ z=(p$Z2A3E4E%}_+9!hbI`rpMB&ag~KbuiuYI`Hi&5gMYKU{*u?NzmZBI197B6SoX5 zrf~FPmR0WhJEiMvy7JccNAM_&DQu{B@qu~^X)MzH-e(&yjnEXac)YiO9M-As*+T|p zObQsr1pWa~D3%du7VyH;E)aAx?1ua1Cun1RMAK_6+heVB9NHc>#EEj#p*av`jx?na z2aCcl@-B_(J^7%0H)rOdKzO`E5skA_Yxs4V04Nt+`|(g%#h-Om?LiYxO*fjt6! zBBv_F7i2t3d#c9q9Z>{9kKBT8MDsBa;oAjDEy_OVLBT?(G|5K{o~4a->4BTP_QK-F zG~W(|*`p6FB|j-k*&vg_(ojXWY3w1DPbz6kU1)ca@zJv%Zg`nM>0t58i1ob`hA%W! z$_vmgzj?pXk3Ma;TxeKe$-{=WGQlhqt%>wgmh4&nq`E=0u`YA! zQVQsNL0?36C?A;UeJE}$lBxXSeE1bj?2vDidhnSm=Hh-4N-e+JrhWcebw05>RgqKj z?^p}C>L(fiUFc9d zzshWGrvv+E4L+ecq4Yj3JvVwjj1i(S-p+yC=_MTKQe(+fb&jJR4%E|nEB2*W zIGl*#7>~qcb?kQ*d56+jl==e3+Ce;Fm-z5|Rot<;Y(wUb{GvTB0~SU(`MZi4{En)F zRCK|=JVi=6O10FqMlNsJ2CL|8Eaz=w-#QiZ^9zrEV6grNFkTPgVo9jAN>|wK1nz3d z(-+%YvN7T7yv6@biNl3X`z}^nwvQR>+fPf4dch5pLkjEdrOeY!#GvJ$fM*Bk1nC0; z<_%3_lNB3%tO+(!$-6S_d{tj2puo?je8DMbfXvT*_mPhl+oS?Z)kDsq$o)(LAItS- z>li(UBOshl!J)bN>nERUY}ZBh2~sQ_Q_C9}0)BbUHS&Ns&YnQYm>Z6wh4`tX*D|La z#^BZACD!~lk;Ymo(t^F8WjZ0b_34EbKe&`gTzK`rV+G--2ApZF%Re2N4O+JfKPi>x z5cgZBE|Rj=&MJ5kiI|kT)A@}38uS>;lxT7fJ{D8+rC;J1Y7*9Y|ZAoy;U!@~@9kh~|(q!c(Jpn`vai=N` zhP;)hhLGAulG`wjh>a?~@A~ITm!4W-f()a^kHzlez7HO9=^%XK;svC@Jtm_r$%T~xrs~J4>|J6fuoThL*qk?N;E?Xa_6n zwN`m&>znNyX!Sk$9NEaDscYzJqh1>Bp*=3lsHDH%aWR1tG7twYXYx z^Y+EAs$L%yy_dqGYePTGB(>R~l9=*TRH-NE?+0jk-H%in?8TCRl8-kRWJB4C z^3GI+zpbhY#ZD=$C(o-==K0n4-wB%<;GhpyjztEc>25%=V|UPI&dsw;CO19loIK`H z%dSy!8RA&$zwcVTPgsCXyq4R^cVruzkT=h>PHlur$8>+d0eB^*ZYghmbxf(~&~+;z zj2{<}Rl>$HxV@`b52=`9R!N13u-ACSNCBMpqY6+|$vqpImvrP$9XQZ`_pu5-)I}9s zivRpQk|H}<%OSM`;5Je#;K9FMFPDG)-+uzxDLq}qC+fdwq)9Vz$Moy~3sr8?0tuA* z64cy^=#O)aUX99hV{~pU!VgRthP+(j^xBp-#0~YH?$~GNrrSxj&vQ&ufdxou-1Z0K zPkhv+L`!WT((1;4fPkb|Na47Md6d#Yg_PI4$6*I3TJ)fa;LT3*`TI24{lnJk`lo?N z`Jq6&emu{7nT?|tV>LMhhR1xG^c>d-rQdg?l4El^dpF3$qhQ**Co|G%LBJgJByUQ@ zsn~=sMwO}4-HTGZ)b1e=9P8c9Iw8&Mb_XVR&_e0(=`RRE%YQ7uXUx9t={FJZf__C? z9y3gTLG4a)>l84389vKD%r+k>ubI9x(gA#L%DYa)du&t7GRkF1H58v(q|nPn1j292we^@tid@|-tZgq9>Lg_jn*pt z1DF2<5<~;9r^qd43{v^CYbB)I`C~! zr0gD><+HL#pZ}h<4>ZN~lLW}omiopN1yQ{eX7{9%Pg&}&fZl?l*gd;^TfYF9Qdf|N{C@c>#CXi z6KtcsWJkHCzW*#;`Ty)yw`>dh7v6BC(Z{0O+u}4fVz`h=`ab|cLHBmQ(>{D2C;6^I zhmfp+fmi$HsDIt90Zyf?BbvpC2vHVUF3Qf{<#B89w^oaf_}n6Ywqh{6#eFiH{q@3@ zv+A02IN{wk$$y{e<9A~~unpv{egd+43O-${846I+7rI5pv_lYTA3=k>9aAkos$Vd3r0G?vTSwssih7c_w1M#<3`Qe^?I?d?x| z@Y`)-GI*uXbgYS&6-~Fp%KmKK180y)AAo5 z!)`UgRz+Yl4@Cx8e>(&a%H{7HS59ha{`R1J=i23o16|FXr0oO1YISHk$+prsDAE`C z3eQg6vWuTzI7W(&qF-h%=*(tIM;~1F-6rGUhM>zxAE0RAab1 z)vQ2hg?9bX)O)!*8QONuX6)62ql}-om&NE2baZ9(xIgm7%sgqYX0|T zejZ@8iKM>56Hf;Jq;NC}OD&IEkbVstl<~eE+_8H>!w=#Hl!SZ)qwy>#@;V{X&d>9fzJ!2;sIfv0(--!I7ND28gBs2Z$`I|@~~Qo@krFT=+oknkPDF1$UKh8|($=D+-Vskv@iroFhodp`mQu_Qdk61v+T| zZPdavGpI25+-<%`7jbsH9ohR|c&#{7o(m04y9$MN1Q5*};8Oj}3kFVJb+x6cx3@>rNa7-H3WLoS5Yz zAq_;y-^RKW%z-Qvp;*Ab-+Y81g8sXziwM7|AwuQ<2Z&Zx)be^sj045EDXl=z4_iNh zMKyH~-4dCI;`5ua3^c-aP}|eOOX_-ldWJz)k*Mh4p;D!R~R4$$9H1&oUbULtKc`t~tx z|2|AG^n=Km`g{qP6^{GF+hofYR+IKXdt33K5M)3N-V<24vREVV`f&`78l0Ygo;5ew zZPtTf=4iO{S-)6ySwoLEOHR~R%!tD%K)dFO-)((@Frh4aLddri_xu}zss1+N*kfLV zzE_w}Mh|J@@2OPV!63h{YvY5L6)xj6m745$H1Z91lEq`-7a{>12&e9azp)u*cP&nn zN9yausP0u-S*JpyfP+r!p_ocZyI)I`wp>R*Unq{P3Qyv1>Na8KJg{5QQ`)x$I}ny5 z7{#C6{%RW!q;LUJ1G;-1we+4E!Gj!&mIJ-wz=L!#jr{}>qVv_!vC~N`{nMvzi^~L_ zy%4sHxdTvPi1_clgQILH)C(RtlK}#6X}Hxm(+9i*CK#q|^H0Lxp$4 z7&8;9P(IjJdWi1cGF4p_YfZWtyQD(q#Aa>;-z91&6uS6MY_I0hRn1p@U0BK zHz|41rh#r{u1~MV_d_@4IfZI=a|wya*JoZEb$%|a_*fY_H_0uofb6FVK9`-&!<5=t z^u123;%;RaQtcY9vB-htNK@yMof)05B@-@+|24>Q*z`Dvf>;L=Njc0qmq#?T!V)v*9CQO8EEkZc)C?0#%<2-Bpely+i!`*QOo;xSM{Sw~p;YDl>1YoY;wXmeU zG_|O8D5Cc9+RNT!ZhU4HKUWoe7mm@(c~kxcH<2Wq-e#V7T|bf9#A7^b=C+ELCFbC@ z0#>)C@R8Eew!3y_D6FbBGFO>x$1|iVJ~qG_%h57uuQW#~9``5qG+U}VPq?jt5}w!8 zAwX|-aLX5p?dH{ zBT_?PE{Eh$y(2uxYe+SSO-Z0j6<^y0T{~chTfnT1~yTr1*)l0lEu z>t5%?I+F$-22kGZg+SUkE#EYE-Sj?Zvi;d%a7)v9v>F_T2~hYcEk1_-dQHmMF}oZ z2k@mSDB$V#5QxgF;*eiBtKF=uPyP?kF7e`XDi!Js)7ALuy%<@2p9X?M-I*`hW?^}U zsnU!{n10OD-$>`-QL}=S2xWbLdfqi3fV~*JK<0K$ck5`T5g17I)6q6TP0DnNcXltc z%{BI&mgaR$1>K@ZMHyenE7b4YNP8agEPkccuO&3XFWuRNdoj9j8CL7MN~tY6u3e79!0XIlANF63)Dxc=mFjF2D2_-v6D;i@qhtzqN*YJsQrx zU^G7gqlI&o`Y4YFgEETGOjkafdHra(cXlJp-T^Tl83h|w^x|;Py%`Lov_|&Kz)mbA zg3YZxpii@qi@gM_=j-A#!$d=6n%S*`zY)IQHL0e#&>utx)2x&z{tndoAN5MT;qwW~ z#KO(63FYWY*Z&B;z-{PE{SS)2M--RetUQsJkrpzAbp z!r6g;Lq`+Ya7xocaXT>w?cyo4!4$Hn(RjU!QwhV$KbmY+x~M8`JSHwN6Vzyf-)SM^ z=glL(Gzt2V3|<7j;=HQ6(5EiwXxQH-)4VGtKNA8_D+h2*BkPNh{lZKq6fC+S!8>F%VbZ4@c96y`dlbRDoEw}5_VYS^`pAc*=Gmf zb!N``^me>Qdu9jyz&dYanm!xZ0ysdi17g@0^q*RiO=8G@soUN)qmC}cW97CW$-8vi zP=$`G59EILdls-z6x#yh!YQ13hQxGtNGPgk?q7rYyH?v5wBuSOr?({B5=pUxQR-yf zL&wG+cEXGwVpyNIBz6qvKs2@j2-yWaOBywg7uTligQm6ss}!#62zTUz4Bpg5i@Qg6dRQ3BVKo^>tKFB3?|TtK@b|D9ZGX7Aa5;$)4tS^V1nIeJTK zX*+a}^A(YKIKE-o%k*Vh!x@?1Hf?A$5=&nFCANYNJ`RovbYJOCKMjVd+=Yc-I$uui zmwBL^nOsz?CK#}>6W>ub> zq7dyrIt%Nc3Vm1C<4up|4@9moeqW?$qG#kkE)RJInA0p4KQ(6-BixtAL}BMK;`Fax z^ob4H^zLLc;mSnWI}HClH`yUpmMsSok7Vf}2XIy;dJh07Ph_@!?=!S~l{EBP)(^hF z<(5X_M|Q9}EO3%JT#LYu^GiDNNLF;*uA)%gdjak-T*xthj0r8~3#)Yr#zu;>`rlVd zVBtAq9WMIb6NHYQ!|SM^QrYm3%5aa?;fifOo(_?t9(rmb)Uj5!ra?Kcm!+_Bukyb< zGy`5oD^PsY{d5hUvlKxA%0egV<9hCw$ZqYXG;bIxKYk)e+rqdPjre(XW_9$N z-k;(sE^%Xg@7eXudP4vc*0G0$;ja|9rMIpqS_4y@PwSApt;LZi})G7gC+Vm8+C z7T~8Jb*Vx|TP#fWU-pyta6mU?rpk_X4AYq|;Wj%#%X2_16h_qg>HB?T{XHf4%rS0E zM{bJe7>Pox8BynB0TN!w|E2vi=!9;1C&lsfqdOQ5{Rdzz{B@S}{s`GGb}Tg49RgoO zUMl-Q^AGS&^BJd_UN(zdGCi1H6AtE$XMKKGfUH%;B|U$jnf_lJY2PobROV^KW!8gX zPd`?Uz&yDXRgZhdNU#<@$kv`Z(ojf{e@gtzF zc*hZ?#<6zAx9;HIb=h4CSOE?UvSdt!ypp@h_hKJW)H4pgmBCV|YXXMQly$XZPTJXi}M5PUPm(KCK)M}zV zGl0@-VbxAJGB}4Lp9%Tj2Y2mdvknFJPGPMTKP)eh?Mz_)@vso`ug8T~8;(eb{Z~9y zff4k(xZiIZ&9nYYUK8az+oRzxu?^WKXcz|J@{;@x3C;ALKiJymK?e2#$vzF#AM`Nb zF`z;+BD`fw4@CAQ!^XoL6%n^@|A&|Eh*C0&;fgLwQuW?#h?D?wyo4R;;w`R6M1O}p zXwzQ8HALN2+3N!3yRWQ;d7KDC)p&BzPeKrG$k-u^JjLO4&=Bu*_TMbm>Od@jCT9UI2uZnyX#0f$_8pvskIsy1>VT9nfxvJ00%`p9yYVQu!=HA#lK39QP`J}}6Jp@l6B`lI#N)cpI} zyn}We``cQPK0s3L2yKBw)Z_I}H~mrR?}Lv|eqZfkAJ}@_4A4GuKOsBxI5}CmLlz7_)rXeR*AD*g(Gvdk z^;h-fcO}K~ll=Woy)DfIl3e#$9<+yL3*2-p8K)drD4A)~Yb^e7p<9tN9X~rkGC7CPUWU2a<2ioODVwH+9|W-!~YELV4;rT?WU!Od;&;sa_D{ z9K3Yie!w7UglNCrPe2t8}8$UslyPd}XW(3byy?S7_mo zt4b~AF4tUgHdIr!9(!eSM)7J-vv*K*SYqUnRg46H%iwR%8CF!9?Zt zWuybN_FG9so+Ig^rcbk4YS4op>BTOrfQae2A6ysO#2xaU6`2H*cZZz%wgl-xxFP)| z$Xw*n!}5+)0W3KpW4DhKM@16Mx}&T`xm7u*qRneKSk(J^w%Rq7r*~iYk?_E*sU>Mey_Ck00sEZB^)qu`P{N*Qq$$7q)1fFxpJ6 zat9oegP<$9pY6YDsEDgt*GkSA9v9rmLp>ogPm8%Zp0pOP?ZjzLw5kX=uJF`npe1*u za_{C$-o4om_Zkk;@55)|PejAGPz;>TG<3+2t_$HY2^?}&#w{a^%2NNJR>G8UXr2DE zyAxNb_M?MfNt?`+$IUle2lfe)&O92nRK=EZZsC6b{+Hw8Dvq9L1ovcXi{zP8}hNv69|#dfLPwmx3xz zVT?EkxrtyK=MVYv4=ltIKKL)sRlmwqQMff=$3gQet}Rol5>QyDoO-Uuv~CJ>rQ4C=u2(RI(4c-V+`UYByKs}*cEQ% zLKo(da(T{h4KWn0Zk3(nnS@|^=AQ-9yO&tyfA|j=sAOwV7n>`nP-@+s)J71Ig3He& z5lJ8Fu2J9&5%6)(K~>_8H;u_m1IAja&6wg?l$YC|;o~0k?Vu4) zbt^v9j4XW#Cz|rV6lpiQ9q*YGvi**BCN>0(q@0yC>PaexRVrI=;g5QkS+ug$>>kqT z3kDo^!Sx2RoH>xwvEn~&xgd`|L-^IS@o$JAK^$7m*iq0iR^#eMYhP0EO~telOBLG6 zs0rF5IV1U3oNAhy+RDh$J7c)Taiut#rkT~hj(k$Aaji}Vx*`7n*=wJg^5*L5Ynz!& zv&zNY*bK9Jn&%|(EFh3zl%K+(Uld!0{{WA9`ud7J=JnKeJr70kcDbV48+|tXK>=dL z3@YPs`i#|+@gv2%h&rm{wk@Oo01ES0@nx|4(9QaPjYfP?ZPZ0CJ-E$ir8S~plHH@V z*L+8;Yx2P+v2wGRfe1XXpl2E2bv#paU2gYAzf0XdL`l#U4X_YF>M(1K{{V!p*+AU{ z7;OF1b6b!G@F#S1g)|=-wpV?SI{Dvbjjn1Wnf)iAi$BvdFS=5Bk@bcgC%b4&#|^2 z<6dVUiS*NqOCUXYh|^Drw8tkBNu2fa$f{G4Rzk9U4(DI-maXD7fi-_3Na14WvB$Fn zjAy=o`t?Ui)$a7|LL19ZB01r0$jq4;u1$PLrzUeNTI1r+h$Pq`O9-*lh*`HE#ki)w#f^SQC5B6FIEfIh?Tj&z*Y8)Aop!+EY~+vk z4K;j0rktx>4367dKgzUqDs6D(U5%@00{o8>vVn|_{`K2vzY=w=BTa_N6tI#A6e4+% zuwbL0Brpf^uQ5%3O*}2ykN69Jg*|o6IJgGk53dLODk9|_fvfI%tbZ5v^(0GYrZ~@F zlS>vCww7^0<}8im?%c(B1CzkbbC%bl z-e@LRlYCCDq4Gg0LBaOpn#mfjo<W~=`IA25U8=M;lbvt$I_Ku7!Ho0O%v zxntP3_JeV8EUyqRBeaZncVbWTHQIPb;vL_Hw5G9xPqvjj#*j0}%Go`0!OyjDabDRT zeE32C0ANHae@f)MW#Z|)S9@nY-I7|H zbYxXYOJ{KhCm?!PJNAor=*T{dDSp)vU-gld{{VPY$y^dkp}pa4d;14lgHeXfE?O{D zM)Gaoj1mv6canT$oUjX_AOr7iy8i&|YswZ_2>Z#N$8e=H#sJ#tK<611SNCiyC%NdF z*TsvCPe{Gf+eTPg<|c>DTaCd(3}+igYtEBq&4pP`FbN~`rX$2#=G*DiRO=!xb`?4G z#Y$Eli(}MuPl~YVx<##%=+d{@;t_^Rfri>Ko=19{{ue|$fPDhwFLU;V=P&)n}t!{ic@qM3#ZZD*`v$~yb z5kN8R`F7`yNcHQQ1T#we2RhVe>J%;1s9e@PKqhB@#d2}Dt*DK?{ zh?d?e(HBmGPP(^mIb>#$lz21e94KA(G<@uqGD6d$EEb_V1yIq%Y&ihF~% zQ`wKkJt4m4o2lpB5P$5|<5SHY#r4$Zdik=nn8!`ToPM;9>CQHvdKFN)V2m^AQy3)M zLssZ|55un=Y2F#q=d!-FzLnlnAd(bP2*Bf#2qP8LPw{_5k00ndqi6n^FaH1)UO3FE zF@<6|=BtNI-!hOXN{nRn4LYN)_>bcIFBVIun=5;mR@;8#I)R4JSLAHzD0zK^fi zY7?ZA#cv>HSjQw|ws@@IW5FCXHJKP=W(T!WrlPq}T=viSTmBx;FlvBz{{Tkm`qe#e z;;w^rrQKUw!KgFM6Gp6HzyzroJoM(ggDSkH2>0tzGa<%M0qs%scp$V!+o~*M=D`3C zmFr#x_=BS8o*J{$ua@yg9OEBmIj=79_Pt@^ZxJp2oMsR#DM4)n_Ah&;nNl2Dym7# z5Gf^I26K*`d8IlLle-Nb=d1YF;unYYj}B@&eVp@~nd8J^;bj@f?s|XqtI82qZbXZk zh$`;{u@x{;w2b6>)k2hMuXGzdo5Oz)yg8@nx_!0f>qRs$EN%=AaKqdT8qkyCZ-nKM zRdq~Y=Oz~e`B#F-pD*6UB0>o2Nc5~87BYOrCbv2d8)_DM$BOUotR`74B`LLtE1W0? zgUxGvHSq?S;mua^T~g%54Dfkjl}ikRo^f1LDE<;fIb$B@9M8P($Sn{YweGn37EJ4N?scs~ds!oBB$=HU9vBnUS7Y$E zTGKRE)rPBg4X~6($YgK>V}b{-TJxym9XPLyHGEy59-760 z1b@$Ut_$LZuchjm98l@kwzo4a!m(JS1c8Iic?a0lobU+Ga4OVR$r;KbgX#rk3^ZWV zxqRs@4Hy^8nkg^{M^+=)5Pzk6`fIvLy~^q~Ks0JXnUoL+2OVqYOl0*LKE3K8XA3bc z3`0Nc^{T5%HFqWww&&5Bp0lMa(H6h8``F@TI6s|u&Z-sly+NaObZOa@l!6XTb7^A+ z0rH~bkGd-Tw0l4bFb6<7RMMcSJFcUg)RmF1769aqE0*!P#`l_g@sJr$ZsNB%3V9XA z_=fQ>H7KHufmm~s+jVzRT9|BlABUlj`##iy0^kgft#;7C7=t;<#&|Wv_+B+_D%|eK z#t%GKX$88ixg$8o>0ODviMb-d6qehA6(hcC-kAh`R(BuHtJ&H|GBdFxj+m=7SNa#hYq>}l4P%FyANi3hz#mdsW5%aS_|hL+wZ+DMeC^c@W~=mmC! zCCLSw9^6#Y+k*z>Bx9y(2_Sd5+r0Jbp0#o9%Eg9|@H2`M0y`)b#!E0iT5?*WNhTQX zUV@8QU6kTN7t)z5QpY#QSZvf8T3Ib)i!_BB|_hHO=9n|9iaB{@^aHE+pt5X_8w(2$thJ80T7%VZo5 zY8h9p~fm@WLs^_8_*0>VVnfQDF@!0 zNDCG!>mxHbEsST8mJXsrXEd?r z?GF;3xjm}N+9JdX%6toRST3fRZyx~s+ z2CYkN{xX4=jLt^Ht`KS*{eu zgUkey?06K~5i3TBT;Lp@F~uvupdrTudet{esV4HJDx)CeR)l{c+a!ux_;NG)QbQu1 z6kq@ZdeXcBQ|3Tp$7-_cEUSQsf7HVBQbPoilI4!>p2CCzUNW&$#sSDI1y-Hl!Fd@0?T%?Dw|0?{ zj(vZ^ki!gdAMvf2S$@K;u_G<(=~RupP{fQQLR-*v zHDPT>lHec-{8{85@uguFEfzO~v$5O#_CKXnmiZEK6}cmE<%z~VwP_)b%0*BPeJZPo+zZ#+{Fs?tCS7W^dhv=f7Ibi^5mJXZbQRdBLxzTf@*gJMB_Q zIOm*F+xTYLRP5N@{{R|=Npvfm`Hthl7s?8h892uVpp(LP{#XJWk@}kYe^SsbkVoc5 z1C#1Gs4hG|5p)qo0QDz0rR;~!e9b?E^#d3_K>+t6oSqcF3B|h-2c~$hrmnm`p;ssh z{{Wt9Xmm7X17av69MvjULiUXLW={)W?*(9E>OCoo;f+UoFt<1#nXjn_hSjB$a-ha} z&+AKV;c#7wn2s^R^#YQnwjVk2#m|Oy0HggCjaFX{>JKIbtbh*quc`Gt1|jkdob{^l z_;p0FVOIomz^BNz1vY%icj4RefPI!h?s%rf;rrmD{Tcdm(~A0cSJ6TugpElg0l?y{ zN8$KYq)ctD?^}Ow&q)BBexWg_|6uyianp0`OldXM!H!^@+HaX@|t{lRo9u8f?z#%8v1#BEp7IP zWkmpv0baC;;dJxZG!d^Iam^mf%uZ*_ml|EqmT?5R9>%JFXHFu=lOaFun)-(K!!fL6 zEwX3708}%0RzI@FJcUw683N^e(FxY-8J;9_*x+W zR)Gf{fT=CKCvD~b0H{Jp&mx+qaXFtZn<>2Gn4EfLO)Pd&`NWvtj-M&7r$6Brht6a6 zWFTgtgTj!!j#}7t{t-vB*2DIP&6ifP6$i_2`{dOJwRXyw*!@ATsV@8{2#hORr*GlG zBB@E?OJN~HZk+b%icXb<&vWMUXz3XF2pIII3vwXk4<4evm%8wUzmtJ#7V4RDn$& zHbT5$k}>*HZErL(gwH!Fj=!1c+mqF=!}dtI(Alc)Db=T)^21lY+eYAw_Z^T6hpvKKkvJDjd}zvgN7 z@;a#s@9Z}k_w(R;0yc|i&m3e5uNQ&rKs#-ausrZ6_I6`aM}fSu5_2Q{3mR+^+$lRH zlzM!}0=?lp38pBW-WbU}coiHT3)6{2SPK}nI;%uF^SE$ojn{y*@3g5%)DP~SpZ#iFgXTPOuI_Q4I$%HEH8ri( z!5KFbA5Wauv^Rn@)`xGBq4CHgkUeQ_{0CwoA0mU?5scIJeTB}C7)$G|%)e-ukN3&V zF|Thtz(n%_{_(GAhrqgXNdEx43VVu*Ujo>P)ru$?#{~0J?Cq-uGkUmhNo- z0KGufZ%lbNGjZ=;vw7e>J<#nTy$^a*;9CzX<-lS-oYQps3z;5MX{+CS$L%v8#*%Gg zQ1eI^QvuT%uT-+|9g7CTF*xTr%`yA~Vr~Ns+fO@&NTjK;sUB8!twc$IbuJH4Q=Ml} z3B}Z)kEMD%-T|~(m5%TSZ|h4xfh`IUrNJYvdeh|w&pD4=)HaOVIX>W24X)}-Db353 z^uVu6x9~NnU98QK_|p%7?OH%rc^u~km$L;VdAQeg9mE@k!Q<|VoNL;exc&Jf(4hYS z3hvv$Ff8SXkS|;gl(YC2KQL`~!1WxOUeCxmk-|-{>N^PYusQ>_FsHargpnFznoNd-gRvn(Pip;wJGPq@(3!{{X*Yh4CJuqi`rQ*r3IB*FO(u z+B1+3rYfoUe{$a`Bo3TnpR>0>x;cpaQK=Ot_ecKO(^tg$iwv-Yk^aUjr5*v90sZg* z{{VX&(p&fj>BDT5S$#km`eK(Ry8>wDqwz+fTa}0KN^*FkQ!?Pk0mnaZ{{W42(R@9; z4oa}+{h$85Fnm9~Yk~xep8QhwcjyjC=j8ETpuh6U+~o1{oW7hh2onZfOQ!sC>=&S?dwzQ@6axcM)6hYAbpw)`uyMHN}ejb5`fn55%oE( z$$lQ*q@hf}l7G8QlfW8+NHXYK=xFw9uyZ3dyiszCpRz(ns3w};ET0>`$r1kmfgZK9 zKY+CX89riQ4?|U3z?zALNFyGYG{nr30(hwH&)IYJd>XR{i@sl$&=Nn}{{ZV% z7 zNH!6g#kH`K-q{8~RpS6xMW?}VE!3=lf;i)~YilwIEaQ+fD!vDPDo7)r&2X{+2UAf% zvl*C1Kx%X!X-k&@!Ow2gBn&P*<&b6mZ18E4B!WeEWhWyPT`bEYwpXui)R#* zohZ2MSh`sZTZ8ZiN=sPfcsP>-(wv6mL%V1r6%C_YD;10@E_nu@Q42E5=8U<{a6Krw zhEcVeLTI{acL2x%9FIdwcO}P`yVLvaz;8+;f7x*1(f3z8JbBgaL93v zwCgvWA^;$D>_u8i;O;{VQu(f``+4-D-UoS{2P2%HOw-k*Tc&M?Bw&oxmdsLTD;fE@ zB9~E+Pirzrq%l3S=xWKgnUrQwexj1{Nf{LRY`8sWOUVL)(J=?O=~S(9TVz(rDzS|m zfDcne%o2HvnLck?Y&S>kX9!3%`@4g=a~T+^cDAD+7PEQJi3mQv^(4^DBFxg3Zn-qZ zoy@_}Nd$5aTvdySjIeAml?NEj3f3EL;3B&OxSHUFW= zs#>hgCFQ)$&c^ihr_d348@6b+vN&9JJesN+;iN3lLt{Ha(4iss;kUzn-t0H&6eW(1+z)bYh=Tiiq=%dj~A0B0YCL@pv}N!kG? zJOfNFGFyqB61xiLqY;X(UT?FcZlDp!C%s&{xD3B0?DYADY6Cv$6t?FJ{o_O25cnaT zqTJws4*+0OV=owIc9oEFa@kKW^0LR* zpr&b;apjDv1N)o`FXfU#rYM^Sr*ZYG(!}){9D*25$jcYt^MO#o=d@&PjYe_7Ir`Pa zRu-G348FsPiVK&Ie(Q6C+>z7Pqzchm$kQ{$xxI^LCaPYft3F+d4xM}Yd)4_h1%fv6 zqnjRssXok`yZ-J8{P2nzFithG865 z%11y!!Noy&D?G|1h^`I_mduZK+$c<7^4{pY*Bo}vA3?h$ep00Wi@vDmG{aT?N z@t(D2+U7uvrZB1p2cAs;Q?-Cw$jdPE+dp}ff%tk;?iGxSgi=odF~F(3%ZUVKmvZNh zIi-{pxD3qU!tgLKDKrFgTeNo0e7N(DK2c4DU9H#WOdgS)?liC1EdIV5$V0g152`Nb%@l%3J4k_HZH6pltlbs(uc`%)xss@s7GPhHrgGEGuHlrWT>j9~Q@QpUze@HFQO`0NG;L!5u0Vk>tE8 z*xlR;x#Y;O;gkg*?RKV;5sWNl^L*2DD2dqqqUkMrcV(PBNl{z0X&azdwnX>vL&|Vash5jdj9~8PZh(v z5>;0?4U%zL`jj&H-h3fTsUIeIVg5B*2-%JZys`s)>@(K0TEZV8%&ft(dE+^vDJGg0 zAO!W@!y)&fZDm9O-SBz)z>lpaBRfmBP_Ba~V-PvbTZ+})pXMkO{qFT0yput2@eQ9h zAmfkHnH}ZCHvpKC(2^MLz|Zxe41LA1S+^Mr_UE~&=CR#u4AKz2S0|C|DK#6(Ah+{o zfmE>=D#IU*R%f2$VmyB-!5E^*5hS*LTw~@f-x;J9MG(r2+k1d=MM-k66k{X-z~maG zWqE)0iFUMNAThM`G_*iPW@VCTAu7(GkX;8+=~pcfF5?`b4u6Y}!nxshjGcr z13uL(P`i>m$79f|VCI-Iwxqu}CSp+Z8RDU}w%>RHv5?>a#&UnHPj_J&$c--23CU2u zcBX4(QiUZY4glj6nEDf3*zxj8PCDd(K9vpCm`hB&g|UH*cd1No8V;qif=JGN>Ko`K zDi54k4hZZ=tuYWq1f+R#x#OYrqB!0=#3?Zh1{)k2nQdL507}^$gWMXg1a6l=Nw|z* z`N1?WCAmVYiQr$&KcZPwTi7Y;VP?#0q zwkyFn+IhuCC5tu2?ZmHBp0zEcBwMQq8|FDbN^;s5=PD#Q>U~J01P0C@FpcMNIRu`b z)mlqfn(u2n9^jl-fVOv)k%`Azs`l#Bl~PDOeRD;c1Ul-(EBpS5i*7-0mn66E#hdi)MuxqKA=%uI@p<9k;g^;wGEw}uiEnYEXsKY6?LPH z7FUoRql}K#Exd4;eAUBbaC#9*A(XDIA^EaF3Nm}~OovZ_inE|Qx#6kuTOqgsuziQ} zt1-fcR6+m)oC;RdE<<^zTNr}~!#E?O5J09|+aQlJ6CmUUCy+m_Xx-YNh_bF5+tQnF zXr4$U0#ChHQ7557+6yAjxs?4*4J+DNi4^b#d!8xjVGo%2#^7)maDIlI(4x;AY@jjY z*wVAG5C|=W#?qq$pK30x;Av9AkfozRf+GYD0RpVu+s2U+ssTKGXqM6?wL268jO2HrARx50wpB7=RFY3MU81)) zSlR&f9qR3sxG<8ya&gzS0u>in3NiUpv#=vR<_l(>2UYGnQ?6lv!}4t)bH+HUcMu@B zOkgH?81GNJjRZqz0FFHkO^0%<5kO$eY(H9olH6RWP%-K&M&39KKQgbUJ!+|n6}VLx z*_v{_fQ?~*+;6}GAB82_TdS!c4u|S0bx4KJ@a;W4DU*o&(5eB!&#)9Ng44K{@>ELO~AAqhKUpReOIZZxUcOdr;WQ_R(7hl#;4*(2lgW>25AxBmvv& zQp8|cn|?nLntV?O+6xS0*A$b~8X>)c0}%^=dsH#OZ{)LZ&5lJ~zmg`mA#h3Q^{Vk* zK(HunzF{4^nr+#FMMbl;k{mjzDsnP2gH5xwwN1b%T%4Xb&0B_8!kx%YeY#XIUBw;4 zN90P`=)rO-Tk0_)h7_EhIi{O1TpI`-Z_HCO@&Aath73}!@ag!9~1mF7%?E4R2Ky(IgQ zkcA-e^fYw>w9sZE8X_0mRc3{L%zt)C&sy1@M`&^6kPp8VT_(gB+HpgD0V1?k$H-F9 zoSxp*Q|u`Wpsa6?LUUWtPJEOb3v<+ZRcqP5daSXq1JrX(w_zDxLj9>pLxONcTDY`d zDZWFrk4liaz7Yi8k+U9uw0CGU94{R1?ZzPN#@@oCe>E1j+q2`-$ zaU(>Th~wI)xw%J+{Xf~7lV^i&2Wu6xW>-^ z0OL=)nkIuQBH&SBm3-6Zs{}o&&BV;nkYXV7&!tzA;Ta<;*~ec|SDtv}hb4vx$f0Z| zSB7~6V0lE~b*SUKloAXiusyh|Hj>1#5Y93xC?<(69a)ACLr%gd&wIAvS>tee?ZGt- z*0*9%nFt4eJL)ZY{9YDZRG|i-5Hc;gW9LHzEd&V zvAF*AU9nqrE&`xq^cfXaOIsm)%wdb>`^Oz-N7;B}}Xdvty5#hd$}bf-*?$$+YZ9r-l-SkT4`kZ?UY zqS}E9yl*2oP(A6oh4+9^iGVPaIcqusq{}F-3q$uWhD` zOOyv34nLJgZFd>nybw=tgw=}((XB91a=Z+VDpiCmV6tVi+tQt`0~YEfiyK%d>Pk}} zxmfpYN9)ijHF=46_VKg~00lZCqAX!f2Vu#g!YWC19HA9saomzAA(Je|NWllN9Mq{g z`N!qkfl^z@m||EEPdKH^sO~yB<6swI^am9v+TSdS_yFV5rSii^_mxxB@k(QiN7R*G zat%8Za*C?Peo!*q4&2pgt=Z#unR0`j!;ixiY`B|0XGY_klY#lsaUT0|8_0~~pS}7D zRvpT^ex(Z|MA2=LI6GGWiY{I^KPd|1kQXAZMG{34??or;RWG6*YOs|{H$@pF3U6Qr zx{#z{3CQV{878B-m*&LV)SjSm%}UG>&=dsm%`L=XikvS8B$Jwu9d5p4OSm$q$8JA5 zYWYueOj#^A_N^FvxZ+ZK^ckws6nPwgtH&UBrqEoCgRXF5KEZHvRAy$3g6Kq<&d|V< zR)nkPWjHy&r!>*Lpvb@x`DTO{EBWl)Exfy%rrvp_R+}uMCm7_GI2B=Tp-uZ_SliT` zRS6_Xub>S&x}J6e!TS2pGeXW{Br77hDl$8M6 z+udNfBosfx-|IsW5=kVUS#?!x@sC=yJgfpFh(n$U_VuKVq8Ceo3H}`MgHqg>-PbG* z)6F0w7ZNH2UBP=d9MtSvXbgv+dOvq0iWQV?{Hl2)P!3ocq$bRlxOi?r3x&_jbIv_# zNTxH*=0X8rI629uG-%Q?L~{!gI*>6|67mTMA9b;k8-}Y>%NUDltB^-0&{ZTWnHH^a91;}@V(Evb z$R2xgk}D|(BX8EN$slNOr9!V-71dLj7j8P|Kar#cCDDIBARHj(tLfKryRz(J3F>=P zmnLu;;Xv}s5C^dDPSc(=g@lR$Vgj6xnAF&#UcxW{cfof&9A>1r{p<`RjDijT>J2i| zMULaVGe$kR?w22lLUA35z$m&5Do=c^2CyPyT}Q^$9jA+EYVA} zf~4S%{CBD2xj?x2NWd8AXs}$4$jc+Y%19jr5?r{GZ;%e(K~IWgR4W?-bIBu-L#n3M zk;1EVCWeG9#L|@A_Hr4!pGs^pNY-r53mjl`O%ul1@>nx>>w+ooJOiYy4C z)0KlS7*b9NC$%NI`O!a@8Bo2L=9X_Qtj5)B=Oi9~8nI$`TQf!!05W~3NM?QN$uvb6 z0fFD1YCS&hh~bwC;}}2Etx0g6S;HwMxaXRu6|8r1XUy2h-^0lwh6bdQNYRCnT$U%( zk9vzs4=vpB&Qk;eI26mB_NEps zbNEztFi;1V(3 zpCZj`<%a>{>GJid?xc;UjHZHc#Vp=)sz%O72cCwfv%8Ym zWusy-fD^2s>ldsBS!X4>15PjE>3Q_aM_eoAC}b*4K? zZZ`;y{@J7hZ)CaH$0`6nTvK6bp4=4*IH_(t=ZU=7un!p(1V3t30YKO|$)l+H2%=|K zP#11FCyFiLo<#vwa54wIAxRaSr$gH{Vg#9xV+cBoQ$SK8Xl_81F7i53rnf8=;a3{`dIQaIC*=B^?W3^%)d}a%-=&EML6a&tXlCZUiG^D90n|PrHs{nJftJ z^{3mxAczK#;}pQJZ}x_nhCt6;^rA@QX@L1le@d1JOG?3HE05v>tuk9@^Vj!;d`qW7PlH}!%(bt+R7ZP|$nN_6>zP;&}kc5vVi8%GCC%1`Z zP^>_1obyR@ZyLrrl6`s2B$&m51Q3P@1HjW!(uPO|VB301u~8S$8)6S|`p;O&o5>qs%IMRVFsH9;5v&hDXwnadLfeimxP6t=0p!MIl8sPfd683a;IkKJdv>rq}L%MZ^cdQ=juzFd2xEC>VXLwbTnt;XLW zv4O=>Pz9@GQoIrv#%5x1Rn4@%URFYL#SMbczhHmS*QR<@cjx9n0S7;O(yLnuv4wr0 z8cV-5NZla*RQdF^gOrwKB1rmUJp0wwKw{$@_pGV>@)4cXgWskqc1xX{N~94&kTgqY z8mMr6Y7r0@!vp0!f$ve7$>^=1lR5rl~N6i%3F$cNFB%k~J)& ziJ}-q8627;jwQP(v3gZYG@HrFNC5QoqsftF1)LIph;^#Im#|p7b#9(nC;-5uwuUyg z832*ry+bS$Y$VH*@99moib9N5LII_Hb_-}a+Blh=Sq9*H)2*P3?SwGrs1;Herg_*2 zGF0`aJX0A)WFfkSqTyoRp%iErB){Eb%A(hGpcD9PB0d9`V==DM-RXC z;43Iy=NbHHv#=B0Tf(cAA2m5`;AqC;0mojI9mTFA-W{?BB9bdamLOQRezkr;LvIXi zBcm`RebPAMn&Kp#MsTF^eJSf|i*tr29l54oTdH6vAx&puu6GIl03Ol(4J?1^hx^8g zD?%7c{{S9R)OsiSmio~}5!?$G-5cqQkkM)oGv{{Yon zeQM3m{Daf*qKaZ5m;O8_`coqR0FQL~QAJ1-KScV~LH__BP4yH}RQ3W%zt$~LKkEn9 ziYh^yHy?5@psI`apX)^wFe8ueFVxgO#6Gl9Oc75|`>Fo*QeXJ~Z=wE_QBe0O8=fEk z0FZ6R`{()9cz@P46jc&kZ@iz6@-(OKEfi4q0%(5v{S8ZT{1j14LuTmz06|%If9tLP z0PCd`P*Gif;C{BHva(dDi7b6=|vR4lTiEc z{{Xlul;3%O-xN_u4LEz%TW`L8hKeeQE6x7^AH(TI*WLUmqLbL7w109x#-@X#el$@? zVr)mDN{WcQAHw&tp5Pir~9I* z-2VW_;{9l%o7kSDk$=a_eJU&e0QHdlXriGEx}Wjxzl~C}`||$)y+svL=m=E*0FT!E zKdnRm059T-DItaT-C9_G@q9=0QHIf@TtG$r{hHwQB<5oBd2b?*9OV6j1jX z*n5AeA4;nS`ltT@dWtAyqFaCYY4xZz5A~6Mz5QsSj)jSN{-l02VL#*Deu9c9LK$uM zjYl{9ebGfEG%e5EU#$}V0LP&EkLyJg*5DT3@#w!wr)~cLA!GjOqKYNZMXSHy`hQxb zfA@tHQ7y+4YG3&Ejj)iWcG^Z}|LLmgnvYD5f+2*>hXb=l}o! literal 0 HcmV?d00001 diff --git a/27c512 mod original docs/ruMNci0g.jpeg b/27c512 mod original docs/ruMNci0g.jpeg new file mode 100644 index 0000000000000000000000000000000000000000..f8917de13ad0aedd82256e7213d02a1a5fd904a3 GIT binary patch literal 136364 zcmb??byOT*)8@e75C{oFa1Rc_-5nB~;1=9n1`i$x?hqsdml@n8_~7mqWMGis9wf`} zefQh|H#bI}3is1l}J1!ZH6~a~HRN^xvN`$nCj< z&$#&?z4gEG=s(!(-+1F6?53q5{cLmjj3uo98#eoI_DI5SGTKkFfuXo@bd8s z2ntEd$jZqpC@N`cebm-@9&B?9ODk&|TRV3TPcLtk+<4OcJ* zfmC9CO*bYjx5g>R%xwybkd9~b?b$!n{>AM7j9AG36SMzC>_5Dg0XRUU=g9-&1H=J; zcs9+&`D_W;$NI7iJ_EW=RJ7FzF`!l+px*LV3hW510pnfm@JFV`hZbRQi6OiZ`Rq`oi^Io^ zHrhj2a4UU8n9EfeOX_u7yb{t^(olB3n=&W5o^22TcI$_<0me1}t^rL(MBk1U94k&x z*SkkJn%yZWz6pZu08v+em?u7CMjp^&do4YPW0yN}K9&-2E>4!CdPK zW3$QAZQnvr9DjxC3aLh1z3^5`V&lVSDIGH0hPclM;1v&kDlBvlms`aj<9Ue&s8XcF zd{AZ(x0HPdQp$%u2+i|+<7@8tBL8yFJqsZgRS?Fu5T`7t0uUHeW@U~Kr3v(`*$l#6 zyZx$epe$@Z=p(p?ip=qJHbl-uS^^9@A+s36kMiQwASaX0zA4%hv#&ms>;QhRbx+W}7<0Z#vfcNUE(1thoKY!?rXpv%ZY(D+ zHh0Mo+=nMp^ub{#^w}kv>k0bmGhb0D4)PydwNv`r@C|~>q zY+~V0kW@D&obEI+UH@4~VI3Sx7x<&m9Er1G>RIAImwiEJJ)V%xox2qBr$4QQ ziuGiY3zWEs5!t&HzUe{CXH2DTLjsDn#lwA(Zv)TmS!~SH7)N$hvhj@P&SI}%>7|Cu zN6bl}L4*L0p|p&}C5W*$uEe91ru#^88)X|2kx)kjw%n_=@+c`_{go zUa3e<%aoGU>TB?6b#*rM@h8>xPyGmmW%1NVn$EN2&Bm~6t2bYFm*q~&IsfPk1QD=0 zUIr1{5o)_t*2wgGGf2;W4IU+0iTyrz7{I<|(&o$^Krk2ZV)Rc(ibf}mPoiON1}nFT z&#iNhK8~+)b1+S-)aMhT?wjqRH^irllP4Lk8tYzmsEjkGG-}8)>EX{ss>ue1HAU3B zld|acR$py(Dm@m~A2G4~{#%8lx~!zw(gnx2pi_MJ~pKHz6qwJzPynetO#{xR=(1Kc5Qe*WX z-S=CVH(gUoKTT79)%(-_sVoqJf!uyXD+!FUV7uWrP0uN~5=r9h$@LIeBxg zw3;eNsoC5i^@)LOAs3t(-DVndW}M7NvYNeN*4{htg1XiGRocgmY>%qfZ@+@W{O(d9 zk%@bGn2xMak23l!fua@_fRo<>=k}L($5%S|wxiLQg0dUYTbDU@e*y0^6fK2iHl9E; zcu^Eo=Mnq)&Kgr8EXNw&Vq9k_TE<~n6j>-qjRnQ2gDTT+>p5SF;QE-*d=ExsVH%E3%9 z4No{1WqwLUK9(3sjLA}c4QZVK%9BK(cpb2eGTcPwP|zs z*7SR{s_9Jk+_ik_Hr~+T*iKUR5TpF`wql@ETk-+C)%0PD)ZH#I<}M~6_R}i+k_xJm zQDx(W)#eS-iW3XTPH&5)A(kmy)5fHl)!fI=;BcEB|& zu)5}Wz0^etNT1w&3ajH6Vd{G7nEvhlYa_~S%ZUQTbnz%mt_`SN7fWMo)hQ@75 zY<8pnf`FUn%NEq)M( zx|dHM*Fw`-D{Ti-?oOGFGNZ!?;b$u1zpqLOE=6ONLIrsbA@Q&*+CZ{A<2k-OYJ+;J zZ3YnV@qpU24i9QyoLnc%3$FLB=G!5=(4kiD4gD-Uhs|y#cTEg=k8(jeVuj?M2k`|R z2zjg~iNsJ)fGW`Tcy&9~C`0BCCAl$C$5_L+tZH@?&ceg3QlMBL5 z$UVZ*O?Kkom`H{a{jIXX_$jv~IVic$n*y9096nBm?c;2c9LH75pWyElZVjto{ihyL zQQUkH*Fm9BqKTP5^QrS4g^rdXyHp&KVmkW%Q5B8WB0Ysx8)Z#5e*uYzc}9jzb?PkX zxQ{}Q_GOVh{4?Z}whQb6y`26061hYT;c2wHEU%legOis#+9Z6|M|lq3hyqGfuvA9R z-9KzWiJ>y!epsldfO?@HW=P`12|6g)-KG&?KQOXbCSDZ44bgg!j0TE*xSXi&&&|^>^-)Gv|yZrUcB7a*n&Xu&kwTUdO#DEO?xW zhWop23X5{RxP~wz631k!AIfh;McDl!t3GN*dt0Z|y>QtymHUG;Q{31VtC|D+f#WtZ zU$x(wlI1y+NFZ)j5_eU_|Me?g+KL-Rjbdy=Hi2*Mn0~`Hi_{zA5?;3?Ne7Wj3$_{2 zL;AC(6@isOfpM1l`G!SL79?bSA4_>6LEr;RLL%L0s1uR*1Q9e_B!#&+H!>${CYRw| zX$h$?=Q`fZ<^A2;=s#2^F?bGt0YXD(?8%OsM}|b3uOtMK;`i*|_vo;gL&^ugHIW5y z>gR#j3X(uMZ^*k({sI=NoEnpBZnKJW*t|cCHJ!!9HJ)MeG+3z*A{YJzg#G&P7w}!- z;VOOs&Vwj2sfgMSS})@2^ac4v zCjnD8F4Po;Uz(a`PZzG3-^l_*f-0{Hy-2$bl|$s&RmMdRG{{B;DC&{;9+-L%(N(Xx z1$*YAyivxaalKz>s{t|SorHEPhxN=$i2`p(e?EHLwIbzmOCg=2v1EU?O-jQ>a$*Ln zgZMxCkmF}@a+M>=wgpd*Xvfl zS~(x~nsv6Ru*_&AKA3nD9D-L+!bxr6V&kf4#lgDrvsxTXO2kA7sQx!+`nRjM!Rev( zPpKz=3Nj$YZe6Gv_B+1_4ZlI04y;Ulx?p!V&`~;1hg0r@GEKE(GX=|2#`51VGq+E?Nfc}ogMLAuV}6cH_FxKk}B z@UelSR_+6v2k*_~p0)D$Kf4mp*W3It{=$A6-xjG~xC&PtFX=e-<~HnbDiD*th`X8q zcdB_r$+@AcLR<&D#j;@OAb4(psQuhe>!6n_V88yPRimETzNu{}hS-mG&*RS`SJ%+w zu0JWZ<;>zp5uCqHE6l`JgQA5n46CwLr8opCO^E$frt*55YGlchga?NCgX?udzJ*ZQ zl?m?2YIJ5U&s(J6pC?Z^_oVh9G7tE%*{*uGNmr{Wvgc2FAr^a`?`E!0KL#;99kNS8 zh0X)gHG&@x@)SCCPd3>(kPbiKwXll4+duE?49E^XOH!{E*Y`y9j|u-yddymSI4ZHR zCK~f!vGkymFc5^*B5U=jiB!*wA2d`d|Y`h%lw<@{a6>NY3JEb-p zjXO!PAFLAU4jKzHP!ZT}0#W31pU14o`8a5MlW=st17`)j0%sX~%LJWrG!ub(3fstB zv-0{i@k=?i*K)6-*+~Wa_3^LXeePsA5YmZ+IHHX3xXR_re9h_nrF8K2cB0hW!`4pA z7dMFcZl>o(Sv16vw0T)hcz!ZAUoOzi&nmdk%}X^1inx^BaDZyueQOGkP(0<&^GGbn8y=qLO?Q+ zC|I;2@`7$?z+F4cx2ea3;1}5H$@aH}Cu*Q+32~KS^0slc*=l7M0tLlzVXX62YpyWt z1pTCoFvssBvpV_USvy+xbSITQif>ZJZ@Kc-<@ zct7p~gLt<_Mr2LVr7hxrR+JO17;fOCH6F_SesT4KOJmWI$FMr1Xw?A{LWFM&DY`vW zZ&H|4WnAf6UhD_g%Ci6d>~0j8N4v$g@p?e@>brc2@$J2)g&e>8OF>FO*kttiUjVT^ zmz~a&1Hv7D_6k(z*P%S*3dGy1A0$HZ@N!HH=A3+Fp_AMM(KO_j0|<8)4X*Br@bqO&8?HyZaY_-@wG4 ziW0Wry{ckgk^PO(=54pfcATsW1^**_>dv3(kuk0yal`;>;H|&Z=$v9zmmg||Y`4<} zkoAzcaDA_F&ba|peG%t3D;dWnJR9874Jt#_8#UeksHe&_k4;SBli$&D)I!{FhOePQ9M< zzr#vH&;a^}-3ouEM8^k-@g+*?3h|eGc0w@JU|`AJbtwd-!OpdKd75Z@A@44G6_z_O z8hef*(C^Y3QR3?C*^){Z&%o?AUrDv1D*_%OM}m5t!4ndO{&-X|pohd!6xiBKc(o(G zlngfsb-eyC)vlInRKs_33#W+u3#if+qn>o~cpnOypdtevYPaKycnLjLc5~<+nPNiY zw+cr@hL~|e59d2doBSxnwbPjw+%r$VnZY-!757-6>*kb9C^e7vF{c$r9f%T>A}{9h zqqZicb5~nGxxj|*qxZ;A`~90I%~Jm(6!-bo3=#Fh{i(r)YwepM<_(`rQiaPy!`;}T zZ<(&t4wR}&OuIepWgnKwCZ5NsE?TIZK_-J%+aAz$w&9-OiQ4nWPZeiyQG>Kem zG&ggpXbre#<8tfiXxH9F+QDBhH$pv$EWX(lIEoU*kj-JYl@^$pvzlBIOcJn>*c4M} zSgA@0LL=rpF+ut=(VPpZaBcF)OwYhJ6Z4v#aJvIlAC!ZH)wUYq4YQm++iyjUL>#P8 z`O^UaZ(^yj;TY*(Kz_OWVI7D%Z3{rL>qc}@uN|LCSX3gcZ#Y^@br)KN@@UJ4{t|`) zcQ;rk`FT&??aZox0jG$lMo1;qHmSMl=Te}a-~-0(-lD3zbr#o``w23U4@7N=N)24a z#z0Us$++IBK6Q9F`@QXn@V0gz*Kd)=mN?tt;1aE9sjpS78(5KKEuhoMj0@waHH6K> zn-2^ZQaqU}WwVc+hg(dD@G>~Nx`E`vI_5mAu?s-Z2)L2Y&vGS1E{M63r{i+!@QgJ8 zimXhQ?IbWkQ;dtJR9WsG-QxU)DnTsuxZq5Wg^ON0`Lj<6Z(HHlnOwmN_u3zbW?r0W zpTx2Oz*a-(tis{tY9&UTBo$KPV8yV4AUcY+YUH=kMin7f1GND;9~4huhwt!@SmC<9 z>sMtaNi;^PZjQsptGR_9Q2pKg%?w(ml{+1$`C8>qbZw2{M}`#{Rtd+Xh3I54I-^@P z>J{`qDg$sC&o7=zTIY_@8J;GL*F zmH>Y?XKYZO#uxnYne44Pbw`TAGp#beI^PVwnfFNY5%StsM_GH04@O%8G??VSKYM6M z073GalkAm0^c1R9r>R#Ei^i#Z?Hl4{> z(S3jg*Fo&|M_M*##;RXsg%mg^iF7wOTFK%pL#B@o_)k?ktbYBndxJ&jw`8R`G5QxU z+z_<+YBn<}dcX}2J5Ncm{dGm5l}>#^$-R-R)oi2a@W)dQ+Y*8&d9JfmLj;{CqNIV& z@YHvk>f_h1f~>nV66LyZM6iL7cBo^i0XMmnKsHWoE=J{aTdgQb>ZS^_vpM0kOiUxK zhT_8kG#}p<8z(e7932ppXheD&IQ*8mb*1)`oeJ076vO%;)!g4lNr40L!w6l2ZyQVjX@e2x8XXqHW$SN(l{4ut|72JedSieQ_ADos#Rc=0&l# z5pb%%H*}(dXHU|=2ZQY}(nL$L*j?i?9_$$Z0_L(II4^fBE|l?TxF4Ar!@{3F5?_@t zC-V!SWfA-6DY*d3!z1$&g#UO}yj9S=K>CP}m+f{}+^6dG7r+3_`Z^}2hJtfhviiMC zu#|{?lKZM?7CS-V1GxWVTXqzH*A9=Bs;gh88NV(j=FcQ`gq6)H9>Fbfqq)25k#gcB zBUbxm1(s5Os!B)#S$tfT*vOAnPEk3rh6~Zr2u1QZmF*-|-y6Ib{jahmKDxd9CC2tW zhG%2G0zf~A|LUS;;W%-3 z2~ED&5dIRTNJ!*5cye{3rpm1h9GHwip;-Ib(_R#`mfcgcLSIA&VMY*6G?Xta=JB(B$%nbM zx|gC}(k?=#i|QEk>vnjFO{(%s1rr=;zWRX$S+Nqfi^}#OxSw?tZpj=t**#T%$L>wS zmKnFqZ^?2F_np0&KcB$lpr*|SSVyC3xiga^v8jj z(B+Rg3hugy3S}ufe&HZ={oy|Wt>uc%uJ!NqSLS)T&d6kG#(vR2_q@6X5Ba^shy{e>vjB7q?IENof7jl2RQNd&#$SE~oEP$MYCrAW?#qL19=xZ6<& z`{Tdtf%A^!oj`1nR!Cs()sEG>Um=oYhX8b=@UgeRU~ zfhH$xTg6#>_;DjU^iE@h!jJPv_ni^tP!a-h@e*K%6D6MA&U@ug?k`1`T(dUPI9qtx zSUu%&lcsW2x5-~^nHDn+QCXB7_Pd%`GSM%5!0-2qtFoHMxG))`P*)vFQ1}ZtTu50b zNW05;QEm`7w-OFvF8h@~rk`#4J2oDDpR2Y$g6m}rJ34)9|BQ7a&L@lspoqH$*lx~~ z1!Ex34@CzHz&KHl_@iT4d`oGZ#4S=YU`CsX6AJL%ZLu>eA{O5Bkc-iu$~D!UVObKZ5vV9JyDY+Fm%;xzb|~Xv$1ST?Afs1HxkgF zsqp)4{-uP-v88B}{4JDTF9M{Z<+otEEG*aOEh^{38?`ZXlu0;!U_zCBl-wA=GE+Z+ zYHY+jV(o41ib+-K1hmShqS3|Z2i{icBRF3+Z3Hj-0pnLxi{9CwFv+xL`+g1n#h8q zea}UiyNzAP3URh1r2`%#pv)W}?NxM=Cc$53q9=EGUhoNz%tlos zpQ}PyCfOcXN`!oJ-3EHUqx1IJ#JV~Lk$vMp>K;5a?%3RW;PsvAxJ>urs)g6vc3?hS z80n^KbGP$x75u&nI2y&q!&@>&i`YH-#L`oD$uQk~@&>HVW~@k)`IgzWMMXM59P9dp zl{nTC6Q6fFzcn$Hj8*{4#Dlu8mdehenjk2st)XsA$_})4Lf$Wvy@XWa?Yl=g$j%;2aZ^{n4@Yao@yd?9~fsS@evP z`0jm@1#bv2Uf+66=JMsu0Sl4Pd0JGVJ%b|cSc9%?8;WM0F*CN|hE?%=rt;8I3~(hY z0^oOMJjS2DY39vdMj0-ufX<5?=*hKlz1t{qZDYWX^`^+kSVdlcqEVco$YzDl1>=F) zx_ojscPBhVeu9|3!f?4d5Pj57`zi;{35$r~S1NO7byH3VU74?wm81>Yd|Ms#+D8M2 zg&p$}68|Mld)cXOVpZgr;3pPM7&z5fMaE~;gFGdT)Siw407RC!zPtqO3Q((7&*XwKib=Dj*m%qK-eyxrJo zTnx|8mrH93u7s`=L}Q-fr0pe*Q3ceuA zj2q~?-Vd4@y219~MvPjS4)(TxsF60`6kPRUGsV6MGj>%i1-F;%2D}u={mA_S@^n8XYoc*LI?o zr7G)oRdea$G!pdvE5#w+qWr;6oe>gBN20P;f3Rw-6jPA>Ca<(*B}<3+e0O8Z_tTc! z-;j(-tJkbt$o_Pu^V3oGuCP5~A?I3FaGYSQT&ZRmc66k}Pm@r3j`pqEXuohT={p;n z5Y+tb>!*_$-#d&c1e>*d)iYkS!t*w}Wo)X!Y}seFZlNCigxX#dVQ zrX$%AC2^&Rm&mJS>MFAy^nqZT0+v^C0V67#)*0ZAhWaGL2ipDSa~u3NM^p+=VA=E7 z3j}@CSAID-D!FRsTw7Cj;U;lYx#KSmp|>U#OB2k6|rDRS}lq*V{O$&*H~UZCpc@jvOJ-%mSRL zTnVR!&L68(gYT&Kgt2x#r;DyD9f=v}+do*itd2?A1sH3erD;@NvMm|XDv31o@T;#k zY|*+iKdx?U=C2cO1s^qzk06?)hCXx^-L*u%u@%~utIKi|-T-dz_O zYtyQJBg@$asXKd_#|+zUea}CwqTO>pL+||}^>u1S07C^;QoJt+7bjj!9wvTpTa~x` z2*MvmWgGDC;#6fhT^Xl#BEzYy=bX}m4@9zOgv%|xAo^KO=(lAu1A z6x7se3A>S3HE!6ru&a0#{3VzH-F1w5J|Ex=?uLoX-rIWc8ghmiJzbQX$(1=)8n<7c z)fQY_;7V5Vz10G-;<;yy3ytEe;1?`CZszSM@H*B)_m6sl7eHUzW^eY@uGQ4y2InH) zafoMV`5qt0F&C|>m#!96In3f!N|d}ZlzGY^E)l&dDEs6}B-o{|o^WKA`iGcp$T$=j zcct^;v|-{4T~KW;>lYz@-x=#BKkvT%Y-=FI;FP?VzV;RWz|L_1%RWb{;ptQ4w!cn9 zxX6TRy_@ak`>9G&bbu0U$9!@@C6LhW`$ll$UeKSpwynkGkv_ikPmP~@OFCJm9Z&Ef zTjnlYTCdOVwVfQ!2nk;t_~MZ2QhtlJ9@))?$DAE%bP-VZTp@U(M#I9Y*kMyqDYgJ$ zuuAhv6DhbDdB8cl=O3x3!_!y!hqBxVuHFY~})FGi-xa`&lmuE%3t*6R*loMXaQQ zv$8b!9(%JQ<{DeJ#U+(L-z}-&&O}3)?yBF!&sQ40ALl@o@a7Kj%}o`RpKN>0*Zt+7 zzUZMlj(N*@W!9tIIhhebutdjN%lbXJ-_X+a%{mAt+O5SdU)IY(HO|!c?%u z$(hRndiy#GUnCMg-+UohJAbMHGiYvEN#3N*MAhKdq<5XPM#m4FB3HmJrwG-)-wF)3 zb#eUtTc$_>DOFCexSYRM*gQ?M#Mzd+r-2+#En-~nZ9@VTS&as&dn*WW?KqW7x<^Ax zo%pS)26T0F2!nvdcI6voE@;^5gZE8d-WHE89qQP8H&yV}5!EV(>d`LuXzB(9=fZwG zNSyw*>D8R6WJo7k>qvOY4r0!)0^g{%UZ7>qU#pXC(i-(%@NQMKk(ouX%{K=Y$=B=! zm<5c7YWTe$Nl&dJXc-!DS6?*jw{}bF_1&+vmuTTJa`n?y15g83|k)FZy^K@=|OL({>i+Y{y;slp)6$Fm5?tUw{_A?~_r&~G; zWFM1FDz%P1T93=n@;w$_xSY^Zc;n{IX)oIg7@3SYZYPGrcq3pexhYKIpP{AQav~Mx z418-7x|5x>jhV=_BZ%@-LK~FOP?&LQL8ZTuk<`pEXHjce_c>e7YglO&u^xBV1{M*a z66~P9swQwfU_4K2!J!Jy#o0`kTO@1!^&SU~2^NHFlOU$Ehl7NsIn}jg1o@k&Tmq?O10U3Zl$<1l1H*+12$HCyYPlY$2I* z_QvcXk4?Po&ndh5yWQ&XG6zx?VDds*Wk?BwC@OuA>d2jTO?2Fsh}8ybqatwIy};`i zWw>I8tVKboP9tX~`niJ!W1_tS7BI`&Lf4Q@7(|2ZnrgPjqH<640% z)1_5f{?<^HuFqu2Lu21oLJD}=j zZ{%iRn&nUPr)^}O>CR`lel5;5{~ zZ~5TLoV=eTPExr1`xvX;mA`XUi_I37dpxinTS4IPiC1allU@>i9T#P)n#W#4;5$OQ z>SCHabfQgr?%$K9N1Ast(*mGCPrAuzzgYT4wxO-DkDt+8Tz}b&Np&*L-s}{{+-G$E z1zgS2V~Do~VL2z_itX?uE#als!k?HrGbMuP1fFbW6Z^HqH)y15cBCm!h=e`b?Vm4Fu2J#g$B7?9IIbNkuJ0@1 zqX>#CNXg;rJ1ahK20?UQ9XoR*9rALUAKStbH~AcJVoy&`Zt~<0s`tBfS;vRo6C&+F z4bu%-QkZvISf6S5-^%Yu<4Q#?A9L0#Gl*5XDy6^1NlTn~z?jclDqy;xf5+Rda_;n8l@s0{qmHwxefGOEbhVSrY6Z$q1ptgfZhDM9an8NfDc@q zz;(jaf8gkk+5$C^lZL@c18$q#2FDAnY&fE&yjtG9^;SnD-IzI!x{FZ~=6fXKZ0&6C zPm@_gOXe2{+F3`RFPQXPd{-Dh`cc60y2@;U&EwPaB(_vy2 zHE?ggKD>B|oi6ncKA9yN%V0~%0_5z=T)B#cAKVzAOlUCwH4;$#;WN>zmM+8mQ7%4 z*STAdqR+SGH%ZfsB27ge`l}P37LD_#JKw@rhIqUCUFMI;!J>Sj1|wUc<~TZ>K2yfh z!^4H93ls0Ld80lO!UC;BDpQJ@_4b>*2{jBdkJz%rjMxon@jm4?Y^@7OQ$V&jNhQbyGX-DAO+=g|0xie6C1Tl_kLPD8FTv{{%x|)zYs&1r(h~`i#)-b{O<9mk z%$hb_aGR;DAE>x$sknzKVC<;j4ZC&JsZ*#h5BH59lym8B;At9&pGMjPnD}CVZkPf; zHr&C2WsW8my;S&FdqJDn^AZ}4XKle3+8=jwT#Jn`j+1SFY|H1{PQ9J~h7_X^Pld(Z zMRzk%^?4zbxzK&_4EB}$JdE(K0VqOPhXKP9jU3( zc233HUDPC%8RxNS1>hP*gqEjRRNe@&EQ#!+2@H`%M?v)WDNs%MaUaFUZ5uaIS9^EK z0+``!@Z$5f>vJvdYp5NQY+=AUO_Hvjrv9GV=|nHE+;Dh7ClMBtieY#0z}ec6t57g^ zL>n)DJ}rT_t+_`bc)Amp|85zAXh_+1$CZTg!&)Cm2C6M)EYgSJZVD$Rve<=-}m(vZ_Z3;{@vS|ID;9{Mb zG1G}YHL z>iBfqx_th=Mp*90tHAU5?@|VU3pKmRrj)i{P}eb^=228$es!gZnj_0hh;6^7OY59KzjddG~Ro}`Lg0l?G$>2yv2= z#hOX}c#!<=FQB~gJtFje_o(ZzUwQ^Dww|0h&b4jQ?wrd{gKT?YzHpf+L7<;w`?CRY ziTnFlEN_b3`fT_%)XuS7NK0OT4u?(oYBJ*T-IB1p69Ry^sLtdyCNxey8CL%I@m|es-*5^q=HjMLAqoxY}NuTEZxT}jG*bAxunw`s} zi(N(%zEgKq4z2N7qOW{~45xmutBv4#sE~fS@8uH;q$#_N-gmq#`-Sc_GY#PsGexpb zyZsjMwkl$`Yv5R5t32DM(ELkYT`}B$r*idMj*5>e*4TRIms?3Pmd$37G;M()+J*T} zhm>PMr{dOl6!7v%Ptqs!JUdm+*CE{d#`T-vB}($oeFLf1Ojn{4TR9zb1HoJ?#m9m- zpo`9^!Zky?>H%u=Of*IG`Hmw|9Dc1(u^D7*-3rh%^UKK~D zpODRJ;BZ_P(kR^Jr+3hB5LCiC08A4_L;|oP=1%naEQ1iC-Oti6IydG%^SA~zYmf*- zu?;Z`<8RInP6`Cq4qEh*NwNT?m#)+C5l@yhi`>1c3pu=tpWCRAOOQu+f!E+)XEXca>|l?QS9 z-RyW!eEeJRbE#O7@f#l3>`7|82C5CyGxXYV4SIB3aK>T-GkTA>KGz@+;9L~1JmGc+-;O(SzK-kM6w zx`0^dg~E0QWkCb^>~A6vc(^ zFk36%1ia{%B_^Y|;(Fd0z|im-#L$63eV0;ox#DH1quFX$sCM)zx}7|ma*x2$nwDip z>s*-!o9yghBew&P0nYpTC)#BW-=VBue^WF<&F}#-L=jT+@csVatrw*lmZ6Z#D^GDyW(^y^pWyHjX?U>W^aNoJg&=KmxgG~OQ7H%TTA)=oSK({g(!K;I$+?DMvMpP zII1+;XdV9U;JAEQ={(J-)P+n!EkHH!vg^eEE#5Ljt(d43(E^&e4WxQUA157x=4$M| zDfl$za&Z?|Nl+dx4)I-&-8y1-#$zkklRi37a%Que^R_T0(-4cM_pYdJikc+MdSExi|rGIJaEeb?cv z@6Y@5IU2u(!G?gb?EW4)iht?|c*}6#PYlL^LnKbk+JVMkyGIo@ov5zw4TzI}MS7v+o$n35Of4nf4 zI~NRX>>dh6x||TL$Y^76-)V)rM(=rKLu#Xt#)a>7io)!!MOOWtQO4+W63OIT;HDot z%oUp=CEgD?5v{({y?{+>;S-$CZJUvKPLR#s=(rf^)fyZ4WRP)~aT;bkfRt(xp`ti> z+yzn;!#@O?bD4rYKZyBe@ufRp$u~#L`zVz%t%!yTk_lU|qxv6#mENW1mAX9Y&ZSOX z8I?ep#=1q$6jT&gX2_cKx)kZ165E#f+^W(?j#W;FQ@q^QlSE}5`^zexVAd|?~#Q) zodQ)Zlb9}iwI=HvxAGI}lvB47`VvjRdjk8~g#1e*xT%I^Trr)h4F=uI82%rrL0KZh zNKVRZJ&bDDr*=$yckMOZa4m1vwiJumntUg6S_y)*qkd%zb4EpxS+08fmq?fo%nBHb z7+${zcbNS)T*UsfW`D5hn7Ey`!{J9mkCe z1BTXN-xq`$*uS|~5}{6ayZRTvICu>uR%9^Wvwfc{0vmO}sv&0<#BhdqP%uu?&m0@m zNKz#y?i=<>(z}LU6*KVUL={NEu($%4r*&6FK0TBy9Y#B4;v{~6_6msLX&PT_s-hnF z!Xj@Bmf9@cdxG?%hTm`MuoGH;R{Fumyo$eEu-f^f6^{h$bgwkK6S2#$O?)_DpMFnv zG$>c`I?15+0oAB6Gk37Xls12GXIME8}sLA{tHB|B#4_ z&GnoaJ)Mhbx+$XeQJ>-uJdAYyWRSID0&_~>5_w4oyqUI)cgQNu=QCTmwf>j|N)u+% z=O@-C+O3c-FflZ2sMj91(u~j?V5xpj3gKF8NP6?Q9ymq!lU;mix6Y&4sX`HZ=|k@_cYt^?(( z_1oCud}c+Lu=9zW!t05B?FIoFKl{vgjz^Fbywx!Rm^;Nr%sHb1yU+e+^bI=br{kuw z96~kjd{t_)dE!qOZUCpQhPj`4E_lofzc4E<2mLzWQf)=%`v|FGLo&tMo&qTcn}^SC zho3Ep0G-MYt3`4BpZwwM)Ug))X=pTybRTfV8hE}E7F7m=`a9Kd8hSTP$m`ajw`qRh z%P$+MzxRH*4lnb`62AB_B*G*REg!EovumMtPn$tVyRS7K>3>$4AT>ivW+mnl@W_;f zQ*H2GSV=0G;*E&KJS?&yYZrK0Ua81u3ZMjnFz=Uhp8vi{;T4G@uIoNAE;xnhoV|Enzf5PY1~+I^qv3G= z>M>c$9)ne!gI3cn#B1D!{{f;vUB9iMd^?j$)SDMq7>zIGm6P$)v{6a5?@|*?~5hk*LBKuIla%PS(%f!NxjQ17^fuMa~If zgI&r#$kb<{JBB~bYskkd$sern48L(T=39*(M#7B>w

rL68;bKk5$TN&%m#7ay?YpJOlnHV`7BDSI$XUBxUV2swym$@ zyPBFUP6*;D_9bU0JNI%cC>^JzBOmUJSESDl#o>8wvWNRBk~o-p-Y8K) zI1OC>ayb-=S#wIlG-kMoC2+BCPk`QdV3wv^$R^jMis4G<70@ zrF{*Z9Kq)UF7OU;61@jS($Y(Gmflc6>Yv6wqPgD*X-RsZsTe_%>TA(--7Y92S)g_@ zD8!s~^sf^aicxJN=y33zH5Q)5HG!f5e)mE3t5GuaRXcN>`u_l&Q?3-KC75nw#yeDT z=L}8;4?=p>(UX*KBki*4^()eT(CIDpT{}e6B%P&1o!9R4BD~tzTzIF%phtQk4A}+b z+6OeAI92lFbt43RIj%-FWNfPuUq^*syH-Ca;wny#Hc6jM>KeA6qIf_1KT*Gm>QI3P z&A5~6UpZ>BESGc14+zXi_xG<+_;ujx9~Ed*&3!uygc(o?BE0v++B<1_gx2WBMMfha zR`9mS@aneg*;7op!iA9cuCGd-IaB0dLY~#f*~DZ7Z6t$THh~A0SwSR|n(f21q;O*w zH70JvrJv>c)XW&f-dsLl9Zqr0LmL$%9P`h;Y1vzeppk9c5)eRL!-_Ksm0=X&u<}}X zc}e?Ei_G)O+jhE`#)E5|@%YvItvcgQuvw;w#CQM^&TCgo@U7zNp|w9Y(FBB%GzV{*F?XOU1z;w!zoK#z`x6+c#&W87Rr4f&tkb^id`&D211?tfZo)uq8A z5P9!hVb`y6eq{duzC}0ta`PNIkN6E&)!3gA$GbaL)n_V4l7l~nDL=Gsxg`{-^sX!W zYWH@0zz_ShKDB<_`RDKzKUQQuBV8_bzuI>=07n@7=|8ltvOYw}&2b;v_n?2SIr>u$ zsd#zwn>9-g#Q2NU?vA5&-@t<&nWmjW@2AQ53gN%BZkY2kkD;k!ySZ<>E0axOTOsik zyZz^7_Up`JDhH-2YrDp^gMQ#xj0LV+PP)E^b#uB^2bFF?6~A-8S3l}f-MS3t6qXWd zduVaj&y_`_tXb1F38jmA?c1ClD{9(bFmBohYE3IgjtezOfMy)!D(XNK{EM}H>)*@i z)LZO)MstawQCU04+VFJi4z~ej+N^f_el_h&3`7DYQV(!z!Yu)UbdY3iIpVwM{Bxv; z)H4vk07t!Pi=^D{jvT(cR2*c_f08V?0lUOG z5vrz3R4wIst&>Y`$`cz;N@}p)ena&%fD>n$Gn`eu>5O8kLP?O2ne?kAoB}qeHAqEL zYoe= zK3$u$RBp&-#(Gfl{G@iO?tOdLar3BX9OTt&hREqwkn(Dr#1=e`w2sJVh>|?1r>tv? z9^aJ-ka*&yk}|20jFCZVRyO=CuC9@&ML6SO$s)anTKK7_T=-#8C;tFS#?ph6UpuP& z{dyX8&QuELl_C31A*hNa<26Wt?%}ty`KMv7by-@*_Fc zl%3l$E!SnncBvW9HQ#7HG`!Mn8Y^ga$b)Sg3zM*L~vquueA?mDhqto8FDaq=`E!Mq5$Da&!9}4KP-`-wYN{micJ~8jj zd2QPOr{1PcH}_9t4yA8@a;6A;{&W+ZibCDRG#TXy_-<Y@@uN-DEg{pQiJxn#usnL!6w+>$*-7iiYPQKV^vf#? zrQ3IVG%}O&qC@6xADt$7Lb> zsYwIQOb;We<8$>it^;oEe$J7$?C((6=~|F!b}+#cYE#^!%%HE49^vbQS=yDXH$r)pL_ZixH0amnYI~$e6@ZB_^;h7i&0;Rvb(QeV3W}>s><@>uq{{RY04O+!57TW3? zfDn?VCIf#x#VY|*U4qIB!yShGg9qm$HI_){)~xDNLp{qiygo{k(45sG+??}@mQf%p zjP;~A&(@k7j+B7lWcR5X90vlJqZbsQ`(m92)|iZPd(a~Nx)|X1Sb*A}E_2R7t{7yFYg$j;JnU+5s_rnv zF`hDMg)jw7%snZ)ax>Hl*5@V3ppOa(BL~v8lSiHGjn-5|rzaryiOpz%#ASxF=ecFroo!3GxQE`Nv`?yZrgMF6HSI<_(XHNeE*zfWUY z?EL-a_UEN}xb4$FP2ftdoGN^d!Z@UdpE5AriEssO*y{1dn{dAN8Q$iD(>?~q-vU-xq!!N=$}^xy!*tzXDH;lv$s$PJX8+Y8R&X( z#b@7w$a&6b(W0q4#KKf))28DHoKB+Y495c_HBap;oZ!~g?wKXTnFE7a@@Vr9x+~X( zMQvH|SiH8fsN5r=Ox+ChG@of7-8EG8e+>rg zH$|(XN0W>U(zcBJ;EG<-Ag~pmLp)t^_i07fISOj`_AJMs%^%paXQdv}Jt_kVICBBa;HcIBV^y@OLp@t56VzQ}OC8IrTyD6KOHCUZrgeSJrPna3^ zf<9fKt}|Wq-Z+Z>-J-ZyV@!X`I6{(rYt7<7i-kXpIWwGf9<}DmoM!JNePnR7bZ#e2 zb*Wg(a7wg+I^d3#1iFo;a08vc#l>^&%TU0v13Y4_B(z6tYVxZjZd_dWZg_~*&Dtjl z85bk+t2%C-;F+yjYg;`|;xX0LILN5HIi}lRi9FjFZ(T8jl3l0p9qZM+4*<2Wo*8r> zu`1-Mw;_kEdpKs)5&3TrOWI>3*~xf!#~u{$v@=Dg*1cE)SVwafc^`{`%1vs*}<=gU^e71)wT%T<;1xdn~W zE3gBnCbu+=Rjuv=h?J51Cy;BJw{sk%<2kL12J;s<0RC0cM_C-z{sX9=T=Vc++9k(L zr@c#QuRXI8kwQuAb6n#97;}nzN;vhd?bVs)@+9t#rt8E)NWoY+Am`^iR~@Te`Oa9z z0}UR80{w!#=(X< zj%yiU`8PL)Wf{9f;{}Z8orj81VKy_gkzMYL+I(t$*7+^fO7x=jEhIU$1PtS*Gw)Kc z@p={Y*Vy1b$1Zw)G<}jy-7;&qx3^g(3nGoA<2dPCXF${019_mIYT00-V?5DAMvpBP zl5Xg0Q%e5lzT^s55nIQ=W3Q%LjYh?L4{B&Y`0Z@|=oc}dRZBc(|+YKnM0Y5+?1 zBD7j)j2)ij@=GG2JF~}nqRgzsml-EC`J~(r99C)FlY?B<+?&yzG!#P1T4{-*n=WPh+-LQvr4jjmdn8p> zC}bxD=hmigEXVSu4J&{|BH5NTJ*kUv$jPef8Q5^7d(_rw**pw-&n4RaC?~bQ;8BqH=oEk2$E@>ze2|5*K*bNj%j;L%!k$2c=BM zk7}#c&OcfcI+lpEs92X?nWxE*FOo7x`4s}-9lU`~JawT6jx+_jbf-zqJ9ekWgoA;_ zMEp4!yix0=pphE>|?c9Bb=tBY1_&xtZ{25QnaMcb>7wxc+gY22x0zu-$G3|0kB4%mmt_;mr6UWBvBi1j zljBbl-f8QkJ=ATd7X{S94^zqZuE)gamhjofZ#xv05=w)NS1n1(PgB~Vho?(eqEC+g zD7C-P?QHFwGx45t_*c*Oc**aI)YRgZ-u0G7X5^onIRyUzD#^V2;3=gOq^fdyQJy_9 zPTEPvGHG@nPPM85j6ow5oim;(;R!r*L5z{^XaSAvO#t<&1X;#E8dijy;Bi0^j)R=$ zx3pb03yWrw;@;v$$qFMJ;~i*763W&mSR%N2)D?FA6YW~oQCuafrQDw}!3h~4f%UB- zjGHnqbWOJJab{*(zJGdb(PyIKzR}zx9ikXEGE|OVV3D+ zur|zgznrL{hviA=G|n}LImJ5IA)N$=Y7zl&L0j6+n`aavXf7iz+_Wmdh3nAPDn>+^ z9lC?kkw;^5rKEa(_%zE~`%*LKL2>)U_bMu~X;w$bo=AMVat7|alU3u@ZKJkiveDqT zxnYvx_^Q|XrOeuVc5FPj(38gm=Bcs?b=@vI8!*B@nV9W-o<&x&w}j|763H68 zqD%)pN3}}2?ZewzTiizk@!N49m{a1BLj3rN6qJt+w2d@tiU{5f$al&vB^QJi4crT9NFrfDZ7J+! z32_VCzjbbgxaXNlokdL=e`eFG9(f*5_J+YPjC)ZX$tP*&Jv&v6UNl>|L_lM4z#Ro< zf>uB=fnL2ixmlh~d^I@5*&BAN@?_?mMmlG&dZ8SJ21hk&8H9jwjybNLr-eAQOrU4! zO_fXa>(kn#1a0YxZy6^Ys*KYijY=@xz|CvL3amTW^P0{WBva&`K$}&to|T-U?{r#{ z>Pb89f0v)7K>qwxLPL{I-@7@!K=^=3O%4bR0jkcbfr9!0i@Nwbn1VgC%8aKu zKzOSJDh39&?S3F=7l9X4@U^*q zH#9A@*SCk#2>i1>eAub$V~f=;XT6xsaK+?3O;g9q^{-WnR`_prn7Y$1?M4Q6bC1mP zT$hY3{4}#G_-5+nNXW@qlLUKLN_Wut*+Z3H=Pw>ipbl$d%?hF2#yx8ooJa`hD|Q9S zsU!~d)rVS~xQFhN1OSG}$oKw3CA*MpAPf|K&x$A@GTrtli^IOs} z3fLaC&9vx}v33I|y>r%*(&uItJG5Vh71}r)RfM+$Z~#54Ha%X>Jnnp-VOH(+nM#fc=~*6dPShF`Y_F@F$VwXC-u4%#yv&T-sVEooVuxOiSC*;dZ@I6y~C z(=J`if~T~Egi>EQ=AyYkCHb4LK9%#YM16qSWPdLl0Z<#Lk~6;MFntRO#_>+69kd1; zX9iQ(2Ds5Ha#_nBr|Vc$tf1^Pk4d+_wgB$AKy&L$b*NeSXZ^wcYdUF!*otYfBNF9>lWxXcgw2V2FR5$pU$5qwQGU<-N(=h z^R~HT-#^lu_M)xV7$1!ax)JD-Yt~{SJm&SnttG#RtPo-5aC-gR*PS)V$mHgsYlEJ- zHD1%P4D?M$#P(nV8Ft6_xXG?F#GWF#y^G36c$2z@#be8GN$0<%QkqS~(5kZv&9!5Y z@kCLKK2Gv_^{!$609xq0Rd77Ti-V49nsx___OEjc+^MF|jK)PO&cZW$R6ScAsm-5S zU~cuhGmJ)DU?&v>c=YEq>06uwlj%sRaY9DD36v6YGHEl0>rzLZy(%}y2N`H&~W5BT@Q-9L*c8N2Dj2QyQr=R{{USy%yK^(;9^FSP!#fb=A2_~Ol!?b z(OV)*$bWQQMgRoXU9*tmkH)vI?d68S*~rHLW3M%X8WrGvwUp080Zqm(=Ud@>ge>q5 zC4NSGiuZ2;_;$lqwTAChg(s63198Aw@IM3EJ?5|!E_R_O9jnvR#}If<(Ikl^k}ca$ zmNG#V#mi|k*1gh48}ZLiv(tQH*7|k0iZPNvVsVP{xxqI8e=6%dWv|WRZE}4@)qrz_ z-P8|yfqz;he_Y4*4Fw zwTdz2HYC9oR+A6mfIyeDrO$t{NHP6-jQ$UfPw z2RtlmE@kf>T(zA)cVmkg7$lRPden0<-Z9rS*WVnBt=Qm?N@F%$9N^cn4H@QE_*r#H zDk;Mwkxz~w$&hKSlm|RlUC#-V!*Iwpze=|oD+9FWBC`k0*QH4tn9p84D7l=Vp2mP) zv@qis;*uGZjBXh{sY!2Mrh^8oG1DU|Y8EVqp7m&b-f#^xsBRAin?p>69AhN&La`&* zQWzV|!0GQ%I_)?u`BAZ=S0{%QDF@rrV5R~#W&&tOjmxG|Ka zQr14hhO;_YB`DPDbSJM&XT2%iu=|lz^jj|wc!N<(O%}`TGPgjBkTL6CldJqT*YvFb zUSHXHb13=tuwnlI)~_|tnm)t(dk%0vHZTP^Fu=*hL9J;%A+#IT+9?o#K}HRZKb>*+ zTE4AoD@Q2u*?Os7l}isMH=kkBySd#5UYoxP8yh(4Yk^-8YD(di*FWykj}You=2iM_ z%|EKl!dyv)TPAF3(?u1-v$h6nHTC3-VieweNx-bDnJzD7^Coi5)|NXW*etwPR46W| z&*HQBxj$#3G#AAe2zZ4t?rO|FEDXcWw#RZ+t1wtDIT@#oIu;n^ed?u#gIgSs&+EnC zoayZKOMBdTFY^v|p0%!r3-a^nUUw#+J-dg2&PPHxBAnWurx?fDWM1D|!dNGNb?AGz zUMYt!?<05QdI4@g#~;?0cg#PndF*%kl&%6?N?C{l2EEt99|d(A{{Rmzudeut8v`O1 zjy=Gfb>!Ah4A$)Kj}lUs**L&+-lW_Lb?MfU{{X|%>GDV+)sj|1KwdE3^+MM}yS}>- zz4S2WxpRt@LQUB*ONgnbZc{+?$sbBh$`_UZdgi0oz8`BEb(>wmeFfA+Wcf2^kEL)^ zM{}okK+r#M#%#n(;(Ob7QwTsBP`!RsrL1J;p2OFM|FE(`3?N zx|%rdC2$#;j_%d#)A%<;meh-j7;%s8^Ih<23zZ)qjBgiGRUcF0^AR!U9CW88jm(gl z5er7Wan38X_^F~<=sMFwr?4@zasl913X)2jwz~oBde=fcMx0%l89+MmSLDge#>}{4K<(0~&96sq z!^T@YaaXSFvW+L&VfDs&u6t0mSvLhcy~R_-&FoBY*nXw&_)0B^;wJ;%nBFIcZUR1i zs{{Kzv&AT}a63`;n!U^Vt{mH&#BsDlM-v9dGr_KU;ojy#dM|3T9gAnT6>2L_o8GgW z=TqV?9?FlvWi7jqc;nWFwvl^Q__yP(Gf5@52d-*42lb?9*lIJ`ae(XS)uwz-pa3nK z-z~*flGHe;WYYiu=L-5vgct9YNp8dUePNOS=VQgUNC;QLhjYS$Z~hHB@TTzGq zkTXeCmsSc8wc?Me;Du5!KdoT+n(=JmBz@)t3fYXPPDwSv_}cAF*Oa*`J$-A)tnCx% zVzoJ2+rrl@TjwW|N$FLjy*VL3BvmOEX{2TefOyYptk!d6jD30QUNuR#Bf2nFFSem3 zaCYMz>NnIS86#mM@v1*#eVpU-q)So(`={G9uE)rWBT<=$0SB!kUra}AQxeV2a$`}~ zJGiI)l%nOOfUmPuw(dSig5OKV;y(^4R_aD#398Pcf8I~Rnp7wa=AiT$>r>4;kCG;U zor0;)wIQD!0X0+1UB5hlA4)}%Jbcn9^r}uxkCF(Tt{ZkeIvTGv!-3ZWx2;6a8)x^T zqL<0WT3#xzF*ws1J@lv84^FjFuiuR4lk3Q<46wSKzco_fQB>e(XwGX|YI1rU_2o)1 zcR7y`+;6)jhy+$UNCD0`sr45#UdT^OlT^a;YwGC5#tAdvE6GlzniZvDDV_3LBA&SD z1pthIMswP>Ax19S{ODH+bUDD+?=W_9bP}S9qDNB}0N3-bn)=zJ?<}K>O9CC5#T*r;0Nfo}x zg`wx=JeBvX8+~fxD6tmMeS6hQ&0gZ;JD(hKM^jv}qa`bztxQ~J4pTQyy}i2P>rO>*|xZtiedcX9yi1$obi z^?5ZdVrhQNupl^93ycq?Z2j#Crx{SRucu?&ZSU=+K{U|YNizJ!g7@p1%<(^pZ1o75 z4QENXmfZma!o4eu@V|}a(zI!8(#0f{=WNZ}HEYA3E%7DZwG0M0t}WzX8Z!vsdXrhI zZ5{5sWuiD=7+iQ_L{IEkuOgTexhGr?!m)Huu{F*vVs{*1E^E`iIO&>9PXw0HMGedI zCf|Q*^0*L0K}iNrQaG)2X!B|=N`{w;p3*Hh5L-zUjBpw9IQ6bR-a^b5sV5bq;#=pL zQX>1Y&U2nC1xZ{Uq#V~fx;?xtoD=AJ{{Vqw8U^yp9Aa6@{_#&E9-plyqoQhB#ia9F z*v?{ES=$ki0RI3APXyeisT4C!gdpuc0E}THSCuS_Rlm(I;(;)_*WFLF{x2ay^f`eaHq81pWq=FTazT zF1b|X(GzKj~|U;TO8)C#^e)&&#iRD=y=s2u4ovF_sI69 z1tqcTPq&|Lcc?k=-?bQG-@0x`1(!^%X309AWdG)f+}ruLhgw4_XlqQ$a{0 zCp5prIT`O%)X53wp{qgF&||GrW>SrXC!S74K_$79lo&N*JBAyup-CRqEs~v#=~0wn zxW!d5206uSN~1(8uX@(;f5v@# zUDA@$SS{h_EX$vp>O0q+TStUbx0-F-V6X&%=~?nc^fiViuGb$gi8Uu|i4hKZs`NFD zdeUCX(p@=`&r)j3ra0?KvY)e$V%{Qec4rGX$jMK_oNW`j3egeu#Wcohlu;hmUCOcA zsOTzBEDk>!wy}-7bIGSHUogoTqm>JpvZzd`Jt?wAK+p576pVGp9Xa)==Z8JACISWHq9iKwnFbf9UOpXj{OZ!)l_5l7ml(xEk8vqZCUE;>lKGI5dCqC9wuT%?AVWtKs~{RgNYu-@-YlZlsk#44XT1RFfi3 zZ5oxc|Zsg0+u5CdFM=c&z49j(WbCh{aPe|u@g zYM_utbBeNKuCHz{WisAQ^Ge4hnC7D6J!zkNIpEdEwEMX&O~OGVK)=V1D!Q3FwpJV- zzgmry7RO4P1S#NxeQ7WO=~>BKSFqJhys78bt{c0Sh%3pJ9R*LMY1bO1;@Vin=G;F; z&MNM`;hkGTkS?WTEJXArcr+-a!&a_f$gIZ%=An3SPaIcK;jam5z9KUnIs+hxW&LWZ z_)PMMtW9D)AMq zv6=`XyNP3798rJSGTpVS3RzpW1>Z~a+dT7W| z0p^jEW~N(t2?GZ{l)q_OJ!p_k!Sx@;?OWw&nbd2gRC+h;T5OLF-ZYlFwV4UxQ4#@f@;RN=j~v0lNN` znp*C9u72jnk@(+8wbON(;jxg*03?IxE1VJh+f;rgy))t$f-ZbDB25L^o(TpsBK+0J zcy~#UQr4|)Zk?lwSK3&0u8l2o$eNO$DUf3TU=00faK4|VdSAp3htTL2vEAwOJH*{p zx{C9kDAR*Y5mFMS?IZ6gW%8kR+0YZu738}0&)To0ke)YJrTB{X?AlDvh6I8K7312B zhDkykWr!yg^Z1!rSo`56^V4QcVQ!rujzfXF!%8CM!pRHGtIF*6Max2Voi`eYs zeacc1jAyTUjfu#?t8#src-q^$@J&`{g$XK-dFfK8Ve)yAxH-;o=}~|=XXFvZHC5P`MKR^OW~u5@l(dxR zwkk&io-_DXX18!6v3280eB?J|U0KIQ6A1z!=6Uo?*^F6oy>$j8jMnGoIaPL!9zDR3<~71}Q{`gSRwZ!OBWc zB-1x%p!`KfB_lk3be?(700TwFqCDq5l;n0(gHU&|iBk2$$*ywbZVM9@i{vD|vn zv2t=wPq#IiCVYBgv~)IWjaJSgG9E=z2VS&XsK)IicK#RfKZyJ(W*k6e)12qaoqk`! zt+&L(aW3WX)|%3}=Q-x<`r&)YP@h+t*+i5y|n$0HwK@iFwvVMsR4*)%^%Xb4j|=L$cE2swt6?-MtMZu>12{b>($^aHu6(WNdGCtu zwH?yKu3NdkW+c3%f(O#MRZ`p^pf%lmZPWz*FO)_>2r-_$`d6MCnL6+)mf9S(FU-+a z{{ZEZ+yc--c>gtmLz{m%oHOE?_rx!MT78ako z7@nKqEiU6p@N{~E#TzRyU-vS5``0_-PZDb}&v|VP%8M?_SLOrKyKN^uC0q zw9~p=07zVLX?0adkSe;dBdGMO)@_#ZI<81s=t6Hp4q`mU$6T6Vp!~*@rD~3FJ!v~p z)Y6g99VigMbIla;1vOTH5b!fepK5sn6vIFXo_%R|14uX~jym_C1^QA_wj`qgiP zIwq5;TwfhiPPe*XLnMwlU-OFYd{J*$E{Cc;te}T*Dfxu+TKNRg-II+KBBo_ z75Kex<(+I|#AV4Z(~oN4RO`K=ZnNL2Q zsig{?q+>OzS2-l^Ux=j3^KeHM9Pj{1L%^!YPdwx4R-l(75Bbq+8SGUcjJjstvI@H@vNX{SCd4REpZZU6fekT;j#W0q}{bgT?VQyM!seoo&eA$ z_<0tg988yjFwG!rPSCi^>hMj7nE+R_Fp*!Hb|5qJ~B zddG#%vEjn-e7lS#vyW=)d{N<;uk^DOwYqQ50aSIWUL5fASm{F6@&;bLNx>cKkNZkJ z5!d~g-R{qwrLshkqh%v$uXwfau7!W_*)9!)YdWTU!S}QEsQf|Toh_ARj@TyQgN8iU zP2sCHT?kpm?e;_Rlsx|c`suvVlXgRnNmiUW?yS$8E^Hx+;n4vjIKk%})y+G@cX#oY zva*_F{_-inHS2yn@MfKFq1;^BTL`Wc?%ut9^HKa2dEY>gdD2GV%I#j5&q}Ya!LDl@ zamnY+th7A9ct=uK0rcyIW7(IbQ@+zqpE-u^100>h3i^ZWftVQ>vwQciEBLpeNhYAP zM;nkvP8WbNT=L9iCupP5!{pRnl_XI3UE$Um<*oFP#r9SlnSZ5rpA`Nc{{U%StomeD zu@}bfKn9@rYY=}6LasBgZRe<|?k&>uS+`wM@?^Dy?p54+j+r&S9~;juS!iX7%cD*$ zLsWUrweXW))3o=!nhSSD8?YNE@UKVsaTS)W;0d(x98yl8tH-yT)(6D@02{o?{hOt` zc?dSM1N5eR3ewVRyRA#@6N!_LEm+`+^xM37nc_w&HF+YBE%6?f_ByS_ywE&Mtk?{= zdZS{Aze-GMEBxi2v)P_Hmbbku`RCW71*#7{s z;kDb90wc%kPI7HrRH?=@X*-`j_>SHZz==37Qtw99}B z9^n4~g;dfJAo!JbjH%{GnOyKqCYj^UH^s1NI#C~H8B#lYR30|Gi^JYLu+%QHkjQp3 zWQfjB*0_%WXgbA?vmNVOJW7KYarufg2kl79^~{kV>A-Ju_i zc{9eid_8k{q+CF-hIT{W6{ReF zY1y@)t7Ujva&Sk3*!W*c@fFmq;ftvywNgX*%eAxVT^^h8t~6k8CTSNqWbM+f{1@=` z)OyyXY!k}}cQA9vuVlH=+6Z@U1cA>zY2q;$hVHABS9Tj89$s3G$BB*0+m}5xc6L7y zY!d6i!^)W^*tp)D=Z>}WW5!k4OoR||UqnN3ZKU{4T_aU@6Dn;-##OlMkzOHj1ZH^( zp)0uH{x#{+nrlPF#?YTKc0Q>1gLX~4R>WWvlh11Nkl`*_qs z<-9~lmNW;pO?A^MbjA&FdhgABAl=S!n)Nd02~OznGoE-sDLu@hI`CjtYediykOB6iu{o&%DI}K9(vT(xC#_6|MBQnM zd(`_EJe*TZYB>$srsX0q6p}w$P`DZDDdiLnv=v@};*iLDnvyvh2^HdEk}1lv^#|6M zU>(J5cy~l?b5L72X19rtK4kI)U(So@INtgikbFq-WH6*dSD2)KbPx&sX&d4h}l! z1<8{tcv{#yIjn@VUT^X%}}|J$7di1 zf^dE6#mUQUCaY>nR?Tuj1pYO;MsnE5;*%WGx3x6%t1$vc7@+#*f!CTwBRT6#KncYm zY-hDb%xO8M1B`}{lTAMKow6wa;%Fv=(vuw00YT!F9<*bM0PRc#1mlV`OSg(mwICrm zG!xAOtpszLpbX&9+;d0;9@M~aJaI@`m$fvEP$1(T^>;`iZuc;xXrFpoT?wq3(w>xOj=Zlrk9=Y)3x`gb$dPgLGDc|eq zSemzouXN0`!CpM$dK`-N+bte#S5dvVw}}`T8!9;O`c_V~f)uz(lL{9MjoP^*n8{c6 zYgBYRBwa3kr<9>(;-S0X3YzjjCvb=41mhl+QgTmIUfOp&ne55!hX7U7#ww+*Hj287 z?OMe0s%~GhY@SaQV&H89Jk@&=p}Ed#dH$74bBb!xAwV%wDuQv-H4+M(V1w&cLj+=^ zZRZs_?UhI?$>yR^0LQI97xLr;u02Ng22G>f?~xo|O&)}#}#9XO&f43Xiojt3lPKjBOY%yzFi z>?<=xVXZ8ivJNT}aWFW}ezmb}rOPgS%wP@x=C$AHCtLBf_?boQ@vn7QHE}K=$n(dpBURu-%RWR6Zw?*;NE(caz$@<5bty*1@GM$OjlWto%? z!dk^9@hfHegZO`*waIwHUX8R1q2`bZ*Awu2RWPO6m)gE&Kt08FrzcJ5d5uQ)x%8#x zqiE6ww;OOlttCpwKS%&}FYPVDu?)I2kFZ?^vc?B(%>`FSW2 z;L8;n()dqazxbPVaU^M}_-{|VojT3XpRH9N7Oym;BU$)v&eT5#VI!KKz@8n{JZlv5 zTSk$2AQqVLtWQ8I7si?vtE9;#wfsOT;Ad|m@$XwgYToi9cxlPr4B&iAu3YQ-lil4S zB#eIQ_N=j<4>=X1Exc_$!Z7F3ppw=|<=EUD*6K-~O1n5Zu(hxl04Hxu)^(k#s-Q#+$E#OSrs!8ws)%D}Vn6{#O=jvVom8+@I=dXDj9Rbu zic4wdCEEPLMK~bWu;_jpeQ{#E@!qn0gdE4voPQx*mxDeTGTHsBS&^W)kYyo{orAXG zdY@|Y4~ng+X}Z1Lj8mCD&UWE{rDrL*Y+4CO8|mpL~=2 zt3Ko6HiM{^x3%)o@t&ZX`D#l&YUQ$)mvU#E?I$$F)Agt)jlRis8wLb?gjY3O7qq*% zwJd9lmWS0^R-qh{`H{8;azDnpYp)i|r`ZXa_o(0k2Q}fo7}n&rf;jHWESTgl$3Ns& z?z4S=scFv^pKm12++gUIs`fnucspPg5cXFa$;lgW$I`88H`?Z}r%WvL z`CK{L7<2j2hK)p$Wn&{3H#ENiJ{EYA>Q55hmbsU3ZVyjhYuP2&WSwyHJ7HZb*1bX%HwY0ldkPg++UK77E*y4nv)oOI#5H)s(Ms1G3 zfswVpO5}bUYOeQ}O)HjTjF$G#KHY1z)x12D*@V1NDig|@qoeqK!oqeI&@5q(IL|fa z)yGqW)fc(zQp35>=80Ft`pCD9oHjP{Na>GS^Z9-v>K5m&>5snRFwyQHIAMcc?hchIitkhAvGr=x(kE+S;&ZH9NSBuq zM=m&5>S~?8%?Zr`OBqZTT=Zdh| z10eHJ6=q(&Y4Ues=OglE{_aI6h0ZuM;*4{<`O{eoahwB9(_O{SNl#{!ihBckz5P`^=o zXV|w0j4|IT52>lcAxdsf%PKOAV69ukj9(B_hMRRFnCpnr`gCf$*wX~r>bXNJ0b=bVGms$LvI zPbRO-gecfK#wwNCpDZZ{pIUNhAz_v3BRVoeE(UARd==$MU?JRr)=ccrBpg>4ZD`Wm zMH*u=5Ub8Q*KOgQ2IEQbVn;h8l~B#G!Rz$v(zt5VQ;w(7(wl?2J&R57HMYF=*B6&E z#sc|!5O(})#{MknR=OvQt}Lw_$qJ4cxIA{RaIo=>u9B*^F9HBQT)sKSPpy3O<84>_ zYsELWxyri`52(*y*0PS~s{0)4#?ITj4_c?I7}Zf^Vm$)$RvpW^>ygE0YZ4Z?Rp4z} z-5JkflYx`7C4X9DEiO-ENt0A!G@K4r4jppq@`^4k;Rd8hX=6=7M_)GfV`=DEiQS=;|l{ z$)r7K&op=DfE0A*wmdY1-ZKTtsO0)qIIS%yo-~<|oa41VfRCrX1*E^(7eYwGK<}8? zpgV_tYg@ok1Btg?#hjA0KO)OlxDOugTc&Ac0nw+93_-U7L)eOeWoinN)nMODJ@W0^o%usNvb zOk>us#uXdx=Z~dUzsBX;UYa;3ujs_x8x2wRp_(VJ!^QLRZYv5pL}Pf zS)6fItwtPUrCE`(F;x|*#XpFNPdxOgct^6-}9+7XVv{BP?(|!0lMh4XGsJN9JVsY@voUa9eCa! z4&EcXkh#Gdy632_>&5>77UR9s8Z9DUAjqXygY#B4XSEznDJ!WW505@ES>#<3$7PMf9bus97dn(@TfUmk)w;;27>cBQ*{hx0o)h?Rh;ib(hdA#Ds#dE8opvGc?djAbL%4jnC)T|Euk8pU&0cxw zTfPkOou7v9q?%i3?;((Fc-tWU2em4cy`tqJg=(;tipObts$ER24aK~QHsyf_7_2Ap z8e2kRh@^NJ;j>y_@U!$O(sYu`$^`s_mjHTy_3JzS6ORRq89XCzB%uEQtTQpqbH@oC znms3opF{Xy6zR*3`}#^sr+lPkK#vzq9Ct>EVGV2V^R-_z8Z{t zz6`KFhjU`BVJWA367e~K^(u>2wD~P<^)@=ygWakeG-bBr``1@x@e2CH=EqCZAjd+Haz7g8bvwy5E4ePN z0*PGY?HH{iN-;Pw823G$&c@%wo(oHMPxwR!nT{OFbDG9Wdp$e?)` z0g2&KLG=~kz6SWSsCaHavRpuy)+FQxZLXYlu9sT)?+wL-_SV`oi6n?ud&~$K_5!n1 zr`Yx5kE11fo;l*1A}^VdRR|;Npf^O8{zhpx~1$9??#cxP`;J){{V+PH)W#0nMyPe+e;)#AJ z_>T56t$A*skX!c)oK{|m;7xO0<4x0NlbjbL01scKc6OfxHH%b9^;JZPerE{TKTbMT zRGeb2b5o;Uqe*CV7J6N_wP|I1XNFly<-lBI*Kws-!wdl;jd%mKbNXhVZ>7et!Y~(# z>vRo58%cM~ADTZ=Up-o{wVnDOQAV4k3vSGvPryIgWL`$Azpo<|Zr;zzghwd()L{1M zUA$WLjF6HKmyXOaTy~|X!!6{G8v?%FD;kL>qq(gq&R6DEINyl6YCfE@$MU$(BlW7k z3u1M*xQ11KzDkA|Jl3y`HD9ynu6Bn}l1EC-_<7?)aXZ5$oCwjV8<(ql(~MJ&toj(r zQowC<8{@8lJ>`TC1bl(|l<0725cGy|0$J zJcHBgUQOUH9%~QcD;r0=VGc;zrxobK_$SLw{O8s8ni2V8mSkPS8SPyC=8D!b=gdau zsI2?FURf-Qw9LmIxvq9yQQPlK&EKADG2q}4yr!?O(F;=J3$o-UJ4xdJsNL(udU?cn*PZcWc07~vcH zO^&xrvD7>*CS#aAyBvuWXP>8~dK?}*Q4C<*TjVI~pGx`q$HyymD<-8i!~o-KNAoE9 ztzZ7vL&&(dVe9K&vV_;fj~+FtKZWRZSJ!)PWL&Td#~ftls{O2P=XOu2t*dCER3_m= zF+DNTkhPM6JfOoqm^I=ydofxVtv3D5jQayo`MKws)RJpW*z&LkqV%MDy*)wl)!5+l z7{yK5dKn~;d0sxWho(DJv-vFf4peue8}T;TdtsIl`Qz>qOX#yWjzziERXc1#bYAiIQ*xc>l3u1N@v%w81oxzm-Kbpm>sJ~R zW^%++iZXmrBCeitM&@oSk7gauYSi&0h3-Ht_g6mT)+XHNITiHSI#Q=eCVW<7Syry( ziOWWCLFSOMu^l+5S$3Rvq{a<(*x@GN&(ZfN$fPUA zGt!L)PG(TuGxV@-dcG^*H=1*gPws_(uN#Lx=6U zX0$Gd0pyMn%3Io|T55D*pyex}o@c`3$ZL&7yAmOz?Y|2VNC#4XP$KFpp^M>OijsTY204iI*f%;@h0M>l5{{T&J2&K&q?Cj*L(Ic2$W8r$I{CjGLu*wcUTH-uo zspvCm1O5@aTZpZ|=gmE9(RELRS~aSNZxdO>2Ud9pHRPTm(__j*C8Ux?H=SA*;|Dz}Gf=tS08mteS1>Sh$*jBf*&rQ<^Qfq-jc^gYTb8uf zoysh4i8l?bI)m$8z2OTRD_ue{a?bZ`GbF9aC%t&JjLwszMo1v!8um{B$aTpgmtk4P zQSsCL;a+wlp6M-*rJ~}Z`L{Wb5=RNXzewcBj(1=i(EV$Qk%4B~*#f?x*L)M<+kXja z*YenA@JGAN+(9gHUoQ}$S(hLZKnK#CBW+4@x=9t3pEw2Uo+~R;kjZ;19;EfgD{9l3 z{%=f*!MbK?q%3kv9G_a~iqhs42)k2ridsx?X_*nXG}3sdFyfGkuoH}PN$pBSAso;H zfTtZ@#W}8QPkR7^=(o$nBKmj~cPd?PRpp4K1549obPQ?L9$;L%A11J=y z@}v~N2VRs>-i*)!k9yb9BQhk|Y-0kl7na)?;*Ow*H!ESn^24gv z1xiX&=jwJ-t7!6DbI$aW=3mNXlWQuLAoG*yS^g!uTWvnzOv>`gHtk+8J?k3k=Tb@L z`y%E%l4Jm6iski7nPi;#gzg=3bIoH66ZYQLOxAd$sq!R2f>@Ahy~2P&&P_`hIXj6J z4CL=oUZQ80Q(}8_S5u0oZZq1hpunx7d384}+Hm>wtCHj{PCC^qZ0_T|U6_2GdUvZ% z%;KKo5cT7VnFk!6Y6Jw2N}4f&$28fThw;Z0@(&r~6so(hKN@jElY{NeBP)ze!HEZx zN(UyNumO?Jy)p@S#oO<92a2)3}H*mQa8@SF5I0LlP)O^P{qZ>|1 z$R?V439&YidFT32r{)8iQJvJGc*)>Yu@LH4&u41lIT=fgV0ZSeCPvE~){eJ!XS*l~ z+L;xU-F<5`?tK;to2N}*sD$%M8`7FcpmnBp3RvgTloC7BNXei9-hm2O=QyPXkm8i! z4|)bBX>xsOswq#kFamhyuIX$=>|pe&buF`$o;J=3oa9hNNb^ObwS_VUYE7V#&%H%q za}?2qR{6(c-`=eR2d7#|T={yDlqA%ITq|TQezd!eN2LRU@_=}y+Hss7J+oRFZY#_3 zh1-VprpenTgYt}#)|M!wn4c{f_VvP(fmAfFv0c{$5zR)+&q|t34hKLgOG|0)<`LV& zB(IF&6q=WP%_5t2LfP5La=;+u^cd@1r-VE?J;nSf5dueTqYJpNy=6gZaT1{jRe`|+ zsP?a3_)Dv4dM(>Ye1ClDv^F^&wan^IsqbL0$`R(0)VqK1s_oFoXK4{ea=a7xb*^_u zv(vOaRza;mClT_Yo8=&OHSC(lj`WKQN0DS{n{Gm=0M%TljPLI)t$(ww7=nic z9uF1gyba~#dX#az%U#bz@i)aS6vyRR-kBU_NC1p}HJf4aQ$~saC7y97JQ&Fzop?OX zOL_`s?^@MhDWgxV$o9Vxd`;3X>>dbZn{%Ax$ABxI@W+m9^oz!lV>1Q;a*8@vneNG? zCyKR#r)wP%&1=S+q_$_Mc=zHBpNKTEZDc18l1n<^W3_NPCHMAjt8aOhK2rw3?^&lb zo%rImjTpu}sNr>F-!naxzAD)mc72}g@B!#E`qp&660ONyuuaBCE7#oDmIfl1aLsbm z%P{pFTv2; z6qK4P*`krKWA~U3r7-E|aVkattBB2l8293h*e7#=pU$h=NucCK7Sq|XcMp1D)7w0- z{7qhGMe5ZYlPZ!&dXH%&KW7rDv*d!nA5qeQV}pRhHDJ$@arDJA%`8c#>q}vKD3G;| zIxq)4I#M-|5BI?0rgBK`38WzI9B1BzRzh^6*nrxoINWp4R1(|mLQ|Yo=8T^BHB#<) zt>JScV*sCim9-ku=SarA7;-lBI89lD-$;G&ilL5hN9R&qT#09Q10bFaMke;prF~Ry zYaazs-c5_Ow)Ezjv7WfkYPDqr#qGjFZ5zuYbW~DJcbY%K&lBn}i9W<_ml^%(!N;yD zoRZwAQJqhP9&zQdG#J~jJvw!-TOS4dNJk%LRwFqtn#9+>82Fb)jJ%egXp9WA#mFDy zM?7CsM}?~ucN5RHGbqZQJ!yQl!OD!&=V>E$M^;8&p@({}_J_}?q|t?#^@xLDE_x4o_w6^u zcG_NH*0QKwVVKZYKQW==29fv(CWUg&WtEGD%OzHms7W7Lzwcf9J zd;!P&dn)tzRb6A_2ZgTB{DP*t0Vi>vRz)uG*F}wxyX$ULe^_fCNQVHH_da^uqmr8jA~VtM|l&p2d?02 z4*f@*z~=zsw9L{0@}8X5YBUnGhIVk+`EW8n8kxN;dKqke=EgvF50rD%cduXgadi4l zjI+u9kGK{N!yQlm09;oE;H^F@tGQ&923Qv=r=cgkdWN%iW&Z#Q;z*t`_N8?j+m8Od ztAbUpH%%V&Y1%4mvE$z!74Xf@lJB~C!0E>2$MdfWVp0$fQC(k*q!$ZqFkZ2M8#&E# zljqC9s*c4AZoox5Yz2axbBgBVKQS4uhHF%trE`Rg^sV8sjQ0+4 zN%W;BjwuIPqcIOFQJ%Fnc;=W#S^$qCf!36-dO#=v!1_|tMLQf&0Zw1#NCs&@_n-&l zV~S{{?ad}YG=N$Ur%FQ9(%onQNvR{aF{1Gy0vE-$~MuR@TVD4zf*wI?F@+V?cOuAsjNQ{_;MR~=D4?3Mh7h(bA#($SB4QK zv$>JwG2BK@(VxA?UwXyy9;BA`@<%MFW@Fgqxan1{@eM~~rmQKdI__{kFCdH>t#ET7 z;-z=Y#GZT9GlRHdz4Wy_N}ma4+pn!$3(k7gJ8l5aTDqS7D`a_9H!a$q-V7cp)h$`YQ{o{|c*jb!ZyAzRMFoo-0KAfFy6|hd_&sTDdE-lH?)H+P zx+`=fRW>Nco?NG8;E#jXT9vxqYce!25xHSNH<4O8hKr(jW+@s^2jAZ!whWSFDXzc7 zzY#4oYpFD?Qaj5?_WYL+CVwAl*zjhzr+BMGp4Q(`mhm}K^2fEmD#^B$+1(mcz6kDk ztUCVyfiG?ZSKb)8vJ7$NTg$wuC(zcnh`td!Y2VsD8I|X^VZX_laNuJ-tD@FE5$TpQ zo9nB~r-Vtn%Ze~~&rDZkcP#cYX;Eo!A+~Ro!vo6Tezi_Z?2{Zl;?+ca!(-w7Pf@>n zOB+e0xkHAHSEtv~w6$LX_=8P>rn=K+^2Zya`G7w4?wWH!Z{wTmOL)+w%5IRU`IWt^ zLeEcwNVC)=x4E9^?kv&V@T1s%blm)mql1mNJR48=apEhxRGnO&*0ZYZqBp={>CHJ$ zTOK*%T`hFIet2SHQ>f2xYUf;F*LU$^-s0QElcn9f5XgAM4qXpDK{dllyLrxPDW-cm zbR+0!??E{oF-SVrjR*h~r==JK()JWsE*w!o>*+xh!r@8>6)Hh1s4E*V_U5B1bA!R8 z1AB2#hnW{W$flJdh5XF`de?1p8hzrcou69A z(KYQ>%F&j>_E;h6@u~Sw9PwR-pWelny$06hIs3#cz02=YxWoez6lx`;{9=Weh_ysvn6J0>R^3ou2?hS7( zk0S`us*%ThK(UKX@g>|a!{tP-aD$-d^sdkLe!jPdT)norNH@&6P5hJe{OeERufyGL z=Ty1W?P87X*-1EIlm7tMuQ~8Gl_sO&%Ud>`?IcDmy?8kkotn_qMbfWpZftyA(H~9m zG_4)4lN{ucwQ#IzZ-MtF*Tv!~kIO0*E4L?)8XS^A>W1U^YF+YVSX2XbjD1a;bno=g?P+#O|ZzVhHp-YFrbFW|eVODaplb zcKWWpInvh6V^sikJ%9T3!@an2Tkn*dRsv91;s;zl0as~+Lf@#kChu%;7>Z>saJf}}u`HLghyn*lLA9n!$HCk)wB+l@? z`KgFar{+#Q>bJ^$>8?~B@X>PW1_I~$Qy%fhL{s=wyHN8;(IXChXi|-W(jhl;ZN?CB z{n}x-Zq9omt zImH~*`wNzR%BJq?jL(7o=%#(D<8}n3=i09MF^qh*AdWBL7^>%|*p~{AW@bq|%t&b) z1Xf10+bo-Qa>p62o=9UO8#~u2;;>Q`KynLquFMpnDwao?nZ~3jHrdJ*$RLbU?a>-k zNgHZ00I=*RF}8gLUeWZMtycOwOBnpyi9YIz`VA5CaZR+5^pC>m{1>EYkpBQ@-&sj; z<1HkN1C#VMz2n~>>Ao4$3#6$v%a7g0tDU zm)=&ST2*hkFC-t%vrvql=dCLBY18;Db{5|oyg~h@l1&}A*voSm>yNLkeGQ@NaO;{( z7fL=!P%sDHzCiG|hHrK4Ni8hUmLzU$a0k78ap9{k?42Uo(1H7y!uwLJl6FRzDb$i~ zXOR4P@NJxSM^>H`>nSDI8pWs@!In%>j`EvxM#Jq2N(nATMuwnwd(M$l~9@b0B8mHcu* zo6L+5$LbAz58(|G&r;KZU&6A&-D7@1?~3`$!;nobt8L{*&Jn{L4C1}>OV-;=AzpB2 zNW(NLy$3bLI7LsHZhFy^<*|qRLFxK#m~@CV+eq!0#xfA~9M_6m5&+M&cb^n|SiULN z;hHm;VL+?$;tw8s zc|Ab&_Ml+WQXl73NT!;8w5K$}XaRc)4K#J9cAx|lr1Q-uN)JIoU@mKymL@)1R$uta(%1xoUGK6>?k^_o{ZI_k-T9zufdW#wwA;Q{p08(9>@& zH0!u-?ImcZiGwSDp4CGlrU#m{HtniCwR~OSe;sLYS@@y9vbAJf3#?`~{Cj;3MWXmq z#5!4+_&>xtT=vsvl$aC$0M@S_Mcm_pdjaZez0tlM>L*W*{{T?bE%caOay;1z9DWp% zw^L};bsgH(b=dTM6})$*k^Qr;X^Cir785d(MtK!)#orb*%|6G;v3Rd8@Og}Ux%$_b z>K_mF2eFd#O4RN3m?Iy&5KdUH;aoKFd2=$lkig*Qf(?{yZ+2lUgdVM+mnxPO70uOHus(ogH*NF6klWamaYPoK7-e%>s)Vz zi_dvFN%x~UIqUSV5n>}Y+)t>bfmC70-shY6x+~MFyXr{mFZ#4ANlJvCm%AhmOC_wGd$SrOzOHQAciQ03w$i zDJe(2Ff?@H76)yFusj{u6kbg%>INhp%yXbQ^g(Vvz+Nx|)1B$|9b+fJcb#NWfvgZ*osRa_nW3+nKxbk^o`>CF$$ z%C8=Ay$(fM)1-SDg~g1o1k6_?jNk7~-jH3>9~Ay#v=r1d>=FN@atrks|ZEAaFe^C%dZ8*Y95su=uz;X6yX zZeZ|;5=3_c=JF4%c{n&DJ?eMH(sS3fbMhmxVrm|P@e|@Tzl*J-wzjZqR71fhCb-xv z+EoW|8T9n5%Rr`6$TiZ~M(m+Nf=)L3@mN)<$?l`84MpOmdF(B=j|;Nzc{x1o8Lg!7 z&7HHx^Q7w=@{c&$2=(`_>*1G$(^|b{xQ&rxK+ME(^!nGbP2qhk#Rq+(;}|~GE%(OwuXe4pBHwZ8A366m z&HQ!LJUy!FcJSzMc~dC;@e%A&M@$OfG%pTHw&zhdHpV1vrVaRzd*isNoD`O&`%h;i zk?B|7GMmH}_d+tHAqytq$p*Y<#=2~JZmP==Dw2;eM{aAa@VvWqy+Yl$Dl|l>$5Ia! zk?{x@7P1+4qN!YZ5Nl}Dgdt?LE>e`9r<`6cbBd*m3edSrT1Y~6qrDlRgG>bP zK;n+{rxXY=NIK9t=~r~!Hser`rLu#!sbhdCf+`wL^}N0n)f+ofA&*%FJ$xsqwm~oh z+b=-Qf4we6PkC!Cr0*2KZ&QvAMOUCl)SqE&{pKKX(va9Pt~1p16+DtlxQ)iD$t2s3 zS+n)8nLTvSuVzsT4cQ%yS24bR=^5=q$tl4u#~y~GGDtz?jyw8M?Ai`wOXa!XBOG<& zl_QfQhHNe*>*L2x8$hKI`c>X zQ<8C-$lAo`1pPYGLOVAcXRd0aLj6g2hPoP8Q>07FWS+yVaDFPjlH&9(&4MwGJNws9 zA~ayOYdHCn@ja`j)VyopO;Rr|{`SV; za6+-oe2vqRc%|$B0+XX1?svx@G_29}WIj6Z27v<|K5JAYpOyiZHNbe+<8$6yNp0c# zR)iir`8YWC72+rc>;N(-Y!=BiDx6~OO-yx3t1SsHFYfPdUVEA3mR30|$0n-oWzHKJ z;NqRpumvNIlyy08sGEuIX>!=%v5InojNlq;bvYlMQxKb&<0P6u4>>0Wl=LIgg;UQT zolS<1Xz&lj?FUEjm-a5NXLGxd#wEyLYcu;_;f!-Et6{4%1_x;a8PD>h@OHW2dz~^J zE5w>Hwx5yq*vTP}sjFJg!g#M?Yu^WIaB5b~mJo&o$F~%cN$PqERV0&EX8yDBYr-0) zj&!S?D*5b{Lf`-i$K&l>$NVH84NxPehqVps$R+;(pEXxV_(!cs1lqxeOtk=(dE03f zvZ?Un!ZDAuGwZkM)JMu@hs)Dazj*bPkw?e>02HmYzYfQwX*Lqt#0E1aIu6z3ea3oM zQRAI{*Tfo%+*xUm>F@!CSo)u>b3_icNoiw}q}=6pQq)h$f_UjvV*yxUvCU}SG;0|S zK4Z|mCPKwEJrdg@{R)-5|##^sTLa_6ad9Vxl&XaPR7;hIB0 zq`-FEoEm8uG`;hhMkLS!PAS;+=}1N?dQ$-n9qB;ltshzdX`pg=r*KC!(bI|mPIpq` zj+Au*fC1i=@OY#&ohSf2^raq@nWguj1>}7zqKq}oj4@?j34l6tTsRq061;%v$ z01n#3>>fo-GOK$Ip4G*CM!rumq>Yz(`5g();A@6-ROips>Wya@@^m@B44Y}KZUw+} zlR1s>K|hUi-X+zbwvFJ9MVeMWIR`kdQugmqib)|8&-Qg<0){(rS>{5`mg;%UWk&#` zQ`$FkO1QS+%8@Z}%uX|kf@aH()hui?Q9cZ#iuEJ8=2iGhP!qIz3bPk2j+I&hSB!P5 zCmCBN(R4ctyXfsMwCj13BkeqIqr_wHstc) z!>8QLhFG9-fMm8fu3K5Ozmnr+wY<+LKXrPMUprF=N`$QjeU29}uZo50M$Hv`b>dsC zYD;#ywzrGPU8-VTyNA-a+o>8x2d4tJd_$vZ_BPPZsM^UCa_4D0)(oQxyN4!-#V4WG zn`_?Of{+86Q$g)o2ne8aOWy{XPhmg`dQs2eNk(~~3zlypwj+&X?}9T|R%c_Dw)~A| zL7m5Jn+PABU4~#k)=9gbMJ6%#VP;a>9Z2`$vd9^&D~5_hI~i1t!!?-0=AcHdjeR|Y z$u+$5K+4~KCc_VLUH6CeORL0dn~9osiT?W^n!Nu2MYQ{Ez<@YiU|^O-x$fFStztN*J~n%5=7h)@9$jy z0E&Jlc&}P^({#jJbeAa1ss|pKHOg7+j@}arD(*mXykm;6?Ckh&n;Z^n$@?+Oh2mOaQ^6o&5cZ_}_q8XGMaq4+B@)YX(wQuq=E?O9LnP-Dof-BH4B4iXkylT@hV@D%BC>`hniU(R^6V{g> zEn2kG?yiXYJ-m*j;~;TeZKi<)`h017Y+$%ig6MEhzr9ieh!v^f_5)E>YnHcG7$tv; z+PC8H-L<)CXOZ0(p1=X`T<)zj6JHYoDkg9?_a3wX-^R%g3qP1oB$mml_fqcrx1Lgt zq+^f9tNBpq`eahU15OABBa_d1vX_zFY5xFelH4KzovfoY0mf_4M-Aa81JnH!dnczkN8(HiU9hNriA|h8)xZF^I%?EEuO=zPS$7vASWKJK(&Cz zTYO*l)@$Y2>?CK%;hQM9UqemE>4T26_JoHZGGnja9VrB4f6GMY-leuRVZ0T_2&G&B z!1tk4XNgms=jNpffPB)%J-1PI8VxukaD94H^XdShOj!YLA|H-uK3O?85o5nS>1sQn zdVxN&oK}fxfsW-G^BiPIUic7kIt8GIL>M; zI~K~u7p_NYjEM8?Rra6a9Vj669V(E^3Sq`u>+hP9+`=SQl|fwOspx8uUO42MyQ9r- zZ>T{v?XkMIjk3_>jsDzG$~3h-CfCK@29DY!lfnVyQHDNo>x#Xm{73NKk2=kw_*mOb z*!`i0A5N7Tc;CRPpr?V@xb8{fn%+9_iVP=#0q6V1m6P2wy*VwUm&nr9{xe!@Hs;?{ z&?C6FBR*S9z!CUXNBk^53$GUb3b8Gb^BGV0derIh1H%B~KLJKm4vfUpCQ&5)b*2*gwpQH#$1M{qpIw6b&{AqQ0qPV`A zYkPIKjoT{_ISM^0i({`!EOBni`m3aqe53$6RTV(Qf0IwU%Q-y&9jkA_D-_!8x?Kbz z13CsBSPmW^gX>)O?0I)a?+2Ep#*L^3%J6!`$5yGsnOA{hk7yqP(n(h=TxXl=&r6KgB1Bm%WAn8YXLqG~Xl!Vfb zl$iCV0o2j>&^pqQKnOjl1vvDk9<+d3J5o}flz=G56j9XE0XiB{M--W$2gla6?+Jp^ zJ*Nzy9zAOve()akw|4~BP>Aj0+~)u)_oXe0j^{<-?R#3gmN55?-Dcu@dk}| zsrYa0+dy~#c4Zj^dRLkFW5OOOv0pN63i)l^ZVnST_ped9y_zoxPit#%(I^>^5_6C; zK^5l8RXwv`WbILhvQMeY=w?YRN`;M+oT*TMopb&#(II#Z<(z91p~SKFUvceQ+5}40 zFDoOYxga^n;;?*2tH%ryTf)KJ@)^3~xbU*9>$_}p;uSw-uICb|x36l3PxZp4KQZ0V zRBnHGE9hal;Z^ucF!dE>2Rzkyjz(6jFFiP|I-X?rEy0g80BZB@*^J~>3t@=|y=jgk zKHS!^II8YdAn}1t861_VyzX!XFPuQy7Ouoxi=R$u!CN&TpAGkYspf7tQBuidIXUk} z9Zy0kZ#(8CMmtkpVti(j#sM^_ueo?VdQ<$&XA6UhV4LR|AC+7*k_}Fp5A{p* ztvwsnNUFDyMnr$VmY+l(uPEtk)%zqyL07~MoVz{@S>swE= zotzlFz)u}X9c$&3DycP5A9V_}rxfYQGEa#q=6GH?6$>h{=RJS=_2PFYJm)@@>0UMQ z&DN`-!(lCrlyIpev`AII8uNRWSpX_ATT@LtFl{w-Rqf44r8aZBcj<~@qZuGqK?39u zXsPA5FsKZ2PdOC<9O94w9Z07Acr_v}csb9~l2kFd2b@p@wx%^~$Pd(1r^zQF+mCEh zZ03$JBFdYU{W^+#a$2Zm+aV3>jEYPJGDgT&HspV^RGY0`hDaeT^2l-s9920RaU=>r zY4~-7cj(QG0;iv-uV>XID->a)BMJhLxX7;yv~sfpk~qf|*l4=cvt3)+8~NG-q-~k_ z1bS9A6M7>^TXuRS?Ssdo!+Q)R)y@Mc&eQK%mzff&kl~8+Qh#ea5g4Iz$o+Fw3mF`< zoUyM*EW=KpzazlT@s#Q>Vz)#$ssgw?f=yJo*=C$oloux%2s;;wJ5xesz;L=Cr3Jq;s6) zlT0Mrhtj{g9zi$NX`>(eE zk4oe0iY?>~Fa|K=chfcBYIhfqeVMJHj#wLmo|yHfn8+a2XLsXIntOK7DUNHI*CVlM zOqM(1VovBJQV6c6QIh>7f%N#pMo2B3410Y(m7n7{5Wx+>07^**{3(FX3|#7l5gP1! zHQ*UKFXLL*aY=U%kS?KwvB3hl?+@#d*+y-z5zH)jWa=u^_SziHzwJ^D=Q~3YOa^MY z7O4fX9%@|MsLm0&9{8?gj(QsI8v55v(~4O~E2&(3*&_$Najhw4B!S7z0zQ~{jyb3# zhd3nU4slYMSf98Cds0dryI^|z*UPCR)#YVF8}H<26oxnI0l}+D8?h&L4@#5EZhMU8 zg;K%n?ojeysNhpf4oS#8dsdppdJ)At%RacL?L*laKWBUrKPpDIeWQcNwQIWYKtD=y z!^U|uopgiPnBQl9m^6Kv`5PM}j+M9}eW#3yUm`=v*@AKXJb*WVZ6C z%c;Tku7pCty3p#OPVPrasN))Uu@l0kw>;;>l6=UFc>{N~V0Arsu7kzWLUm?Dk7}xb zeF>~K^7GJR>(aiKgZZf!CU`y$3vg6oKLgBaHRU z11n?H98tR?1EpALV^87mw14u>Wy+eQ(nbK= zkHWgo0qFWBw|42QYY}O2qU09G&-k8{IWDEsPExWvZ9n5pih~{Rgsk?CNrxYxBD&o( z;?IJw8DqH8p3+Ad1+9Sq{v9hO+d=qYrnG-))?vHaa(BuAKb3Sac!%M>of%y^<4uM8 zNK`gII?br`N39NjwIpb1^IU5}NARuYl_(!J+lxG4AAECIUNZjxg!fF-t|fbI3E@>c z+9<~DzN0lA_lZ0?c8sm#a{^=T+eU~7LK@YP)~ChiHeSJK*+^glRV0N(vns&t`6B4 z5uR!|?jG9ZW`vcJGr36ZM2uO9Bj)v~^y?XQ3zdclnb?fCpdO~VrE5E%O-(r3$IzYv z(O+26E$?rNFWM9|v7q6yKT5OlXTqKw(LNqrT-fQ6L3O+;GP&LB_|(21)}qmLn_Fqj zQOHmTlEDCU*GL(-1)r}Cs7 zC;<%ztsVKG;~Y=`I24qnd?n(| zTK!`)G6iF|ZcY!s`Sh-*#oA5P#+McJaZ7|Cx}HHEwZ-jVq~p}+RHbfihmzgv8jwS6 zE#<+sRv}cJ3|3r^lk;Tz^{-yA(XIxS6~xx@HN5I@NCvpy5$I6I3*6c!%o41Fpf#Q! z9Zsy>HMww&Xa;`Km-*p7aG7;EbA)M;?Z^ zGHhs{??4d0 z;-g@yIOeP{9313|uPX9;QtDD?OJYH`PoS%Vrvj+yr#8#<6{TRR_X@Io+!KoR+|(h= z&oNSUD)KI@d^R^X1NShqfzIr7u3N;hTtz8GLZyfqAH|N<=~hB(sLET1PzTUrxa~=# zk6Dc-IZeRx&wB7HDsE=Joh$p$8I%0hB6Uqx!s zkN88i5Uv=o8I6~KE9cw9+6e1|ipDh@-Pzs9psb3R6fonh2Z~Ri&TF8O?0>sNGP4Cd z;~A-Q=0@#q*(wI$$%<@maFHUks;k%$o+`?D<`U^VWjYe8+K|k%G?_lVI28#bl3SBn zEg@r%v?tdUPU<#UNRu17n!bcTo#Uwv0tMntG zu8+goboWu-Ix0-ifXuswNF%WCS=Y-a*`)K(E*swFlRmRd9FWOUAJwXGtGA6BXx7dz&%xNrJ7mp@GVG z;MRienE=Po*PflUJqc(r4o4gww9coFl<7f?gUzf%iD(zJ9IL&)ae;{Pa0{ z5?aJ&d}HMt5-X#RL55vAXJ%xPQ!0CAvh+z*NI)58!5nlVy2n+QOqs-tLMC>OhP-TM zB2?y=Lzgne*M)9G?2+TXBT1pQJEJ97n86**VrX6)g8O`vO2v=@Q>PiPPVpXtaJEo9 zvAj{0GAYJG5;(3e!=>9&l0CU%hju+{-pl10zV_$KXBDM3q@L$D<9!l6Ci*tEM;7u% z7-Z(T3#?#ZSE>9+N1sWvk)_9$Nb<!tZ?(vnG@5^cnyahfyjM|v|zfX#M( zAF;ciM~&jXaT62r72Fpcx#?V4JaJca{X*`-P1cjTXR7s9`ifw878aKlvOk-0W>bs? z;0meYEim0%yzO>SqU1)vWALgr-Xph{Yu{U9;W!T$Mio!QTC5s@h{3%|0uJsoKndX` zwrxzU!l`_I?{`f90F88ax^qdaEYm`QBv1#bKBl+~o(MQ2(zFv<)MJaw7m^gmM&|;U z9nia%`$=t}i5(OJAw9neovgH`V$G-9?k6YfTn3Ll!)g(T?j?<+J6Y88itE3yv&q!% zvU>EU2f3xiqO&&m@I6Obidk%Aa?Nsz2*v<4p7!p06PkqDNsR3zeJkZ%EA>5PKO;oX zr=b{|arFSxjnpsq_iy=AR3qFu-(zF@Lreo|yAnI> z!K50lo5v5q=j5z*u%BtnP12eyC)xg~u~ewRbr-dlV_r>O!Bl**V0y25Yikxv3a^?D zarZGZD{w7`#V6w|=s zq~iySnofMZ!FbIBBT>yE1Z30jNa;=kjAEsZo6sV=V^+ z1Ke;a;R(pWA6f#Ol6Vx-Y-7BX5e8zrv(p`FCQ-b6qXwigu_T3WZYV0<0-TzwFe2%m z20bVvju-T&x)F@`;*r(VfI-bE6h=AXp}NS0v4QVaSsV;w@~U^=ELc9Zl%9u16?0WZ z5ZQm2@m_+tWh`l9jG8| z2XOj#rsuUZ;(!$LX$Ux_`cQwB02E|Vp44%iPyz}EwH@g}_ND*@FM24c0SzY|=%RoM z4H(Iz+r0n+j&n#Uf29Bv3JJ{sW`HHN8?F#~uob1M+sP-(7-t~#QO#!Bs5c4z@UH8^ zS$$Rjq;E2yQhu~DqE+y6U0Jd%<+RZuAZ~cr0nc8Q>s~9qyMx057;ctWW5!)bA%U+? zMlx8aWk)4?Fb2Gn$I-2jxwR3betHt9ItCo%dRLu1J?eWz&b43MP-v^7UMk+p)!~lVTEyF=&&{;)n&)NLwI3+kH05LaxC#LxvfYVnwn;tBMiVbo zYC4UTUl{5~krI|+_fIC6r!w zvv2;idRC%=vzp7d`{356{IlY!9}&e{awY5Snw)z2=QOE| zWSmj~*Pq6fa0gmUhAA7l6pzRl;EHnoG=WC!AJ%~kd=bIzP{;-WZ=uCYCNaf9C*9(u z=xGz6(#~yH&5lVFI&o**!s@mNhpN4g`UoH{l z#?8WpJ4rs7HOA}CSHzDmkRlNrGJ*&+k;f$4gi*8LWZ-84x$R14jcyf~gZ*p3#Na7W zlbl|x`nlW#3!k4j$gRU?JPHhe5&htDE59%HOKr~sI92cc(OiQWUIlW# zB2q4uxEqEmstdv9x$hFM$s3>VV?MRpiC*J8oD{86#uAb_BQ-n{JZB@OY8B-3(xp{A zbNE-6W7T?^h!S{u=lWDo27=*%`H_JYRU~NS1r1FsqD06zZ2c=LiSo2o7EYCDvRK?l zaT5pH!NJd`HRvz{<&B$33J&b`uQPI34te#i_e`69p9uhN+B4~1C1t8?{f~yKb15T1 zWiiSbNh~-R?OXb1h(s!4zqM)NZXKbM%WQoygZS0~BN$M>Q`WRBEDYcoSb^=?$I!#V9P!l^HU9t+ zTx#$XkyTM!BWT5QQ=QTA+PkZ72qgA*0p)a+VlpNG!(e8(d1ET95Ag77*yNOVK4No( z+vP@M<06uuAi?&gcB?tqcIjH)9lEi$yFc1d7lnp07dvYt)V9%?rj9)0dj-$dm>u=P z=`h*-q(uafk--a+MQ8s2Y-o$e*#PIXVyriZblWR1*H=^Ij(GrPvUPm|C~b|!jLjl| z8)@ew)EZ!9>eE}zc=B1U*xR--&(gYEO@B}R&x$!+C*;?;| zP(TsS%OPFBeQ5!+XRX*vBF6+y&UyhD6{Ys0{{TMo{!}v}z_MAwlf-z;IQ;6`q7?)< z!5#BdGt_?Er8!)BW1P|()nx%kJvlWc?dF**D6uQX*yOU-f173p%v}26dRNF@+SWa! z(S0t$j+x`8Y3A@?5HamkQtHUAaWA*Z1s`v+#~xrg>5it7gKJ>sMc=h`!e=ys;fUcy zQEfuocLG8G00E~iscJf;*&d28Y5O^CVRJ6!!#l9Tpdj_8l22Zys;;SNxR*)rPZ-5D zwOd{Yihh2Sdnxt~c=s*0u5pTEI4Wwp>Xx|$VwjKiu}nIxs9=80E-~sl)Aloc1nR+c zC*8-jVEDd7T{GuWSg=v+SEtoW0wae6_iym7C&hBJ+@d=(e-;VuYt+lI)Aou|(DE}} zR23H$t<08XJb(^+cc$-7;C@{y238+INHgp5*U@?(A!5el#~2@4Ln7ya%~z!(Jx?@U zg^L@LBamn^CNMAsRwIK+f=2_Ml&(7yZ%zLIFbC;Q^N(-c8pKi3BhLSA# zvEza=DLmvPXNs-c6s1lxPQ$TeO1a4XR2kzv1_=IDSObnJ26NJtfg@KBG>cREg@%1SzY(~7aK z>D~wUbMk67@2u)9GqsKeG29LXL8DLLKZiFfcOCq?wf-@>0k{uer5+)dz@HK2O+mHC z(r<$8WViridUH#!TN~M1r!e0Oc&ox5D%6uko5GM=n}AgwGI*{-;@^a={2i-CEpAq8 zYn93&`^oHmtFQ3xnc!ao+%~T#h+@?4;$Pjz5d@E>E6x5Tc)9#zt$nFt@?eZ@i4RR4&TzA-KnCnwlZtV4X|$D=Tht z-k+6v7s8D?;yr#XLRg4^p%IhbxjznD+udsR(M|UmtK7U1ZEtm|-doM|*4Hn+-gnxOD+=Tby(0Up)Lz))&NHIn^(q<^tGXPCuPK<>i=b zmWpJS+6&@VN95x@GhB-n6SHDaP=7ZLdj%q+dno*NTDRGKm0*>?w4Im0iQAhHofI3q4paIW3Qgey`p2&ZsD6Ok~ zR&5&k-tGcKkv8BxYcoe7Z{u^@J+oG|m>J{qBN+?J0oZ?qOpA%^{u=m$scUds+ek4J zo)-f@pIV{g_-9|UT(Oa%2Wi}Mw4Yky{0XQdn|LF1j^S7?Tk@|__=T;+o)cX-z^!q( zD@r--USh33Z754)yV*yQGKtAqK$GdJmlp8J5zh>~{&mTCn?Z`o6}huwV0Iv*bwmCY z-`T@#d^c@1l%UGM2@sxyS1aQSyJWN$u}ma< zl!Md`;MXhSh@_KI2w-^Ul6}fqa2q|V<|=zdRmMpBnhuPp##GumjV9SghHjwyI5zJQ zR!}lQ3UGa^=iOEMeUq({#(Y^$G6dx=4sciZE9=InS?Z@NG)uTwO^b z@)?O4`qGDUSE-rL;Yr`xoOvgVQvmB-W@XrJCXOav3K5QbeJVKQo>tn7%tlTt+R99LKF3j{T4JMyjw}2d(94K6M_WbLnzuRM~ zHLS3(1~a@kz*GGWYm2hg(@>c%Ev>%GJa4$PE=b5ddFXrBN2qIa>Ie7D=E_ISAs8m7 z4@z|^t89!hxSCXGzJ1w2FkFM2atAew;uy@9>dTX~@+)O~3d`4(g_D3tJ!|M|FLKAn zVWs=j&S5xiYEa)lN`Pdxb5p3}-=%p+u*VV4pr~dgMnODO>z%a(=O}yB%VERfL(#Jk zKH09{!qa)Wa^z%!az2&B+2FuEdRJ578HblNV~wqnJM&&1Av5((8LGL`?vAq5B$5rs zXe4ut;8#~<<`pr<5rO15{*}n8gaEGK*zZ@g&01SYGQ)bWOxKr>!@AJ-9;eghSUKVn zls8=s%}Yy`?%|pc^E^?PeX33eueEu{h%Fk*Bw5B@RxQtAn)SUS#2R$3aWp#J&Y3$! zw5!_gADHSZ&OBVQYInp%a+fAQluWCD!`B_URONowo3%w`kCv}Otf4w`i&huIvZ_aE z=BD(O3{CIU3i5qwFC$aBhYY)9VT0Da15?z0;T(d})xqGTs1>hZUzbBtJ6jj+(LP$#!9ed>Zdf`)C}gBj?Fw* zWhJtu)trvNV2%hh4;5Ic#5Qt;=mEw*D&tQ|Y4)HFlULU4?`;|=?6xr=hQP?I-3s0t z%}P6ajo}|SDsXdI!1K*mu$bFk!8SAHxHzB=!e0+PqZ6gyOB?mW@DJrx4WEfY;&Mk~ zB^dryqv9*oxw1ynnsG3}z)}u7))MM^=uiVsfIsJ${{RZ0J?-rrw<_2b3)x6;3LJg{ zwc2zeJemZ_oRDxm>*b?U$o2i2GCsjDz!AC6wIQ*e2QiUbD|58`tGFJX)TZE*(0kMN z&2$fDj8Cx5w>ub*)|c#3<0TLDt%bOC`@fzlGjLgs?EO#jsd$+#f$UM69-T8c5tBjF zCkL3w1J@OxvoRn8+dO(yfsT3}DScvA*j~aWNz>ndBAV7y9F3fPYhxv|jx*Y#kT!j@ z)|$mmI|<>S%sJqAh~Bsy08Mck%%)5EPC>!IuTGrqjl+%0gPhluUCgpwOhzzRkzU?e zRn<+i=JTpv$)t+yoF0_d18B$NQY#@CBz`n`hzaMVdgp;+E-{cgQRqigQu&C-oOAh7 z7f)mFNMs})K%*_jIH`-ro=E&DJjC6f!hw(wa4={+O-yBvo|*R)-!V56#Vd~Nix}dN z=O@#(Ol6ODc;bU1XOoV7DK-Qn&}ZpE>B#1$GNw2`r2=)&WB60J&X?iugKoTGtczQB z5kiA>(jJNpdJes!d^qrIqSsV{?^eIT-Xm;)f2Cl28S##v;oWk0bx+;fU4XuCK!@Mj zy5AIhJFxKg1b4v;;)A>D+Tan+hdSSy?a7W%KVKn`=t9< zh9rBy+nvMHt$joAr^wU1A*aP5*h1y=*q>i|83coZK_k6=apNt1&ey;?&`X&7=Zk6#@^fDyo!(0ldt}myqLX4o z%(6()ed44J{i_JuT>#3_ND;c7y=y@nte~qAgVa^{?-v_feQ{eUScylN!F@94Vi9j3 zEHjcY54fn?Pr6m+-cCC4+PWvZ5-3kG@Vx=;OczfHJ0#9WYJ9}|GZA#V))^Mk{{Y`K z*);p4`|vvAwoUY3j(F;O(aao(#Y-94aw9mWwT;5Y7{+#!jMlihZZdeM8?qN- z^G7gpBRfw;x0^C4C58vFuGhjA7TS)zYaP@A;x=%v5y%WN{HvC*^Tpaa>3U_&qDv!eUosYR zl2jgsy1gIbC6=NB+b=UI8;RpSmB@Jh^5OMMX1G!1va*L$m0(3;+ASt|{r8Hm?rpr6 zWsD+)0u%@jx%aFlXO)XF^qG9f<@4>E?zrHO zW9eDpu{5UKW1}5 zFNt&y4&2_yt7^+=^2dY{j7Hr50DH0e*J1Im;va^uP0Xn)6cC)?29A$2Zm$#ifH8e*G(wdT<~!fJ*3+tMd$#j zlY%qSn0dkIaZ&&m2OTR^ak1U=@5LzSM@-UjwO0l!;bl_bX@13%CUSp#K$1%gV)x! zm9RdQ>f!$YhL1ZEzk0{udf<=3sb0$}IX)B9mRQ=%ycB(IIo%SW%*r7R;@SkI9+R9yK95zwp@&IJ9=ihnYnY@y?XwE;r%}J z7rM1sWPVQaNL=dqbo_hLI zYh=X9qmoqthfbNrO%=_<9jd^$rqNEZhU!S%TI8tYfNH~w<-*MfY!B{?{$iLRVYj}K zUCBX@TnyCfNE&sHPGMNrN9%ys;#$ssOW1N;x%PueigVl>IHoQsH)TEK1x)jhOfx`oI18!+NFti zV+7ZFJOQO@D6QfD02A5ED}a8@aq=>g+OBDT2sOsI&aXYSosc;*D{cgPSD7iq_Z10C zW6xDpqinfX2aigvDCYoIvM0mO1`Cg~w~bLICj)R$KR(sZ`0wFvx8b{IzKNsMbg(im zpy%ZyIU=)jLR2KRJnK)+9A`UCbe<77)Mkm7aFuiTip9_@WWLlI82aOP;a!c6h%E0= znX=$?#~l9vO7ig#Zs{L!!`OuxK;M#Qlqg;mcmv*=(K`?q<|n8fbL&i1E0MeA9M-;& zvB*nuTR0%sm0E6;9FNj*IFDgT%_11|S!IQsx(55$>x$*ICNSHx1F(X7;=M_1o=aCT zkbtkvlY@_1;k-?y$k2$|ByTm&U)$EWa9H1L+$7JMtBtK*4l?Vpr9~bc-D}IljrP!th-7XKX9|4O;O2ldaiG zvMCV4d1#&ZVf3wST5Uf4&77)bn1EGOWt9DCfsd^CN=VhE)5}Nz$%%(Qf$vWX#LckJ?o6nJVN%Ct72_h}xTsJm& z8=g#b$Xw=}FSPj70@LS=kr=9vVRSh1B*6Bl+Rj`7<*_~M<~^Kyp0~7;=x8>fr-6az z=RJ1uQMRRJz}Yr?@xiJMWpGIg6P$ZfR?2b)BYu6oDE4sL;`Wm4Xs)SZTLmW1Twr38 z`&P$$Vi}G<*&K?X))V7CTNBu0nsRCL?f(E)-lmUZ2fGhzF2&=k+Vxmw1MsFcv2HWA z331=2TBvmS@;1iIPaFykop3RTUmZBD6&h=DU0RQ1TRP3Y*4u9`?%a-*9Gc8~PTOZB z`kpEe?Awfgs^hOb8jbAbjW?BJ+>y>G_Eej)GnHzqQ!ieLZY$zNc*skIqGZZa8#pCo`=Zh73Eg5=IBL=HuF**l%0Vhy3xW!7o7Xjl{-o8 znv)n9CkB&|oQ^5nWMq3%Fz4%23=xb|3WDECOcNs7eL7MVCy~uc6z4vam;~mfm2xe< zy=laA?@n&K4k-2Yq!$~v2c~H@l1?}kAW}Wgr+PpObJBsHh4A0uto|yulTg06`wp2I zKfNpSHag=ytA1I27U)yGoRI2Tgt3w&l|Jhq&brTs8s3kud_4aEgn#X^Sl_H>5|TF3 zPpI|HdG*)9zZRv#EHJ}y9_}R)fw+3oPX7QCJM7^U>8qMw@R58h{{WyaruZCg$Kj6-~WmeVav$3=XF3m6}9@PEb z;!~{O!b$N1;dPCNhyMV#{3~|z*su=qNrFP2;Mb854SW9p#9tU_dOw3d;TzEIlJb8n zL|Gef0DUXK&)w)LsW!di8tN)~6{ZJi#b#lI*gUa3R-Mtf7mJEZbC~h{+}~@u zg(j3+I-yv};1kxksoQJ}dgqGm{vvpHUC|)5ms^9%OPmR<)Apj zam_c7Xyh?C;+kM6JkUDhtsvu|#UZ2u?)@m;k?BI?oQgq`c%TO;$;~*o80k#sI6Wxl zh6quEPgcU5;+UhqaY{+gT0kH;BvPp4=9~AMttlBklmR8O;_*t5P7fZHLs}>810w>i zS&}aE-xbjKbHZ!lty(Kax@%zJkC+#BFg+;=lK2{Aku4S>zC}AWcga0J8ucHD$4$1- zY@uk1->VJaoa{K{R&V?%YmFw$?OHy*CB0k}j!1SZAFg;mTF>!s_KWy_R@KvPqXVy; zILY_?MR9u>Mx&B?Gj~=pf{W;0(;{!|7_M!IY_BSMkEL=xE1np%VvIvf?nc0QT1#IT z3w=0SX|c&1U~sb>g+GOH`kb@RaPv&t*^Y8LS3VB|QWYHM+}jh2jN!==lBqAirFed2v8 z)?VuG(E3%?_&+V(w329=?whE}gFaMm$*mO9-JH^)H?lmje)l~pRlvr0tD3fzd!t^x zuB8LRG82_`#Zul0JZ7yNl1*+8!2>jxqe!%g{5Z!lgVV3$TgRp` zSUMIKX&2jy-dy7(@m{_gT4?j}T2;By_;PD&he+mU41)zz?>0M+T8GEi8gpT6mO$QC zLdrXiwT3dUl{jJ0j?|jQqjhm;A-I&ttH;a-1JgC+Vsgf+duY86puuM|;Ps%n8|Qz#^&g&i@EO6G6p`$X*` z@Ef&qI$W!o=dh}CK3fzYhB&6@nslJ=^rnJET4OHh_epB92}2cOkCfnwtY&19nip`V zr##d|=dTn2#W5C!p>u#5Qow(BW}7X?n1noOlg{9{H30RbJe+bVfK|xvg4=ly3ObIA zdWxh66dFt}Ut8t!e5SWTnaJj$GeW_Km9(DXs~!iX9@QW((xiamMI(xeogdyFw3yNA zx^}gu-)PVz(mYqFyGj+xu>+_-O6c@GUMqbJ+gvx99`aT)Gqn1O@ohF~Bh)S4MLQM1 zKA1J=C5p?H8=)X!sG&j?Y9%uqULtg9IYV<^>g?RiTkej5M@p|C9SF`vG8P4Mnvy^^ zboQ^KgcM`T6XL2=ok{b}>QK?V6XGpm{2NONB8l62T)qO4o}kydU+Mn<+a45M8FiQ- z)l~xRX*0Qp7_TqUEIdo7Ss1kc02W!;+LkCSrjP=s+tRb|ejE789bp&CH*F#R0FR}V zmHOw_yh_n=o~PAO_!CQTy7*b+DDR}VIy95>{<$zw{p;NH2qo~h!v@nlQE?hfA!G{2 zKZiBN+xSbwdSo!BspF{h{E*S+VsY)wW_&>ScjF7aJZdp(3*tLO89qaj!yWP7qH=Q4 zvY?c2WO;XoZlkpElMyB$k)%M%IKk;%t>jt1-y8KHf;wZ<+PtZi?}d%VoV7WBiJ-Wq0;>3{x2E8ys#Ks{a5o zuQ(?hj@hS`UF*B=V;HX@bky{b`s$2o(@E-?+W33KmTMEmdlWNn2OHRi8SS2&fBLE) z6>Cd%rbL3q$s$O~Z;kTJ_*WwYa!DZ(qcHyfWOSx#c2iqGrIFKfWO@-^d~g(FQt^78 zE+Z?W3G+r)@;Nvok#&ZV*KAn~%sK_lZ+M$n(q!;#_SW)w+oUHL0hPb~Rgtc1AKBK@ zDTyUQxaWhPTJw3QmT8EI9EK-_8O41CDzcKbv_3B#hNlX$mGv7g2==Nhyqs2!%y8e0 zRJn{KhiS^!LT8gW+fokH4EEsv04iMMan_7g869qs;^`JNx7D{x8Vn?=K4tbJxUGFd zM~eL;)HG|9TakuI0r^<^dm8i3GtFAl^$Wc{iL;&J-P8_2{3)P2yKPoGjrxAG0@~d$ z+Cy-%dxKcI{{Vz;EkjRWrf5ec2i{Tb>sNC>R9#`#ME&QMLIc4DyWtCC{yo~fiSDLrk3Y;JZ0C45IrXXk0JSgs-&}v+ zR3JX4GsfpGUAxjW@qh?ZkL6hlG&$y9&X?^L>Uom@_X55_MV{T!u5JKeKquVOmhcA1 z*ggB!Kih4x14`Wg0JBm3w%;W0Y<(&6u;xb7U5&XX@b5|Xo<>Lb)=9p#9B+O<+2)t~ zLf4<2_Vmu$r%qegT+uYzagssn`cqB8UYuj~th1=wU=4Ed+%qcuLx zeSywB%>!|sqcs$lEsX6IP4!D+%r;C1`=Y4c>Q3N`blMMAZn&zaLT*|kI+%)ZjqY=v zHfa9c8im^B12FBA-npNXcU+%Jn^u{p)Mk*eZg2_ffmBNO&pk2gUt5QdB{dV^@ii4% zvYBMlY*i#}oljwu9-gVUO?gXn2?@j&cOB~0b84JVkJPp@i+XE*|osi0*3 zVhm>)Kc!6<@8*s;l)R2Ys)3SCQQ4o)wmczq4xi$UF7oUBL{qCd$87bcR3fD$ncwNZ z3T{@}FZ@fXL8Mv%yOzX*{{XLB`e%alZxCCUd@tjDL+$W_1XD~$PT&L6>0KAboeuZK zdIgT7;m|K*i{^BOOzuLVL|N#~>KKbB6Y>6nJ^g>YLqabJkIe+KHWf zeo#7dRFO`3{3_m|`>6tk$s>{NNfHJ@##_>|p69uQjj1G!PYh|_ZPv8=X*QUqkSpV! zJO2PG`rE_SaN66$6bKO%00QxWUJKxDIx9J>;#hayN}hW*GEjO0+PaIc8|n~UYPQxy z$3B@7$mrwm=hC>nqMhWnJuhVAp(pK0a2RMdd$OBaLEpLjx>wKlZTr4!wfKdu-s{@6 zyqEF^WQ9oE{5cuMD~!F2ynin^^sOS(S3uK_g$(1N%^@TVdeV-bxu7r1Hx6q-3*}aTp|O?0=M)|(?;9g+bSixqVwkIt zd8Kd~JJHiL;5|lZG3!p?DDV8~xaY4lbCKyz0B`l;i-<+tN)OBhCp&T8jzQv$#wqz} zyoElL<_3|5++vUjxdN1Z@796zs}|PgP)HbGS^%qV-|0u&HR*o{@2ze1$py^Hghj^g z{QB3J!T!CCSG&8O*6)41&lBUSoKd+gpJLtX7EPyq>Q6Tq%16r#SCDxA`YYW_WD=H+ zcuF{K7-09Vf5Q5lu|aNTotzaJC9}!LTI@a~_-Z{i+RIfFp^|Tv&>pz}jy-FQRZ_Z3 zV`oLi*XnRlcsR{!vf64f88MR-qaT1Zg?FbPa~T2SQ<6cQPwF5C zi)~S~OIzEA2|CC`eyoJoHWwQ?)a0JV*qkI|4rrWA_N{K*@PE7MTRsqxKezPbF;yOB z+_wU;t|cz#oQ||u+f8|M6}`CMBeoGoQfu6#uFoE`_fJpwqo?Z9-NQ1+b2XbN+p{d7 z^XXoR@Lt~J_zGASCP~=3uHm(^O?eC+F!6VWQ~NdUoi+>@=ZrC5#Mf2fe-ivd*PVZ| z#gcqd)9mDJMrM0LFw)tmDhJ`sS2L2a zk0fCE^&9&@-rC&i_R+^8JjjE7(g_F9n(`CC$UKUY?n$PV-r<>~CnT}SH2@@JA4=Iq z%Etv+bIHh;c6r@QEs@PJeq)6dA^@DXCXp5Z3t*9q`ckxuA3=lDtwl8d04Y>IQfjya z@_DIxl%w2q(>$lcHm%>&U0G2eY@V;#~f~< zm5R5vd)2QH=(hH@cK-lnlXTr5cNrqQEOt6^t?b*e^!aWAlA@|5cii*)e+>TsZe1uc zZG^VgZ2ZEpJXzu8@cr^z$8v5XWegdY2E8N0Yvp;NS9TbZMRR`@ZQJd3cO&k|o%kd0 zu4M1I>7&i3B)vorl-m7 zDL5R{am_1$hLF>-%^d|G8V?wuI6Ziv(u`2S9Cqs9~J@(tISlmR%C=-aX9Gva$5R@A(SSGmC!`=4e&oapkbi57*d=<(rgt zHi}Pjq?YM45=k0tmNWCJ^grQM58OEwe)vOYEU62YX%2eyszFr4mSexuwS67}Q6lJOKFuLE*2y?raU@Mpyvj}Q2AZ7TX3i>3-hGl?=+KDn+-#ZK`@2G9;k z&mHR)+GJ+Lg9MBL+PLvC_u1ctt0>b=7VmW{y+-lomTBa6Y=F#I^V=WNzJ&dqY&H8B zuVvJ2rnl3j0HBbOo;u+4ub*w2TYH%9Y}`k269z+oKqK0^t#9IYi?3iyd#lk5J3t~7 z13Y6j%~9V&dQ~HPy~%zwS=zUZFJ`mZ^ET|q{6rewj&XaU+a~D#WmfjDBfGx2k(s2K zoSc$dlUllc2^T-Bp{9==%Hn@6CiF(?d1P~$$eB4ya_>+9PUf#Lh7 zxU+Ax7htS#dh!1N>#f9-=3X(5mE+Fov-TVW-ApWBTOAdOeU(rq0XQe#r12vQsN#*) zmtf6Q({Db|!Z{cTR2&oBe~oNu?WU%$5Z10|OH@L4A`(M(&mAklYIN&fXUk%Jr7Dfj zbH+SMgY84iJE4uSwDlh+W(}|@ z$Qkvh{vd^K5lHGuE`g7(?)7iM60xzow^CV@@7dRnYUq-*nZvwGkZ4*wWQMi`@Oq!} zDqD>gNLZFrV43O0dG|GT)#GwYE*q{Y#Htu@Gu$4Srenr4`(k zapSn>1p3vh%b&AJ8cKEtIL~UWc_-Od%#r?5H+-}S4~Iw6c8%6>fDTDN{d!i>w7t$& z(iZKst_Rkrs~t)NDIq|o0hhgLLlZ`+8GZ5rfLQZX1a{gUoDqxKLd-b>9jWI^(uvR5 zq5W#vj!?kl74M2RH}_Zbq=t2^83&QY4GR&-%GLG29P{l@-;UX@mQ~tEt?ZX`Aizi< z0!;!29YNx?$;zFglxJ^F+|ljdVS67lBgl}pR31BGoGqJyw32znYXSp~*?gEc3YtXLipdlT83^J&ibl zpYW#z3^3h$SJGJc-!YLy^GFgkB)1iGY2q{fkuXu{I@aEsqsjf970hWOs|=Uh@{{#7 zx29-lEz6`LNe@0_a!K{9ob|FjOg>)c9%-CoTH0flkwNvU_clzCmW26;JC3-oK-GLd zh*!*nD;|z8FBoAwyX$bo;A2W0(Pul!7y~rW4mskabBUWA^GSi% zq4cU}m^YQiPAFb_)Q^G58K&cK&lG~-SH5_pDoOhOwISs&e>y|ZV?1$1#c_r{hj%oG zDmhV_dnaCbq#5bfnq#@4rg(=~(xH+aJ5#!XDL(Qm@M}6*q>@&aMlSAmF()LST2clv zOm3VStCZ&6jBlLhfm#-RAl2;S5ZUTiFhmYSX(0*?PzXWkNwjs&J!)IIQIlH}TwYyV z$Yr^nNu2d*7_t3ov6@19^rr>Nk_|;B1smu!tJI-(#!1fN4nNK*(9Dsp9dbQ;;;PS) zF(q;d>pf-9a&q4boOELD$d_;0LRwyAG+lO&VH2bC&+5f~hg%CP)Jt4%M&jc{9A z86N5YjT(%fujNbdV?ljN`b|mXWQrWb6@QP{KGpBq7ld>_?E!pr*uL06{{TqjKTllO z7qU@KDV@r#-!nc=)~09GCEb;DP^DO4j1HAX)r(Dok{hV5Z9&OIVG($u#iDyIXe&kVR`1WRRS>oywAFZe(vSBc2g~2ADM4kaqb^xOMigMY{1e z402k_+sh)m^zm+`4(5+io;G2Y+Ms9Kr6gV~y^?j2wFw!qf_Cx;;3-^oICj!C1wiJ; z=%MJ|SsY+chuFlSY zgU9&L2NJqQqTMv>v-F76hr{}@0~&SN1mJ$?^IeDb_47Xe0PPFGmCqUc>Q&b7qyrcC zjM&d4=QPPUpZG`hbz&b)y9fTc8mo6_J*1vp)GrhN0CCiV`qy&$&FrdweW>ZalEx2HRg-aKLwfT0G)0`;;y{Bua8iV%D~@dS=S!EQ1A>x3Ei z>q#$wwaBJu-YZZ?{X8iKwQaPmQsgs7r#q?~I5Mas^EEtrPOmhjBhvE27$#)L{)I)v zVgCTa39Ro_&5$jE3Ah-=O8y4alPMzF9lR2^E0TRjsI6&!&zq8>0bCGnN{{R|y3mG?F4!qNy4bxjljG=*wV8isRy;3)}LZ5YUfCY5Y+v*n4 zt2Mo<0^4%eE7#MlbNcoC%H}J!wgnFYHVyjFBb|XZmTDr_r)V`0jkLuR}`$Fagz{{T+1RY9sTS$D_?PL&RCD#O2 zdTe2k=aWkhhNTP2F6=7SsWoW>kCwU*1N^=t(#Smdho5@D+757YQ|Wq~x@Mzmbs8&4 z3lp`v8tjS3J&xz%bZsw+RFSlmPy>2qy=%pHH#&dAh+9lSZIl^k_}VzG2TJkK*2)&} zT52;#&h%n%dwnYBjl5~E+-N^zpTkpWmP|R4LP!I*(zmw91eKGq=ceNE-HTn$%zBd} zSEzgy*E~=)=xkwP~YdquGR%F*p&mT8*^$fwK% z{{TP6wVj%>IO#T}t2+Mxj~XVQWvan8pKS%S(hSO|eo}s&jd?JL^OIVhE1Se3{Qm&L zM;z&L{{TPlmj|CuYQpnm+&QNf(n!X)y*Ac`uLpNmnN{=fNknBV_$4>{4Z&3yanScCM5G)Q$yn zIxqZx9=OGIApSugYaxYwe|ZPiXsR4g5=xb6l5= zwVT~$?Jh5_&BFnV@G`&y$k^8n8=t#zB z5KD~gH4EI%>9yU62R}+@nE1x_{LMag7A0k5&PFOA$28Jdf=SH@*S$-X!o=vzGlEC8 z0FpWFNVJ4=Kn@B|BAz2+x$98vAkuB?jO5eiVPa7mXSF?GEW5WazcmIA9Fs~>hfb8a zm{`-W)MnGm7+sVw!3xA@@~+!V)RyZ{g6X9uW5X_f?kk7T^!trY4 zx@%a074^F0H_aCAwBaPAnbqUBg$RPE|^sFBj_@h?wB&zzTk1_PvxX0mKIH&OXN7PeIcD9{6K8xbf|g#|w-MAAI($W$+(@cbKm{Z3AFuC;<)nSA|6j&mf8@ z-Pr#C3XGC!+_o2%IC-ruEyrE$83MRv4R<>&8{I_cz99HpOVRIk_k6uM#S`qw>s+Q{h3t4rJRou-Ecyc0_t z5^p2}j`{ZXt$ZIbw+=s@aoPsu)suGM7#@0euDlbDdt>ncb`@`DU)p8&+|DaXa1-jBO_#o$EivclJ6ioobpL~1Bu$cO#nb(w5B~tFqnpN- zueE=(-)FlFisG@yJ?La_?w`t?!0jUOg`8lB>>V@I3}Aot=^w^WG@fL7c@2%ZEzT>5 zZ*0`CNK*uGMNPzZgT|4m8?9V8{{U!WgX2Ue{yZE0`>eYk65K%;ic3o?h&q&#EdI3f ztZ8JDbV$KGkROUJGw1^)qOUW;57(^*dohe$L!9%2SQ18Y#sH)-@r-AuYWc5Y-JY+u z@;VQ-T43hl5P#mKY3!q3Zs8$^Vr!e)q-6Fa8g9Xnj)$c+L3a;q`yC42Scb>iBhPws zUReW=wM4-5#%r5o0Geozka3b~l?B|NZ2KJ_+buT@)1e2@^rjo@FmfkOzlCCd57L;b zNFejgU)AH>&RB^qhQ#`m3Y;G>@Jr?K2&0Ao1o?OmsbJVT*aX`Dxi`kSrZw`(rs9CrzreLq@r1B!S2ARZ4=Gvmulj-vvOVf|WXm6gvFn?PQl zje58IAifF;rcWH(bIHatipKF*hP)-E=<-dZ_^e;uWNm~;kkniq2}NC=dNWA)3Qq$R z9OTqjME#tOfQ%DE&{UT+(lWf#T#~$Ytqmf^T~_5_g-Yc7(jJTNS;(Lq4CL2o;29B2 z_WOWo0V|XISp92~yqsX#KBA0Ml1%h}1;ZbQ?OF>b5~?=oC1%<=>s|Gij_mBDNMtd2 zhd5o}78U0{Bev6<;Vtydb+-6L^F|xy4ZG5@ERBuI=rY|(jH_xlgOT*+y*tMmHN4j{$723u zTUCY|a6jiY-ANYZPBGlo zT`nE>0N{`gYqYVC z#$Q;;XE72kSGFl`J%$_m!nk|8h8V^Sj7BOSwA|;LiMjs(Y|yK_pfuu#A924bVR5T- zbOBYlm;=;#hZNs3WB&jxW&Z%a%}63+f~ZI|c}z|=H|0PP`I3@zES&!Uv}vkb9EWg6 zL%7wSvrNGL>F__PG2t(@dnIjCFQ+Uu7QTy84yu}KKQBmV%c zSY8j+qn>*%Ne*Bj#9HUR`WmAa&6W@MEYpkd$JHRv}#WYshqIfQ9#I-uU*ZNVP3 z!FabWASf`F1#Yq>3S8UHj$JCWC@%P>S{j- zd|bVRwU>(_O;1gi<#yNuDEA%3Qg0i0XT*9yw((A#aUJAi5zPSI_}86EV-vW6iHmXC zPh57bxlReq#oZp`;tz&ecZjs+*8Bl=9I>$6ZFgtz9*5Z18}RDV=T7*IrbBrH!8%Ao zM%58+KH`%eS& zrObqex8LkVF!Rr9d5!-7gl3VWbe!@I3r?#*GVAVQB9flI++da6qeCo|`}R8(cB~G6r%p`Br_6<6B5OsXs71>stAx z)GeR;HsaVy%m&GyZr-1muM;t&S`~THx@Xko7@8BHsou>To`(pJS5Gx?{dpfs(fFHj zWhaKCM44J-nt*O17vco;JPjYzQ8(;(N?O2-jpJFuoc^RjU;Gf<}oP(Oj z*~$CK9qyzf?zgGM%0}v9#tE&yfn1N02ERF`5>l8f+jIs^GVo-<*og^7hC_YS@lzB8(@JL>S`$8iH5Tmj!&*_32Cu z#$TGJRf$mWOJl8T*v+J|+HIsK9Pe(wmr6+{(bjTW)^IcTLyS^VyRghoJt+$wokef0 z*N6Vd=+<{jF>Hwyff)3`s}RZINg`F28~9{WK4F3z{3rsKf;9;A&k|crIV%(9Hg3Qk z{{WqO2Z?}-QHtUT7DT|@(exNSYtJW_O#50Jb#*{SbJUFW9`)G8dteyFX>}#U1w#WO zfLGY#tqu-LK<80pA~jJmb?l3>1k&4Lkel54M>ayeXs z`qr0+G`|Dsx`aBN=C~!2G9T=$05Qqw?~2nO(U|3N=S?1gq3QZxfP5yJt-Z8T-Z};? zCsu5JoYy3u9JL{JBzn$`r(Wr-Kxj(xqrMGid_wWeTJwF6NA&UzRX~l6LXmt$8)~ z)ctdY=H*UE)zjp}#eanHpF>-=wuVR!V|kxDeqPz>Tzn5CGOFj3(2ljgrfXL^d2PFj zw_UA+#dz4?^20$g zF+GnJOxRrmk1YOTx?!ql(Zbu3>7_g_Iss7)Pe&t_y9e-~XS(czoJ2FzG~`%vaRO)Q z)}{~~kYhO`igH9$WT(yb$gh;UT~BJrgXG4!RC-g4eBiDRYNXg`Qdkh+d1_B?1q~K4xfcVr z1zK;E9)JptO`%IMP`;&rqRdUCXI)#xEN_$wz+^Duyt7nL*Yo3*-ayAg?_PzjYe{c! zDYXH}U%Yw>^7$r^m-jh5SI}iKpDS|M`F!qBa#4=tzE%fbKPpYRz|BHsb{x}u#@sM@ z73>}jiP|vO_NKI8cQqSG{N(kd^A0oGfUzL-V0HJZq1!whRE;#4KQI~N zj+8L5w+*~ds>rJTT!T4oMS4HOPYB$2n^5YoK@@w-Hz(5-!DyZ)mK_yzi-_QO1e?RM z4f*ulUB`s{SE*>%Yd(@u;Uo8hf-&wZl4;!cuydiwXzpqJSMV(QRkAWB>tn!lN6d2*4eEDd`(ZOEJcJlTw|rE(Zg-HPUztA&&$WAQd3< z(~ny84-))Kyw^M}4u;k?@WQC5&mKu&JqKZ4cNOBrIyaZ*DzIXwqYU(~RDf?_`@B=%rPf~wAE*D zykoigSEcy(SJS*L;fS?Q>?lmu*A98l2K93E6VPo}iAE#>3)E zYkfY-HG!T;qYC3V2Ojn4GHLClcvn-iGcC)sY{h`U$ra^Ro*$O-(OM$P#BtnyHOVf$^dv#T?PJ!Z+2pP%TmiQ+^(Y`hC0`jT|~MmfjPxSpAH!EEg0kGAbNWJE1F-59wClpks8=CfH25NIQrAS z;c9r38&&N9-MkMcGzHF)z8<=TFKGCaEUaTVBA&kw=V<)Juy;lK&Jd73zLm{C#eWns zByV#IMw!}$cp0Vt0EM;U)s#sM>~Js}PnQ@QhoPynDM=j~_!3eP#hb8p!r?eR)n?zp zQpUyp!pTT z^l7{gEz*g8z=(l&hHwBQJmc5tSXcfIu~*A%AMTDTmT!q(DQ&y;6+1W|x>MS+FT7o> z-N0dbw z5y2I&4~lijqe#70zn9Mwe*v`H@@O>=`4DYtG-q8q0;-nrk6xwvM!rgo0@zb!gp`f(XTW zPm3;QhAkUZwUl}78n)#C;ehY?S2?42R!g|VlSlwzkiE@y_quu}m1P{CHk)#L}yz0<{^#Ss7f`w}*7J)wN42W@3V8D#TR)yb!~aS{@(N*GSc@ zuH-`L6OvSQuGsEz)!6R*b#LL#6HZMk%TNCRiH-w#C-%9VqqS9=`R{cu&E;74ZGQ)pf5CSzgNr?<0;WMhB-I%_%b| z)0&!n4s+u-gf#yE3eOGBlw@05jGrmF*nN+sd11R4`=|4+{{Z6u0FNT^ZPa$wDt^Uo z#49ktZ-3If;2`gswBIR(Si_mvux|23&^mKR+M7GE&w7JsI3uk%DIK{qYGulazSX+} zZ|j;-aJcAAKo=gylyGU-Rz;C=>@uSjAk|zecjp9mt}P^KfG?p;B^}@8clg5$XqrAf5pXd z`o5_os9|IBe|EhCSF@UHq-g+M{DKc!#@GBL(prfl1I)+DM}Mt&{{UOPMX zho7EBJ$iMgPYYX;vMCIw1Rq+eX9JGaotigJae&S#W-9hIx#c>We8K&o^}lLRQ}MuhJkVZ$)F3$ zsKcHBpsKGq5RVaXfKCLTY%21|7v4R$(Zi)c4#cO-lFDFIK@`PMGApDH%?39GW)T3ysC85Lws+gm3eN_yu2 z5rfjSA&vgdvV~>dcLEQsMRct3dA%81YYv}{diWf7w0_QepEsIiUd}GHJ&MwO(UNO{ z)`?w4Z#*0$&z_n2{t(wE@RiY^F5TQL0K8HYHpDYbLe_EP7) zi(d#n8fjiJ@ZHt?x7QGA5VIur zt+fa>*S1LJaOvf~amG2XpMES6XX9;EY~~FUIXN7I$*9&>HgwhFKB&Jwh#|8Rth>Ph zfIL?83AW*v-f?|P zY(SK8&N|hrC?4Wc6$=nEp4CvRu;Az06}6`!O*Ya*B($Kjlhhyc#d)}@-o`ga(PlWu zhK1s_Wqor=HoAMtC5(o^*r(=SrEwaa^txIkmhXlDjzBrjYVUPHA%QmqC`tO)pZL1a zhuenvmxA3ZOco(cb4{Nim}078sq#I~HNCo#=VIrQa(ycPlcZj1lL2c4k|-H0tB@)! zOHY|t{_LC#r;}J$IoIEm&A0IUKGBVD=nm;6SR;i zx}SpXp(05wCs@;lCNcr{`c*l6W8yoE2fC0E-Bbh5(yLtfx5To4t<^5u91YFe(zZCo zmqZXSavmhl9%7t+ed}jR@NC!C?=_q)a0_h(Is@rk)yA=?YGon4xOovz3Qh)nY4Odd zX`$A};$(_blCj7@C)X6Lz}eP(DR*aZklk8bMov0LSabBMS6T?&<;4`CK>Otg=Bg&E z;tO(0>KBWgf6G!w>r|gt)mlboy`LoH<@%av4GA<;2_9lGKDa#82TK`0BmV&3HCOHT z@?~0i4to658;j6?*UA3?eNqG2i%dp*$qqUV#+nS705kGEdsfi1gq{fl9cfLCvA{X^ z!Nq+40JMSW@<_vGY;w*I)|$-ynZGkzzhJiLcjG>^g38#o7(NG~rR^iQdpUP7h$V(g zesqt&aQT>HrW+WphwL`l8&Kn?C!AAcu(v&rO3~JXTM+2axz6o4JxHTw-X0A{!AbJneg&pNJhJ)13xEehqR5IsTQ?__<_>;fUuME%mN< zY3?v<=`e|VG|}=n={H%Y(1Wq7V zK}OFUflB2K?SMK^$FZjvn4I@Cc_f}l%_P8JJ4dc+NUkJ}vX08$xu%#~B>t4KLJ0+r z$~#hREyDH>I(wHPo!dU7)X~PUjm~nz*PKx7BTk?w=~X3|zHm78q&>?r+zb=JIs7W5 z(wPT6sy<1jNZ9?+lT8$rjXw-nS!z1W7P_7K*jmZ=t_aTB^zZmr{sM;B%|DKVj({Sr ze=5WHQQ#EuP5iHYIf~NQ{_r5lC)3)k{8K&>(%Ce>4p{+nZrf#@PgCjdO80h5>PwOw z&0N#cG+&2WUF;VU_>y~r8wc{*Ff)#yg>`-~@lSv}U1biRaji*ev9Mtxt^oGQuOawx z9+`jQ`xv}MDn+K{iSrH=wm1xZE7JUN;!gqia``N@zYOWRlY#RX{_6fUFLPRRT$gr8 z&psLWW)N=iY=?2<7|8uA&U{*$9k#5|_MJ8$1QMv(T17FV5Ho>Zr==mWx6|z*ZMNYSLyQgOha~=W z=JE$nOlJqN?ge!o64oteiY-4{x)yRoHon~o}4D-ea>6r1W}l6=Z&$JU=Z~q z*0?txO54`1uC+Ucn&GlQ!Xo|E9@T*)fe*|p+{03Isi-r^uTfN+k{39j^{D*V$j(N6 z=rbld*5)J6S^%j03>f3=hn4~SNJs)l_#QPZJ&SwQ(n8OR@)KkzC~M6K0{YR+rNUL)`~i2OJv z(tIl39PuP_pjEV&eYCNB*~sGzGCA4_^{!9EIu5Dfi?}Vd>o&8Vcoya~XI9-Ceb)I& z>x0Ky!FFc^s5?e7eJj`h0BRsdi@Z8gvJ|hI3j^HMHxX!~?|T7;-W)ITYX8hgZFe;u6{Yw(wd>rrQ^QOx{}@6UyfX ziD{zl*X9xE-)sIyop?W1u+X*WueDtk+g!9nWos*z+Kfox=LeD3rF)i(uJ|ia_-En| z6`zJ$4036A5kf74HT*OtNQ43 z`t9655q149PVirYEVOIVu7cXiVg8VQ_DNu%U@7ZadWV2~QKtBB`+HE)Zf*39{$JW8 zDmmS^?&o$1?~ET_Yk&4{on-ja;m|+7FaC;p#rTWjt#ia4HP&Ij)Gsa(uU;7Kgp48q zT&gHQcJYDQoRzI^pqqEULq|*aKjZyM!aK`P3|<>~2IMllaWNjdU4wp{*A;zbb*JCW zXMJrgz1&Z-NF_q9jp#w-8uy#O4-XG&R%6HC7_Ric5u(K>*zJ~Ukq7{6Rw{50u^f(h z2Ddy*V_|#yU1(8Vgpnk-hI6@aC6Qucq>o&vKZPG@BrDlm@w4!o$A?J%(;gVqKF71n z$=W2_>*eQv;kP*Ex&HtS_JV!>gcq=1NgQzr50t8vv**s)Y>OPkx+!vab z!GXF`-8T&VGgQu4`qj%g+;XFu8WMJQJ##`Y_OyZkxRHKVJu6RJwM$zKvpNSd0-!ff zdgDAbs{NJ}7l|a0q5cjTewnXAwzcqG{8QY|;(OGYg0YTkUzvD6bdD>-o*tztjr3=) z3e@UFc^UUs?wWGR6siQ6sfnL;rPGmhe|_;*Q7V^_4d zmSY@JmJCM(VxV~4zF;ysR?q!F?Y-49hRTpb1#s|0OS3EiKN5wi^ zG25H??(LNS0IIr8->q~c_eY;mMZHcrW^ll(vYcbn@Ta1WPJ36f+W7auJ|I?46?k&i z8TRDO26;ZjQk^&9CFa7nTBV-+;~c2`d(|npm`auQXOOuJS8EpIoQx1?815^r@s^q4 z%`#+P4r-EL!bi+xTopCTZiqGk%{!cuR(2XO-=L)2I`S$_>N_qeL$6b`VwW)&AqOX# zI$^PoYOoy(0hQzMr4k_LBNbfTM{=P!Cp|x%F^D4|Rl_tLasm1pPdOOpAYM>nRP(&=2WV^R^BR*JcFc|AzjtWbZ z;KoI^nPWx>ZXPEe>qY_eBE37oQR&t)Oq!BJ>gk7RKZDc{uc)sgM@DrXY9aSwT@{wM zG&Ttx%=&HJzU`tp+b+2G>N8wfmI7FhdYr7!Unk8Yg^#nV9SWWh(yaBb80wHqcN##9 z#?=_|*e8Xqi^TeEzMip>H!6N*>UgZ_bqx`deZHHeK`1y5vc5s~&0uP}mz+yUa}GJ+ zHF^?M^pmIjZR}U);|mfM!N5#{#%N6<9%Ge6ZV`ouIJvuHOFu zSc)}ew)x!qaa_}B$5gmxZ0;wMUe#(5lzC#cJZv3$k&~%e=yC;4DKLJO=r;Zlgs;x$ zJ*sB#jix?UetmIV-%zM_JlhVPu6e~JdJ*t_tA85rYDAM)C{3M zywm>x;To|Vg<qNI}5#=Dh4iRT)%sgsgfv zZ0>NU$yOKhHuR()+3~2!R$Mx`#=<++Mx!K3Ocw9CLCX=&O;Ee>7`S3z%;%}~s%KP# z`;GbVMQeb|p@nWu-JF?@X;&8>NJhxM22kgk#nqEq^TE-7vs)5L zqUv@xZw9GvBv)(0EWdaf?R8&?o-DJ}Zs1K);RJHBY}_yaKT6~EzY*SQmn}Y^#(RP} zcWmaHxy@2dd>V$0Z*umkRagYhaC%o)p!un7BFGLy=RZp1v|T~g7DV}SPH|l>kE*ON zN+kuCAmC#;uQIx_KSSZ_yt9O#W1(M^1D>^ePQF`s%rdKa1m#NgjQ;?IbIGk)1})^s z0XaE6YE{;>r-CXPyT>{`Jbfzhu!gX>TdX$;*FAU-(Gka;qXt=%XJq=kl&UscB=u zc|*O_h;KYU_B$zLa*{_bLX>>t>MPH+6#EVOe7t&BZ+Wgn1Nm_tMh5236~K6o>8EyV zW7FEbJS1gJ*_?T<0+l>FN!~__Unn64#TcyXi-j)5c^{>7O>r^m>}kGqzuz_LT=>f! z63SKgu&axI<@}(j9eL!6#q2j(}QkLW9Jw0k$#`CUaar{hA(x57RGhbIi9?l9Yq471Udr7&zqWOb41M5n&08ZhN z+L}firv{T5Z%mLRd(Dr2%W!hi@h43iJWp=N10{V53c{{VpADbcMh?(~gHb+)^i z@{&2izI$iZsCbXy&xkIy%e$>EYbougkjSjkV1P||zMbKXZ&876EVQe+p+9xfGlTT4 zShO!1M;_R$b(qi|0pEeH2liZ>hH?bNXWunW_f*qbMG@(;L^0INSk@y*c6wAql6NuU zv9-@)=E2o1qhqqwT{!1)Q%E(tV=}wxu`={4yz(m(IpUCTD6%V=n{sOw%%nx9MueQ> zc_SW`je6-cjKURRpv?TTO=QUb4*C}@Bc zXo1q2RA!3-M2s9#Rf%C{f0c8ZX@SRMK*)1TQivS?012fw@(!v;;YdUyt$N3VzB1kE z-WZF+o+;3D-xO%Jd-E4D1N$}srZQ!37>E0lY(Qg{eu@E$y1LX?+>>PE^ zO3BoMPWyQb_Isa>(O)bV z`nQ3+KY!wQViB&ZI+K#U8KcK1AMJJY>0F17J|${iCGqW_i*4c>cBN|^Duo|^&mZyh z9e=xwV~%Tz7IQZOH~gthoZSp=3H20pxV(>No8#5Iw?Eqlz&;*6D%wBdXuy%?4ma$^ zbAkQr=e=?M0QjA#cv4ML3%eUFYev;rz3!iQza)%v^C|uo=dK9HZUG!Iw3HldZ2D3y zw7sJ=4(Frz!{T0%tN3#3Nzi-=q39y)ub*)j?ND~w}`Kdp_P#_(-DkR()fo{avkN5JQ21qIjkn&;Et6fc`O0xKwQ=T0EClO zKuEX)C$a!4w7T}EA;Vp{&pikDRe3&SI{`R7hHAU3Dcs1wd#wSfZsSzGxX+nz?U90b z4RGXUGW&bv6>S~{P?uMpW;eX7-B)FA+t`!OVe_|@NwH`=Y8)KSTCDrxdB$*_++o!t?I3ndO5yYk6C8xA<2=Y~Y=*W@N=^@W{(Lm61*go(Qcg1(M?S-qPC* zG|VDJCybi*YilnHd{xuF)nhbvI{K++h>!?xLOYLo^JVbHui<|lX;EsnZ4&*h3bqMG z8@n2$`L1(LgL1prkH`K7*1RZ?OQ|eRZ9gj{CxCl{`PUj$fuCPW`bYMMJG?1kK+YWU zewFi~T(RP=8pMjRP?eCtncx~y4^E_0i0(NwxZB&WN^T}s5tIyb^`is5IE~zNGzH^| zhJ-}GXCtLC)baGG$j9eV$&KB3qQxOC_O9@GIO3=KOo|vUL&p^aXbgkXtuVM*4+pJv zQFc}vNxezdMkDWIy*Nn6arWchp;=UM<^Huaaw$03j8@kZiWkgdj$4k|sAXcylU9gO zGq)sr)IsCj`^fS$$j&&T=b1~+^0K~kgx-m+U+gCvA+VY;Ha*P{C~fdLW# zaND!ZZ0f};oKeEmleLNO^;m7C+P^%2bnQ;CxV_XLFzt;Ojo7XwC2417Vp)mJbsA;- za9OHKk}w${slXpv-li zG9z-xdD?n|Su(q4=40zxMx!zMv}L0^c8OWONf+p8g)k1{GtdgevDW0bv{>30W#a*y zWYV2>Mg)0LjQ;=-THD&hPTGx=EI1VzM)W_8ERrxgm9TxPvs_QwSI5?+vea%*DwT|G;2O=nOqFbLR%D)8 z6-1I23(y+f@TZAW!|gG=)1bbzp9<+91b{s&3a{O{(MC3vj#Ab~h5XBRc%&U3HY!Kr zE4|QuDEO~Qwl?EY`%DE2ui6kfT>JH{xncNk;%I?}S-d-Xc?!#vB=+>7z7A?Pk-WYh z)^yED0)iAi#y-QfaXBZs)Z-T=m`C6@w&76mfN`wPW>F8wQ)}=iuerZV080pfs;FB=@O=9W9 zts;>H>#mw{pHPF{@9(6 zDOh&@0M{`>lTSfQ4^FbRMsRcK1x5h;YMW_tb{u=xpgrki<`{GAywpi|vG=S|?!oH0 ztyO6rbzG+o-O=amr?OzT0)cM0#yPHsUYO5xa-bf+Du63KIKb;#skXH|dYCvyO+^&N zt{0%C7Ro^dYe>XcaZXrqM>#7E7tqOT6+H$GH~T#L*5X5+)i8kiQuc=M%aoPudqLCO zo=T5@T0^Hf>9+^cwTx#V5yeO$VgTTK*NCwWS{`zv6BGL~Oq`THf|Tj5M^w*B+%S>G zbB@0BG$b(203wfXC9wUBOe3ba9I{f4HLy8ee=6B%J7jauG@f51XQdxmm^?fTgQmXG zg1M}XV|AUhqD9)+!1O-#*LizD^*sG6o$>-iN@yn-#Yh}<%_wET8O|%}QqcIqHpw9IO<%p!txe`H2aly2fyW>kKtO(&pk#CS zb5j=>=M-*Tx$REjat8+_W2bs(T3%4L4FT+r%*%d0~o=uX@p!;;)TDhHXvM zV;S;7=ijAzKCc&tJ`-s1HMX9&+MUP99$rfx`Sc%3%kYoHnEXww#iHw44VyuBO0Sfl zTlM^XDdxb&r^pV6?|gnAKOkC^u$VkE-GL6SiU@E%4&Cq{n!WiSEcx=JRR`X zM7g%oR^w2eiH0-EujkNL9XH0UXiVNtnWo1X&J?alqnSOCGxs!<&RbgX$BZv6n%h*= zuGZdAL5+|uN9A03`^~%Wde?E{?})m$igeGg>K4`s7TcwzY06S+_2O|zDyuJ^ea z;Ae{G^_AOq>5-b~TW;PtHHWP(Wkc*mXFZO*zE-GYf=du-`+9Lm2Q=_`qrd?KPaOS!yj6)HMQmL(HtRRU*ZICeQOl6Dd6)~Q&OBFqn*mU zfN2b#dl!MgxonQbPimucv1KAJyGwI*EY0&00O&m`zbB# zwRYfu4r-0k;$|L{g5_b>oDVeN8!_M$^s8{`vq>3lgmed~p&?wUzn+wC6@DEHQk?$g z&S^9K)y)%VnuWLqYdMe}o0k;q7ex)Z8LRqSaGx=zT#=2VwKcTMnBxpn@Xs|RvKZBa z40|55Sa&Y9%ZZipaJA!N6bj3nGWpdr8!sDm=gy%rUT-3U~!S_RxK_iSy0D2#Ue0db#0{>bRhO1 z^O}M-+fm7klDvCRBkC^#{8-bxQ=`e@4~uDR(N7x37NAswnB)QG5%(m|A;t&O9Pz&v zJ`d{N3AmE`!}hJI_;`SP@&ii&JYqmGk^aya^~Zl4(wg$}<||lN%`L_cKs%G|UjG2# ze~a;W3fe6T#M0er`ecfz6~_01GxG7CvEY5)zMX3+R8f+G-JSF>H7e1TC96Uvm9SbxG@ z@aE}nw8w-_bl97C#xhzD!=0UToOJ@eZrQcUxGGfg7+`~0dkIhYlKZqhFYS65Uv~pR zFFAYC{{SR*68O#tNBSn6w?BI<7oWgXOXHQ0?YPtP5&Of;&*(*Q(jS!6CZE+Qb~FC~ zSu+lk)3uep>mBTVAkZ&eP34c2%fhop4+pQ^=~GFd+24rnv|Hm7uPKJe1b(8p2v;rF zioFc-+xcw+801rs=tw_G78%9<>P>zldE_fDTy+@DcT1LEdOcveiH(@T&OXk4}9Kd(|y&!c8{i zb{1$K?lBz?q4%xm;vYY=s;vJ226=g&Qx9YH+ywdQbx-1p?EJjMv01HWj^fVZOM8gU z<&rao73=y}#yv%J$St*PF3(by5(t`Z4;}us;?d0Ky-c?fXM_4zV^=Pvg?K1%wm#jaKvIJ^Rx6(uUyjeJQ5ah{{ejGvzz zV>szdFn~x5PrX+%$(|dY^rBY-89ArS$g?a6LFaMj)6$f{xEW@}R`X=w1~i6g4;eI@ zVP;)OKp=+Cy)@b@6M}R4RZlU&9Fa{E%o~R|{&bbo1sQiO8+1koJwKgLk#Ofc_o)Q3 zu?v$?ux;F)Yp#>JHHvF-F~vSM+Wb>T1bb7noYuo6GPVySR4m20G!e}wvF$?=Ku0_r zQjg41b*CZ6)`hskIO)i!uFfHI(*vlfPfnFy{{TN_d~^evC9^7@#EMqu=j&Rwk~)ow z*bUTIF!xRd5aS){BO|fNb|>pxA6QGF-z+gv&UL);mGJ|T?Lr`0Iym2wLJ0eH5NO7IjY)&Vkm$o88u@$AY^B^H3p>~JgC>^Vl&4zl~;J^Ye{>=FkBe$ z7za_<)~26!Vfn4@C4qs@$stk=WO-q57!}W`#Y!W_FaN>&@Oj)IOvWbX92a#D(-6I#Lj(EM z`xYo>&|Ado#4C;nq`<0B_{J;XvFg^b#Uk{c1jc{fU+}JiJ8d#Z)z?_F0f1l``5E=D zSwc|U_VBp+6=8JjdZOz#IsX8b#AB&i4z*^jkKX6~-YW;oAbL}Ltb#y14sl**)zUt1 z+q0r=a>xOQ=JYsGgGhDTHV5UGj{A5u&#Wh|c=V-c&jXTA=UPUDS|!()V{`j<(Lu`1 z!5_ocnEKtBKPpe0^eb52Q)BM70m0&z$ZntwtLvJLc z7}s|oV0ZSWD&svk9qZ`7fu0W2Q%8eXxwlF0WywcjkfYkYerqGgp-0-v$C3Dd;lGJ> z&CPFM*6|KQ+A>wj`G?q$7`)41@y)WUv#S5Pn z>oQqQrmPB)hTI=D>S9cxYEE)bQlo{VC3Jjm<9`C`-VsP2Q#S6C5~^Zs@;g^4f%w<6 ze$ki0ZC_8c2kt3+bs0VX07~(~K4YHsce=UfRZ22(L}MAH+w%3N1gGuF z38!EY_i4-N=~8SMp|Wm7I5@}QU6;Zyv!{==*)L>B;!?+R@H37pkprIG_pQGOTIlzB z)G+vt?rU2H$TLOMH(~5O>8EsEDOzcs^vDB?z=fVCXTby#S%_dLgE6%(_;VpkccU@Y> z>Ldp{*Diqq(nD1PQ{2L6|{{V!q zNhiNtSCcSbFot4TeMz7&$obrl_l+%WW1e-PH+K`F@u!8?!xvD*x~-m|93E}kB}n!3 zu4(a}4J(W=$25o3_W-&;MZcium7BUFAd`@KRUJ|fJr{3P>DsTb8+z1IbjNH|wR2iC zaFUWa$BB@g!w24@G3_Io(YzdV%}}^cG7>oqI#x4QJ*s$)ZOK;7X)qNSmhFmd)rHNt z%&{^LaaJ_1?LA6pQqCzQxPt@;*Cda6^sPfc@h^&WXxmh?veSeQv@*tg*9X1_7_K?u zR42;Xx2fnVGHaP0Jt5kDV~US@Za*-t-^Sk#Z*&B-mK*DRLK}qKrWGNS`W5Z?*AV(` z(mvTCbv-#+*;Iqsk!Jb|8A#=YI5H0PV#`C+uG?j;jm)Q~%7oWXVeqrXSFx;vPx6t6 z9%}(nJWVQJ9FqB!8dH|Yd`fJt{E^b=#VfIEidxiwVgQ zuI1=)Q$}TP<7|Cu)Nq5wDpijifT~e3m0p8ky1S2rx|sm}>yb{9>grX*movER8Of)4 zc*Z-^yt2odb~E;c2NHAhzvgKna~VEiZhd*H{!qyutw$t7ZhGRAMMkxV(c@xr>c3v} z*yURYS37mAF+`1_`y=u=F&9mFVdUm~8@HqDQnz0N9ug@>EMxexDi5>2r2+@^r(otp{&Y)^n>2aR9;U0CcO9q@zxQ)RgP9%Q zh1MN2!}of#g)BkZ-ot=Bvft9Uo14bCndZ2Wo>w_$JxQ+9RgKTX%T$d|0zKhQuT7xv%2_4@k>f zxc>l;iwPfUNZ8?&^R>sXal!0Iy7RmQ0CU~A09JgqF0!(y&JHWq{s-u`kEn0DzlIy3%0$Nn#cc@n zXEf%Xh_`3(OH-D@ITuibT)!m>kOfxp4~2D2BE$PXT?ytgmWEYr!Ghxl>NAS^cE-!g zhX4b|9Pmwe_r#rfHAITd?R<-yOQ#v!4&>X{*R5fUcc;v^jha2a8h`Dp4)ujZ<0ai$ zo&u-=;+_}KV-+pQ9(=gq?{DU5pc9ftYtdSy&yA}dNx1fQ6>>)dnpIQvrN+_np1o5464lOTBvXK?B&mDT)&76AeD0+F42@$($hv zW3L9YbEz$v)f(7#?P8vxc<|j!u*i)TNJEZs>sga(_c_8cWBt)xY`V6QF_X{GAZ^17 zwpLxgm1SOkWy_HUn2@mOM2vq9deO~Q?rVB0p@_Pz(K*~QeT6vccPFcH>?&uqO#G|2 z=|HqldGAg0_YP`Zh)$z&f90p?O_uWFWZLdXs~==h+NJvv)O>`(l0b!F? zlGCRgRk-2_ltHGX%+X z0_;g-RrJplAKETYS)}~yY2Z`$mZLU_oPk-|UCxDGJyDV+xNM&_^ZxZ*(RAHcTE0s? zD%$Gu>CX|urHTBi>e@zmVO@`dJZ-7?Ls3iJ2`yorV=+Er&zQdd0Oz$kNVSNEQTE>n zynSa2U0mq1!)}9fJn{v=`c`G^H&Mss%?qT8a6#;AxYhnP__J0;j_K`I4l^3WHk=;* zwaqt)=eQCX5(VDGl?$K$098xp8?8XXJ{7;b)7Ih#jpTPKU=8z%%DB<*>_*GWIp73f zd5M5ewRLd#p7PE}-VY>{IT?%^(bT>r_={50MTPXX^IC?=qe7&wusF?6EV`7jRFcsi zXA(O|tIkVcW37D?@NdI$t+UA`r_97=Nf#%l_}7Ry5=tZrs{6MBykOU|_$T8d={B+3 zYg$U&1Q_$J&em=_uX9?cyBI3e+tl_u&j;x`v_4*;rolR688Lu=I{EkF_ku0FC8K#ZAeo-TwwIgR1-6= zI5_FK$pO$}gYD4Ni;rHl6ZJcK=B`NNOpayTr?ny1^$4O78H9*~3%xBI8=@>PJMLb(8AIHmH42A?Ws zT+MYdr?OslaaF#|-j%oIgH7^BwM&9Gjv`340gb!WRMK)C$Xdmk=s~K zwxmeqO777JrLzW`CiGEm#u7AMV zcCTZh!w$Q5_PfE$N&P+RYg9g6o45B=pVE`nl(deA%6}LyMemO9aNC3+Mc1c)>s(10 z@6TG)@h!o;*L4ey?>isXvvc3xwp$(rNnTBff)}S+ca}yu6uh2s%{v>8MI$E0kN^Z6 z(y7lKsZY|EmBGe1q{VR#eYmUoR+Xq~^9Z!tDek1sF&dMKqtFh23hX=s;!hLlzILDC zRcT(}b{Q9cxOx(XX1%RJD@Y;~R=dy+_x* zD_xz=tE8T?{+b&HyuVh zRIa5?2r5TVMhK@{TTgi?iqa`3nEwC-Ym-vTif!2$&l;21)cP)*`j)Y6XAt@2Y-iAS zt#jc`d6;d{uZjNvu12@~2cqg4M~fntR@1I6ipEMAQyI^p?_9X*p34s@tXjeqTn|iN9|z|*Z&LPfpK`U|!F!=y zH}9YkHh9lZ(w`)n60Tw@`0dI5b=&n8qt6P#q(?Zs+Z==V|} zD;7s=^sQMn%{~q-?bMO|;aOLnDYz=eAKheN3gz|`Roz+hGWn`MhBh(vcnl%c_-=rOD5v;E zG{!%=C=YIB;{%b;T3@DXRK^cbJt{q_4@#sS*v%bz29Pd#iqKD3+qp@fMGZQp+2UR!-2xE`quFT9(wyy zs_x?^m4oX~v17x^FLZmYGJVQa!1Cu`Uf<#?hDqV(<@R6T&#uE%hI@pD9xW_IC1|GpIO^=Y*Y)MVi&;q+ zNBpWmZ7xs9!ycl#TdP@Z+YW~x-W?5B3Qum8*9N7mUWd!#9c(n+D$sF~==3qI(&i`K z7WHpYT3>0@8ZDAbDPKaw)Z=wHFel|an$jy<*ynheJ&f~jBOS#FG~*n~z<}yUAgRF> zpx-VI?D3P2Fs^YsK5lPq) zo|HOCoMNX9#~8shg@YqrruoRZ|hbI07=S>_w7mMu1;hb`ihq! zru!9M-TMGfYKQD{xyS%y`ql3?JpTZ!Py6UnEyN*@?@)ihg+pa2&1{E77SsW`G_t^t z5$Bu{Lft^$Cz}|@eZr;Oga!mKJ;zE&&GRRAWiFiTcx57&`z`wKLVYVx0fJW}J*gXk z+%+1P^@*8-PAW1;_oud&;1aHcd-bd2G>0Ern+LX;Np#|He84{naMMO{=4AKz zd8K&bKOfLKFTxqzY$WFZ~nbF>IFRnHx|)H1!Kim_YyS;0Kjg-$wP`qYFBpO-YL zf)8Oy5Z(1<8EoT_{1A20Y**rifSW@j4+cH=FN)|>Viv5dFZ zHL9UN!5K6nr73P8LxGC!T%j>V9r%!HCac?@T?U zyOqtHLl|6|PqC->2j^Ck=FhD=E$S%`o?XvHNoE*TmQ_*x)*_nnTz}7L0nhiVU;8%% z{__-nW~u%%2VRx)9MsRY<)~u`W?Y{(QJ&k4JAA|fBya%e8nw=v8~BL##V7V~KXt#t znw2sfDj6neix1w&G1KNWg;@RPcKWq#4K;YlBLmpf7aDYmrJ@12$Sn3;hPHX6J(MkyU989^YFgXpC;=8{M z{8jNDho{40lBLWs5wOe4DE{tyir|Y7dCn=h!OnQCZE`8pl{a{^+)u|V_lOVd$cqul z+(s%v@#5wY!=Bx!1a(;( zUdQozD|_#Tt9_~4LfV3&7~}&5SmQW9k4odMbiaks%^as$ypa+2?PlPC*wVsG^x17_dJch zG5EQpA!oHr=YbG7_O9ni@m8nfPXJ$CYBq2y>GF)vA67ZRIPF}#UkY@;Fny!OT4;-Q z35@auboZM70E7M?cuMn0(yo5radd<~mU3B$>U#>$6A09%;EnKdsS1iyWOjGnWNHcN z=~vS1smM4u>0dqF_~%p(%Vx_2K>VwKO+q|*;ts#sFN51?>t7E(8mlQbq>rqQVNE0G z@3&=nIZ^A@l;09KIV9K3!^d7CVYF&5!RxeB+s9rZ*jMf6ZtVTgYJb(5{{YN~{h>_y z9$WL0bI{;`YK_JIKZ~BY?_L7lH`mD~3ygQJP~KfD04ID71`kS8e|87_d_U)3LZp&DVdb;E?sV@M zBx9#@NKk(Wu3+EYpZR!y&ZGX*yH+R9a!0Kk;{MI4-0F((cT-tZ4cN%6y(?N52g|un z-VOsVL;nERtp~bIoP*6$cR4CiojG#J4aPc(K&KhvnD+Dt7ZHql)3$V7!WNzDvs|%7uhCJX7hMr+6xdYm(t=T#G0MC5Wq`qZP zHV!`uO$Q`}V}Xt`DPwj?6_k*`w~afIU2j*RH7bvN3n zD(=sIhNfk)xIE<>^8WzqS28b%Acg5xQ&9OvBj-M~&hT)(;ds9z=;?7TGm%ooLN%hl zon5a+tp5NrZ0XkW{mJ+pdKy8cTdaRH#~|dlx20jWph(A+kH(Yjflu5y_cVQ8uHMt| zEoS+1)>sJN%55*Y->JAF(_&$|K;-f{&+?>`Q;sFuYY%+y^CC^U!4yACor9J?RJ zjqTHOess>JoD}WN1LZ(?8Rm|rj8c!K8ikOKK3tmVJTWHgCtT#Kbo9-0Y~Lvrso~k0 zDcM&Te_oYQ+~vko=cLip@4y&1G@*k7xSZms%W)?o<@^Uqh|e!$iq*xV!}d(RIU{JL zAROQf)_m2CUgV3C3OSk%`I8HO_x zNF~S44@~<|KV(}N-Inj(ow-W!SzDZe^AJ9?g`3oxEI(!DYs8?F^r;RPjw>D_bICO$ zH*AtetxH2x{LN0?a!oImM?R>sEs#q}eR0nk6OXwXf^a{rZA%R~ zwV{Pqg;JN7xvJ0y>#MvcdO(y16ZCmF>}wI!lO&N)0%}G@{9*7;x36oFYWf|xytinCWf6vY_2;)Vw{~ZJ7^u?cin}rs z;%|o)eoqD3j2}VI6!Y;@MO=a4`#cYrebRGW%KS0$g8`iuP^&lva7n?BMh zr=dR5zhkV^ek6Ea_fSh+OTw10Tgt%W>+@1z{70>df zcvs;bzc!}@#2CTK@nYJWr=x>CkAF6Qt6{;pHpHTy*?tbs2(9e2Hq<;@t9^yG?g2Xt{{XZGm8t3$8g!a{yq6a6$V{sjC#MG;#WwXbqfRakTeIiuwTe5r z7T!ZFL5Nv78;7nc4s*p{I$i#8_8YC=^~JILsRoy*0iW#qvz|ZHwG776Wd0S0Nx0W- zGK2YOa^ZJ>6y-H4tH_VlzrL&3H7BU zsP&*=9+{=?aYzQMbMH=WKwxFK&DJ^ohb;fZ!1SCvYr71 z*GPwQgV>HMm>iO8pwi^?EmMP);MK*P7})5fSSoWsU(T#43J5*_05M4T;PgJVq32zY zfsTDC3e?@R)Oyl&7$Tj*5(gAXq^`!BZpC=*jCg4d z(b}Z{07ni2l3J{`pL2b3Jh|{Je9K+NveGUTKrhAas@%1D=HU zs*Lq9uZDEjGT6r5lgAYB;{(#Hn{^|&9+cm+COVu}yy)QPMR33$T++0gmmQ5vZIc~O zKZPi^Q_vHNo@B$F6t?8iw1Lk`zxGtm8O1o-p?|!1?LuMg5kN=%(^IO93{{`9rsJIB z6)CliqX*^$9<=#|?GZ(REsSS8V0WZ&I&|l~Uu#&@ch6&mq=hCzdx^bR!NY=<3J8}=dN>@Ynh|St^X;@@?Vzidl5;({|T8>CmqmaBR zl5tJRSh!6}Nh6TC%E)=^%|Hn~YR%g6eQLL+D+`|bS_gVeW`pW+OF+Q(%>+^o)a5+$ zKnPTj4`WB`QWCpB9chMu5M+vQCm7CY1uyAH1r5(iPNy^;oKnyOTfJP;Px?}hf~v5{ zsr1P_yQtVM{4n`_YNG7S>Z`$|bcK2A%`o%Rn$_Bj0VoDWa78dISRg74o|Vyaz;d?e z&CW^AGt!tz&IM_dk2ngPcK4+yr1Vk6X5=qvOSZOORb-7WT%)eZagarQH}PZP zowT}RU)eSmCH?O17#~so74t@^Y|zgbiMV1` zY3l$pE(c1^P4+vky5Ii!_?CU92$C&pHE7n87ssH1RVN)-1pfdkS4fN4&M{%q+- zjk@EC(0L={EI%q^Ou75R@uK7AeTpI`?6kgF#x{^osH=-I1!0kc)|5`5efkH?`xFFh zc*QtG*&_$*R|M!=8@kksEL&I;^J9ZTVb1#$6$m&v`qPXr)T;`%CsijWgHAU{Ivmu= zmCnj#7~=|m3V)L7pzSqfZcv}Sk6L=%DI^2Irp3J>1N z>55}qDI6YX7c(j{OVlHNRMQ;2)QtLyz4nC1BvTB?NIB=d7ic-zNJAzwwCCHJ8ri_* z57x8<(Xc0`+Gn1pxjEvFW**U$3@wkie;Pvz9Q>!HXnBYZGx|^_j1Wo97h~sT27&M} zr!@ZnC5cvK^vLN~4AJMgr!>e^WasNn>^-E4QbY3}Em#jqPayXdYt4)fr|CzX7ps0$ zwPNRy=|&z2!N8>!{ABT-Yo~aWAOH@uf;2zEE9KT9Ue-R>*goenVg6ldq9RWRrF7;c z_5!03fO0m~eP-HNmxq1Md1Kv^>BVR3Gx-{Pz=89w+@F8zU7?K>V~n3_&((CRZAQ{a z8A7`cmAeY)p^A?>O&pl49Gy4H^*odvxfGkW_Tr|N4=vd<(2<{9ccvK0AIiR#_dY{r zGa!x!H6&7uZ9Mzb2aJxCna32zYnGiuFC!wV$fh>I7|(j12{FTC2BelTD(5_Bj8xo7 z+eTB)KD8uL;ecbsFvd_}y?ChlKdmC{nS81;o!$NFyZonUAc|Nf94mC}eJW;*Hg^nr z)UE7AtjJZf?TT6vD)$@lRJ9c>G02oF;LM)8oe&XTjSR}U<22Uf^FSd<3^?G?o&_@lCY`~cU;xRb?}5cPp~$5? zj%X01;*gBs(x@2bowqBVfKUT==cg46#O=qWO^zxFr~8|sDBT;W_o`hQo4AT?U0H%X zOTb!%oxSa}ccoS|aKWFU=Dw$&#JUpbzH;8%y|IsKqA(=!jkWM(cT&W1k`df@t0P&v z5wdx#6pn+Z*0J_>>UvPd*;+{Lej;2X+U%uY3WSbMM_T6fZxPRPrCI5sJ8>1LDkMno z&NH8w_pB+Wl25-QH`L~#KAow?7I!)8VkK8jg@wD60s$YDId0~`8;9g7xW+nB$86S` z9M(kQ?odg{Jog=@K68p^ohC88k3O`7@;RhAJORxx93^~m zYDqHQT7x)#W$cPa@Uwavy5K+S`@AP;V9 zsgSgHsOapCP(ZYKn0t!pc~bOV8m zgZR?Siu!e-j1ud;T>A6HY4FO&CMqe?xl<&JjN***-)QxrV|;za{Hek@7~m-xtGVR~ zy93gU9=WM5ZD)p49Ed?3amc3ZeW2|$FekOUcsD3_3g?ilMRnd9@l)x#rIq5$>ovK` zx}B%-{5Y;C#Qb3mD2efsr$5TGlvC8woq1DtO!r?H{93uz^n+rv?1k~>ZCo>B-|Jpk z5gL}K1-uM`Y2*r}+Y6FmawL5`tVP`GO$B>bXpREd-HI_1ST&Hp3 zuZ4ar(g)g_)h}&G-M-bgV0(_W=j|ISvK1=8;HelO{VUcyE2?}^@XALWzMFq{Xr~6= z<}kw^fx3$CMxCU1`Gj62@SWa|syNS|8to1L00JF<8m?<@Pq9;1S|2t>l1(zj3N*5@ z!lDeZKS5phhQ1SclUXX)Q6`&iNG3^+PCk|HE8xvL!1`2|UKr5+->3z~=H<`6O?j7y zZ$2YwaQCj&g=Q{6V@)~SDg1UAp?M>p z#(`XGXD0`WO|>%)GsQHLPDr5z#&gn>Zb`w$D&!WADBM0(Jc4S>T4{2}aVUfWN2#LY zS7uN`dCxRrw3@)HpDn`y+|^lPXqybUC)SrRJFUn-IP1+g##9dZ{VGkkIL1k*1UWl~ zY3@bH6-YgeNf6-iQYg zjDg6hTRFu$X~#5!n1CZ5I?@z1KGLo2KpAY2OgW0>8}Xp0HDSj9enx^h=dBkGVz>uA zDN2$(Do_aI(0S?Fox_-jp)~I)y$w7s6(&EtyAjpJgy2(EX;{vnG?iLKxwOSuLDsn3=@O)^W%sZgx95;6hnTt=aXy_LB6 zoAj>y4hc?t(t93GWtg}_*~4~Kc^h|J^O|zL;f4c>VP11dw-xkA`HPZBoBnKI zW~jQAJc_jx+s@bE`qIr9af89_QVUHAVDb-IwFJ2ZTB@+vNN%*MIHhu}a^pvo#~2*@ z)B`J#Pl8PRtbT^1c*8C_5z>p1wuB_^BRraV5J~m)s7DQhQYizbL8cZx58>y;tN#EA zMfNG8TfHoje3m$5&-YLCu8#A@zYg!NB)Yuts~M!jGi@B6{SA20U{5si`R(mfV`W}x zS?YS=@n^$4Hs1+IPy4_9dRFmw!+p$G!VjE(bOZeB$T=L-gJE&gJ!y;U66}v*)qXA7 zS?J=+!TLb3EC@0%A1~7#O?gH2$&arc?Si=%s}TwJltlCfqr5So*^6-rX4 zWg?bwobgN~p0uPA1t|O2HKCIm+|k;D*S2W}LH3|x1I;~fPc+sY=>aqV>$ZU zzbK|5J5#%HO&xkr4?dKD8?Jh0pt=JKik-TBYPG@S4@v?;uv0zaGm?246) zr!7&{OM|hMRpBJj#;g&M%7aYuQ=BNS&MgMPpA8e8-S=X+txZ-7*`tV^h{SW$dsjs| z@v7|;&CN0lPFWQy&1=|{LWJ_5cA?;m5H^lE{3?$mfs;tAKshG0vEp*R<IO#?k2k#127blr}Y-)H5^`XiVKJV#N3J^Z&!Jr}ZH0(Z4GWZdL^KHdB ze4XDgUOHm4fNWz1ow2ZLmWa#@b4UG4jYV$?|fII*+9S~i}q;=Qp_^SKML^;Kn1^^IOSjxLZMH5 z8gwz9-Sa@?d38KAd2fEkey1m$JhEeJGZx3DO=h08p?o>21of`O&Iv0qItn^cj%YZ~ zG|3Y<=qcIennf;nrUBH`fa9)dooW5JGy&2N2I_xhj(kQL#zJo9tfzoA*Z%-l0CTr@ z%aSquE4J{}{<E2p@$hwQRm+N_bs78+<&=V0#3?Mw;@#^7<&(2rWqmOfQg(d^-PPcN?<#j};x zbUWKSZ?)V;&k+D`4ZDixB%U=m!LLugnnR~uM2tRYh}y$E40QCbCz1#A8;lJ1uG~FW zRX$_oc!wvYmeoL=(UmaoUttnsL93~ zn$^(t>#YX)Z}iFD-sJ%Sz|S7_g0}Jo&m31{@W)KH)Vy(~+iEd+mgS3W1aiP}pHW7Z zDiBUdBfaq7i#{Xxl6ca<%wl1aG^h~(_9nWA(tapUlQykriJSqrXCA#Nem8iQ^TXO@ zrk|pzwlfd)s<;4p4@&T-@eaJl=GE@W&v`1MuC8`Yq-i@z>U)%$2gKD@^7Y$he@)(T1tzCvwyZ4W{ zA7h@>Se1!|_cXj|;_n(=!rD)Yrk)^iz9}IIxcz(n6~zAl5%)bS>Wf#g_?hs{XVt6# zxiPjR$15U_yrcJ!nkJ5f+`>A??Kjp!KJShzArbIc#Q~&TEnU zwKb9nt`~Pf&OVf$M;Omamf1`<0I3-ErRWz$%ZbWJv28eSlw+th)M%Ou$824Un^=Cj+|0tgD^Z|nmtGBPWeIOCWRTuBBTU3$@ZqN zCy!cjQ=DRgcCV&sj^kMK^YS}Vwm3a0NGEAL@kq*XMS|mT*wT;}8O=FHaeO)PF9FTkQLDJ;|<;Fd$X|bFUoYFoDjtK46zEM|^`U{~G z8FaZeAD8AmIjR>Bc~6Gwr<_+zTW$~HE1kKQ%$3-5B-ce6lAF}!#M6u;p-$nIAd!i{ z!TflxD1b>Py>&X<80;Kp?+`1NJ$(&(*eInNqvZ1m-mSY0VT@8pG6C=HQW25#sMwK= zS86y@A|&nyrYdESI+2VK+M|<$?te;;k;v^$yB3Gf7-a=|_Q!gu$j@RcLN^XEj(gM> zF>qVIQ}0ssE;8uK-^13eK{nt4>(Z((BNWivTjez+xZJ;U7U71OT&EPv^2fW5GwLe7SqWZ- zr-D%62J8Ik^Tr#{Fz9Js+nMd7D-4f%kTzG4b5+=tY#s=whsgG(TSTF{ZRFPOm7>Xe zDyt|mH)41nTFKJ_z1(4k9G+{bf@_O;5?Dr5VD23#y1k9C_`4Q$^{g>+v=3v1@SK^7*JNu(hjzzhL7t@XTiR8c#4{cmNd|Wj(0f-oAv;+*wlFhW zaL&TaNf{n$qN8J=IIjZ{&o-eG?{m7-rv#SA7x6>GMt>sq)?|5N2hEG2$FJ7Bu2b_6 zK9%mCEW3$(IjOAn>hio_Ba?!y>MO#W{NGCUaPZ`UiTUk$^U1O@NHl|klTIh|??WCd za{-%%Xgu&KLV?K?W1NmfGy+Mx$`{sZj1TtJmkw!O2#{gEZ{3F_>t*hM2Xu>u3 z1d6ZW)hAbksL5QOdRMSRXKyBs(a$*ZSqWgLk)HKx3B|BdY1tfSfFO2Py8BO((6Jjx z<-JF>dRCRB%`-^L31Y)|&jf-hhryk5NAUiueERO5F6`!rD&ipR8v=ia+*O$TW`o5t zM`@&9y^G~jVvUz3Al*M07d~plde89B&Vi z@BT%41Z^5fSwYx&uPxDoHnVBvV{wr{`sTe9&mdwXx7ZZm6>Ne!X1wfPlSk@Y3YXQU zmve7QB5g($*seUl!B0bhn$gwuU8Sa&l^~FF(Q#bGmC#35iLmjuy=s!u74+6epLdT&`G+-CL>p)(APT zO&(JmhihbY6cd)o??~99nIo4R?&(_%;k`%uB0WhW5?eUkggIcoxUHQZ!17-}CX)UU z8z%3QoO@SyWoo)!j+TidIE@O1J#kbAjM~}HbuX1~6Gy>}dt#+l*k4Px6-mR&~YbQmLM z?Czj0YHAjG!M1ay+{KVGB6R?f?0sv&7<`T=M^zt$X5o|M>s)^SJTrIG16 zUE(#gw`hNH_DKw*ra@E1V|aQ=HDkrSCfUO?4tb7&@#L=N-4Q@G-8dWj^%dbm|21PSCh|no@;`nHsfBM zrN-0WEK|=T0t&EG!2}xeS(g_Q=bxRwoqD)CJD(rM^w+efa@e~}^fY~?arb-r)g&B@ z3>tpkN9A4or@%O(>fInC>wZ>hS7`KZdSX}dN7f4qdk}p z<|>Yl@n6O|r--8(L&+u7#Qy-I96V>&gV0uo!f%My_jXC)pBKoJMJV#2nr`F!t?T~) z)~O))b$j7oiMnQ^q9n8E?y zw^POO3*nPNqTP{pVo*kKRn&5)>x@!KTIg+aOWt=lKkPq+33ud6sobk6Ad?~6_|rUN z@O@*_msap~=k|rEUH(ZRmS6TyueEt5qhoQWUCC>xTge5&Wmz3gfBjX~d_VCoiuBO- zejA*%t96aW;lKpCOHR6l2N(vhelba?-+0D#c;uGV1|ldjzy7+OWcFuL!#XhLlyy2yKf<34{8JlS z=-Qjv-<}tHVakqMaImx|1CkDKe=718@MYPZ_DZa!iBpb9_w=rL<7m@-vy#;A zmRDN5d8ortHn5Ii^k00_T{t~Ajhk}-yj&r+w? z>0PhG9Wzkyhl_>Qpvty#$K^XFNC!LdhWV$N?S4prrX|&p=4)-jv95z{ss^dFQqnZMOda$IL{J_PSCDZE$y7#ewgP z*Ces^;|7%@3O-f9=qu$G9?x^{UMI-vFZ{S{4Z_Ndk^&Hel1Q#lZ~)Ie>RpYFojqxV zG4#cCQ->+Xh^x+F_=&iVJ>BzIoadeeX=@U1yulnO#Zq8MBd2=$3_L9bW8-lX=cw5c z0Otgnjq#jgrAm5q$27(nCbvnZW| z8yToL&!u`-!ku%%HukqVKZ^ADztSIa-n#A{!1`m_qDHjg?H#XU%ys>Fkhvxm~FOTFatAzYB@D;PeD34gw zw&95aLQnD(b1sFvJCgUbJUZeK>$y7r06L@eu7_FFE%i-Kd#g)iwS~{i5_TWsSvN3q zx;`7dGoCKmGOC;tj-#btw~$DH9vE?tTA-wEIiXnOVBn6GEnjk_9%B8(er9uwj+ID~ z3HGaSNF`I8ZvJ$WL%j=hY<_fzi?)o08H^JN2P{2nuF-XyK!!WG%f!PxADK`1R~g^t z?La4ko<%1cofN7{l)0jMgiE7I2b~?%5wIhN2YCD|KUCJNZB^s9kWDG$c^@rsMc=OD z>DHUc>V4`xp1QN8>#!QhPa z_o&M4-Mw<$W7d}8XSB9A4H*hogWL-9+gCpCH*t7rN2@D*P15hJd@=o@JQDqy;np!G z-;`I4OV>SXvhhESueH51`#fCF{hX3+SegD)?_6sho|Wm-cSq&*W6JEtK20VDap_vR zc892HP&C$d%`9U)k7{(D9o3YnI(^9Bwnh+1X8fKAw6&@aC*{bfyFyOwbk)@;DV)$Ia_jTpS*1qvv%4IzIzx_j-=FvDjP7JgS6+jdFh~?=|}?n@uC_ zE|c5cMh@uYQlFu(GVuPrsCau)OZ_71TU(Uq@thj#Z$2dJJ|c7f00}MA-bainAPuxS zys@!xqt5P`cfa8W?P+JF=9T-Sy)__Y|FQgpVr#_i3| zUc=tH!=c(mD@SLkd8h!}A&iaOdK&rsMOs|%n{(~(RWPuGV^L~RSkCv6oU@#dp%}OG2KJG}S!*;kIHB7gO>PO*TjoJCkl#sy$xZ!C;G7^4LYGk~O=dC?%qW~Ne zj?}K%n{w<|Fvv&UKhm0Dllz9QeWf@*H?K-?yh3>x9`wb{F2xmwOlN7wy$u8Z0CyQR zaNMCC$P^jSk(}eu(ztU=u}{j7W97;Aq!3DT^5^lZi#%YQ=7pL(lau*XU5}aeDvNi= zPpuwHZr}s_s>!%T$0UQzG+ijj!2r`tSC?V>JW@xh*~kWV%B22v=~BtRmE)4^qS#~B<| zh&MS^Mg8G81HE+mcZOg)WYi>PcEJ#@^{+m*A{68AA7P5cQlh_jdFP2`y1dgG6C=pk z!mBnw{Oc+^m<^;c+&UzYs;O<<3|FFf?@hC?wOQ?9C|Qb08m@j)GoSvwct!Yy?CRy)7$lPvR3>xLW8|v23T2H6W>lCc!L}oY)4{Eci z_*JhiTI2gk);SL4{vbapEHPWbD?9nN`kRRxJDIR~^y0XEV@rtCBhs>s?=h=abJi^PV?{Xxq3I%ZQwz z2G3hAlw(mebe6OHqW}SpGJDpvs;Wb;Mg#Emtsk;kY7u5QRvG%MCN{D3BB?g$WovmA zgo<$@p2Sy~O1x^`-4D=kc*s}ycSQPYc~`M*`{B4A_2xRYuuV$pHTg=&!=^jerrCx2 zN=dsLSRQ`0=AI~&+xV9KF_jXrUvNpUPX{epK1YqA%?M3yQ4t)TYDBj=``FK=S6hNk zKowyw4$?5S=%&xjnvx}3Q`2`pN>#VP2RS+99+bgw+=Wm@JX|q720yI|+s&l2A%BeQVP0yb<8P?8d!=MX;JRP2*=d74l)bBw(*3W7f2-JV~nA z+BL1M+_BrR!9d3tKGd9B*_{;Y*;+{S&-hLJ8xbhJ3be|xUPn<*kKzx6P~|)oXb-aD zym;KP>J*xhrIilWQlyN4!yJ23vorSfJ07_|#4iag&RO8SqE; zn4UQ`H-~)cNAEWQ_ibmZS7`|Zw46L5)@{qn){xOC^~Vn)wA0^JHmBO z1EtW~qBmCuxXvnvz|8_Z55v-H-)eU^YFVN`bwc_NTIqEy8&dH%f+Eu`^h>LGnj{8G znF0WD@5vv9UhqeRHQx<*c{HoLdkffpY?P3O0OyW)J!v|LM$GC|CCziq^}mSCuWOHI zVWH{sX9~m#+}Ips^~GI(4A|&5cOn~bk~@-Bj!OGf@Hkcg z5>Iwe)b{3nQMx=^yVhT2v6kYfxv9M;G7 zWEU|!a;p?|DtR?~#TtdZ-nh>KphR7}hw&O+I%v$%2@WG920oP$lTSu(4b!pn?e?E8 zoq2G$Y{4lZl%9b4*SlES#c>V1+j1Qdi0TO-8s@xNr}#kX*Jd3_<7ojBMajg6{PwQD zN_$bE*cc`be3jkx#dKA?Qq=ZyO0?@zr#QyX(D^^d*7C*UZEXsb+i=GNrVsM3ME#y& zj^-V13xp2wJaQuuk^uw}^riUA9)`X#N4J09%K$fO-K6y%#8&6PNHm4;S|YsjryKu(PO?*F)dSu@QygCAl-g8r4qFK~Qn{SDXAt)2F(z^5SCA zzw}JK(-u`0$+f?Pax0YaBY}odoPR9Wt%j)Sq|xN!=|;R|26z$9Nh5AJ!Rjf% z@m`m4;6&FXHx@SwZ!4Zrm*pqdipP`Tw}?^Me2C5o9A>@BRpC)y=gHN}t3p<_&hd0u z##MKkY0;w~@<(iDx1Dx^S+P}RbVbUmGZWZX$~n|N&C`ctmDFw4-ep0+BRtl7{nBdY zu_u{-D+9nd1L;|pO^Zp`HZZ3Z>S6CLRQEhgTTxPI<*r-Io>$KWi4_xYGwLx(`0-6< z$jwIM1ZS>u#W(^fFmuw8X^}9( z6P`yk==v|h4Qp7^E$^VzG~|kO4v5T2=e2p}#%VBsu|FsPWRvM$o8bQdhdTB3r`k0Q zN_`tnRm%SGf`1OwY<1z{r1e)fyeIJI#oig!7UxgbY!CtS#L^Ot?TYp2nh7FPeKnZ9 zQMN`>F`sPLkAK3iT|(Yi^nV#kadjBox6R$3sH`m?;ZKULbqUi_x%(ZgXXlxxCw@IW zKN^WE^*t!b$3%{!#eNX+FNrm2E&l-FF||u3VquGRIP^HKPW#|~t#55S=UdX`F{y2& zkWMS0kHCHsdz6Y#6w0@Nl`67<^H&q`FTi@wgxPME`s(*dl<_EYl=KbHPkL$UXEd#L zk3Mbow=yBdHF5-1~sOr9K^j=#>OKIBGL(U4=f;*~~oQG#>N7^ebz5(l+1 z>|C&jOL=aGyMk-bl#=iAj0|-4t{cN~`P!s@iV4qA)4g`rAQt+nWQ--tAcG;6lEkOy|d_RAo6pL1#~_#m`$uo zRA49r9rM;XNZ%u+idgwxm$bH+g$=jQUpLS*_8V&v~%9 zIKbn*aj)Ue5dQ#W+62GfZd5YH9N~RAsxtUb#I2pOhgR$R{zct!9%KB-T0R3=UB37w}Tjs6L!)MCbm2~;k`&nIMw8x6=FV1EV&`SI?3>z_N!-c zdvB&g1G_OYOCslHJLZ+aBWnA=R(GnmI#lvoBagWvlDYQoDly6wd~0dhVmDC8SqgZDS7DGX$v zbk#BUiK}inIjV;lGy$Wf=@%NQk!?bj9;X?rTAqcd=`hBZ7UeQBc?9Futax7Fz^v#> z$gRPx9Zyi6{zjVZi?`j*cs|u~roEprP?P6K^bZ1PJMA>+asvvjv< znnMI&G=Hy^sg{{Yok3G=8VcF#3;TD~n2 zwt>9XVm#N+7#{ueRAD<*^MTvxUWN`XFx2|2o{dU$+m}+NyCGc?{{U+ML`Q;go_Vhw zPrfVFd}7;tKXz1dKmeZAwWLj(IVhXQ`zsIj5Bzk=BqY=}DQkCc}yXmKi+9FccB!_W#*=Rp?oxIvXs8=iw%N(u z(!C*EEN62azn?Yd-XB%|*n=SFJY&|o@{Q#BjC{ECuP+v_Jx|qm8~xILzoD<;hTpGS zZYzv28@u3FUvH>KZEW*Qz)a3}796M*=E-o@vLvw_NQWcq>0NH0e`kAjZ#1~du#w@A zfFIZDE9Y_aWhp6JL)Ojc&)R!Lu890!;uv2`o?BT8lBE=oGn2>VUR!ad+geR-(?uMN zE6awd}6$0QA-8STigUn!=P5%M{V z3W3tOzIPhv{81N`sLY$Uk%6AM;<>}ty=0FfPU7d94Itoi zOF;)o;LU&g7D?ff6E(HL1gPq)JCDM;*?dW%*}1v5fz0v@w%G7;dsidiDXihwv8Zej zJY*|4$X2gUxJaXcrdU!$3)N5@{{W3JDO)wBppoAtunfz*J1@q+>nJWa*9tqld^)G5DI$uwa*V`&%P$0;dqKNZSnBs;qiF zlghTSh{h#yzbNe8u~KUjZ}A22LJq`@Tho5te;Tb}brznbti#Q4+!dJ#+X>q7nTI{KPqmNerUYF1A+3M|OY zo_<}!HS|Bn%`U=E0b1WjZ5mGtMq_kP2nQS=Y*)^5VLoN#j2ilL;zyUL{2KFo&_RM1 z4Z+SaQujJ2*}_QqfxSu1AW%kW{2FgV`cpZC9QO9BdOeg^+JsQgH<1ZHGXaywVeeH~ z@OZ6_07fn$c}@m@txU8)2?_uCuy&XQ#3fTKKDsdyJhfwg#b9ZuLiwY!!I7dgj&&bjY)d(ojAYL7J2 z<@1y;mde@rvN8DASEcyI4+)|+pKSo0M+B}-Zg`tUx72(^eSL3n1)Z#{Bvn1Q^!nGB zc#FeA^7yrmq31}0?y%YiK9wxiFpM@wQLB7+@dLiqpJI-45{0abpN)F$z)>yz(NW18 zv7g9SC*ofUOQzm=5=y>c*c*2NOJlFKb#|WuwVSIb=Urb*!+_g&bOy9gO&o6#JDZ*# z_<)+?fpIIO!>N$u*H;bbVyAMP@CZ4tDb@ZGc#lAcUc-B3DyJ;bNrFEP;-%BI%ZmmO z-GB%g1$t-G>0W(&8(PHlu=vhpX&wH%&tpYw5{)$0=S4h4+w6M|iK|I> zVGQ!K<+FmvCmk!GgHZ}2+(-w3_*aiuYogyww1zNGlnCRuIj66S*-p~P2S0i1m0{%e zHC5w%O1>k!5^DN^BPY#nz4@T$G)_~)RmgWv_zFNrlq-GFVE zKljyn-nR2!YWH_9l4X&f@Xd9f3gtR}p?vJ>tnsK^kkZsT}tgV!~o-^z3UYxD^R+WHpNBS4T>}5EDz3#}4OZZdrN) zD);;?%|*HM<>#K&&gdTvq}HuP)9bo@sFNflhvxjM`S?pPBo7d2gbw~?X-^9ntq(q? zE^X?1G4TzC0P<&U=OYyq`u(FsL@_vX*@quW=L}?V*!xlx$m?Dk!p8cu)s`+>SZs~v z%;fhakKs1@;TfL7|veIE^hS8$)8)?99dVlq+%XBSH??u&M z)O7hgyNHhm)OQEkyT2ZMLesok;h3$iG`5daOck7-BR%ozDjxScsnm+4%X>46@GiIi z00{p8ifpwfE+9w5!8)@409x%nE__H`dEvA0?DIuvGG&l(T>4j+6P3u|dr~|uAro!= z+Y$rz$n8$uMH=%_k+K|P7^a>vo=s^wi4=_Wsxu=-{VJ0=<2#82tsC?Pubrg!BC|1rQb8}4*drs- zl$T*jH`NZgi)-aZ6}iCeRnJ@s(;;L$V1vz4o+BB^8Cn*@jIX03joBDur+Uzd#F6I! z4^H)(-N~oLBO_!IGebj^Zdkd9;IjTT0=HvV(Us5<&U%dWs?)?tW?!kKiHqpXuLiFB z>6`=dra1K`y6y@Mq>gd)HOzQEFtN_;yyqO(R|r85%)Lm<1J=BZOs`R&wQ#K;WtTzs z)Q&u{|B2V{CbCdXkOu9e3(Cw73Qkfshtdf5SYC42)>M}yIT_A*p z%l+T>s;`E1n6&l0j{e?BEiUjK(}oB9n$y#7?9Yd_d2DT#*@EpLwsV?v+I07R3eupo zi5_N^rI3ak`ceZ3YNJKg4bO)zXEyv{W;qS&q<0n6Y2GE#BtP17Tm6$$NZL0@7XkCo z(<0EWqwqZT&!?a587ADh#&-J}siEle>0Te#F10&VM+hT~N(bUcy)ZHKZBI|Px0Grc zU)u}HTuNI9p4qKG?Vk&bZSebG_5JD1Kf=&zmbzGPuv=egml-V^xDC%4_04I&gY@Yn zS(Rsxa`O)F;{O0VQW=XDq2XZXevv-X*#~||_N*;^^!L6kq{h+Pl^i!*_pY=tX zhlk@bAmV2mtA)RIYpEn~qC&*59AMP2D$S6*;;IwPTAjBip&e?VsRO(4UX3mOlw^%S zUBqrQUuo@ZWr2?NNiYcM^{#JB*6#G%Hj3JLV+?vL5Djf;x|&{G81MHc^&we#JE~_= zNm=Y~LYkD*JAVjkKiMffCKQ-V0_}AN8SXtRTK7|u>y7OkdH(=brvTSIV`&s3+H2;- zE`CzHlU+1=b*#vy+I9q<6~=4Cl}cRqXWn5k7)VKTubEXqP#7);Z)ys&0>EINYH97> z-cuZ-4mzzO91Xw2T^ClmK9Vv{DaT`+@u4wzc5vM8WX64K%ai?OdSAph#)BB>OrxJs zit{x&lQr9eUhU7!xTdEzC8I(dRhaSIepOa6l^Cm0s(hTFLT2RD*F^q9Rn->`!GH5x#$fpBi(55`;bHR(^e4S;N2}@Y z81kiyBN^Dg;aL7J*DPnyZmprY5=w9agVzV8AUwX9z~?mX^fdrzSX>kvSmrh#F#1;B zl)rChdvw|4{Kq_W{{Zz@J#4#xz$fWlAA_#m-%^n#jaz(WQBO?z{cvjv@{*2+ZV^Sz zUvsY0<}wJ#B!U4ou@Zxp&Pb)uzR1?=Z+UDoI5Nk>FW1(u$z;|J71&6QyOKfCg?TZr z8A->f`Yr<<3W-7J$m|hXc=3U_<0iPTiV+C)OCy~A>mRBA02=QtRD8zUX_n)EQTN@tVBQRc!njvYoj)w@{MSpu^JAKo=l9P!vx$>?*6^v}v9 zi;RLZfXetd$mu})q~n@}at1l6S0}AgFlF5@PrB7FBec`tl6$A63f=KnfwjL3 zS;2Lx!if~v!B)=G=xfw}W+-5i+gp}M)6Gd0M1*|6WBFGN@lRC0)x24KZFz8B-r7>I z#xei{xfCaNV_8#Cr_9c0!}>0x;tP{$rP-T_P6IF>Dvxtsrw_u7eRQSMul!9EHfIHG z*@+A6GhG+J5#{(U_w4>!TqLeC!;*24pK9^H6>7SctF2y3e|0pHB&@jHKwKPfDQe2) zg(!1Ar)4L>4N;O$vFkd0%Yl%KkWG2Uzo6<`4e!}?i%Bh}2Lo{VTihP?C5EMcrQIdw zpLuf?#6v3HVIcbv+*i<^E>YsYge!S@T;=DRC>?CtvdE?Ovcd?mmmcL7$@GojkWO2j5Zt`Z6^lIt;~ z7IslX0vw)qG5mX1m}ntW=3 zoG2UD1QFV^tfNbfQHlYEV~p2BZEd-xBoI@esB%w6dlb`1!<$FcbxYW-Y$pTFJ5?FB zfPS^L7r|c{JAcQVAfAWK2Q^>qD+Sp}KI?E#b@!{9zln8dBe#ajRk{(P;INRB`qV;K ze5O_7%NIM3UxD5<#!a=j&meiioPG!R%z>4++u!%yDf0^@y8`@IS_A7@ zf@?M_mE`9+&2YMg>%j|$W(1t%0ekPJX7gUtPF;CVf6xe8{E7{UyG0re6kD0v58Na;Quc5%hc6@$oJyb?;aY#l7T6X|* zOduWydh|~n3%KVPrV;@6{#4>ldQ#y?1a+pKg$*BfnrP^9K9uHOc{t4@dvQtXGB)9H zNW(ZaBODBa&q`we4_wr;khbvo^TQDP(nzQP_ciSQ02e$dqUe7Q?B3GZA-j!`D=$_j z8PBbGuYfFt_l@*uHtx*f&-@3P^#1_bM&~hU7J;y(*~o`VX-W(w!$B>uIq4nR@DjzIRR8dlL~5deX-9%|%=<>7et zuM)a)lW6_lEu!e)VF>P#(%Gnp2o=C2ZfqP^6Y(pFcHvnKjT?mKi_TPGU}_D4mWP(W7@g${5+LONweZJD$| zet~Hf)x>y5k(VY$_O4`WSN{NH`!Cw(@^A*y-jzzq=385&ng(T=TOo%~YJ|?8#_-2( z){G)cYpIa6#4+^G^r||Yh0d8}r|r8wWzz2QRFSiuDDggEB&E7QtCQ1P|5&|eX2}n9qR|i4|@zS**&aw)<1a!Cn(e~De0P3#FkOq z+}|5JRwv3Wk{FLbD`QU3jr3DbZ?3$r1{>wvNFKPR=nHeH=+WC<>5^SrKuL-~+epuE zdV*bHG&i_|P1D}a;#D!o(lIPW9RPj)pQ^=YJ>`a~*LLxLtSIC#{40)q%*Bb$PIKJX zQD>=KSolWfJ9~Yid3H&0>;BDhwchwQzRcsLZD{WB>7#aWk&JM0TGrZxY2qsjn>5QoDonA-$R$pHE-1xQ zP^Zj|@RM$(jKsj5cdH15%KS$=bo*87xr+}<^QbZA5ncZP#JLZbWT2g-6N6j?AL~ten0+9ues{!@zAi?L zJPs<S;1W=z8^_Sh1krMlr=ub+vug6FDainO*L!U*?#Pf2oBG$B z=)|33)GyvAf$v@Ht|Mmi2JUh4_OCY=@t>@4Hn=&jKhYX`Jfr(X2W`Z2TaZ7WB$CU* zM%X>^T%D}`RGw;J9+DXP5v31_~wO*VVC zw^`B@Wh*8zp2obc{{Tp|Xa=Ww`^n`y$_@a|YtSyWv;P1H-->kU^p7DfrQH;aIE)Nq z6~^kr&Co4A&byq2U&9sZWbm|9WPF}sQc5;Q0pjRkw!JBAyJK`$13Bc1<~!F@;{mDF#*L0E6DWi&UC>TNCy;e8*9>P#!=1Q~~6gzK^L`w31%Jz;4S(IR`%VkaP8~ zXtQ~5^x~KCDm1D?#(5Rzw;mAGgp>JEBdQWc8>pZRO(xu4YBtu+Qe*@{t z>#|9(2R}D8=07wta7wx!y$B+^O$)~F8l*a+nNVST!S{gvv;sQ~CrGo?A~D;bY@S)L zO=S3&!v_qKdPYEQeU6X7 zUMjorcBJ=KKua9B6GGh_59?l^s9tyz;sIORtFP>f^ca-C%E$bEwc>g%n}6aRPCYwI zjJ?BxKzh59ra)<4gAYK^Y}mXc_D(tdZA8ovS@j(|8W|!CnkoxUKcX zohNPW=C*ndO3Cp?o$&L-7vE~P)?%=ci82_E3{R*%>Wp6x{0-tLo-Yh)zE+^4E0zoo z)E=U!XT)es$bl(g7KC`-xd!G?4+-N~)q+kKh zV^I7{_>ZL>4owHbu&IHD#+i6fqtc|CLHJc~J4YqRVuuZvCz_$IX}=6VvL_mS>&J8u znAtK(Z%k2SL-%6TbUcx#cF%4oj#*iPFY%D0KBUpKGaaYp_n}nrB8>S&NJm0IHC9Ii zY~7DbkKo6Mjk-@Q&5|Q1e3m&G?N(#>GpX$PwXHcu22M%EdJn~GsU`SXZ*y%csIX5+t@YEyPPYASTynms&x7t{!B>zZ)KBb;$h{{V$8caNK0)5iyb zag$zN*Aof0wakEY$aWx9e`%kQ_L-0Uv^4cI_LTb`pgs%V?JNDarsq76O(^&=dB7U> zozK5o^5?pr01KJPKlISkP2AYSTueuyb4H-0O}kk1oBsfXb7?k{Y8M*jnIv|@%-9>2 z$7=IytEFbReC^%4<@PXrLjEVy z!snCN`;Tf?_%Mqm)8d;BnZ^ZpxwyC;C!7BM8kRe$w+ziT+~kesw~iqmbxf7QE=k_U zu*vXVECPI0V27p%>-y5g@NU>FhHn&E6kn8;UIlq8%H@DE$&Yt9sbSSGEg_OCdx;~B z@wq|kis-ws;d4PdG+*)UiuiY4YX~Qbctk*?(R6Qrt#i^{+(>{ICp~GJh09!QGd4(W zq|`wAAEk0tZ8PdJxI(2i+9dO(QW;%=?afN}mkdYmoblY%W@0f)-*bUjT=jjFI}#h2 z2q2Ji?NSNf1Cdp8QVKbl4+}!jff@k!kK)0eOKP;UQ7~_;ZtO}6%UPh_0bel)1bZ5(k(?aY)87$%TjA{% zTYWQIvKL7*;HZo?)#;kz?mi#*OT{o7zY1!}Z7)*}?5=$r`jDlx?grif;oapsUvrZv^z? zj{g9KQXupKt!j;9E26{_aj~(E#;mdf*QI9Q=aY<8xF*2b{{VrhYq2tqR9Cu;#MtT& zN@5^+6;A+~(2_AA!!KHf*4e(>5FRFIy`_&{h2BRVYz|G9QxNwb|bh|n##_?{TyfaYqjdK-Pl@a z6Ft_LV2(*Pv;%@qty3h%m&7*h;;Vf@p(ff87YCD!Rhb5enxpCqs7mNx%~Zx59Oo5J zPq5Q<-x8!&i6y104ciIHI2_`wyJ@g$W);xpkt04>+(;z))S;Gj_@MD5!tUQ!iCm~( zCU)9a=~@0F)MJN6({y;9ZcU>Xc}INqt^WWJT4+|9jh(ETSMM&P8(JqJkdxouu=Onx z%SiF9y_B;z*vlgw_{xLW(;20z-X^VO4c47{_K1=E(11YPJ7iXEw}_Jd2DR7iMcQq` z%!Buef30q6&8ge#a@#?st7*vFR|qz;_UG2A_=-WKO265b2@*&NX4|*}=~N<)u(pH#bjE>uL$YF|m<+zB1X-?)qat3QGO@DIIJ97FdR#JN%pN4!zbEjPi z0!1=}39;7%V1vOQOnZ(hJDaDtnch~(b|)RbDy?ItTgK7}ZY1*O zJ;n&H7uwOknfG`~_%%JO%90I;sD~2Cz|W_x3koiF_ZT_vK~J3|$qc;@O0cC!_aCKn zsVg4$GU#xB68>3iqEK)m&g0)Cn&KcFpRIOZ66D>eLc59E7#~XG;2*DA^eB7?{FjI& zd{oQv^aiY_1079PPMmbB36I9R5%Tjar$7&_Tb5~OaU}9IkBn|(lY!c)p~$NM9Q?e{ z&S?%A?rG!`f_hU|1P;cX&;J0bnlc^tSQExMr!#!Oj`YFQnoZq(YJ$X%fJWZ9=j%*k z(vTi`q%EG6AdrkpAE3oIcPA%`8;~$DO*t5$tCU^Pas9Pzk_OU9KT7Wo2*Uta^v6oz z^h~a?Y;l~DE4fuhZKzJs-n`sj#*fx`6aCVE;{6atfn;aNI2fc^-ZQx}8``Wx8?#|Y z%Z_th9*N;LyNk`clgWxf!01Q4dDUw|n)liEIEwVCMY^ozwLMPu^G$dzrv2Kh5s~fI zs#vst**0>?CcvqZM?8w|{8QmQFHf;a;+98M7<9=6v)?u1n&sT~+LPO@$W&fX4ul@n zz6%jeu4o?%oVr+srAtI+c+TuzYH~;<5@A5jF;OHAZCia~Lk7oqqgm7=9Jnw~u5ID*c^F;Bs@taDekk&S(R*9y*wD_GrlU?@*r` z-uY50#SkpG-Ht_a7^Us>pb4RHZlZYx=NF`Cx%{V<--^T-J%OzO$HO|_N%Hy?Y=rSaFow=0;z}%C?MM=1$riC>oj?Y54 zV+cn-GLpEimep1APJ3dr^qB2M83hvU@`;ptIG!pf&Vo-29)QD(+UxaP6^WQqR(2(`0AE*w275GO<*LE{Dy$xPuA6o@_6=*|mxg>r94o2#c5AU8AjqSyrAyJ==z~(H%H59~@TJe#{8OXc zMIlEQNV!AK3F%)ze$W>eG5AW(dwCgS5`f?nh8XXT)yTo(&jdozO%H{dIZ?NxU=5^m zn%LC-F=$$qquFXY9P?W+8CS**DBn`x;}|%(9tzu7gO$&vA_d9h9DbGTQTV&z(uFPI zqyGSY#Z5npJ{rpj$A=iP7#NS5yPU3)x5RkTslnrlMpk5O91ssRZ%}J_FDH9Q+9(;x zjTq#r_7z(MgSW3r6FHOA`Y%^s?Jt2bNPcD(s7nGmkN*HweCQW}pGx{8;rf4Qd=Hi! zZXyX(1L~yz0EK+;z^TDGVffUoj;v4FVh{nzJW$Kj(_18RD8Xa2a>vq<$cDBDN@J

_<>X zkGg0;Z&ywt8?MIX%6zFiSAKQXTRqqa3%9m67^}Fh((ef#DF|#Q`pn76X>MsL42Yms zOejyMnF>I8?YczG+3KvgyAwPHysqlCPtVVueGIQRiR_0Z9M-U^n~BPlta0OjB1-(D z=c-HS_YPnP(%hUPQrh6>>-)kLF`gX}5dkFEd_X?q`QqqkP!kvC_iBH53wMSQ;zcsS z&)J^qhZlU0SjbHPN?@`(!%W3ZuZ2HN9hfnMU}GNl23MR+kDu66*?%|w!}=U&rWh@F z@q=7_zi=vv?y48=rCZCqYQz-2+9D*yOLX_19G2(>G@Pd=V^iC)eMRE`*4FVzY>soQC%KiaE;kz!^8}m%mU&3GnAXgvxyKz7Q+@-t$FQyVR~U za!phrYG6pN+kbMBrtxyFt!>c5qhI1*t)qSL8ljOAXpJn#7Zk06M7L zi9tbm4+%p<;f2yuX>2=4^i{C9{Qygb++Irou$aor$z=$5)_`sbV}(t1ib3%7&pHN* z+FR4xlIXZf@^;h5!$Pl(PJe5Fa?+oPc=~C1pgAJw%rfOxicnOUaB(pX8-q#7G;v%% z{Ob#zDfb(q`JXG-r8aeL`?|>j5fpVdm5@h)fqLusry_){h8lzH0LH;&fq6VZngrT} zWp-C7^B*)BaG=v=Dtz^AOqq!DE(UKu0dX?22FvIObw?oZ%K?<$fYjx93KM|e~OLDd#9XNPkPmR%K++#mDQpx^_%3bvR~&vok?^W4`f> z@wC^oIy&fBlD0*>KmiMIMdL)%M`j6l#@GqVrl_jg*34K70<@u~rM4eqKzZD^s?`hC zNlWD-RyMJKSzmMrn^nOsm!Il;!gSETe?WxG-^Wa}CvwBzuFYf4c6~5Xu7k~7-~AN7 z)Ark4Z!q5IDBGfBNN)zP=L`Kp>`Q>|dQ+Cxfzy9(zsk!*-uL%!OIusI|2_uu&zrg; z{X!6-HBi|C=ts%X+`X_9{mL4ex=xgljBQWu`mw#fKT8$l)P8-+^Nkgzhk@h&2PI&? z&RAYvQ7#mkn``<>Aa#{ZPCimyJ=9^TVYTQId*XOS3xTh8NHUdKZg7i)xd!t&}4uJ0rgBXtzERPXUP7oU*R+)lSC4F;Z$#go>0VZ6*NFhFAeG;*2`caa85J zISM6N2K(&BSFxG763?PYd}>s%+ji9x=wB#xd-ND^R4I~CVILbHzov;8*+-A@imP5EPZuet z-glCd=ox?y3;P7z?}yq-dfeiMUrVIC$mtP)E-&XwF+yz|Vu^(il_|~k zUjqZ-B;_@;H!bM#jC0cqTNcjuk@8J3sS@l`1AM*3bhQU43pK&a^{2l%Z z>@Q#()@68W#nfx(EpJ8VedLXECF8_gL8v}hK_+PQ6HuqQqA$ZG7WDc+AF^y=#^$4< zpr{}?#wx%aI{p(l57u|ecAuYFlt`Mj$8-BLgRf^T7OEGkI61Cx{_?98vmc@8Y- z*TSvJRMgb^Oy1P)yq>q}8h0ZS0<$szY~lXa78)jIi0_>hLqT|`bned4c+LyS+?>|R ziW`%PoS?OxU8F)Es2%8BCkfvG1uoYf&O7H@+x~eCoPv*c4S`dCYs+UeRA{-liRX3@ z;UrY;Ds^PT_Z}`=AXCG5_>CLjboZCms9YlhEl-PuipdK4_&>d7+QWq0#uGH&vCC$2 z-w5MVODv;ui02T#JwT8;ePz4fneh?HWU|CqQ2BO9Bs>jFD_xw-HE*LMol?gu(g0H=8WG7EO(Mmc?2TvovB*FInM_82hVvu<61^( z*#QxO{PAD6=(-$$^6kt$5(7>lJL>dam|lGW)}-y_>WZaGM9!Muv9NTaqmxTYIOrKz zni}=Vp+A#{WW*A$ZJn%g`8}1PeF+tn8_cx@`srMDDSbFb^9Vn8>KzG%#U^}i5u1a; zJ+oqasqyh1fBno>Q0_*);q$x;w1#al?DgwZ?Div z&`Z~smf8RShjCm3@CCXcKd3wq4^NRfn%E@v5yj0z5BW;{;fv1ohmq4?Nba{sDmkYx zl9DCJ6cki69B91nI2a`7unQ!PGBX_jJV8rqSH1xlh0M$x9x2wghR{eN0+kT`defg? z*aJ@5^8nI)OA|m@yIW5geR6YaUom^#n-_d&0yT46)ZM(tQ>uUd zR65@f|I`~0T7Nh;){B4;qCpcw;-B~WEUC4YfrGirWVwcpj=s9OYDs{rkBE@&xA_4J zbA+AY-wERhyq#wW(&F$WGX;fs$KE-QlkVrA4396I7nX{Op2sw0pb@pWT^yE!zeefu z0B6hCNM2sX{|%qxH!TSX7wk_drs^HfGhjIUaCfQ~*mS#OHPvWmms>AsDC7S20*WD% z+;j!JC~QaWJ)UC~Kq-Ev#-N~5PePvR)-n#IZ_EGjIk-VY<2r1MD+nf7+TIp_8-826 zD=RKd}(kcZ$T;Na5L_*%2Z`%a%TWLylL#0Yv?{;4lilCf?P5N4sFm)R~?)Q$2 zz)Jv?BC|`G`uUtM0J z4|r!R3YySGDqy6rDgG^2Y})tR$_`e1OG=?${}73MDI(rjTnfuz$E`n-isx%djO@Cb>B-r;g*f^FtXh#Vi6FGuYFYoGGk1SUO|7C zWXsDNJs1)roohU?V9v#-#V|8Tgvxd~zapE$ut9;BstH!^B-7>P4cb)=2{4MPXx zwWKP``?kV)hMX8aLmZ$?ymvmR0AB-w(dwXNFpV)jU zCn_n~`>B!Fi4t3ti^dJUq(WRqrf7Vgp_F=2Nl{f~;3slf+Wyi29kt)4zF?1uONn`p^m6@6LItGm*>dTim z9d+Qq7+XZUqp)?LI5Dxt&%dps$|s=BbLX+$qohwWMv>5S|4tTk|7%zi5a{jf-2Sai z70&n12n~Y_E7`j#%R^u63`+^!^BjIvRNLc;e2O^Zq~ZnjQOtINv5bb}xO zh)w*?m>oEf_uu0AJ0tTjMlIb4i^BJpP`n`BR|4unmsOQes13i;v`ilnX67uW-}Z}7 z?iCf@O1Z>Fy6x+OgHp6v)wNDv&dMst2?(gm!?r@&pJIOfIykUq%<%GY6zkQsJ05E0 zzStpb_R0!_$Y!CJf-I^~U{uP^{ymk^<~pnZ+8r2@s=`8;v&HFYR3T_APMyZG<_dQ$M1M%ytA)x#rflzs4`-0=p%rK7vI%Ygd;1dg|qWAne~__ z#=AMf%g;V4rl|O}R{86-@t$TM#_cCBxQx(j4j!IR`Y1v^wU?UQTqD40w_gBqUV4yf zJpp=r4A{Kwz&oL}tu3JK{0B(uJVlaPJ3BX~L_&Y#jpW+tw;(fM`E3QAV^brOMfQ*! z933fTaLQiE<4x#w{Ilo_`6wjx&{`A|1H7VN3g+;{e2h&s{Ps=7s9Rh9n8v`sqP|p) zfIyLf-m<&`8y`Q0#Cf%*W^8*K$-yC62uY4YDuh@7!t@l;`@^;4Dd4X1BW(pkPHN)8GOPB_pSJQ3PjYZ5ch|QI)9hcr z)>Ii18GQSRvs=dzbQAV>F4Np{$fbjt*RVTBLo>y}!eY!^PGf&^okl5-xuc`w7c!Ce z{~g~@WIhT9FBw5^_lm?sibVZr(O7Xo)l4L>n*%g{Wihe9uCA`cL=0TX)4|gTz;#+w zI;;vUj@?10iV%_d8eil1RGPb9U5-YJ37tP}+8Y!o&O19b0tUOd9A0dSF`>ave0-y$ z3H=ED)_0qgnrvZV{_(B0TTf4(pPzEO(a4Su#g`DZheWhrXXfgLNaA)6$pw%@cfwc& zFFQDtJ8k^!EK80X9T^TqL|itebN|qm<;7o)@HPeNMt2$n$(^jUpfEcC=|CtbC}(>| zM@O@vC_eueUJ+p`9i3q5n5y!s4yk#0u82)SVZ!@0&$q5FR@#BvGLgc7-dbD&f|eWp zm}`4`{k7G*fx#`{5Yk}O?JwkW*YW)nzjbkT_6neHSk2C!#5D;rz8_UiZ*ERcPg|(C zWn4s9&(@ig*644ckbgXkk58GlV=8nXOR`P>!W9whJ6Rzkry)Kc3%>yuA?|iNJ2y_t zY)FFM;QDwqL^C&Mb7Ni8V+eBsojo%2OZZ{aV@?;!9VyVe(1s%qOO7&&$fgTNTpZ|F z^@V%~vA|HKhNh_I4}UbL)#zD76TOFm;7)Kbz15elH9BeZ(Oc?{E!EEg6QAqpdAfef_EqPIh+aZ~v~YJ39H!XQ}W7Qg$dm6}5{zn+z#1rKyB)rm+R*2vadJ z;q%lWK5A;wK-=i(xlOTm;PcjT@G2AixjS*eiDZ$K(haone=Lr_UJuB(ts(e+hK+KjRFX*rWLBtvX*Mq8seu8GG)e780G0N)TdY@MVO4D zM=PJMbO!+MJsuXt5!chV0Hx3ncbwXtf?oR4)$jHB?i$OA>Ly*bDLu z$N!C(;k_r9!4>I!zxZ&s2vhn$i3&*3f5qt7QBy?28+F*4%r4on{#GW$!&BqgLO_gz zrK77Y$V8uj5$%YZ73&sw{qS^*Bqmm;IWseZhK2@^DU;%RJ#rJ!o2amv3hG#2Qh)t? zWHOApIRK*8BI5mi8vz@8<1D}-hUNtIxN?3W+0?A??&R|BW*|sgb4SZ&w*zo2_Q!niB7Hy29MS(<6@f8K;*=YqeRaZ-g0e$P=Lf_@DwoP`HX^M)amU3Oiluzt8nLOWvE_{ zzUkr8d3rYO6A`xBqq+^>{{9b8nGMnK^ZB(MuzfIpm2wo$JMYgDFxH{jp-q5Y zMYIID4V^~-5dby)9I3zVPH1#|0(K$0as9LXcwM#hn`zgTra)rdIp)uE9t<3H41O|Y zDvNF-yh5(u{aIY!SLU>-9JEBzFph&Vj7dyA<2boue_r@s`4mz`cjxcDXKSMp!V>us zleo7xyW9);FpVcShjV0gai`Hvipr(Gc3r-FQE2yK6X0Id$Q1z7rh|RPv9tUg98SO2 zdxfXs{2eAx!Nxy|OM~B;v%dO*v~{?-^Wd`vRIY&cr;K6I>d{7?{cjr6!z6_{-(ZqY zYT?WAB%47Bs12QM5S&-kWtIQd{U>QnE_Nlo~gIUz9uku1pk_z*c$?_VvC&sCe-+pd5YiHIm0nA*SB^G=P8A&LP&&rf=uKUa647-N_y@DW0hUCJ>r z#8KD4r^WT`&UH=24_?X50Jp~P;lc!f;yEe4mGJEck^*LfC@%;E0*t6o*>!&7^?N8H+&1X z7HM*wPy73}PjQ^6P#b^z0C-XT#-3qH=q}%9*NGc%YO5Gg>bI zL5~?Jr1okM?gifOxInoV9A2jf=XO86oeKCWpj+Ad@>tN$|Fh}#C@d_RQQUGer;|$H zkCUS#mpZ{$j6~$HGyvOj5d*aHKY#wr!ah0z#b<9OjtW=y6NjbDY?%LN-}d#?A6)#B z%k5Qi(J~sP4p0XAS2Ag`@84I?+&$Vx!X%vhk4`^KP{upU-LD0rNPR^nslk6>;;YTe zGX{G9awu>@t=~_VV|ahJ^EVQwD_~<{YK$)n)%!OB9P1HI>mI;3@*eu+8VHo3cOKx# zonb@Jf8*gb#t(c9$ND>KvyjmMd=9#aDA*6$ojV0=yuZIY$Alsi zZSy6xdEeURGT=Nlw|<-$9~;B3)#E`?o@!b5yEj>F{2a$jiKtlc+CD>hd1BAKWc|BW z^V@R3W3@h=?i+9;#8Va>8yh?DTvH+giaO<;CB>t!;VQXi1s7|TrbcIr~smw&VkK{9b zL|o*BlFbpMoBd2FN*FYnA3s*y>7}bFXAQx(fR9U3gM)r_)oqc@dGe6fKNA( z!DTy;BZQ74UAo=QZ@%wW4N`sjo~)@8@wma7{4D$*NoN@q<@dE=Q7P#T5tNqh96-8D zQYq=~a-=&2X@-<;5b2h#p}SFJK)O5r=l8yRbS>9{f#*5p=$H25ruU=g$)XNjuLvrm7YZ;c_-<*s_30YqQc^1rgb@ zpZ|7xvkR(lP?h$$;a|Iz2XPh}iOF>?$Q2ag->~43npKI)GHhQXMzu~H8-&x+ObS)b zcc->;c>wBM%iQ>Ph%EjQ8Fym4wst8t&Y0Uo>zue%6+KB!6b3b;T)myT?QCOO8p9%H z3@N8c%POgxqm7}DkBBNDhXI+BH7cMfHc2mizY^Mu?h8gI_>rNba5S0`mqvbkrYX4f zUVUr2HG!c(I%npyry%Dio7KjvE5FvGK=Rl)W6+*g#_kVtcJ8qOZowMMF$b&f&DWE^ zH+FZAFP6S;^sj-N91E8LEi3m_eEfUQn=>%TAQSUE&qc%B0*D z_smt{QHVF+fKS-x_QL(3wvz3#?UGVi30&jWyJJOnCcAnmg832HxPGCq^quK{*?J8Y zW)_r!zRPna&>ow6@Xk+}Dq8VSjHuN8eUUGH8WVn}BIe(Vu+}*20k9A5F{cAC5(5?U z7S#e9uv|sxnVROwdRb1t&@Ka@?Vryat^1BQN>SCj)i1XM*1eG9>3V0wzOtI1W(XzM*HNT2C&|OA_d$WUt&KU7Gb4Rpg*Dz&mQ;EW z6@V%xeYWb+ek{vI>*3+gR)2S0ReKtb2aCa+$z=!yjCXee%mV$605PW(xDt!2TVT6BX<{~t-L{%&^$0sY| zT2)jKM~j0g@q~6H+8~=MB^UZ>flE`NR@gBlyV=vo_wjygb2GD~q_c_5uvLJGDY8S6 zn~TETeUO^8&w+m>pJ(isZI!&l(?`|s$fS5NihnykUjH#xnb1F5cKx$iaMKz!2YKfL zCZtMPENQNbs0p!TG@P6^n3OS5t{OL+&l4ru?3O&p3U?N@0Aq!tWp$M<+n3z5&X9M6 zAgN#myyr1(&zINMvQd!pGSkx)#ViN1B0$MB!q0tp^7NdES)=6FORSOZzkh}uW@m@A zPH)cQo}vETt=b&GGxt*(30lm}{d=>kr+jy^SVxc$-|V^nvDHMHQ6DAtm&WrVaE(+@ zz^|nMDc_ecPICR8wSE&QbTk88sr)oGdGIN_gD$s?|E<0n5%xZM?F%T|zY-bio@jS{ znGcLrRsXO+H91N7lIt(l5SPG}l(e+e<3nmRI2Q-=8?ESW5ifmDHmXf$VcZ>M<$L6W z)7-RwS+FQDYL@=V8Yh4ji20=004s(hy4<;4JLt`m)3a8o1 zu<_u+?&dh#8pGW&$7z%IkR+rGfyd*)Z#E!^N+5C-MIk*wqs%JkQ|?=kfv@Nf@t_?yR&nlThTx%y04)slMV3L_Nj8{~zK@yXD0$n;5}lCn zdpV!uVKl2ApYPrAztGUvVjcNj2V`ECE0n>*RuA)BUp^_h`0D2(BDl^?P=d)}qHXd^ zF&&Y6vNO%@-GDH~py$S0vWY{H`cWUQ!yj3WhSNbcVwxTtV{o|UII2L?*tD5e@<1=t zLa$6c8nd{VT?9q>1W_R3TWTSZaqu08m=ce#PNb!fsL5%S% zu)9mU?ds1+cv;hcLCfEk!|9(Nl(t4uV<}(7Wr_M8t;<(eKky-ZNnw_dG+!2wHjL&p zv{V|^le;oM$ec0o9IP2Db%d?SX+DtqJlW8xh{q9gdl`!YVkhs7h*jys545o`t~OI_ zm^7E4<9*(FD{XAi#xbc&y^QVk~TExo_EHX>g?lCZZ8L zI5J2!YV(H9#KbIafPp$F{KGK2gp?J^v&LLH1qGK3p&7gpZt=zSbgcD7uk-iNkeMUf zT}fZ@A0+eLyir2Y#@z}Q@NhE76_f4iBgOzza2R*r`fJMkG^9u-Y6+3pnB+u4&V+x> zyMM2@l9UURom~0!PF>4!LzKV1fnU)8TjYh$t*iYdo^=wMcmxqt^>AA{j_xCxBR;If?O(C=6h!|+IhJp4T7T2o?%XKH z$yQlaBIM}J2a_-Q8F?z;KCB3u4bra)Y9`hBam9GgQTrqINlAV* zYJTlwZ4CK7B~?bvWI}^Qz7fb7X|0@#^=Eow_M3A=Z;q*5YLC!Y(23KPch}!O%s5^3 ziM>4N4jYfy6pL>6Tx;6O@*2JL>3D3A7mqsP&XBB)JuCc+(K%*hSN_FQQKxDs;2D#4 zue@xd-1{G_dw}Kj;%{NsxaQ{8@cAxN=}73QCBkfz;<=(pC{+}6I=M5b!PrT9b$eMb zCipzY6+YtpoxVkyuhuHgPB)!Tci7wqOCvkgVh?}{0zlsT zu%tPwX(r734&gkM=)Q9v^Qtl`_{Dil%|@y}MRmX{&Ok$H=^@7tx6$DgrGgezXg(LP z4QiZCo~cQ*TaOP-lgOGp7ST88xk~AU4}gz>M%!HAxf<6UW)Kt+9F0jdDUiBnH+Npn z0^R2&dQ?nlrWbGI|Dqh2@Qa@Q>pL!r5W_25NG_e)^Y|v@Jv<;?IGI$$b`WnobGts0 zOfpZNpKMrtU%TOcwL2yIhTwPckyd+RN)diCx}PROP}EdyfG}oWQU&og?rC4Fwq=%8 zY@?ECRpx+9Tn@sf+k&!dYb5neZ!a)VZOir z86xo)w%twg(K-DNf6K?Visi?Y?<~bvp1O4KncD_PB#`XGw<$ zn@Od^7h6`z?@9hY6p#I%unZzD*D&lI8JhAZs%}MjEyQe^j^t!-Glfd3_9&A^2R;yj zeZzZ{yRp{Xp3*==oo1|@rU-r z;u%KrIj_G95y6@GI`PQ4bh23)RqON1x(6-YTV76W0u9cB0O_`!Yf`uYr3t=7n-06Q zr$m~U@^?z%!bQzQl_}5pVBQSq>gsgkWDLw3WmZ zEuC2>afMU;uV#tE6?LtrTR4r1d`W4s)eks#ZhdN=pQd0c2`&e^DZg*4i^MrY2QX7= z@_?NM+d8|CrY0sHhpQ{AJCQrm!2($0eP2)h74dcap>rPEYveS_)noI(%Fu7M;-)0P zN;3M~c}#@J6e*)bqA4qdplYZI$dL-AyXQa`zVt4ku!E^Ad6Hm>S@tk`b3^G^OJhJI^_?pkDNX_=CgNntcs)?{?iyGS4iW1YcB|$bPhRMe`T!u0XA|~ZAuhmOzB8Yb@++W zo-X=K`xL=M*4;HdI*wyZT=1K#Dp$f>$gs8fAVQP5oWt?%s`TWfSkRT6>vWq!F8HwB z>n}P5oB$^E&g2R)TG2lvk^uz)fxPB>J(7@vl@@;M$^4;oREHO6XpHRak6HLt%_;4m zT?UfKu5A@_9I+44X(namEQ4d7*RwINwAxa+``h2Cy{fl&J%@gaH<2<%wx|z`8}Cx1 zKvbvZQh%uF>-$Un(`SY$={HXz+NE;o-@oBi?~2mYG@dw>Y40!x4Zd4?AJXim9x+1m zDPO%Jb*qeVyvqBI^U;U|o%qddRBMrtOV1{L1~r7wZeFd@3-9SC5Dx@ho@@xz1-#;d zP;tU5!8jt@YmWZW4Ir8a1_potLUL0!J%|2!uvIcl|DsDWRqdvfLfOzLOm|BT4lU($M*Wf@YJ zk#`LT04Mz!xiatil^6nM$aDdQMZCSmviR_8*odY*I?F+lpv zQ6UW4y#;xBXEcj_?{9!Hu-oB?60nIoD^j#cLCr+GnZT)fuqEXE#*yH!~bV59>BYGt@Jx9)1Ufk_b z#70_Ht7d-D085;z6$|l|h@m4`O140|EeBv(or%5}Id zj;vB4LY+$f0{YW1RsmQ~N;z?w37DEIT1)c|4pVjT3=#5ys9{^9kaF6Xi$Bt?o;jnk z7gn`$t=omJXI4gV8UeqeGClrG_BW4*aLKc}e5a8Y=p~Cka>h!vSiui9?GLl8wN6mL zvJ^ZwvfQp(hJ{T|s`U1!Xlc^Y(>_N*SzL9$JTTCeT=)l?6@W?Wqx^u2uwQK@`*LjD z8HhamBiI*XBRetC?ds_F`ucjFY^+BPdkKk_<*ID+cd_w~TB7SHIh{3l5L>r2%LWo) z?!jbFrU`_Kbi?8BP;zCF<#L3 zuU1z1Ei$#F93Q29Kg7_fkPTO6`ARnKU26yDxr_sR1L>JG+wO4whW4z6B4UPC82E48 z&>U;MuTPve`Z^)ILfR3CSZv3?194ClS2aBm2LO&TA>!jY9sA{YVMoR@kPOv1gC+^| zv*XEE#X%`%1-8~bAbgC)QI3fF?~-TVUGMbc;N-Bdu$MWeM0JR1DQa)-!z>w{09SIW z%%zek)z<$QUM>d|&tkUu?_(*Ily3->&PN~;W=YN_ zcr=iMX3!+HvSP#*W?=y?*w>4tUnzG>VbJUA>%l=nR~u_%Z*T94SrNa7JJ7_aw_hSA zAqgXRcb>Zgf4Lb-Hldk{NQVjxW!n(!TuJ|x`utgM4W3@O-&7!-AMc3pVaMO>iQdj& z2a6b&Bdu<6Yt70rl4IZp8JFw4Dq8wX-Y&NWA0~$F^Ief>RxWZM8r}3&FH+p4-2V)y zD1~fXN#+#jvk>AwN9RFHtP)2JO#elwL|U8kO3mE-&+`Q*tLH3ICel*DLSZP3X5M}w z;*vC}#M;k#%od&A@YY$)7x!F}sBX zOEG&pJie2fT5TCtyeWDBXP)?bHOf!+aK8~Jo7TiV_Hi_-Qog8>yGrSA3N?dI z0@5&EY*C%?sqTJkajJQ2TRf_y57$Z1a0-ys&5D(+HK zr92Ss$~J00$ptDZtn+Aow36d6cJ=DNVAIfLV9Q!;?* ziKt%bz!yZM-{HPlskOch{Y0stm@twK6wFsPo*~c}YEm@x?Dm*&fhcA7J<_|V%df=j z$#-|-MG4aP(v&}<=Wl|6-;v#@-5c=z5NPmSfIzjjE^$U*yfD^wCuBMWlNR1DXWQf1 zq$61(w?R0fR%!^MC?(?Slu81>u$t4(OsKy7^!}n}Gj*t@>WSt7+;r>6@q$HyR(kBR zkz1ALrNzIC;x^y-YRcF~?${z&VTZ(;poGp^^#NS+_WZ75-1N`YGhWOL^z#()0h}Q7!<4(6SpM$t@%W|#=iyg= zTJ9;wV5*VP;(e0dM$)pPQG@*($nYUd?Ok#$HV-vRCLg{RGb# zM-?zM8T-s>J-`X&9bai1&gqrewKTOh(LKCP$}SBILLqm3;R(pMSTo# zSbeQgBt)R+%bm)wNZvl_tuQW@6OXkU46Ux-;e&=~U4wt0Q~9LOn&7-Y3OQWbwn^*N zntk{N^8^V`5LSo<^9zs#KG%EmO~P#T;XcwP)Y#hZ@jVIu>if}8tC_;_saB?0In=LS za1L3>InF+7fxR&^-ml$DcBHHj%@V-!LT zlI!>LJ=HFaqCr@S?l4PW+c|Z=Q^r`Iu&OwNy2!}%Ex-{(bqu%s4CC4&IHxrua5zs3HG{@tuT^kkvPSRv1n!?2tYh2hk}f z>7Ke(M8$Oqyv|8LKutoz4~LYr6yw4?uYO=smha80PZgEbtgjbmQ){}LnPz1Na*nCK z;TTixOtsYEKwJ-+QPTP!pqw_l#VMv_kl^$|#8#vcIT$2nXI5_+k6u!U>bKcN5m%P+ zGM>)U-A#qpQn8-mcJ@u+5*ZJDh32+8J?J^MnH$ECantMEk_MeB=bgSqI~^Jx2G(%k ztx^%~2MVR4A}>hR<5j7Fth9^_F%c1~ej{#K?xlX~=i{=fc5-9V&da$RNnpHQAQGdf zvxC{KlnOCP2Eb4wJonU6IiD+E?!^hZ{@weq)tl{Scz@Q7Q#M9;uC#$~BNS;D00r@t z2B$Ql7Z{ma3C(3X%$^*YAKrfWFe^Hys{6(gy;8{IEM~a_ZmSzI&^nwlGpnT~xSad% zck0bW0Y_q`L0gbwN-1JYJqG>^$6|bu7*z*@c$y1`vh}(UlXSgRV0-hsq~&VMp@N|y z!28T6v#0FXSWn4lMM4C#t(}l!^Rj%j+vbv(nM!Xjb!aZ5p}DcqFZ@k{dR z3a0T`lr5bN?Jvze zeP7sZZ8SB6?b9+K|2W9PkiU&DLK;Jj4NC{Y>I)8tP65CN2w1%ka59raCG7(B zG^fryK7oesix#1<%Cle3mLRf5u**nGFQ6Ps;+@XE!=h(C<)DkL9H^*(Ggq|+j+N_Ho1oU0 z^MWv0!?BPbvSn7WcSllOTs3$O!4O^M4IwZU@lueA@tF@LjvKwzROdTU_*CvNp-fU| zod7vcR3Mc+K8}pJ5{B24;R?bMXd)smq~#PjpgV~^-jlR5pT$MX9G2B8C|PRH<3`el zK_3FneIXt5N+YO9^%lz{g%n9!-780($WHZ(44s;*ko{UIQT9e^s}a>C2d7Z zgpm3aw*ent)7Xp%Rm7(mldAIbr(-p`G)yLrbTG4%j+zcl_Zp3z?8cMRrmng(i9LM<2V~}W8^c{Bb zEv27CwS6g}cU=Fq=zOItM?l0^Q1F)csRh$;y?t8{VP2lhf+e{P8bZC<=VD9l5n%3pmfW}`|5ufI`XB2(4A|t`r;Dmpx5J}K2!;XUfcNGz; z5zR&FB0o7Hw0nWk7G%FPtCZ2`IRE31?cBjPn8lX_3DA$71h;lUzg5Rf=Z(bPgiqQ5 zRC%3&akF|NUy843TkS=~2e0i{KJJp|R_sJD(DG`{Oq5$hR^+wQ>>rog&e`;HrjZx| zR9}AcB6|!>U%N1iO11XI-=n`AOJ_!ux9N^)W|lZNf1*}n(nCXxMLxx@b%k3q{$s^# z;)vbSdwpVy=cPV=1fX$Vo*>szV=)4}bm-R~>+Pvgy?({c$_V6z~l*u#H`DXmRS_!T)JJDZlaI4e3KWovJ+ zt|uc-iJRNNP*(1VN*DTLKB|&c@lzsM)pkZ^S11ii3_h0Z%(`n*p|VEm^_{EMBw1dW z1_M#<6BcI07%ESmpSX8wbCo|`3MhpBa*+jw;bc{Ld2$wFR6b!gN3RS0+wBt0&mj-( zXWDD{)nL%FMnZ^bLB3jR@%0Pu5}K4F{^{uKtPIwxkNUce6IoR1M8!{WA0B#%hYdPA zBO)T^=}8F)2sDf|YHTkLFfOuQ8o*M3Uc+Izalv(~{i80U*QJn*V+<5TcNFC0ip$II zOzrmWA0E#2>b(th!hS1XoEvC-yvd7I9sB;;dx_-BZ!4U=A3r$KN5B#_XKY;6&$Zv( zl&Ssd_=6eP3+tnV1P{luS}Im3F+QdNMXJN`bZl{Z(lUsGny?Gh$WqVNGt_sWw`*6Z z=f#}rX<1UL5EuGWrfd}j^9MbhvSD@ZRTO(=VzLk`)9xhG%O6{z%!`NZOOn-S)@%mv z8H@>aUU^40awzTn`29B((|5M`_E0CNW#;+fI!~6+=4ANV1ocb>zPTA<%w@T+b39HV zHG=XCb9dKERl0W?EN-^6#Z3k`f0ID^q_K6pL&mLHI37~8+B|b?_lZ(6CDM)Px?;({ zAqxtkj;ntM6T)z)+Cl5--Tu{HS9}8UkAcOGbUu4>pbcbu@OKuh&?-v}GxQp%mKGK5 z4G4IPij!6MaaT}W)Zqc8f9joV5l3JKxq4XogmkUj_G|637FN^e*yP5+=i3Ewr77E8 zNB4I%ihdHBQPke4Y&I-Mp>99^aRaQC2yS!hM*^>5vv7}k=_(dpPJ$zp`c8jvhle?~ zJAV)jjmDY7-8&}m`gB|8NHtTxpLVgIZhG$qAh!Co_;wz{W~&L?Z+eSAltdiRE9Pt4prikS7OHD8qZMy)lo^iwGo zdJU3i0CluNPDLeV2?AGD?KXm^$v_MN=N?N{Dx9&ML%F)1>F+hytBCqREGlY5DL7eg z+E4lB&F|m8!!R%Y++Cl7d`$>cT3Y&5_zQl#V%q1T&hp<%?*~*0|xfv2eu((=kMe80-x{e*@>@gC%TEE@^A@{Kbpr7Rj(;+c`TT zq8RN;3Kdv7{Hx7C61MZ31-IB^1&DLoS0n3c^V7x#4=XbMZw`wQUkJOo8YiMArYgF) zBS#&$i)uK>eSBcsn{8e-rKOGYj~o}YhZKUjFEEs^uerX|QrD`xZYkK5ZU6yyRr5K# z+jFBmh2_J^vg_Etub}OL>+o=QeZveYOQ079^oQR|Ly#cR(czawM7)e#T;IJezr^;B zB=J$!5|g$Te19h{LE=stnv#V@Hv6V;+QhUUd$XHI9bHNV!#aSn zUGH&QdPxBujUc@#ru7#a^;*YlO>H6a-&4ivOsW3{71<5lY9(c{GqXuEli4f`4J#vc zlwT(+78$TfKJJ5WMYyKv`}cPSM5g&|56wXCaGNS9Xrq^=g^>zeI!z!mtj2e*Vb(ed z!Ml_DVr4ZnX9Uy?^wt&oVwH0t;_KReCRro*AGBy38X_;smJjY6eC&_o^@y5ZiX z+b~^c)0eBZ+_^`^4t|arBpD0e_f)LdH~ETht1C61iv@4$`?DsK^>^m_ z2Rz0nt3EnpuUNHEo-^{9KBRJ6@8rcMp3a`uGmH?(+kU1`QnLN*+dbOlGB+wNE?%Zn zMJdg}|DGEz3_ba~0T#r7pd6U&#e+~_BZ%8tm#t9^(12mDxn>_6^b>==H_%beuZfR0 zax-j@Ru%IBySb=#^}3O?ZJZE&?ecFRBgGw%ltdRRf_QKE`bxYnU?HuQyUL$SQL6f2 zmizGjaxI&~IPfJ1=`R0wH@dr0WEWfplR#vx*KlDF&Bu_v`2O;fA-G8C>n{VT7Nfl0 zC1?$pADi@Zvlp05`9#Pf0jYGqt6jO7Wf^Lu#G?CCR(-h-Ig|q8qv~_0RC3~vCv$Ti-g8FnrD@Ubyru*<~1Qa9EU_KRL>PuflW~RUUwrLuS_}%|AQ@;$SeyG zTN4Ge+TNV~+nucdn~zitl5}TTA`!Js7nk_8QtXBHAmtDJQ7^8{3GklN_PtZO6*0*% zuV&0?X$1rz-$F%j@d@$_c5ZHNfJo@-bI}Rb4!;B2NIHix;6P$4^6xqYZ<{Y&55r3? zY-~fye9np+IJu-AdS5niQu`5+nOv1FtF*Jf#RY4H7?>1fB>c{Qde{t_KT{NuA^y`V zzkMtJ$p8BFYaX7&Y$++Jqjiwf%|%`#?u58nS$UiMX`Xz)P&YiGz^KbIgmwS1mz8ee z1Iw1w7d4J;c#w!=`@iiV)C?YZK1YwoeK-tQm=vQt5#m!T)WgzhN48Tw z$JIMP)y4+7W>9K^wT8kFDM8y=mdPGaY1KQfRgzWpg4)`k*=_!ZvDZ0;+pA_{#6HlU zX5>C zLk7l?Q*!N3{3H*3*XORW*0IV^HgHy4(Of=cBDmfzF8F!BYqscn&FMx(Gc#KB6_e^^ zUqlW-T;gV{p6}l_a9b)BsjgXCuG-E^_4g7Nd^%rz8u(HvcE)CZswj5E!qt*8%Y9F` z$z!B@(Vybr8*Z*0{;kj9T&$--<7ZC6wJXQ=wR0 z7dy4wkx|jtd79=3J6`oLM`p&x2W!|!7t)HWDuF?oF(rCbt%M9nYd4jz3AIQ_Nbo#3 z*G~H#B>$}+9)x7f6=e%`tfR!6H+w$zT6jdc{fjrVa7jv1#CPV3O_FGdqsd&sCoo^) zOIb5P2Du>;Lno?)BRVK_GFwXYr&td*RXYpsc}^H5m#>#AOh-$L7ZfXCIwE$p9t2Jn zGo*DL9Ua*LAFzZaWdKHCemDP$_V)Gw>Kkc5JLQASiV7krabPG3FuS~N<7n`S5jl?s zD?8rDPBK{Jv>y#_{SflZsj|N~0)BE^h9aZ*zSr#WAX()&ZMRvf@95WL;{xsM&EXXA zc2twXFRo5BnVB)+!n5Xhq;TvE_%1^e`OU<*9?D3p;6ZYoAYO(9nk z)phg|FFM}z`1$_HW@PyJ`40QcKservhS_;c|AqOp1ItdoAMpczeDps}T4&$G!40!6a*eLd^M((BwT$ zEa^tjwY*0?k3fl0P`P$QtY;xpCRF^s+eA$n;(TePisx}Vm9OKkwkvgQhUqiIV*^=PP7=S%u`;3u;t-QL z6-OUD!ZZ6bhodotx)1nnV>vzBy#y{-UE5FZAJ(W`@p>*@B*3EFaTwBCR>&w5p6SLSTAl?F*B8pVL1C@_s z5b`IdulF>iq@Jg7=yxc)ZG44Yc1_jXEI0YNyP;7&hkw_pX=sq`eoY+pdnv};yeSpd zmMVH5ui1?Ji6&l-wz$ouNuL%USR|U7n?EvVg$#gQ4G09HprF75?+!K5(-M*+jQts% zAeSgniv?4}tRbX;L)hLwg;C(2%ul++w+P3q9&hwx=~H)W>F9(xDygXS#Z3#NIsSB{ zqN6K$=122U@=Wu%E1hUp?D@ozQ}QSM-oET#WUn7@g1EEx#}3m2FjOYXLng8ak_la7-U(pSdckntnt*MD$qj(J_CX8X7zes9L%XH3I` z)+5PZCLR02Q2miiGcb(}&1g5bm>n^RPl1aVD=$=k=i4A;X^9ziv{j(`swUg7kjhtW zH2g~-=M7!LAh?&r!C>+T1dYAD(fX~{E!|tD7Z@*YWW~kLO113m?ZI;AYr3BXsHc>o z`M=)TSgk+&NV4LZpqZh`h&aHlt~nkRKD*vx9A|kE3qTj}(b1Vf^uY+jsb6$l`t$u@ ze=SEI*voxp1PE7+{5IKs^Gf_MEfp^2rjye6di$~P6XoB-LnCc%6u->uY#am;2}$1H znc3M~()-XlZFJW_D5D4X#Ok#RV*cg#LeOLhw;~FBj*1K|!vI z>NQkM$$btU?w=rHS?+KW68Ny0Qhp~Ww3GheEY(xv*fBE*sWZ$HG$kYElkvje;KE7D z1z!yfb3;Q4$A2su5$}hWmotXx+?^)#<&O+eM$0AX%_%1j;bf5!Z&sVsn0OLnDCyYQ zE7nKS6EomKf&o7k=LPJtVKAE*@v0QV*oI7WB@FokMn57;LPq#Xp*vnSI|PitcdVC4MpGzk0_@YepHY zA>`MdY(&W&`s&30iAG1XyxTdG{i;%3-A8e|h=lc{8@BZCI&&dJQu1WXDaWOb`PDKQiiCpN{l^9o4h;!>l|FGpLeIxJ4l&a9}H>L-48pDkEo ze)j&Y2P~-CPO_4nt!`JSxf=%u zwov%S7A=RX1`?%YY7t%r5=)4FjH<{xO{uf6XQ<2A6scU!CU4(M7gScV5$b+>Pjx!Z z+KX3E>f7ZtMD%DifV;WG^iiJMc9+BjYH7LE?7kb*iS$wrY>{<#c8+C>QSsT4W=O3e zeMUd4uq>Etf>7u3IBNdF`KXjQ`~j6_NDBV{!Rsz61zLPu>|_d(@b6n*L%U_`J#B4m z>hTZUK9of-`M2*c0W6q+Oc0;y(@qL!`#7V12ODNCmV{1&6<*8Q6!&VkX=REINO5Xv zh!MMme}-TC&((Gn8Vhm)m1M@B{%B}GI;oLVC18cHiFkmsFh5u=&HmZKCUnnNEH z6Hy@iw6s0HVD1Bi@a_x-)t%yvR59v6HUIUtD~aT%0V(Vl{dpKt?BOxr*xxxXa0&St zG7C6UYia*{h{m*_{8Oe~ts=)77k45E2|F z*5upP+Oib*IQ~{+zM-)RUABYoG%X#*{#=8|oPpue+T zteyD!^^+m}1iil~-vviId0+$%)`~=;c;fy6Wknb?8}}X-&MNB%Z~1)u2SoliHa7P6 z_ZQwX(5bte$TZzCpFyP@l9K^g@!_EWVwxWW6_^MJm>&O588jVMP|;sc(57rw@dVRV zdVo3~l_f4J3fA$MaB)E1I*+(u#b1nIVQnIML=lg@hB?h z`(Xkh)cwiT7VS5;@pC~Q6Q)EfUUs!h1qC@BsuDeVlm9v~>Op{l0zUqLW`{I40~33FpEf6+!mm*TT~8b^nL~MXKD)9O zB&(b<~bC3GDMrWP)_>5Pts1_G*$_<2XQjEsSM4r427qYj1jE}>^BYXRJ+~0~L z`pyA~*Zkn1vN@F`!uEXQ#?;Wx&hF#K#k~a(=&$J)622TVgRZXbrl;jgC2gOEdxw7v z#a>}2?(gZ*WqQ3bG4cEG5T~KYm@*ivx4!+O!0Q@|YD{sqPKJeroIzJl&ulTj2lPf< z(=t%!(Bc>5=Y#m$sn!O_$@TR3BD!+??{{`g>VI_xSd30DOAu`Sk$7K{Q)SMAK-vIS z;&loIJ-`Oz+u&bMDFXFQUI7Lc7RY)$$CG_J9mLiK4^s2*>i&1I(&@*XfR@F{ogjLH zrb5i-vUIVp#gx}ZJ`*j5FN@3@&fOX+*V#(xA34xErc5Y@N}Zld{o)1wGS{n9TKqvU zu#I!a#>NIa=mX%K)ixtnh=`3HvsGeD#DmSv%l-QYTu)BR<2jhmw&(5aYX6|({CxeO z@S2649tr1p*L7IXHUG6a6_IOu|M8evjfgGLOFm(D+5?0!0XdE=YXwV15F{&)u9_kp zk|E;|$rH~O;#A(tCb)-qvp4Hfka4jS99p9@T0#?6zSEdGB!`o?eCg>s1$U%OD=Zmt zzr0uFUR!H8^!8f|8{54f9i6q2f(tqM>l^U0+H*OsiQXVyRuCu3sS&KAY5c)RLTeb5 zcx1tidex}Wdek|DgC zigL3#0s$WNSC*98KxBWgSO@HiiuUulC;_gzYJ|d?R3r~lYoXDcIA4zZq3>DxTi6o2 z3|i!9yZU#LkspwdR_yKYi6Vb%X=#M3ImS4zd`ej#*LYv7$3NBY%j*hcBGLD{722s` zB8J3dWc9|+JhC6R$36j%4or&rw}&g}nN?R;3pj#BzN1kX4CdkC0Xiy1fF<_*Jkm5? zXtZ^Cxy0Ak?SiF3x6>s(#y@-8>f~h7CKz3kSc-aD{qkV%VJutKCa6l=otHYwVgJeg z8F4EXBEUvS`bBq^sIu)G*OSva7rOnGGGPG*GvQ_WM8`U{Ox4WX!G!BJ0hP~}J4t7M zdcTK;l1VuYg;1Q*E1NJER{p!;3WAPhRaf*#yq%G9qpGF(sK_%j>!Bs_G$=q~qF61M zQt(fFX6E#C4|Y?M)))ieSR-)Iq`iC*~wlGYx_aMLtVtFc-hJXxZh$ZMKa#G+-c4m|D<8d@I!^)=YSxs?Hxh&L8(BGom3sX#ie8DYC@6dpO4Sph_bbcw^0eU8S?Bi< zIyK|^0MLoxUIs2DGAMU_zn(AwQ9JT~SX2OAU^+tZSFf21-bwh6Wa6Zq@)XzW8BJk6J!O2e3$E$ zTwt>3!T8%**){7pQ?E* zBl9h7Fi=f2cNbf2jd2U-QlW~IL2@!{pKIcl)@s!b!17S~xC+I~d9S{W`s0@2JM z<1Vh%JOyZ-5LRJf6bWCt-qEL@v%O6|IsL^X?f1E@B`0CGkVAyw=boInNScXk?RdVo)^I$SN$_%4B@9t{(f9! zyODVz%ChjlC*0>&i|{Eh!DeF7^x^voML9crdu!zIG(+u1HOuanN>PCnh0QR(#dB~t zshTQ&V$utBs0Mv|Zui;_5NsjMoM`@ewpHzb(MaD%xuO{J!hnVN7Ud z$`a6XC}6!Rozc}-0ymjz)8-BDBP3SccP*Y8wsp_gudSO^ zS;g+&8Ts^z{z5Ovw_5BRo+`4Qq~%*`g(wSa(=aRcKJhX3ano)5zc=j~UUIMf`1cAd zEI&tUW4No+w}-PSufkt&OhiZsxHv8Me=+ZB31X#d7XKSm*ctSeQ@t1R_f7eGEu!5Q zhybe3wv58*PoHY$)%CTi=WQdDXDn-AvU}~-1-5pYKGNfYPX0}(1kazE-QO^qWFY@4 zP>Vi0zJ57SRB?BA2ROKTdP{(ywv%!II+h@tg67PJi zv(xxbPsF=dbAa`+4+amuc7&`uS)`T%Pcxu9Ul47QH@2Kr^%u+KAGp~JZW!=9Wwqex zq9Xgtf~TR+`*2IAad}xVqSB9#^K$dLySlD0cl8nC?1tYVLbVH6bCfZ4l0|b6MCZS# z-V_&C^`yGgxehT_J(-D#kD0%nrlvjwX!N~e&6)L&p^+iIW#zyj+CMg((Q%W>>0g$2a$;1FrgcN`pYSBqpu@xxq<%M%j3Y)TT<_H8{#a? zlJasTfzM9f1}lBZ#C@%R*w}GzG3nqQ4OK&*5Tw3}dAscCK1x$Cmdd-!%8k?IO-)nx zah=jhCHjSq>1r#KadC&(%j*_A^J7^e>9;Qy?Ux(zmjARbE-ZwEhW@b;77}t{1<(;N z_SlFeBOs_TA0|FH{SW+cc+-)dm`GTXu@8==<&_nrm?(jdGFnDPVxN9J$(Q+tlfbjO z@MHDGDF{3L^2Wf3@=#9FaCNN#G`_md+l7T249>&bL+2+|BqX=^ol=fk^;%6WIEjN# zgMy|OgNWN{@zG-*9CEc}gwUVMZjBka{Q)uOD@wv$V(0%xNU!5_NBsuOxNvY{FSx39 z%}`F;?~{|+`;Gi1qM%cSoMn|8B?a#zznTxq%lh2n&)S?K>Me`AA;FNKy293sz`*q~ zUe;nnc+x4Nq#Zol1p!_fqNf~Xx0i!Mr89#^FjS3`ZM@;A&>okpqOCNrWCA{4NSs_+ zie?J|4je7MbSv}HuJhy_RP00 zhD}!psw+#o#GBF2NYkoc4`fPm6YdatY;rIJp9v1-1SP~v(Av56k93mqU(QlcO`s3% zKg=0^*q?p)e&{ph7d`7c*RpzEdFhkAb$M5$oDNo>L>L$t+=@#|yhRaH0*VNoFS0`gHvUIV8%ln`KfmE5sd3)fkX12I`%+u5G}{ygLh zXJE4j1uBS-X*~G614@wu3wSEhlZ~&LA97bMS3#ry{_d{1s}*2<0A2q59-`B-KTBQ+?4(Sfbp+QnwMUd_m$&r@sl~lJOAhRyn9~y zL57*R=bpRI-fMkV<9liEfEDpHKoKiX{4|lk_HLqZBt`J7Dz@qqFcY071JL*6XtWIP zX9>#5;j^~ub;_d^+C6Yg{q2$frHMfoJrYU9p(Ek7P}S8zI*tlNl0 zEaP{ySw=RsItdfgKz?$N-A=gdW^r{jZr|=&zM>Hgt$Y~ySQv$=9#jzOrmCBsW{0pa zoDTc(2P!pD$b&Vt*zz{+lKL$8Gd&)9lIf=Z*29fC3C}ybt5kk_{F*|ri<}f?u)qKK(xeIlwzWU%`9jKW_?3G0~rab6g2y5)8HXTA?8JfgOinWH?9Wn z>EUvVEBXnoHE%XE<1Uc>*wdp#XohAUpw#sJZGgX%K_I26p7Yz^P2=`|>g!*=?yQ>Y z$=7XIHl^_IUI$IST}tovK&`GoL*IbT&f>L&UcUKTd`GBe-yU5nk zvICtK6j5)j2#z{{nz|cYzrsR4kMz&4_g=tTp=YSBYP9{g5DzxdSDBi+y0(Uf)m{(Z z&pWPa8@@(JA_cSqMhcjLo|}_pQG66Lr{E?zC8OTdcd46Jw09RWR+dJ ziX%8qS)~kZN)7&RzDHa!=Og?TVUxn=Wf#fvRtvQSmAvf5FC=_r#ypzCkWFiWmcFP3 zZE^h;lqI)4XSl@c(vLESb6xM1842`u)Zn}3nIAtAkSXQL@|<_pS947S z_jI$DqMyl~R<{G%jLuNOdjfJc;TjsagLaD>myJF+kT}iGVL!*hQkIvOpoQdAS92YI z)Yg7p+3W0fcgYsZCo7Sm1qW3#*4*;U4AINDw$g%vK=YV2Ly?HNtp_S$VPTsqK+)@4 zgY}~yAd^IMePv!1-D+#XPP$SvtN;gG+4sJKKU`l}*8yV)BHYDB z-&D(CvM+jxjJ&*)Lqnx3qERg^NlxKu&sFIu24pGZh|ODls}7C%HlRi%-8dg`rzyF^sy{p;Z#85`rGqC&_r zB4uM^^ToVOF{O|*wzeJ;sRwdYFw3G*%XI)uIk5SHyDP}D_SY{y%{EWGYFJo!_+p#q zoi7p^5bgQAL_$KUlG4>B-+cg%$*<7{N#H+TO@aoZt&I)xGeoV@{CqkQ1Awh7h)+ow ztiQgyGYQ066I# zPzH8}94#t7>H@Ymd>XXZBcDCi_dAK3&`!0!!2XoU-Se1ge=H;;MGe9EWK=!7xY*(t zw<~f%f5y$7^sOydy&FQD5`w=wSNkhNZog2OOa61ktv~dbMW>-A@ltxkzjME}y@gFD z>09)x>8DvPFSJ)CdK&nk7(&CUtIvXT`dX^INPxD;uGIlKL8HYOjrw3qP7aM$Jm98* zk4g&}t0*i)JNW(kH`po-abz@%lZhxOu$1s>-JSq(4aHR@Q}khPip8hAsp%Fp<{*`X zcz85;A*y#dgxi?t>^@j6?+{)={hkgH;Y@1YW_oS{J$(%i=U0Ufcf}8{Jsly(R z%7X)&=(3ucQ_$YF@U@n1w;J>+lZL>3zXE7B=(GU>=I3f7nQz~?kAJye+3m^#1?3o; zv^d`CXL)g=ksl;24NB=kuO{FlhCWb*^WU7ZX2xkJt^k>0bvTyL}^){xomM?cxO)1Jg7#e1SHMo%U^XJyTe-To_xz|jv4S!@H z;k)?B@=5RaH#$yE9M8=rk>4=T-VE~X@}Z}v2a|^Wv+<}Z6eoaRv}Vjlff(DhvsHR7 zHaZY-=uM7|S*h@Q5sO zY3|^gc@+ZIwZ$(vl)=3?Z?olC8HqPH^Qbxa1pd2ZNH8EN3OcuhA+2%eiH6!9AfIU= zDso!2Ystt2fG^($4ZJxAhmI#ME-papl8q!=6Iowd14^2Z$w^IbZ|~*utD_ZRK|w?A z7ME;QEp_#r$bZoZC0vyi6#l{CA6gD z@fHO@n+_aC%PTAUa=^vwBK}<&bdfnf|HtFO`N;%Kk>!<1Y14js-kCHz5uIs+Ze7Tu z@_Doc@0b}4QJPvFZq5@PwW`sJ=kElBhrI9Cq~Ps_ZDJ4cPJ8igGwhD|_*NDMr!~Ha z7|3rpa&EO+2U-peo~rfv#6SQ1OePj=l#7Ysb>SQFeCsx(VvVLaoA-5Srw^4rmQ^Sn zx>bMC>qB~Wc7uXoAsx#bHRbte{o!orVf* zFm4pe*(>ryh+HOGPBb4UkW)<17HS&IE02VaaS9ldjeqyV5WsaV<9O7 zQrxt(X4^d7|1q%{%gLdWCI9?6_3OlIt>xjaukG>s7Y~n6Chygj?8C!XpDMp$!u`$? zz$Od2cI@Dyt*%O7zgVv4tuY7D1m}rQd{erhgP)s|1H_`!1rBd0MYpFWCqZ#t(q0+MwlS13V$h7Fp$)yun@*pRqPvzG+o9Tuc_u1VZOKm~+ zHD(2J%U2*nSA6sF1Vlta(m)&gEJF@bxsH*pTD+%G*nKEB(2 zA;IfF>k*a=WN!VABN$IVe-1|A(x!Ay$QoS@K`kx+6V#;$+8-@fyGohMu`?Du5(*&w z$oyVXd~t#tn>+ctoeSr+&H8$xJ`CS!SlIF1?-IvlSn}zz`*X%4dR9XA_`JN^1wiH6 zcHVnq+}pq03YS3Ij*-iAQp1&DUurHb$zH!M`Y=3EvU+Lz6T^Z$!{xB-%MV{Avw$qOh27V$PhQ+V=m-lZ&P@9I2k8F(?)fe9la-DFLVeRAbYH(+<9dO(q3MV z$vnWM^Z;Z)OG_W%Np8}wiSkYqIYgcf=O(9qbv3oJxBml%7q^Q{8U+Mu#F!ChTJ=`1 zke9!>rds;@2?=_A@p@W{Wmfoi@JK*Nh)34q@|R@8LsE(Ad=i=7$7~RaCEjg}bALNlq_8wCYV>g!dV+JfiRXDYbu()R4#<}1=A87&&OW<4D! zYM9uS>%Y@a2pk!FtNCP-=S+`O+u5~mAG7S_Pe#^f_?CaCb(K$qpYwU#2CdI#xLL03 z5I{LS`y_9W4mRp|zV+_Ui?I;aKaY=h7Y(aKqzwCJe`8@vXH+yV(Rf!tuQ^M6tI+gS zyA?Gxyk$LMpeg4EM&r~(IFT;Ysv3M#7J+xpMU zA_8GeOoveupIuO~ulcUm|N1)4DVp$7*~W(E zW^^{XBp{&K<$(B)lVw_z%3z&kczUZt?k8z~(0=TTq#%De_*qNBte`Y1%INAH9}hFL z=Y`c7Ce&*$em*jRtPFeST1g4X(1c^qtMKkxR|^4DUnB%F>v}kwp&Egs+aEP@c{%Tp>n`*oCWD7M;B^M{nnQqMFeh)=I zPM4#Eqqd1dqkb0GD{oWthKdsPy7#Z*qFbJ&SYHHhdwjqCS`Rnwf~M(O7T-P>a|!i5 z@K1kumho`MpA`N$8sd9%te?nkCYoh3+Dv~xFg&dH$FPe{XW?UC)RUUlH4vCI@-M5N zqPUox{IVS(cx4M4V6vL%E%5I5n4Es3#^ZJWmHFonbKT;XpL=A!h&)V`jS6uZ_q7uNA4QK#Uz5xp^csO<8vp>w&aBmz>dCO0PGz@|#%xqR-#ogkEwU6H@=^mBEuJ^?}f$J7Fo~SK0)n z=N#9)i;#8eytVnVFTcvU9>^p1$QWJv!T4F>yU|TGN4+6#$zHB3=m6@Zk<^g0bE^c8 z1dUZ_>anFK-nOh2NtyL02{PwHRwT3(S*--i&C$Y4?U0(nLIu^FVproL6<9kR zP~g&e_q%CFFl1_yGwjs|Xr0|bkOe-QI}lRMIXo6CJyWb1+uOy_P@^6g_;!%wfcs0# zxnxkJBxSLxfj`RoB%n;!dMkH+U0(jelVVhyc*R3w>Q_fU7Z!=ZGiw36)*$iEeLbH) zx47D)bnw!+c83ZjUhLCxskJ>V3nZK7=ERe-e44(3Y7&MhxT@>frHwH8eb@J5l8sD~ z)$^>M*qeGN`Z20nn>G{C*m_ry6?>A_BX2*bP?$+yM(0R@j(|xVo?fAqJs6EH{;CYY z(V!h`+xcILgO|9EQf5V!a+n}v_kg4t1_m?cOVm_J|2)JG7-tgx%gdn&2x$8H_3ssp zNzg*84Bm$KU+ouaz0Pf=Rm3z$K0i@I(4e&m*Yd6N=Yrh-IY@jF{nPYy-xJmI@{9ya zqEETWU*|q&{T(3Cn0q~!M1!A5gYiB#%pm7=|GR^)VX;(mW2`V!!{4@F6!^o#h}ezQ zo)hEeSitE}0_92URRxs^6TObkPCY#iZkB0FaTp4oINJrcA1aQXZk{q^Sw7aayskhu z{k&@*n34L?Y=MtRwm2s)gLik6=f9L#od!G4zLmbaaR2~Zh!7Xk%kiq}xxdk_XWsgh z5}(YoWOsAuM}!u!lZqbPIHeE@M+rMWfL?E~R5ptJ{hK1pZ_4GsX&jiUIqO`BNxF!o zc1-q@tsr?`ds~eoTqaVSK)cpP%`GCL0@g^!(HRhw{~e>u2A8p6r9!FqLl%*#Qo4pQ zTiV`RuNGndXp}C&bI~MO#ApHt!HO&i{ad=oM%kvH-Ueb8-GjWC11=Yvd&J2Nj)kb$ z(*^ID#0>4-RGt*mdKM&*Uy?XA{lz%xQ|*Lj9XOuROpTkG+5wNsm(0Vr20pp8 zM1O~64>MD{pOS(S$w-9Ua3m6(Z{5R8mW^klUP#pYB54q6 zgkxfAx6Z${)uWYdB9bw$GL{{@9b$L%Ls^rPJ`I1`hvm+`PF0U{?8h-j@am+niQ~Ab zHlBz>v|Dmcw6-^J^*1a3m7qc^3b92e;1=81VRD;&rn+L+P zxwL3qm8}Ui8MU~sWhPN>R?Dy6FQf^w8)$%B>9D_UMFExPeY2t;pq&t}rdXy6B!F6S z0=x)w%E~s1u%m^--3bjL3l8l`F5@r!<>;g~)GK-1QNJusjAlID4D}JDIz|ceLw}(h zj8*l!1f+g$7lU)jUL)X; ztwzU(-!XVBOvblmVBk&n!gA@><*T1p(&-rZSeV71!@7z_jdn(}_Jbum`P+CkP>{&p z$h|U^RMb>or#U4sppfZM&JW$$e#_mY#vT4E9+Hz>ASU`N2{yNT9F#*YEr=0*ON z1sk<5W!vQ6;bTz8?B&)apP$T7~r2&}G?u12OV1crV-uIW*iI zcVo=sNMRD8tIm%u(U9=H3yD2DN^rn8S4v)g4wL@$r=7yE%Bj38#EitxQ0uvbJ~QXu z@a3AvciCGn;ol4DB_vYD0vt(wewCj$#2&Ou65YZ}|G`y+9bi)aZ|&%1X-@D^=%p~kTEW9?2T%Pgo~s3&8Cl?Xt{L!N)Z(Q_Tsuict%>>kEY5S zZl&gqghM``G3*QHaUbP`oo~k7H|wWZFYhoe(da4{OiumAQQ?j%H)nZYDd#%7l9-Y| zQc|LBYpV!%keTPW#osj__f+?F@|%pRWTbmHj&P<|A17u!(mxP3IF#N8zW%n=aZ%4N z(aDF2NxN9`GpUGVo9K&4(1yhv3BPXgl;x`LYsr@0^m3E|>~+#!tO6)WSEEvP%iK_; zg9OIZ1x|#XPHUSE2y3;+Zx}+vc%@r&i`dKdPrM@0tnDp{Y_Ii@Uyr+`tc5Fb8& zVsu$TULSxw3^um&2pRuzM@ zH)yox<(Yt>CMEZBA-NbBRURm57L zkK|nS;akEM?5r=}?K5S^FvLSE{~Uh%I#;vlsyRd}pjra|`0(jv8^OzBg&2ds5Vif} zDPgTe?URbAY3yjP&swv5Fvv%*F&D!6>ie<+ram@H80~G~?1S4{+N+;G zDF<2L<+{z_yxLZ5EeSNw<)x*<*|6r`L4oP0YQ-d|%DfwqaRHAW6PpMFtVL}+t$W}P zhbKR*eX-?@#1#0=*dy*ARTFzdfqJV%s#!iP#_E6lpYW)w$;Hbz{QQy!AZ zK}oJbn2x`OscSI!R6mL8pGudTP)NNjU%?O2PZ}ucg6S9<;)Yq;I4Z++4e?FNZk6D0 z&NIG+zuiJn)x{+x#z|0qY1_sbClBzld=KI0%5{yw-wBKgv;E=lge(a=yH+(+_a@@XEtlwGhcgr#!Ju#3XM!9!?I3urT69<7Ku zzn25{0oN7JD-6?R117;QlZyO7$ya5QJkT`%BvX#k+t+#bVe( z+tP>Y!=>L?WN#IwrVazcLYFu2p5E7IKwE8pgB zyu{|QFa0d^k>9MXq(mtRx)M!-zrRnVkpvB=C(`+|I?+1|%_rq}R2 z`XV*Xj>fTiiJ%LD9l>YIEc8 z*)dU2Y&&7@dVBa>HT_?_ph-}-?N!+wWC6AaPUjP z=IG|YV-H-N`HU0zf`(V94|LtjhlCGw)M7Gl85F?^dh^k5 zY4arLxnU-MQnoVcT^Lv~;~Q*_t-(n$An`6iXe&*0uEBPq(c!4o6FLG3cg{a=^mLs? zkoHc3$|HGnUKW9CVKR^4m_>xkm3;f0=ZJrnfm@ttXvEGCPF_W(gOk4a*H#)_y_c^t zYZ0;1F}d>;yTDEsUKXZ2d|p#jQ?ta>)dKW*!01{3p%2xW_spc(Ei>M*vn$^Ni$~?x zoMWDO*%AePdQ2SDB22n9!|VZ9H>49L^~6i?FifJ=qOPi%#d$XBqB!C$-&IU28k8J# zxnL}6gha`|@zFndTXZh&u)YrxX!rZAf~np;KGGJx%Fbvutv>hE+C{dMZn=8XO~Et| zc6n?9DW8wNId}nveH)Uz^y$nGjxlMlxc8`6q4)Zo#l(BF z44V{cT9?$=ipLw>{po9Ct~~L!y!TJ4ngDlS2wpZ^{N1S%>s&c<7^83NMoS z-=wNew=3i)I8M}g+;t`X4Jj>pGfHtqRi#7~`1Y+XP0SBWvtogGajmfWl=@kU^cPz< z_lNsm(^K!-Mm!@!ojq=be|LFSI4;c$U0pqRd4DAE(k+g;C!arye^tV#BQj^gGV#_@pyi#)NOR!v2}InqLceml{KdVXP14}VCv35@K&*@CC<}4_478Z9^>!nUMr)EW zkBW)XMqj|IUbfVl%jh@luN*=}aE8y)(6^z=*WWYn*B~Pp`Xl?~U$8T_r3FSDJ7@m? z&ziSS@bxC=Nj6)M)YhRWC@8>N>(M_nlq%-!mB;ZbIwPYEkP{%-pEeqReiw`%UvnAx zdn!3NIGCBiGs$H6=~XgdmFpWDtqd~Xzj9NKNPS(y=SM8^=ykNphS+b)G0AE8t7eiE z7^I}OG8BI9&``toY3CyTw9qu=&N}KYvEWAj)s0xoTMHPGM<0p0`Ts-g8!k$Y*-ha{HZ{S`r?D@V%yNSxEPQ8 zJMK*LwxNMg%C(!G%P#@4j372HVvLIu$YRXN{2AccFJCO!*AOwTg_ND%-$Oj>eCy@DObAh*9*S=sz z4Pg#)hyj<`3kA6{RrlufUxcqZ1Do5T33mY4V zu$5C#VCLYUguVXVVM-}X-y7)d_Jbr)<>1R_v`?QHkn>fAg+)-KC^>XVo~&_#i`D@9 z*D$-!pw8yR2$!I?iqcy%)0@4VG)e)}9tuBqB14f8y?>%PICR0IXVEV;1D`t~Np!3Q8{yL< ziyYLBZ1RcRb;6Wxo`+ET_4S}oa6^rA`wWtlVEVQ6J5V0;!6~uR;42qA{D5fA*LF$T z?z?pM3P|67=r(_M(=*K{=9Jt;CG|Lwv9!8q8x>YS2+(a9Hs%Zp@mH&YPvvd9u6&3R zDe$`PaOe8uQ*_Z1`dpqNLwryTk1>dm`n(CV-3%6nR8 zYVMc435RVuy^f#rFzfm;^DqK@nP(X`ev_atF0)f!uS67P#I~uDTK)0D)4#n+)Os3V zpzsqUbPMa+#d5y>iUG!Sfq8cEZhD||8Hh>xQO!7X=|biGd*DFrN%Jr}0G=u!j07H- zpU52&ytW`*^1YH01oXr+_+zI_NCD-5orPs+U;y;8$5hzAG>T`sf}I(_qLsOsrm%v4 zII1T2>+>^SV~g%M6f};_=nt)ec7wqoso$H>PG%&d{x(mmErs6>cq`J)k#CedVEd=QUXXvy(npQ|}*prf;Zak_vw z(Z#%VC!J$?bY7k2-_&ht-ZI1~1L4u#5QZOy`|XHFhoLBOYX-%ZT$aKqsivtnH^UvU zz*aR@Uc~74f0SL_;saBiA$i1x&hqlB11~s=a%g@g6o@`d8gqRLEV_Wi2Yuc6#pMy4 z)2r@p*jqAF&~$#+;WPdj$VVZm*36Um(>5p6S-fEjfRRI!U{3SWzhL`}uULcr0kM z@fb~-OG~o(B|&1vGLtOY(@oCGC97CI&+6Yi#jn19vGH79>DLGCez;VSWrVR!fJ;j3 z*>RcnDVhDP0hwYKzgnbyK+fZ33nnLkY!AdPHsL(yFW>g1Q+(q@I-0LmRL4QU^V4R8 z-$#dPx9bDaxX_^-ony%86vZ!uHF}X&+1c+q)MLWWkr#L(hz^#dxEH#oxWkj!bUf`Q6LuCD-UGxU;{^80u$lt-{C0vTLq!%GWmDPdK zpHaWjq-;j=&8DexBt78**>^CsWf7VI%G55n-#h(J#D)O6wnwUlB=Mxopa*%A>wi zeL*xxEFF%>tCf_Qk*2>EkQQP`_%>Q${{26B|2G20c%)nH5jZ=TSwim6%g?`Q?J_sM zA9u8WQo{Pc=_aKHVm7`|K10vsO7!=ys5ZWHn7p{Kx_3L;Fxo2PRDfV_!r^v+bh_RX z#)Z2>6ai2yNWi5>+0c}unz0=MCf)=2;gXoI_+Qf*uy+NKQ?nGyV2rPg*6j;(GZAC%xE8+}qB~e`bhnf?2O| z-3?U7O0B*WPJNsrjKp*=AtvwjfhKs4x;k8jNaa`6rIL0yeG@Gj7%6d zfT2_YN~SVl53ODeeWf8~K}S3!Pi&o0Duon^ezY<0hOPP;_1No*EjQ+B1Io*vy7sCN z%Yw1L#5u643-m2d6FOlPZ1D-IgpwSy9p+2~)@TbEM9qh9v!8F;gr3|6-Lmx7Y6>^L zr>K$at;KV(>OD(-@iuY8;-8?@@&Hh zdyJ;M@2P{11RAQ1>0a)_`V>$xW2+wdzh}hm-|>7K*)Oc@mOOILK$V3dR#QAe34bGz zk%g~e1@jnQ4awCI^YG{?ioXd{`-mYp&!J)w^MPe+_|`P zbwNtjA2#-iwaH>(>cBSFzTefT&DJ#tHb+MnEiAqDr!6{-Bml3p_+@JTJM@pFdTGfd zNc)T!7}EIs*>{A$Wc%S~yS{AH z*B~6w-tag~%)huXs zPk9~HaTZA_<^`BaYmcwK4V^ub%*w3Q91pTs?SK4(xX@4vJ$nPUUOa6n?PD7`>u}zL z4h#Smi}<;{Y@(E5$FrZAl-ICQK|TGOwn$Fv>9YCxd5{LSzi`G`TUJ&Ea19{xg!M`6 z&J+oM>SxbM?i--q7w5grVq)mAJ`jyytgjU3R zX&GWQP2NzCm*l8nozKaOR*7DfVJN<;kLVRwql)_xTJt3A7xj9tiu3v;BiWmt=V(dr zYGcXu@FxB2V&)+U>h3->Im!4_0YoWVTkm@o)vwuwTJ`b%mhAri7B3T%4Ds_w&PpKFqM}+I%asMFu$Tc8jdSD|ob~{a2DITI zb*HMT>iGQp{OW3kzu>=@&h&i8#1!b{_J__nKWx~M>QA;7?0Ykj*q{RlBNRUHV8Vt;F>lAiX0vQK3Mh> z!?j&$djKgQySuxQk&$WDm6i4&E**sC(mlizNCSmn*Q(X^{S8C0=H#rLN=DVfpTRW!ZN6;O&ee+L_17{G`I=N2;ZfK}L2e9PP9XA|? zJSy~YpvFhkq*??{2i^$4-UI#(TG`0>p)HVeI)6!a2HYJi%*<&HW|Q*(!wNhyz=xEU zkbtV!sl@#AaODqWJuQr0IqiCU4!CNj%RXz|OsKrnzBUjR7rsVg$a)c#yGDpr80bM_ z{H38jCIq8-`d1KF)9U&h59df%7cDIP+Ewk*uUA5^M<8<9;{B7B63Z^fR4-zr5@VqE zh7|9xUYhu3;Gz6GUt9)@ZWDGH-J1e=Sb9hY=Hdt<9z_$uBKi%H z|FV7FpabFlYXZ`t8h+dS{IQg`Qsi^}kVj7JP9u;B-|XtHQ#B$eSod{J+-$8}mFa_~ z=IRMbR}0Y4Q^qT7tZ!_$J$V7gGR-JfKAz~Y*QEM2Dyb@dQc6yS4sa0y^W5%4;nNs{ zAo-7!)YKRzpW@vhte$r=Y9I}B8m1IGaDM6Fn2Sl;-xC0X5hGO1+qaE5(A&<!)m*r#GS65fG%iH+cPSx*1Jw30-anY{ZeIIFd;~3(T)Gh& zwtzDp_&!M9T1^6X5%_oj=nOP2Y5&&MlhSN%&Y7HDVnZ=vj3^a=$ zA0NRW%*{Yiambhb(;sjrVn^yVIWe)YykImEz1^=oAL0mC*JhTyk?=1$%M@s7>^p6T zkYTVAV*sg%(;=DpVmPtn77J#-EDhdjqHe?7nGb^19mX;Rh z2V5Th6DgHu2&M_iD5-1>ejXy-m?HGE#|T31f>|G?kk8diXq3gXXN{kunWOcWvOkxW zCP}B%Q#V@`_y|CzKz3FZ!ZGQ(Ukk=k+uuQ9wmNLd$4Az@NaF07)$PpB=s;sxIM(4C z8fLjJEoHXp=MN}M7=5yoYO+Dfw98=~fuBT0H!b z3_-tGm-C%5B;CUyFl&B){k#p_TMiv5I2nUOuU-Eddw*sHhqjGWP;H~!s5+&uf$tZY3kRIY}GYarz<=#;fm*KID0 zNW*v|3!H~*btOd&tx9H41?fY7i*k^Y=BP%eFJ+l;Mj`h>)Xxld)!BXb$C{k$91E#) z|L-v(;e9WGh^YBtYJuBBKqpU{dlYv$R&@dRD{~Gc47XnfQ41WXs~!Vxb*G`;ho7}t zEtXq@YzPY#x^DD`mD|!(11pX0o2hGSxWX=JY^-E7XQSl8Q{&@5l-7`ji84j=OFt!3 zmg$z-zU*YGvl|M5uc0}cD?F#A4DCGnv;A29app%F9RC6B(7$xm;3L*LL8}3U=I779 zZRWUb)a>jkfUT?}>g@Z))F)On8!Hkg*%vPoaL&C$xw#qnKHlZmI!4}8iKN@upo-8| zzLADYn3~p^VAR*|^3v!^aSQ$TsMLW=xb?JWsLw5M+f$^AjjZ+=7i*RPGKu0Fykw;U zOI)fmg6G>=S?}=%66Uq7?BMgYA(1%FI4C%Dc-3lU<+wDo-yBC0e(F}1lRy8@$M&&Y zJK$A9eEiP6nnu?mRv!j*^hNf%j&Bo=s1ku}osQ?2#P|q^7b<9* zoHV-DRcG~+n&CyS&fh>%8dBxb2amy{Ds-#XQ6mM?yKZtD4l1IOnVJclYL)PaSN=7t+w)P zx4Ex)Zl{fiq;s2}vp&(4Fi7^cu+`>79xcd%_7Ttd#l_l?;bm4kG_23fMht|_oO;jA+#{+S^>hG`> z`dZ|NA?Qb1>NKsr>M=lR4+Yf&;3LyaXK2b42gROEUxTIDk;~au$(y& za91R$hX6f1xxA_h=WIAlkcWYxZ*b6x^@#g%?;o9tijd>Gxaxv}GIx!Kcc=AIG)PF_ zob#{UxOpc{#^o8y%_sD;CY?Rn(@ui z5eiub_3_CG9Nkzkn^gn4MXn1EhYQKV0QLs0+b& zllT#ztpNr4FN2OBp!NA#M+eCl^sU`I{~1!;wydsF!MF5%zCSt(-6crhX_58UJJu6a7&`XG0^v`m`t?fQ_<=D7c^i2lzn0Ve6 zocP%qe}?aSVE804W%h)tlbq6BEL~ zvjcjzylz)(0lb%|Ic=ivd*8{%nPC4cZb|z&1UEyWZ9+R(4twF+7ZQWM^Ta1<=nTu%W;8PR^#V{hjEB6*?g) z|MBM7olI_Ps}d>@S9pJuvbX182p(Y_NJK=5K(LGv(D@MS^SFV{%#u5=J>B(+;3c0& zFzUG#7S`sje61O#==wBUNvSa=sLpHX0lu%8Ts{mBn`&;h3Yl2d#^wN6!GIYmEhEFu z%#8j|Y+xX2w2HpI{x@NOG#wvTcXAUL^MQgPLXVIj*)TvHJO-Roy_tLhlrC_J0L%xn zsuHf}RkCZd^@`fAlX%m9%0#KTN^WNFP^}Rc<_Qi+`MH%+QcFcPHfJUcD4ec2QP5bl za9{%i-P=)|s(ldhGkPWPHAu6`ELD`3%TUV{Pg1}EK7H$S3s}B7N%>KCxyzz4dU`-c z5(py$?%5L~iu2<&DKIDl$2dTIp_F!K7<;(8_LX6C3tZhlGUo`#QM;U{!CjCbc=kok zU)FX-IWUkrv8bpBgjKPAu0f7Z5pn;u_hD*g28husz+qQF;2I=AXe|Q+= zTtq>qfmsUFMrn>6iGoY}HB5V!;rMGd=J0-|Oph%IH|Z zKM(B^)Y8+{X>TdIuk^hQ(VO^rKk zMy;RSh+E3Z1;aU3M#TY@?q2f~8CFkE^(H^J`ktQ%sIbdeQF22tY-h!Bajiy*WKtAh ze#&W7Vq$yyq;+rTYjVS%?-&)rCRa$*A&lu!7q9*CZmaX-Maxd$$#g&#BFvOaYCq8689TLWThNo>7R+{EZyeO?&RQ5 z4m_!(#Z=us3W|zjYv8(a0MEB19Wglf0Y6f2cgyitA+NNpk|s%yW5crt$j58vk_#9xw0(3@Jq-Twbqdo}P&BE3K z(lmnu*>Jc7HVez?`5Sk4LMdtdK1fJYbMwVJBC9TRq(t`o8tz>-tWFAwvJp}oRVxDn z&UGFHU2xlhYW}~4QN=kmY;3Ccm6KewY(QKz^A$N@`HBt)NH)T*{{KhYoy!XZiW8U3C9S8?D^3$-4vZtUrQlaC@+%DEnhd;XX0G6<_9n{ z7ZnD*RqAGxAht7>>h0xz3Nc&Ox_G>EdT2T)v5ihm)z0P6Yw6!OnO|4{!(p&yWzT2Q zpjw-eo|mjAZn>19|JIwEUC%Ecw|B%dL3!`CP3R)y6G*#0d$_z7754DUzHla`ZOhz1V%$ z@vf{%Mmbv;Z?dY<>FLgrYmn=^kcKMNs_Q$AFKOdJM@x!m*b0^UU*UoR_v#vFrE=%o zW}2Ub;1TK@Fo^ZOs)|Z>EziYeje^=ISs9th*?up*mLx(}12rR)?yp8BywA?QHuer? zCG_eg@oYu zKuAf!1K#Z%^t6D{`uq3qpp6EgIf+B{9Z0V}sH>m4dwP1yhjdgMTL%{?mN7BW5fc9S z^ilK<210nj;h(1y3Vr+Qmmbw#7X{AlpNr|xG%^i#*Cb~r=eIX^iFEYycn_ZKqwh6W z_vY7kih^p6H6I=x7@3AdZ%p(gJE^?%OyJJWR@VP~i`V@t zHsEhz=J-#R@ytL|icaEsbQa$~)hFoE9;f~y`s?8{-v8=x3H_|1kbT7xkd0mwhp(=T z)0Br2a~lVC7sP`~>OiZqawKqdfGW5pgW*h?Mv{ zW+Kb+<*=Bm9WmTcqS>XIN@~_J`w;(c$3Rk%+?ZD&y8gHPShd2C_9n)h=n%ckbn^XU z*xwH~KEXN->bB)SaMKZ)1Ab0%KK}iC5FDK>NYb>Qr^)hB_2cwtJViQa_g;HEg0`J0 zAy!aaTH3um!Fge5RMeI1(1j)oS`Jpv!PCM2SUT&VDEqGu(;?m6-Q6uncb9Z`!_v~- z;L=?J(jkpBEZvX2vvlR`srn8egBa`wC@jCSg!ut?fex5e_LWWyy18fIjQ zG6`P@d30ikc0W z_6_dtFekhj2`{UgpT8pfM=%*49t=;*A;sxxJI6=@SE9m88P~s+rPJ(DFd`au2)&LG z3;yJ8td0TX{qgbjs#3R*iP3IAR6O4x=0PC5Sd7EKr+NRdoparb_RdRq3CxA{_ND=6 zWM|iPnoNhVLEmbKLzMkBe=7$BkoB%HIsRoYg{;acRF`2k@Sp~d%MuW>{bNKBhsD1Y zWVE?}K7u`4(-dFY<#!qSy(D4T94NHEZ-~*HuG@k;XS-Jc(Qc% zp7URl8=&js;^Hdj@+S^+|Lq2L?mAsZbMw@^yz{d&rCgv)1CNO4dFX0b_gP2B!`PUA z7bZoS<7(q800l~ni(_Xkr<<#-sIUR_6975}I8Xv3;tQ{@-;FzYXXbC~q7aU*v?O7G zaHR=Rb~epOvL3=9{sJ(5qc1h^6EJxy$87EnibdfN0(XC@xLjkW#S&{5Pip$I;p0zTG!q3ZmA;{Npg zjq~<6!=`r--|2*H5=E-yb z8E^h-;>IopCW9?rC-5|2(tNyBMK{)Bb<;-f2XzuiqTl{S8pv_iH>m&mP0Z!%g8MZC zT-E&vbrE_BV{bYsaVhZG+{C5=I4ZT@OqJwE{8c_B@5j-F3H(9Q}Q!H zs6G6l1u;ZD_gjZpu7Pt=j`HQIs*tv~OFn4D`kczd#x+v1N{Xz4-WuyrmCozBMRcq*8BXqZq9DCqz*8m0goS;EeDF-KvMYf z@-pD@D&$W*hsUA=f9OMhAJp;TA$`a@;=_Xt!aMLnm>L_S6bIx-6Qmgco(mK6dcDCM zk(6{h<+}eu4Dne2vwn_svxfvhUlSO*_jPywVXUL$exlx&f|>EvGsV#?-h9MZ){=rk zlbVXke6Hk^39-s@D0G-)lQ7j?M;xCc96w}AtnUx~)81gVgx$V+J-%9`ujI}ah~Jai+6~|zK;d&j8WUHa zTw5yX(EPq;c|f(bg@KyH^ri^Ef5xHXNc=--gX~|#HJH^XM?b##D+EE>?Ut{JtjzX% zWa{L7Bvjv;634HvSw4IMwuKDfQ9hTQq&(8S?2&U~F|nHomKU=zn=HlYX$u!QZVnM6 zz99dhp;!%LLWu<0w)#d*{yq#p7$c~;)Z0(dk@iUxGhKcfU%{&ztL3_SG>j+i((ia@ zsMq-In3%BoN}pV~G0@#~9Uj3!$Cj2_0u#bl*}3xz0LA@JKUOtvGo4L`04^Zx z(W+Sjq-;sCvB(%09BgcO&KB}9gR{l@t%@zUP=&aRDm%D@G1qRWMAS1qKvHsdAe>D5 z+t0h>5IGM_C1oU8E;f3)pvPS)H+9UeaabWC6L|QTnAx2RC&=Ukwi*Khtuyp0ON<=% z4=wILoxTL7dF0^B#QZ$XL-nie64mlAtVa$bBecp8+yy2NICTJrAuiIF_Ri;Az0Lr~+y=kgZ*DY{=>rKj<>s{!&4480eekAP zAzhr%gS5HP1{fb(4oJg zJyUnLOm+#d$>}%3)b~8X?fED=uSaZd;?wl@?psg%h$7}!K4dyV&+31j`e0c6Ujhlt zNyW+O2Dmv!1^_~%MyYJ$20$HjRaeJ!Ew8L>_QrYA)GF2$m~kB!_3>-Ao%vo<6A$cp z3EGzy;es8(|0KQ`%zt10NKMqZcQFO9u*j_ih_JDn^1X$JA(OA(Hzn>q-Ps26U)h&+ zbrYhqngss!s1E;^JzTcoD^-V8kc(!8dCmmIi|%^L|fB z?Zd^BRL@wVoS>ox61%%S4GqPhy&yG0P?02UY~rPij9B$&^9VBb0TGqw+22c$eCIZ?!wvT4>ymGDrl=!Dg}Uzf0M?KTX_;|wm z8++eq!16H1!Zc@k%d(Jmc+l&>5`{~`a!t>Z{7Uob8R_6&*RV+@P`vkpD%JH#mTLiD zwgLo|I0B7iNQ8>!hl8-K*x@-Vl&dCdD=cgziwz!i6iHXNG3Rz!$2)NJfmmLPB5gvE zZ5~HPwpwh1`ai*h*1}P)UjJ>M>Nbt+;K-J$ttGb}gbBPbY>dscc*sbH6%oOC+;q4* zw%qAmK48T*n93I2n0XMi_-LNE$^VCVSQML>IY}&8AfC{M9k|^J?g6-w2vQLrh#)vW zAHbut@yyK30P6_gA>v{8cyx*ewa``pAzYyI^Ixh`ZZx;eC4VOhZ3=0R&Le1!-QUR=*#h1u@>hPt~C3u}W=LW#}bdT!SjZ~#%G zt;zeFn>U^;kL~`h)Y=<@I-EYN>usI1g^2~A4Sgt3pyy6@#uSsc_~D*E7%IlGT4Ucj z1)(u@bR0Ik+)Pb$Re1FJD|d>ut7;}HdsM9*ll)Hc_ZPYLl6T|{1|h`_Rs>X(9h)i| z1c|GJSC&u)ceGlWh8a^s1{q(*2unMTQeE~_;3otb%unBvs`%olWu2C!FvLHJ{2s#1A+R#NVZ?cLs&( zJ4M@?kU#AmN7u4W$%wgW$C3GH!wT8NPJQ^d z#-r0xqobj*cYY3R^Q{2vX+Mz%*!M9|Py()Zzd!)yDL$zwv~YSPX~gp`Y)s5h|bx!@wKKB5*%0e z!|^NJJX48@I(JW(vEVBW25W~2YKnu=cFU3bb~}xDqL-89I_fKM_GzQ7%u3LL6Lpx~e3`10W39{&e`90(S0HIY-D7)cFGd_F0C zu(qZqQH*u%&R~z<-xrUKozq`l0Y=b!x6$RRwrKk$gguYwLYp#nJu65 zq14X?y=Sf0M>|+%jnj>cTru+`Z^q5{!s&jTfCNzIw|#VeWhbF!s5Eya09?}-;%|Nr z=WC6q^1&JWEoRV`0m16Cr+Imf?XI~`XDhE-@6%8(gbW}Mp?J3^!)0pLYH%a67K@@HPDY>hG)gLFtqtKLBs?o? zJ=p~4HH(P+1rTJk5vi$IUmD#HWF;i}!~$fZM1x;D+S`45rqN)$U{(NUb57bVaIXeJ z!pPI;1=_;^N9>{2?^#15SqT>ALpJ;Z zT3T8H;4J{uDTiz{fhavU*RdLOcKNg0v)`)DUT>G;@=|sJk_%6|)O39D!o-t&Oo+%^ zooJ93Z0WdRnhlbY>|EZ@m6x(U+DZ$3QFey-mqAunjk7@^SxN$}S+Kd8*?Ln?P?t4{ zXkSQh==JaR50&=z44~Wr{sNa(j6-Bn6-&0BEZVUH>JYZ?WWH+$E31}qSYKp|>Ha-u(b*2u0I|tyZzW}-kc6L=%M9Yf6vQCu_ zgqN0izL1LtN-&-*$R+DP7sAs|;5#nIEX?25)Qk3XXBp@_1y#8qk0kxOdHu6AH&>{f zb9i^rUINN29~S)%Sys1Q0OlZ_HriD9P5{YRTLF7&atYZ6d`LyG^--hpO-6T^$1Znh zB=?;OOfReYVgR-fi?eevq#Ndxb1AJ6&Fur>cZjGBoUmh#{|!=SO(R!E_7lghFY6Dw z1B_4{nF{W^G5gM8;)i``$#40e>p^$wMl2(ViG-X`=;&3|SS~bbJ~99gTB1+?X!N&3 zo*2v9#DuyYLvUL||7`J3xiy8=Ys)5QaLCKOy%?nQ!-xKtq{}6?VUD+dPgBzLxCqER z8n!Y!9`0XCEk$f9Rbw;!k5%Kx4Vp1=X#Qq@2bYC~$sEruE{ZDXsjA@JiT(EY{Dbr$<4QeMy^oh2pgS9M>A=7= zbaE@(_D=z&RgAai6AxzxWMn(_byE!VhalVIA%o_RU)gdg@E`TGw1^TvIvnxw^9u_B zku@)cK#0Ux zSK_X-eUQOPUL=jVwTwYVqzUhec&8!Dquu_7Wee{#|2xIa-j z`Qw=y=IQzH-sx36hNX-N`DPgTjnp6TbpS&)JT?$cPENn)>pdX5ETpE}Xb#MR_5dOz zsh@>1^upc~l%k?U@`y=|-z9t!E<5@MEf2>41y}a@1!1O!h6d2qIHap)YWD)poWKH8 zSy#tKz{J6UfsTH}4&1x}l&)~dTX$~P-=dcnR%z+UPT?Qo4shE%+{B6;O)Uut35N>$ z2MqEOcsV)W10Q}{fBvkY5Gw}4A*%MrVLK8M7RGKDrYP#-R@1xSQqyw={;$g()s4OS zDO2~q&;I+Wi;I5aM{iZ}pNY&Y4=X$M952NMS8vlID&1HtEJ1oDnsV8`2P0@B%D@@e z)XH`r(YjqO+KfW_=q9;PS}CC%xTFAmeqb5se%yGi{dE@q?{9AOtBkevI#cc0a54p9 z%;zpc%aq-1QwHd7Z{0`6(@b%OY)x`Z+kbQfD+emYG`WE8ueAz6+&90SFrZt-Bxthl{fE^6@Wy z0C3UA8(3`C5r7tbh}B0pKqn8(b*+q=yK`zPDo9uuv>XP&%`7zQJ9Zy0sFxghTsH-cduvC* zc3>VkV_||y$GL^)edVb9X-+;#@)Xzx@Ip_)KzK6^^)g_RK!l$gd=TH(IBL8H)O9yE zH{(Fh`$;*OLc#~AJAeB|eFq5+!#}Q@SA%p%A*4!<18`U)2qL`s9}gYcvy;ouWtEm& zo%rcNf5XN#m=puZaR+rBZQ3_3dXpIU>d$($p}fLqeMTefI@;LfY0I{-XT{{~{r!Q(;=-^eXR9kHWPX{_@A;;RQJ5018w^fi5Ed2& zn!i|VN?CSeRYM{@SwaCL2_To86&0)ev7MA`+X4WGPAcHC2?S?}uFh|UfcoO$A?j_j znvoGnj$t_D`O9=;a5A~rvp6@^m%{HKQ2t`@PM}lCag=oW)NQn$ajclmJiTsih8+IN zrg+087iXq}r|XSuDHP&KvFD%qyHcS7kka3O9gg?=4E|i!)}nI+adtAhq@SKpBCVpl*dsI$iH~QmTtpUnG7`-_XnfBr~Ep;lK_ z#Uv&9tgQ$+Yy=k;8305eAR)w(CD-LMrOVDI`Q>2?l6|Ko6O7Mf`w!WOz}O zTZHZtK#?Bh;VCa0`iI4gc=oiQCKWz=*>&~1gDe9YH`ais<8i&&>FC)rsYw(##UFMHBU5pY%1aha+cpWdG;P-3hwrmYOe6+uC7m0 z$84#o9!Ini9x}+oGEtTut5FE$Yz^5RTl z;sKB6r=IDf$rKxXeW%eB_agB{l7)dEVe)=v#1C+TCJ5@3KEiy$b8Abiwoyy3;_WF(_ytksB9bp#K^|r_V1rvsoQ&Ue1RDq zAaP+D6D=Q~Lz)zj|IE9`?sq@M@6>jEq+&YOaC za-Q&KD>eLicwcLeT3g5eJvm;8NQK9{q+$<25Afh4;+WH~TPqMDLq*N8v9Pwm0HIhnHPCr+Y*$7h#elF17F%ZxyD!#3h`d^sMXyfAAGeLL8AbRPD zS1c`!e}Prhk6MG)jw$w>VzEP?kG?@OxW?7^y`omVgB)z_UX?}Mb~G64^bC+ljt2Q2 z9$xGv-P5Xu3N4P1R0H383NqsO7_8l`pb)zEqWag^`n7-&PhVF_8X_sJ;$jk4FM~Y$ z#Keh)MbTVao<)h&A5SLbhB(mMW7JjLXPDA25{`GI*<%f!%f9frwn5}ize|Ftq5yKz z>FF1t;3+LhHHr6HS1aq=XfZcs@R&|>tdMBHIoRv676+{6a}&!9rVmB?%cmy(rmr zNmO!YWFr<#rY6p0Z%-V;9-rHDOm0fe#qC}aHHl80ms{igwCU-;g5Z>SB}1-e)}0hN z5KhOU#DNk{NX|YOjrjQfyXKp|4=38d*^a62Sm5+e8`O?c+d{OeTIioq*+)$C;Hh+e zeEWVO*B(6H?De5bbzjJ#>K-)Aq&w7~SY}JmMNHxnT62>h8rQg)W==!kzg7+w8RAa} zyHx)$tm7i|{~BIK!@q_AI!`f*Xsm?0Sy2tGzor?r9UXo^7#2tYoZ+5N<>ur7!GC}) z48(&ayniox8eDS^4bOG28{&P-$tjXzHP^}P@<@^0L?Q{-JqL42Z3psH*(r$dE8j?8 z`iZS3dX;5Sa{Fb6RgyKr?o-AJxGs-Zbi0Smrksvc;SesMpCAYyP{6R7@PJc_hG&|! zQ;W$_y7SY6-+nx@pFkI}8c;m!_b|#iIn4%sK*qMZK_k)j2l2^>nuV(2?ILN(FDqby zRdNp()PjOZb4rPYC|z*T4i+CfCD-ju!80}x1qzT%kZ|6x_!=rzQ}`qLvL%n`rwFD4 zh642*$xqL3yWxh1KKH43fjA|O+H=#VW`_F0smxqGILr%tGNQP+x>WPmnKK@@ZsTJ zTc9*%;8IAy_L=#r?}|h&mO&-0(GvDCa#$t8Ap45-rxskgQK(P8#nDiCEoi@IArhrk zQl5Mw+S9$R;%d*JH+mPq%u%23)ceL+`n|fNiSL!Hj_bg-#nsRlgVXR>4hJz>s}w%Y z=T4{jrCnfQ60M+FX`4M?pxW9^X|kc zg<=5?$bPBxw{0P4c|V;}4F+y%P*6zl$+!0lDTs)E0GvpXwQp$0l^_J5_XGH)fFrtL zqs1`AKic`(SxgGxECs|*bors_do0|scrkcl8gBzAC^}ZW&v+&BD2KH)%Sx!dNbfq# zq)sRe-nIiY^{duwMx`+nWTUc{XNwIr5VSeU?q1HIO?Y;@OXVEN7gCBp;M;LkJK#qP zvo+AegwkpWVR8|!VD6{m^BQGqKOB;CVdpdr2z3*-SMlxvN--T%gE*$4~kiEZdLD z=;{OGE2+tuV_gGXhcO|;dBG5QWiz9zAw|E2T`&T380pvV;j0A2~G1?7AJ-7h;nCN_0m)1Y}o zKoHbKOINKSNEUTmjcUE^qJ@Xect6J$>LFbe;b_7y|K`K@qWhCWMz@brh_bvX zm$)?W#Qm>@)H&DIS0m( zBppJG7CEgG4=q{I@P*Ub z+B(ujK-nLU?Iww2_@Z7XCVRN3*)wdS zEo!|-ljpE~$Ghp8?S7{a#GV`%;=AIOZ2%Z772O1BD$BSYDiPD)S?GmCU$bBlRsBRm zE;f9VSM&kk1O^E|r1ucsft(TWk4#L&#m7&MjS)%&2YPzm0XZ+Z1IBu{SD&1xgXC{M zRAB?dY@feHZQ*GLn3zLi?9!@`S4sREI7Td9 z0?l_7#@P5OxSWDMUt}Z@#Fv98lDmu+j_fNUq5;dBW&(FJI8R(_S|5J^$}i&j6nXAsZG`K(9y9!CWe zS64#KOq{XLQgjd@%O)8RoI9w+e-n7$DK@)7BMgOs9JGf^0QMfT8PE+W1xCHTf~(xluCDrk zN)@nJiv6U0clG}WVPV%*87DCHGcKc=S3jWk`f(x;+@mq26MH54V?kB{unw(DA7HIPkv$sHR-8F)y!cflS3;M4^{GYrENx$ww zAqnZ$X&&;J!E(`me}oV@-jXyGASxv%>qQ*Irwm2KK-#yP`ljfL$Yy^MHh7oD&rzTq zZS~bdzFu>BD=7}MkA(7CNGR^u2Kse${;Zg#?m40Ek7~^1qND+Re-0~^72~9t8P1q| z#rTHG9`F;c75R{q!Ybxa+z@;xjJ_q)6nJJ@GakzD@o!AQ=FuFny?<*r9{ zbvM}e5J^e1@Z4z%Yq+? zqA*6wm6i`z3{>@z`>_EPv-eqezyo-6tiBe`kC%_D-W@pUUjmt5Ae@-{=fxbjr@Ohi zef;>5o14=m!^&b#=c{U%k%<%UN4l zl~q=9nD%ZuZrkdqg@F*!cc%oXtd;Udl1J0%yc5GdJIRgx{NV|p@~m7|i%?gj3LqjM zUJaX=vGw7*&&RqI&A6^@&9^z$Bxc7*v*p4=2q*_*Gqlb0gN_<44{+8lkjX+YUKPMk zJi8b&Fs32vycmE21+luHa-o+cnUX(vw9ew{(~&!o&(YkLJa-YkK1vy~s4P?sa&z!M zTz+O|?ZD${=7Md-qu7 z?0VL6LWwDCN+s-29YQ@!&VaUcX|%gr>)V;~lKuTCpZ2l+FXC{|tfDIOnD@a=~aHVIsfihUdd$nwz13A7Qf@WK;Ql54?EMum8_!1>bBi2 z-6xJsLQ-YBZL^EEp-l1xPVDX^Iq#?F(1fNMFyOmrOCDnKambA0ukgkp%bN7>>`@vn z^5-rhW$yfkyR$Oe?Mh7vLuwCQ5pE8t6GukLZV|(o?&exNIQ}RT8W^%{0W~PI(}kiU zL9x3x*y~^Q*#o69l3#1G3qY*K=iZoXZY>2MYcE41m{%l0d_24&+if4SIOkhb3mpJ4 z*sm69%mXg)!uHF+KOnLKD71nh+g$6?z#fUipt{*?s|GaZwKO$>L}*Y{BMMNJJ1&w6 zj|tZ`+2zs!3{ZJ_-68M6j{yG)e7D>JDR=FKu7OM_W-?fjW3*DCEAYjz7;X>OtLs*L z@7kJreXU5Okch{h&@ABT&jnfAxaygTBI*5-S#9;Byh3UXtT%+i#@AJhx$EWR)KLI>ouY8&Ve&Z1 z_EqwDa^wNWOk$v2)Yxt#_ET>h$~@_c-7!bZ`WzKr-~IP2{LQl1o^^I9{EwDCAgiwL z;|bkECbLEsvHyHM9up;S>&Gu7$K}-moH_;xbeFeGUuytT+CqnG+cWOvw*t_yRjV(= zj9yYoTRWlvWYTiPsWT%Q{PNcC%>y>lJprs6ObSy5I>2?w{e|~vN;=#hA$v69u&v#3 zWvmd;)HMSFp!02DF77eR9Xn|J!e?}N7!Np$;NgMzWTVD@3=IuE0Q1N|n#X!F%UeLc z>(&Do-~T<-iN5`ED;!#0Gm+x!Un1NH9$UA+ZE~D*gm^lJnjWX?tr+ymey+=`=vd^J z!=k_IH4V4EwEMt##bG$Fl8E_x0Td(**8Lm@i|vEu45roI9+&4a$iWQ_&k*7nE`HRx z)30Q4@7>VbHI6>uZ_n>01`VC)UzG@|9g<7IKgutJ?Xt8iT;REK?bqK>CLKA;)?*u3 zv$;02pa*nXGot)(gCN$aXO(lN2S^Q8lr?xD$Sxr`xb6Y+S3nZz!;I8J;R`;3Q0PX~ ztDH0h#O}V!%LU9O*ycVI)7+ic={MYif*t^*Cn^maJwP|V z_{)MvBWM{|xsFd^mEUAz@NE42{JgxpTwGju3L~4Ufye@2GBW9KD<;|5(sIJLg@7A@ zKRQ6!sNEN8jgM;fs*XOD5|$tjtnk0uW=5oBNHUGf?shBw(7V4@ck?20wRyI7*UIN8 zF6?e`y4pCYzqasUl~q<|HCv7hu?;%VB$L!9L?|u3+~Z|)C**4L2OkyHml+9(pqKmI zU0~Mx&mvbn0C@q1-~porMRmM8QoV^z>_Y=f*! z@Y;wn=b@qiv`A%!c5NCO)gBsDNpt5HwsQ<$l<38C?|70e_e-hJP_ci^B8)6sZoaGu z26MLuEx|&g7Wf7EpXr^oBn-u7r+s}pyPv!gOgrZcttus!U)VGb#!z9+w0>Q009GHMpF|LhAnm)ii&Zg zt{r%rA?+mMpX!S&-Kx(YSC3nr<5hCIPwiH~T$e*b5qK;+?lY>d#?XF^G zoZ;?Nn!-gx*G+X4nyiV*v$O&=Wz~_J18;NVyS3(%xdSR2dOtt)=4LVW`OVYJWrauV zW)OtXxbM6a6`sj7lQ2jVCuN~o=JeQK;_VyZ_|Qrbm|e3WQx!L z-AGn8PB$JmCY7ov!+8}ZtBK=F6B1hs4wAaMEg^AAIi@iD7pP%ELRe@7br~fUQY&O` z;acXV%s9%fF>GwVe?eEhzT2RuZB``r3Z7Sn!Ru+DJb#sU7xe!APEvBC6<(&I7`NOS zdG=_<>@`=?9gZlXmjAK<2CFPo3Y;#hG$*~X(xf2ESG#OsSK!uzHtEoow;ZYdMvS3y z;UxnwE#HfE@Ah$q?vQW<5&_pY_cnJ%`;&%iISouCt(5AvUl)N0=}A)lU1I0 zvdm_e05=RQyAi#D0#zF`9=M2zrv=H`EaT*wJZRBYTsx)#je;F8t@WT0J#AFG_IFKdrz=EVC#+~ysWyPOGqUy`~2*42qORH=yBWK76hn@ z|7GR=2+8F?EzgMg`SYhqk3TS<_#LnZ0=>Cbho#%;AAs)-z`yB!H;yfH-e{Z38u|b2 z$$T*Cb+kOv5HQF-UU)9tg`;yTSbflA9^en%EcGsCuNtT`nmXj6PPfDToxqgH$|6XkrajXlJ zs!JQSmfr!?n~*%A1-?}YAt4?%mGp$e0=~(=_`|-8C%ELkVxiJ{-xtHWk9YOO|7ka0 zZ29UuvKsFfBSEkfh|nLG^!N;nTGZc|i6+0YP<_nj-}QPWJG_KH=QInovh5nhs@oU* zZdC+Id3e5gQ;UUT9tZ<(-&~v14X_!#9w(Dj2Hrz>G)*^1w)9k!TxM5VHEd2#nX4|= zBY80<`Fv_;E=kRx41VuLXYP}A|EXyDCT(S+F4O>?6V>kmd_sbzn6QCpJ5sagXQ^L| zOU@if)opMX92{dP>FA?GXJ7vicXOnrm;1U3`KrPv54wlGUF=-(WS8nUNIEP>bhg9@ zQXnr^d_7S)oJ~=>+YV5RTp+0Lskqz2<()B)yE_JGbO9WKlFB`EqNpDnxa`y$M+H5~ zY!-v2y7VZ!$;C>(BS<5l5FKlyy5I-yw43ha`OM z{6Jn}G-AGqnTZ#o`LtXX0GsUZ&+q5v=Pl^bFvd$eB0AVYP4#4aw>k~byfze7ynh5= z((4>rUB4a0dU0Z;d=N}k4qH(SZRZIUvkw|$p7i+ym3x0tlb@d0>D`*j4Ifh3uVjIn z!e#nPlGco?)ExUTX*^xWsk?t!CI8zJlHBgCBxTX3$q#bLFOcXXvzc!?nKil5-A&^e;em&AHsJ>>toOXLZ~g$X>tJQ{SU z|5}!x1|DmGq<*VSepMR^!7rQQp%MAWxZAB?H7NM(39j3sTyt_#j~5at zU%TApJ?a7AglQN3;2UFQl2VZ-uuhN()PD|nsUC^P|0i1f*f-1As{y8AZnRBL>z!p|namYVIeMywZF+N)u=G11I1q8)jnvm*mj zi18E(9E>r7sc)jh!+EoaUTu|Z(nkUEsa!@23}@U^r{IkAqt6|bg@M#cSz|eTkJogm zaH%tc67GBf*8@jfa4NJFH12yt$mOuFIcP2s&uk=k@Wd+0=`{mk62k7yilg46j4p5Vn4EFYyQK#b!IwGKWli$VB z)CV0O{rDv$9Jp~8>I?5rO9QQV$DxU135p$@XKAVYNKwuu1#A#u;k%N^Qr@e??bfxM z$F@)VzpMwXR~Z`s_HB@KV$ozA)&iYYh22Oe9LY{NWLK}ik9z)4fwNxN8$0=Up(4&* z5dGK+4o?|er0@#{Sym)|j4B<$afMmwmi?C#?C{UHn-A;bdkqHROEsC3#=b*vf@is| zyn2a8`J&aGNiqY&fd20l4n}#pAqSR~?8D;xu#@@bN;h|y$I1Ms-hceu+!hhtH&Kqu zt!K9zZ%w|*L)y!QwDi@6+Kd7pL{SjREF=L;AG>+swr@9zf3INS}>pZn@?~3QL3c0jBW%&z+X{B2ASYN@7Qh{O?u{ciFr{@ zpqY6CezAKM;(KsC7^!yL?%k>Z^_7a&6`oRCLXth^yTt-BcJ%7zB~aec*0Xr_3f*U0 z<>dqODk9{I*f@aBq_EFoh;x4eCw;;>$|HPnhd&Y$?n~7275U*WBiHJ{U~*jdsydb2 zE2H*a?H+&DFLNL`nAGtWq^rLAJBc?z?ilrASz0_e^GeKfNzJ)jU=ux_jzlOHg2O0% zS+)EI_qe}^T!s)5^vBu`j=Ma9k{e81UM9zNXF%Fo`m7-?GYp-Gn*&!mP)5t|?RwuO5m+WzZS+^4#$|-8}uhjI>MsT93_}3Tv0n1By~Fw z^Ox65E0ERAqEGV-4o6%$*%m{GW=D@Fs5$si4=RTdAGlwYSItU5?djlDElf4#|9bb1 zRjs}5U~H4h`ls?WSlw)x3RWe2@{d$wwfsgWmCfrzSq;;QUw)*bc2J)o8;VO zpFok+K{6gcCDLV<;mFc{&WWlnZ5$VCcGx_RjVN*0bA#fi21-70dsr?<*=fvsI(DqZ$}m2ZqG*ZDn$XG^@a8h9Myk4w!~gUonZU}o5(hT z_dwb%)X}|dM9XTa#f4Q~1c@z91{rMdp`qjSyPzz-Ej;(apE$I6@|)1LDM8Wct_Ug4 zz%BIcE-e$=O4e!Le73GI^NKAm?r1*bf-&R~5sC}maGT2M@#}gr(ZZt{{=ZHs5=S`A zTgJBz7lT@=4-V>%2Mj%eDnyy)ijz5X4wvV>7? zsY($jvl@`uk1@m4 zZ`7qS*cXVu%0Sv4CIy{ly!6Dg7(#xORbACmXh~30X8>l4KfxcmR7GwdCRPdKFyNd) z?b|w4I{({fa3++Fx7FO_`>lf25+@)}%f`gxWv_yb(a^vF3NJ<2nSw(XHF%{mXgGYF z?sd@nFQqb;jBZ4h3UFLi(#S3+X2@SYl*TY-r9#_c;$dZatM&zV_w}hIOlpr;oA$l* z_?q@zZfqUr#YYahygayATvRx6k#Hro=J%J=)J z=a`+M@kT`ig;Aha4y_1cG}|=F!+Ia~!!<&y%Xt)$%5X*G9_@1xYFnn|-#?UVln#m6 zlg{v=DvevC!fMrId+#2)i28XYd4B24I;d;6`>ow*bTG{&k9x2xbwQ?|E*>=0Lg>o^qb?0rruICyLKa-%BDcG1>x4Ud=ZAD`x=zq^LhXIjY2@ zn;xYEdI}P=VT2Ttd*P_1lRUvlte?8X{PY*N63wlN|OB4m~1ZrX!Z!YYpP}FX~laDpR$`{i`E(q^a{P8{Swx zmL}=M@_6f84OqtkT_Uzx}ni`G=idy)? zeedGw0(s>&C?hclR$o3>#IKlG!o`VMnb8XZD%zdGxVU>4>Oa8j@8^dE4HJzyAQvm~ z0c{KL$ebc2M&Yy0nwWH$l~gT#dVkCK%Fu?0zL*xHU}c-$-o6@@q4>=V-P}AQg|ba5 z1c>?!2qxx>G{eNheIHegGD?#&CdK)jS!?Bsi) zDBv;%f56m4HIA3?-sw#*?!OBKkJyZG+u)G-_?F260Z#x;DwjPX*(6*sH1Q8yyS<1G zh!jyFP#`2E%<#VtrG+8*8!UR7+PJRh4Bzf=#-}D67O+z#$vYw@53H9(Yhg6m)j0`l8k_sN* z%<2^^nQ((=jNIm@kMM=scR(BigG_j_#?|zx&6z0L##%#J8D%FjbTrKrP~JQETqfGWNLI2%$TL zkwL(h-nu^5FfjpUHpu~kAL58WY<*t^ol?tJ`fPcED$!j_K~XU#208ZUA>`XToQ>5f zPSO$OviMnbT~2paQoW29vCg>Ea>*0$@7J&*d)Qu1 z9rmGaKU$zZcdDCHt*z&vjc8$D)Ex~(43FeIpImM^A*NBrb$;iPFm<^LEpD(ZXG2sS zgWyWUO+jXQd&G=ToIV5SAbM5Y@HsZ&WBtak<=VX|-+>fyaiL08_qN7R-6=<8Gbyuf z&R}FhHw)^(YtxbwS2sat1BIv2;*5MIanr`Yxt!Kq5B9u!QqGn~_g?@|i;s9}YF;E9 z0mN>EPqcbI-tZQ_Q@Y7ojA)VJQ2I?(NL~CzjHd9u%KvYZMQa7cjW%DSX~~%$g-W+l z_$#G0qZEYGi_eTp$5uXcxMz$%O5tN*t zdf(`P_bnpMmjhf1jwgj~6!!1c<~Bq+Na%;@Kv?hYI644SJveyamWDxL6Ev}5g1o$} zr>G>xm*Y^o!84dNHfG?qz}SsiCJ&?eVZd>x!2iLb`XJO2io%?|v;TwCHKq-=($^*x z)Q~b1udv+Cnmg9HZy>`K2a}p3G2f{TEVat!F+;lVk0pN=kMoaguQ#Eg{TYxpjnp}R zdHxL&tfefFb-2nn-FAi#X$NYkwY8AJL0Ins#qy@c#_^e%zDO-fN?l9YG4|ELJGQ>3T8n9*crHkO0Tb1Kzmx4OKJ(YJb4c7iA0 ztQ&&GysuP~$%!0IY;0`2yjpT|bL;Cl-T+`0fF>QXWju0|hbz<3ekQ=JG7S+!KkaYl z{1{`WRHXh|Q&Hh+ZmusaU6q}Jl7d~5`BR9uzc2PpnK#xhDcd(bW>JRA#YKsf6daOD zv@{s4e1RE7>y{@XhoG2X=sZpOdvk5*tU=y^FX$4q3tuqAU&c~xkgW0sM#*tQ+Xk8yg6ywd;%}p@q#S6HKx@V`$ z_0pW&&`?kFeyH8?G1^coDbelf@TwvZM5ERI*Y9rav>P&68|(Oq?BUGEXD?=GB&vd5 zj=|=o7^JG|G$S9N^UORc8U>>=;IX)w$KR0BW7!estuvq6g1JwAs3M|z`O%e~Q$sUBojvTK(00hv1| zS_`etuqgthh#sF4-4b48#CJtE+ilNd&+&oj9G>c?S^<|+SAtr+z-G#V-JsvODM;^O zMv1@2AW+PC%@~jWVY~Hgf<&OM%>g@^REQL(%V~b-?IMp@!+3A>{{X!~LcY=1*l1^G z=LCHcP~Sj9N7K0s;k)cH+1mZbPBH9Od7=Kd{i$s#DVmee*kDyZwW)Uhlg-r2+k5Yx zJ#QlU94}b&P_p1SA-U#&ipSrd1`T3w;l$z+2hvFMu3x{d(P*->vr9@!N=r-mO%HGH z=?X>A*|R;G!+yW!f$El<2>>JZCPHn6&1mRMuMd> z1Q-Wp6VB@W`+D~wkdVpe4mr&$Dx&%G8UN}+M)SDFNU}k)nuqDnoy!ETrbfIYuX*6C zhzQEf)!vcUyroNN*DkF<%4;6RjO~s2O7Qcej11Gk@P&zqOlDyFBuMgO46HS@p`bu} z$Hj%NUS)^QB%nHKHSOG~z4Pg(v}qG-{6A~n;K4arSuIe!R?X9cWzBieHsd zC+}Cz-E8v);uT8DJVqWpX#WAakWVKvZ6-45KN*SfJnyCzRL6nM$qQ1eYmb$Mvqo%PL z(V@u`gAQ?t#gXVZZirBk_09L+`?%bD-hI?MR7mOCyDp zEnt4>rIy-x)J+UeD=W>lT3wu^T{}C~45S`!}pXM>^8YBjJ1tG%5g zI6LDEtr%Hb8yXrqW5$ezuIBf7XU@=(BW!4K#Kpz>QzGIKC@4A{_&f!rrKOO)E7z}Q zZ{Pm6oSdgHibP{U>`;3mWZ2+eP4j@Y(uYuWuaU$Lcp|CYABjdIg>exMe%J(>hdWM9 zrKwY?V@I9diV99toU?$01a^MS0e#K;@khcdm|f8f8G(VUd9T_f69_aWhK?T>+Z~x* zFbjN7eHz<K#*r< z>J0w=dsd)=0!<^b=HyA1Ndd5f(DnWMZ9<{|wIlY9q_YRoYWj4M%27!ySilc0S@VK| zEQb#>+sIT1PHf+vi(`Ad)I1e_Uh_yQ5XX<_b0fOs91!T1UrR0!A-8rkayXs(j_%)- zhG9cE?+W&RUR^0Nik8HY`|tF>dpL(ijh4n^i@32$GXI4lv}M~E6CKR1*Tr%gHkytf zXu6#@v*sa8F$^uT=3TqSjfhtWAw~k|MKC>hz|Y|H9zE#k(?&F}0prG9-?tA3m4(}q z#O4zxr$2aj%q%IH-MRB7%tI1oR8?{L3>I*9q({65tgx_kYyY!nlYD&>9dEu4Bv4(w zIybi+(LgB@AZAGM;DCUyJ9hMPyjV+FneqJj6mRc1C>Bnmk%-5Fv0AV{R;9+wnJjp^ zPz7!SdiJCZ8+7RMMM2a&P#!oMObxyk(5DZrSV6(TV*7~`G-r;+-jP&Afhe)HS6&gv zqjBzBu@TW98_U`w1ON^VUUSbLW@{$Ml8i>+q(`fl}a&r^MV;cZn#bA{w5TG@@S;=lJp z=$kBhU61k}mXL8E6)LHf`HhaQSj=ZEZJi?+BA= zvdPp&*GAOCa^;HA-#=y6tT<5Q4?l=JtA>{s=QEhAd-ukdVFNf6tOOoWqjzt1FkQMl z(+s~3So8e+CQhB2k)BSME-{PQ^N6P>b1U42Kmj0hnb`$ETA)&)p<=ruJ2-G49X_mM z9}z+$M~Zfk9N0&YEYxxLZqW{Q>&9R7_ZP?K=F-B24IC!})ouUp?1I7;9k>g*D z(W9A#1%W9l;-UeifB?*ymPV5&^H=PFWuqj@$CsRT~!)r^{JU2Isude|Sg58Z8 z#hQT~VYa|Pepch9=Be9$lYucm(ZFGJbPu(2 z*O_nDJjzV3w{ey9x(^lnlV0<*^=9p&h-t`W>7|N1UZeA8$hZ4*Z`2Mg#pfwNenNqu zMtDH%9y`X3auGLc7Ip4S)zyt@9$Z3L7@a$(T8{rvpbtxHNyPE>P&V_@UZyvC(Yfh8}``0l$Y zLx#k`D8S=tfr`pic|l@-@Bx`joE!FTLx8TcL4x5_Jw5e)@Z!Ll7Z5NpBqU?_aEgo+ z&Yv-006`7~4h5GTI6%?SI(876@#&}RUj^veLEH-tXTk&~Spge2vYH|Y)j*OgSissQ z0QUvK0GSF{x|E`#sB2eEV^tOH-OK9x__3xP6c1W~4^soF0cqU1lkVTww4(yU?SJ)^ ztw2-&Mn+WMzAdf_a7c|_-xR7J8QHp0W1 zI&j+WyhFae4GVawc`D3^rX5=(;gJshl=HZPjYPYVeMEDJM%1?#8VvrmwMk!onTV8YYFM(fjZ>zi zSgmnZbsa^ZhZG_Rcn~t3sJqe;62cw@MBuJhN6CQjLj%;nX@D!im>wQYy8P$Co$~UY zZ@o3KxHtpVi~vXl{7Pb?*p6}sSE*|UH*aR^>!8|a-xo886Zcjuz!C|BZeXD5&QxW8?vsq zZ7X)k*t(TgucoV4HH{e=EE@t^l;+{C=gs3_kTkE1=mOCr6ax~4G7vcstdA+tCHMZ zc_J&lUvWHhLhc(2gXMYe7Eyd^haLHElfv#5^mbrP-pahTF4e-4%FC&Ld|*M5hiz~L z%`x3gpZPDW>t4BQ@A-Xh-7>Iiw6giwz2w69!H@L!)~}yf`8^AoS@V#VNGEWIBLoCc zuU^d2Mk96Tz-fc)($zd6E#=7}c*uEs+_}S*$;FGzeGpLo$?I7Y!3Qi4R1YzS&p42qr&|rfg z1A-mAcaO5NC_Y}C1mtz>7;CVA{CrAC(6NKdm(ypTX<8tSkW5f2oQfJ=UK9~QD^_S4 zp%&@sv}+fYlxW)9w`YCDJRndG38(ncM>>NK9paKg!SiVzNd*BIK*n=x z9?~D}SQ4rcCQQOoHV_%5{~gdeY7|99@k!#AhYxSinBS!4eX)|3Es>stDY1%HHo;>& zwHdw!SgB11x^RyCdhpx7i)tRM*;%(a>mjwi?c^O=lhet1SNj9key+La483i0I+06t zW!}?XeMnmrN?6(IPfOY^RYnFpvE75(J=WhFqGIV% z&d^_k=J$DEC8W^f$6TZ%`pe36rtH*-x^$t)NTxzvpN9?f?Ms&~@gq^JRP=qaVMAxI zm@bNnIE7&KQc{E-Xu=-V>pE#RQZz3nCJ~I>v?waFeEY3&*|L9a1 zL~lIbCr~$lUUi+#iw8Jm?%X>C1wFwY@D)mXj~;A~>`ew@BPNFS?iH#Nwu7KH1ib(_ z0Qdl~5-NQ)9N9r2Cx-=3u^=)K>nNn`4-Lacj9^kz1JMo@F`2kN699?DI%~Cz0+EJP zL$KBOANAD&6xDTxe?V42ib}+!FheBRI7%5Ut~E#+O?*@1)HuGPV#OyYLEhp@P(UOE zAEQthz(+M2i4&s{!c-+9RZOg?)zmr~OpVo{MvO(eEU>Ws&b@bc?>%>U>ICwQ%YUY_a!2Dt-qek)LavjQN`$Pj$=QR(EfxP(W5iZAr!H^q>9tgi2C7MZs z;LV&=n%6FjkcUuO9B^nLbYEY79E<1W(S2pxgT>HzbLQ}qtE-t>BcaLxI)j8jedTcwK@H9i zZ^}s{30W{CggiW~BalX03mfKJ4i>{W7El0)O$Mbp$V4R74Yn zw&=L#rKVD1qGF_=fR-+07oF9-ni}%)5u5!D!Q9$IQURuVTGq>0Qge|sNL(L zJ9l`4oX*OB%5PY+uqnI0K*&mH*i47lq&w`SVZ*7$E$f}H|IL25d4nw__0uLdpOpXE zMtlmQ!vb|kKyb>>rzJ}|a2Nu*S@T?6n3aYRwh6Q%s11Z@GSRqkTq3I)8uvx(F1eOb<0gYl%t)2LgkUfTe_S zN%NwjbX&J}@T@wH%5B@U@$s2PW3+PooUj=LK2ZBEqJSJK)Mk4~9FI8rc$OPj$Dr46sp?YMP| zoimb+43g$eoS0i&EH<11rKOB0QED7OVHp{u)$-%0oK>qBOQJU9Ku{|wu@MJJD1h0s z>FHC&AlMeoGh+rn`N9Q?ied#UN56jb?z=2L%1c@VCk7($y)e$jK{=UPfWFwVgBC2H z{{5|k6&3W}dp4vIOp4aPakUGG<<6ao5m#4sXkuvNke*ICIeb5&@L(+PS0W(Z2M$<& zRcjvf5_S_TBgevp?3ITNlWse!c>s*^ym=&OUMJxyLv8J-@Rk4k_dv&fR~s80*klvA^!ah@D^(}I z(Mlnsp5m52`e5!gvsNq;+G3@5exvN*_Zr#fNl{$+6efm&V z7Gt`;efghIS77st7x{6ljM(Sy&X1#7K->tO;4C>%)~Fd!m>eiJyaFsFI8=^-1L^b6 zDIkDf94wE`prSY+4u13zdkYKjp^;a(07SN=@xcSi&!>$W`I%^qciv$lZHY3i0gVwo>V)RDwoXHO2j$*7m~ z(wJFZHMxJ<7{kHES;7{?ecRsYn~j;S2)@TE?DQz z9lCdqMvY=5g=$b~-ld9)tVxrMdcA{?ii(I{^zfK0xK}49r^k;U7Z(@n!E^*e=9QhD zJsn*EU`>=79(wyWliN1n=Xb+s^j)+lH91+QcID5E$}3m2qeo|kghV69w5@p{i{Zmr z>hE3(wH--Nft)+UuJx8JvJsn{)c<_?hOG+Mn`96X0m&5 z3uKRTuCRunoi@-TG!I3<9SoQqRr~F?)Y8I!!drd!9jj&-ThzKr^YZfYR-y^wp?PfsUdbIiVhcW}{0kzrX+&l`1hzLO@UZrY>+(L8TRnin1(J%2vkzprq? z9I(#;16Uuzr1xZ?q!6T_7NQZBBPt3>sCv-<*)R8?s;(=HFYrR5Rbm~A6qQjSDiE9a zz!&1EC~DM-Lx7+*@evI+8lwmm0yR_cIbtp<#wQl>J=Ax7#Ai~uCYX!SIwTT-0RvJ+ zgSSQn<t5-7&02{SXY=MP1aivh(p~4fw8d0}t|E#UL3kai zG=#1sgwwu#T#g86gz(LAaW*p}ZvgPrRU@F7lEQ#gSG{Usmx6-GWU^@lvy+oGIwS2E zJC=PxDS&_TW-C8Xz#(hAbH}ES(rZv-fwI_Yr9d+5TaO;%8ym(v=*kuUpdi!r>&DKV zb*`=)Asst%-hlJMzg@a;YN%8Ln0NX#1q3L6yiW8hOToiohI|XDC*lN=hN$rM<&276 zK<1q|O@VI`A%rDox=(%lC}Rh8Xis{5;{3>XfBzxf-Z;2!T}zVP{T@Zd(eeb1S4+%m z(Y$JDQr>Sv92j+i;bHav)GuFdii-N9vU&de`AJDht5&U=J$p9)4R68iz$KMZ4a-t~ z=-jz_%NEZ8100m;j#7=!Ja_@j4quP7l2AHN&Ft3mhc1c0-FD${+Q*4K| zp?s7qPZqozov|)i2;FJZ#F7hY(}urc{CFEQ&Yer!w`-#jL8w{vs&(WDqp{W$YJ@FS z`5IG4g0TQI9KnVSH5UXhLx}F$rBT3vpwh>qe2kA55mi^B02LK63>v^ZBLBgIO+Wr< z+_XuDoyV~vQN|2Nl6v*xv_Mb;mqt?%g{+E^aKcH?d6*7gEETG1VFp}m{0jykSn*s(oyVCSQasQC zTSL^5Xi!klQC#3>r<|M|A0MCR%e)mUA|oT8`Q-mq84R5K9yma?*+z|U+an^_LE5&J z8cIt`y}ES^n=)k``om{Y5emNCt{tHSL_MJvYLSmVqI2iO_RvsvC`U()A;ra9zFfR$ z(}=i2S%x}H(3UN#OUZx}Rv<~s0wlhTP z>eb}sCAOm)K=re2TaCHMYTcS&fka!U6Nf-cm(pjSi7_@}1T9`nJ$s6sQ&MQwEU~eF ze_FYc`t=jrv$MI}RF{Kr`RUWCefyd}alwH6j0_P!zyMrTxoIFM-VXK%1cgvwf%Lct zPbp0D?z>c3Y14=$$Ha&|HDQKJ4ra?o08}ILfo-L_bm8hvu6l7UNFZ=MmC{TUBmoRK z8jO;KXu&bLx$*N;GS6f(W@qc3CJFe@mA4{xxDC#RgNSADv5d%n!m>m%W7s8&&bXbPX|o`Zyu!k~>S~9+gXx>U5w)`X zh7fyPee&~10fQZtLozZlLPA1t+-J_5X{O3=9z1y9H*nxZB%rqP_V&)q%xsN>SgFRZ z^8h*IN_7btA1)QZgJ6Y$VzpXzUV2*EtZCCs!VRxO3l}c@M9Qo&&-LrA_#d&TABu!VO~Imv$M4u95XUfzr%zKrfOa?d7;-5O56;5zjIF@r<>fU$HF@TJca{E8YJrwT zZ~TV7JiB*XP_Wz~D=W*_*H<}Y^5n_DnB}oY*Y44tUEeOfK?Q}Scgl(f4t&kG=SxEd z)9@jDgLm#k`N>;}<6;B2GI?Sqp~XS0UxJ)Ok>NcsMqQvonK%OeV_Aq{D~nTQlA`Y*>!F zyH9j9*Lg89^>uELjF&Dk^G1%0#JaFc^$65QzCUOXUrVHxjVPP~JQ{8&-E*aS^$0F6v%;ZgT;d-N+IJr zaDaDD5U{jzrP%oT>+HgyrXVCqh##REL$rha;o&4Fm0x_pR8tpietz`nr=)Zfy`GbX z{Cu$|tPnj14A59$J;G9tW^neLn<`I55SMKdDl#a6#NKB8c|b26B)&&pr2?=RD8<|9{|h!qTsw2p(yP@&yO0&`?!ZC%@hgn&-r( zO`AuJ63z4T^YeH-DJdyhM`dYVkI<0q#oHc!_%U*4TtZ^O+Pv-CH^#(x59`dCGlK^Y zuB@!wwQJX3Z7glU(!8pwDpQbBQztH2vXYCTLkE_W>{P0SeLO2!x^yXh=b}Z6ZnXFf z8#csIeZHKZpP!$Qkf0B3V$CCF+?pwZh36H@%ygZcI6K<*vW#(xvB_GxHWKNS`+CCNtkU%8H62 zN00VgzN~cw9N=c9j=&-83AV9*;B+TUP*G8;MGMs=`0`891Khd>S{%65<8d$AkN&hBXux>d$H8n5tVhpN9tz3aL4L z27HZ9Xbur%WvRS8%G&3l)()OLDYCI}q5iJFzld~PoNC?L`-J9_Snb@Yj|>YFy^N2q zSI|b{t+&*S8Tv=zDbp|7;VO+c6*B_DPOaHEP~^X|(q{}VVf}hx3Rk@UzND_^N42ik z#J!+-CfG6_j;{2?36VF74uBjAaNiOZKScMdQ$ z50!%6t$wSZU`;~8__l2W-+5hlrLk-cMi1wTiVBe>=u!i&{Oz~(hkN&`5hK)3KlwaFwo$BSpOp->Ai*45yexde8zB;%;ThW6FE7OId%|a+D%Jn?bx&RFRQl)=!@nxw^}mck!aSa6!xpN!u9I)`}x+*-`}rIDafEDvFJb z?cTlnfkTJdW40LigF%E$Zq&kt`68 z2t-8O;l(tJ(o*3{?1BdT9Z8>_?*0~WrkDEcGy5~I=DqUDa;V=44hZAFV~75H@L;uN zi%7mJ=sU-b6{}3_Bn@gw5G;(hkDE=g<2*WEsytuikyvo6=Ml z+bk%+$Lhn-lI(2nFmjkJpxN4NfbU{uh7NV#UBjTg<6S%8HTtcX7@vnwGhE=ySt5-r z+Y+#<|#xP)qj^|WmWQOF z7G1j7ja_YR?dpPpzdikQAGXH&nVdhWi9IqtG<5ILqlXS0peOq?GGIqtUD4vjrInS< z$?i*+nq9s6kNEhS?qoVv+TX67!nwtGpRY{470S+TUz_YluVc)(Kdl&qZohuQIy*RlFWJG(wbQF*Z{-K zCj{57$qm4vd9yMy=)i74^H>HY1d%OTr2R6<&^ZhFN+lQBRPRFa>SsXc1wsmV{ zR+i`2U+tQAPN}|>()HW+hUNvCH)N`*c}{lzopA1)P~PjWyZkh4n9wMO*9p$_)mNp} z`1xm_=N&s%4<8=3b!*&n&$(#c&YdbLNvhNic$txihig@n+mV8&>7rq4WoD#E|gA41Jwxi z)AE|NeXB@G5vjHVi%kh&5$vd_ki*i%jIL$l#(HT)?Q(PV`Nxe@t5$i%4DLXR&`7fh zumI!mqK!lALB9ysXk8%ky-t(oUsZTx;Z^vLJCjmNjl67*9@OZy|J|3mITEc$(xco{ga%xPd zj6&x1L4#E1&h>*4(GNb5Dqt}T<|l}kU0=PZE?rcAYOMx5=~JzT4@)hO1_(Aw<4fOql}C&X~m@^WG2Bqks@SoVtv zM&^}~w^U@VW=6*R%*?eIP4m7neIE7y>8H*<4>O6~ ztun5ukM*#JaeepuJiy{+EA@*~R7sXnQ|-Uq%|36>9_flGV-2)WR1{?A3L-pbj>|z% zIk~yj-Mfc%=pdShCfVVnZx9|Xt%=sMSRjS4b-sP1fSJ)M4UqMVFY3}rLR0GW92zJ# z=$>{^!;~qauMa&W*SP-VWEn%%*#Wb>^paYzz0O2V+*d4ou8k9i_6DNw;*wMbdSB%Zh1J}$eg@rz2usamRh!O59ByBhy zY`7hCjR?P!{gvUa)~u1dvV)ax-|igy2h_Zf5IF+)n#l8~0izZdC%I(Ds8K2*K}3ST zjvWv{8p+DuJu7!J*=C@;^C zj!v$t>t^2HRP*@C?%h&da1HLm7o7PX>@S68{5Rp%yv)pWT7)}|GLJ_z@3-HaZPY=f z{;L#O`K0|RE}nLQsG4l``{CqCv0CVj27iA^zGcf~B~H-QnO&FV(=CvK2qA{@yYIpt zeKamEu3qz~iq~FqkuH0a;l$#_(*I#%?4WX{P8Dsl18GD8Nes2R1V^ zQyn>?KexAo*yqusg-kVc>n0qHCon;dO`q;E47H$)iOKDOGKLzot`XK_JDP$LTQsS* z*5@Ig=5S3hgQF=xrc~JK%yHJ8qbVY#hOZW$a)Qh}iW&Aza35?&_(h zgeBUvaSn%AYHMrD%ggU?%|llX9r9kIcG=mIqOcrC$vHynCg4v>9&K;}Yt_5A{KQ*$ z9VP*(slsnguudwPb4SLQ93o{&H8r9fr%t(y$5hcBBD9Rh$st{6>iYF+%owp;z9?xn zG&Zp0()TL3%P-}#N;(YbrT${XftInPM4y>8Q1YBFabwFgb1a6=2XeO2dp)3icEkX- z$zrH4WRe`?zbgnudiuSmdBo0iB3b{VzU+f@y7D;wOSA17>{w(QLjKh0=%!&y_1VufM)v{`|&w_&}(yFJ8YsZq6KUU0sHwh5#<0 znKm?Rm?CtF&&kve7g<@7pDz^^`Z#`&w!x#g0v68AmGkHIaS9HFGvZNYWo2}9bc5zG znV)F%4d_Ech89^YK#}wk6=??r25XEPr?ceRwK8+2z5>-xXd|d;2qtFm7nvr~XRcIg zM0IFCJFx1|gq0d^+)$6Owl)pmpNLi_LwyH84I@V?hhYa+p` zVSm^tK6NVYx#zqI2^p{t)n8c|bm}wDs5TfsUKMD!Zpv^yB9OQ6i6>9$Bz|QUw!p~NVv%0q@>6Fnpa+4e(2DlyLaz)>eR`%4GZPv^5KVS z1AM=h73|Xqs$J1 z7wnq((-1f{H}+wkwVtQ7ZNE$ND&x2h+7_V;@tR7aNe2}BkUyADgK2P$gU~dui;uT7BE zO=ck6*fbAQyio+7J`#t|GZl`ZG&PT3nO@4Kc?U5qB2*a4qwbZvL&;d2`Dh+8Y16!G zBKaccL^!Nu3YL1v&27*;x{^KfEwHWueDR-=29aqZF(O5SvWJ!~uDdQjeeBlp9o2C! zbWo1d-xui5pO6BP=S9ZjQRxDzk!)wzJhns@GEid7uM?Rh(wpam1ZAmBtH)3HaM;px zZw!cRQ(05`h)kq{eh|&8tE)SA?i^*R{^HcU?*^yl)z%(;`suM%RbPt0tffgw?F`K; zD=Ql_W(@YwoRyN2vU26hj+hz?T-&v4a{01*YB4@QC7bYYrFq@E=PX;cfJ1!PC@wBm znzwwp(!9?;3%VIR0pA`ODec=o^gZ~nXOD9CXU^#3umDZitC#z)IKYh?Ri4m5>#kX& zXqTD}L}g7)bhmEF<>h%!qeER{4AFI0VC(2u)I|e&Osdeh4}+4QFJF8SFxb4g%02${ z&;Z&VMb}h{1yKcFXT?FL(P@yH9ke3R5DGJ~bg69Gqm$+} z6s_L5vmz`y|K4EpB~4QE z$Tu@)G`v>ik0RK~`BW&+{0bR^*117iJ}%DEyc&_=hSGq?MAjoV_td-sLtSng^Km){ zL^=l7y#E?HYV`muo$1s(I5R3r)rKK3G?F1f(#cr-m$PRimgVj%`k8zylcv{i%?pvO zTV#sTJftg)y7MpoJCQ%!Q}fW>1d%U|$q4q}kUee?M{a5!WXOlpM26B4DIL2bnrmWr$!P*b#cJT+zozj+7-!EDNTga^1S<^z`K0xAW|ykOBow_Xa~z zk#Ki@gXu$9n9?L(N7Axz4{1aQ&6HyY3WVS}!GufJA*f&k5h`X{G8)Ls8Unah#kw8 z$-;&1pM&Ee0dN|2aG|V&vKpwZt?k>lZ&g***|TTc5qE4DFknDUO%3hS^fZqng27Ij z$|V?+enzGNe=*XPsuO%b>()w{&?L6REkp4l?;DzD0blTs#Kh3xnm1Zxmu)_hlNwy} zP8mC?_fO^gWXNklf-6APU^RQRF`~nGD z6H8jPY8PDdaAzxQi>DJ%gKHkU=_+E`TV$rRfB(oIujbJ(&T&@24zooQm>phkW}QII z%gWBqS+XPxMlzq1lBBROGcz+cH+R;oS!-x-;Wocnv}lpn>rG8f-Mo3T{;tMASoC;2 zk5==%-i(ZlhralOf&QdRO;CbV7>yS!a$!Lb>FwLi>TduQOi&{p5nr5uNz>9Q_wJ20 zdb>gMXjGWaB3uphCu!i_cYWn%4v6KS}&d-GN(6|c(Z|>ZHXwWu_u4{l^ z)6?BQho2+N+qMM^hKH*_W4q9@va*<%n1u@$E<-y5(S$V*BJS8xiH8Ba$rzDBTo&6D zd0uRq$04dag~3^IN6&;2^`>V_UY9L0PHEm1W5Fu_8DT}O79)e)3Es~-@wMrWhC=Jv`B!X04@>q-V5JZy&S6%{J6oJCBCCI~d zqumMtK>}D_-QSt{I-T#%d}TM*q{M%|KxgL8oqNwc=l}nodK$jdr}Yk(=g#Tr+JAEr zSV$Yt4T0vILTDadCeXeO9(-%##)QWoFDfcJc<^B7&YkVsJ9qBv)vFh6-y-V&|HSX5 z&vR>DW~R)U6LKWOUVW9NoA=}5^rY;n^J3dJZR3s|D-)^GJm?Z%GGc_LzAx6U)n4J~ zQS&}RV(=j8v3|V@gCUJn^(UX0(g=!*l#$6y1E?dy;LcUAn9=JXLPLfGhCxh-ieaZv zBgP=WxH^0LRH>^C!j>W+_3aDTWWog9LZ3cbp9Z|088W$PQ&7<89S07SBS(UU!Nsq= zCS%6v-`{+rU$JY=0R@QgAl?pMe_c0Xw6lW;OJSi>fDL*S*op+8PT3u`451rB%K&!d z3xY6elugQ2fLRbc+ZR(=JT&)tds~#p%OV#< zB1GP1Jrq%B%{yyN{x|DI=pJ(v9a{6mYG+fehOdDokQ0bQt8+sFDQ(4>r_|lWO5J{C1b}{CzDgBYVla8(me8Y#th|CU*LG?qR4U%-3?L@GJW~w zpqs1*J4`wmK$}@DBx2x;FJ#alvmGS1SR$0nX$Kibhxhf@<}ezp_y9ra@?d0d%Yx8$ z?Hafwmqw0M{jNkIOS6~-8{UpXrG zw`tR6?AWnOmMk$I-C>&7qzMA9|JwNUQ%OqlpA9-9=OJh&gz<<;Y^=Hgj;|75iJal| zM4E9?t>%IAmMq<8392$(wVDTtMgA?)QKTgo)oPwt>R3dF!f{x#s_t;mfbyTNCgvvY z`)4BwmnrwI&i{UlvvREKNvr(Zh-Dl@Yo1sg=igyIk;jCYM5@(1K%aVyR1)dHMQzl) z`t{|54`juPkdrY9`+*R{!}VY&Ie6crd9!nKv$L`ur4hmamSm4qnb*!I#7IF>b=u=OXG;G*@^k|ed%b=c`z=1=DV&mfS z$BdamdaYTbO9}<@@`)!TF;NTbw}4yo7A){F>4y$!(*S)KXw^yyi%ReX6ghFC#<>y! z&FkB@fJ%0l)WDfDN(x_nW!^^{;0p|p8|Y1Lu4bnjzS1aGlfiw&zz8*DM?2+i2Z;vy zSN$+%ql4C!>F2%Kh`#skh;OLmn{=_sivi=R=ELF5N(@AZIqSizRsVQP{5fn51;GU z(dQ|_B&1H7*C-1jOKw39n_PN8#b0M z)hN;P8K9c-^YssynjiI!p$yi%Aly##Ox#ZMg5cFWj-C}7X3+uua?qdT)=z)g_TcCR z-H^e^n`QgUd;Fo|JDTp^H@0fk>ej7W%a$#B z|NZxO?b_9(Ns|p5HfZJW(V`;RyH`c`yP(6kZrPlBY^Pu&EUaY6koIfWYM%$=*E9}% z`DJWkVt!=g6esjI*#j<%!Y6*$*Ju-)qg4H=Lrp^P|uCCv~EO7iGsJnZx_#K=;T8DE9 z3vDyA8Y5y(>Zg?IJvHH+3L@KeH_&?X zdWJ*@5KRuG@n&V=tMj@DfBtNDz5=B7v>EsMc{(~enz7T+5Mn6RAc=~>QX1+JW~>&M zvYiJZddX7Lv9eBWa=j{OX%W-YM=Pt&{_=HK_=80lC7*F29oNJB_sa>Sop|xyVYDQO zG7X%bVUIXpPY{BFQ8Y$+`{VMrI*qGQ#J2+BDGm-&z{5kz*H~ECAMh#AB^3{4k9VG^ zaw~x>XwD?*Y7wOrnA5bH+AWReg&Pp^&eS^D$e8-yS9eLWa(Gm#3dU_%f7!LccMN5~ zmzMB548GZJldc>7#RQ6LWK|o^Bi4r9X(h_RGhK>YKoMv@p7IRisSGIR7T`o47*FO1 z?n4a&!uCgnLg7j0$fvV4J)@wG7Dw6EuogVLdwC*JQa#V}YKkb&>qN_Ae`hD>Nu3VB z!=fqpk$<4FXu!4OE`mf5E^_~p{N`{hu&*Gu zW@vKio;kyujDR6J$8P{47D=WR#Q37{2hhv9JUN|GQ844*Q($a_+T!t3bl1d7FrCEa z<8V(W=STcjciws|H;Pf+@N6pY`qS$Z7(>T(RztK$c7B>}o)3xM1qgb<%y)=QHg|(<)+mi!-uV(pW_MNhrV7~dw zG#G?()w}8&baNm*NyWfWova-CoA^%IN>~|=@HXQ-MT^AZ4P&lnBDGoKp5_-6Jb*+4 zhVmbyDD7Zl^iy-^F$woA7Duqq%+w-6e+oB}DTvCr%bOQA@wTj3CSgJ8??|~aQ2q&U zKxakv^fdhl4(gDOJK7&o1_`=JAx&5I{&8VuTe0Lq$s=c^rrIVS_-)q%i@0B2epVgt zHMeQ?^gxM!8-sORmid)4etiycX%HoaSXx}ST2>|!6J6t*KaKkSWSL?ZTw@Tz$ac0b z5g&f)Yove|nm&3JY)fmV6r(;9QO^?T7 zyYYvg2%Cf?eqUve2?j0aADPSj*)q@vUIV{NRhod`p;og3Ri@4Jd<8J%*BR%GSDx~TUE_o-2 z*mAyLBDXsC3)6#O(^pt#Cifr(ZxQ9j&H*&WG`=E0rFt;jQl3i;BOIjb%Qpojgk_yFIRo!rx0ak~Dte0ip*`ZzSUC9-Q_+d3+aPOVjAAN6-Us^~vY|4=M?}`C zZpAb(itXJGWnK7*WwM@Ib4Mns505|&vU}+VuErE}1n;7OH;XAC=h$y{W<6fVTV+qT z+}APR&QHo=2dw~WKk(r)wTa_i;UZo3udY1;XMMv;o$s^%gVo(tAOPb{AM=#CyLR?7 zWZDOcubW7KJDmUL^MNauEvegii7(eN)S*NDxY#Uw16VmH4bD?U4*;Hg-6rI;lmXwL z>&*OP91c2RbaWe@@HM#QgVY!aivX^j5^{c2`mcUC-iXToU?-r%21oRL4pHQlm&q6z z7yx&>aZVI$5hPm=Exl$jwS`$a7I8wk7{X_Q>!FLg4?jR2X4qgsnX7FZgp=tK@r?u?GI749Rpd!Q*n z&ZGoj4pe`k-CeBT0u^XPLYtQGN$!aQM>eY^5>mguQ7RFsQ;!RieY z!^D|xONi54P)x8(kP1UIoPinM8^@Rc-3LXaW+&3}`)yS(I}=8wlm643$-pZMvJJE0 zcvmobDkE9;3u^ybtwkQ7112LG*vW$qx9WSAA#N%&Acu!|=8Osk<*uTkUC;Hs?R9j# zM4^6$kH2i33|Rqd+(n+pg7wAD_V89MNI{Z6)>uqrev~JIl@(QgaJ!ekZ;4x31_TIF zooMUo4%GokvgYRIK&Jv&hqX8NvXa)~k!gzIW}@RmgM>Tnv&JoWjtM?~o%E!oO`qAf zqhvTH!VR5g{RrMxH)REyZ4Ulx5HAf)nGNdvH>*9o^mGNzHb+-(QL`U$c#4l#Ga-t% z9Z*8o8?9be0~ud8!GG%rD@hD2zIF72Fj<-AkON=FCsOOh4Whj$8}{T$$P<8cBv+7? zct&eew6oQK$l@#5K8gI_opM(xWLGBcL9IvYt!O0SSrMA0MQM+bw6^B-MvFS7)WbrD zLr9pOFAV8m_$&^6U_?Y<1x0<5CB$507+jTI-=0}&Do*}S`KO<?yU5I+Day{E*j8p%xXmC-6WY@{dx%6$+slU4)rNls%ayPV5Ng({kgGU)woakQxD z9vzuE&p=d3O}DYl2MP{K64Xd0;}JDjpGM{`m)&PZXfL2YonFV+J*sZbL9q=;#XiUnbFHt zH&75AEG*C>Sh@Z@a91>1tII%sd!Cm~XIL%D!-MFd7F_X?e6=<4lNp^pUHrI|m$;5? z3H&>;b-7v6SmXWQD>a=_x4g7;FSZZJ5h4u!>5yrFV%hg4@xJAUl!8#smxT)5TMmwe zg~jWpO@CQ)8yh8qob+3+84LwsiiS@9jqdhX5_Fo0y#Y#mP>E-^$Ib0#j}VQqY0xQQ zX)R*JP*hDQ=iV~FQ)!+XZ{e~wW){n5?JceSffzb<-oTjZ0QoEalR+dRx$gBZ){~h| zxRMg6dAA>NGI<;fvIm%FZkKbT1a`WKAPZPc+qc4sbsd&M`UlaV)e&a z5zWpFSNQ0wF)~Kc`hDJhR|UuS*43pG8bzylN`B8t?)~g9T0S(8eB-m36RBl|{oE*Z z5);#Qt&qVvzIw62wbPKi;E|K0RD)tvBj7Z+fG|Z6SC@pboTu2df9g&w+KIHJ}-F ztBK)mG}ee4jxFw;bsI8tP49z7m~YCCX5bVg2Wdv`}+bb95VaI$RH9fuFcIGKyJ>lS=13$vtMh6AFid2Ry4a zvp-9kXE$4F%sK7)r48e@$=XoTE&u(?k8O6_{lN&}K2N1nH4(!i6{L+qtKl59Qa{eH zZky}!w0pc#KVJdma5I9eKH$R#LAjQgm>h=(LA-tq{*3#7%XE*1a#UA z7VV#-zyc`H6@2h@SVTD01o{%pCADx3jD$N|{VY#j;N#t07Vy+Kfrb{?tFzORt@vzb zg6_V@r#bhtL?O1PM8*m7JAa~ZxyWpGiPa8_(j;ng6VPVo4EWxrd9sP?7LPgqVxE&t zDpV7FRfAQtO%@5AOg4Ex&7@Rox-6vzgu~SaJ+k}2hjp_bnCPA=x5K;Z2WswaY4h`Z zK}PxM)(T8^$f!UE%J}&+zq$^to9+No$WKdc*(}=~qc$fjUf&mZST?_wM^5k9^E%kz zYu4^I3-6;JygF9e3EGk#D9fY}($dxM+`mzyp;|fFfFA$#H9%!Ac`puE$O=T2T5sz7 z!^bH^cB4&uOE(T&he1FKW!|$^IPjN<7XTb3r=~70o1JWTdq18nGqSV05@;bb_mkk@ zObQlq?FO5kySq}VtCs;st(?Q<@Nm(xv{dNj&q^=k$5pPFIXz!eLCzoT8uAIOn#KAO z^*AUc>hg*VqFNJoVvB&?@VPXoQ6kYw%arxH6liJUn0kTMR#}RUc0r*;3xj90X%9J* ztfHns8ZP_>t-ZlE;7Z}6m5c-b_LpN@PKet<`&FI_DTV5uYYX2ddo4DhIppjxr*4^P z4pxugZV2)x+S$AX90TKV=SgYf+&v>_|MLba>JLV?h_*Jn8lBH}J-T9BbgB$5bF!0& z=z6(4>A!7#UtLA52ubU`6mtT^Dg|>gGc((5=Zo!5PmKk+TlxuaS-n}N7?`bGRMqw?aBD4$)l{g57E6QH-!)nW{WAit3%#OeY)i{Q3)jct7X%GOnpSFcbC zB!Zmc>~_-Tp{9vh4>pULV~bDYM{Ux28b`Q*ZP1Z6;ZZyUD7mpXI$aeR9a8*Ug);a| zFl*Z1zTKYCaB3n}V?+~dI15@61HrjXcryK^l}z2Bz`kXpwh=YTRGB2GiBK6XTk`ew zm&WQalrdI`1KzK4B{KFu*2U6@1{S@-?i#J*f?&`hcVoc7Tqq(kvI!HQ&;WxrhQT5> znFNgsb7-8AZw6b4eC{%rozG$k3qGPkNnlqKX!z=Kwqj2QPZ_B=hEOU}j^UT}I`BN% z$8T0a*E$rMGp~(7!}*Vf99dE4f4NL`DnqJMUzx$4RJ!T@@_b7F$oux)x~=Hd1h zfZj&O#dW*v4+5JJUqCt5!Auew8V7C~Hp8%@|1OeWUm-+)0i?gKg`WQYG~Zi`(Zo!} z%q=@o7+p?PU1=@F+u!?pW^agGLgomQUa`$zwApg_oAtsyY*vLtMQO|s3g9@sAq33d z1L%g27*Lyh!q-<<_i2&G_Z(J@6S{kn;4dd+KvRY}#C3g^JZhAx13SaO6n(+>2_?{EKrFP#Mt(ro&x&vz>0>(a;BGB6mRWF+FlHW;0n z@|#GW7ZH8k`@^S9xLpj+kzLn(b&SS%e`nb5r16H|Tdrh(XL70WC3krE`EAiKTDJFu zQQ^VJdDC9I2bt*b>NyecJ0R17a!H8<1t};rts0NJOhu1_VeRu{6x7j`b9sPsPm79@ z4G4GzbnYj$ZT`=-At51M%Rn)S54!lcR%ZtCjWyer5cE6;pfb@!y_8<=l0%_HHn?Xc z0IIm+P$X*Dd>Ff>)`x?O^d~))6tZPInDj|TrGi>CnKHIcJ!2{#S(~NUO}mg>K6OB1 zhVQW4;!96uvywsgPLfxyj3>vZ@I)Xbsf2_){5H&rRIc=MF&1_dVoYu&;HG#eWWL0D z0<+tR(lhX>sMfgVA`K&4&TAoE&=-9!*Vp$!ksgjpBC^s+$OAM50-+!wIc#@vlRX6k zO>Rns6C>^Y{E6K#LCbS z2NTLQ5E1{*%f4{nOWuWd#+%`XK~5y*Pt2|c!{U+i*XO|O%kJs3P4QUvL)_zt^h34S z?@L5MQghnpLcph@643%dp{jF^W3!@DbU*kAMjtquP(9=z?S5KEpZw5OA5~rpgb*?U z^zzBr_;|fkKrx$(kmU_xp+rGQ9jblU4GV}6$rtSH=t-P6> zTuizi4BBQbq|Nc(55*KRSc{}9NSaf7zThll)E;hg`a1w$osxjhCHKrw!HMZhr<@WS zJ6#cp8Ym#iFnl{Y@%H1RVm*ZA%EmX3yCEpBo6Zxqhe7!(fz5)@^Chp{yu{3pb`uRr ze*QLA00OCLVq)?`Kd-n&%e5>QR!d8aOZGbnlF#!o@Z2sf;S&oupV_bnXul;Hpe*U# zBA|Skja}R+;RV2E-06@yAV4N4n=Uqg@yHf7{Iy=6KRjBUx-3SFj0&*0iNA_Gm)>1B z*eo58w-Jh#@*9cSN^)@bYg&m^k1cHn`y~n+e7yBvoHY_Sh1B80MZcVq+E1%+X z#;R2cT55di4k+w*a=0A;FWUm3Y5?of{sA9K1S&@m zP5K=UDGN~IvlS{6tv8MIey(Z*18JYDIk^9X5)e#2*^{GU zS_Qw(yJ<6!HoQLP4&8sy^3uHTVxI5p@2cJcFE~I1ctfx!y_53K-PC}-1}67F^*L(; z>UNXHfma&Nq+~SpJ7txZ|M-OD4~29HCv*ZJ9iCk8cYDFT?=Q)I_fRH*-0UKKDqPq7 z-}=9kXcm`P+O|nXZ81k)9*k_ZrOPA?zilofa|1b~-;22nM|y;gDY-Kq@0d_gA9h>p z%%sA@z&P0Bug|fM+)`2qu8k(bZ|`~h4C-$$HABjukWD8xxYS68)i|OsjJxQC+j_oZ z42#>2%WUB`@`SFgnlh&PKQ+Fmk_uZL%9Op?^m9yyqPZKK5c?^`Z_pt9@roxF)Y)wT zoTpZUP(lf{cID%BhGo`@=g04F3TtZtD9BXS4;QCa1g!`q;NCx>M<-L9vr8jFXo|N$ zP8$#4jUyHI1LDBAzQrlgGckNZL>2M*vv=aKDx=m*3`2{Y(=@QE`*4Z1>HHZv{3MQ* zxBzN4<>B?sn7PC+$NQzFI@od>6Z$uHhOVdDa~v-JR?*EVcdjm{#Te@G2l3%vO2&`) zZZ_kua#9xi)A9=j_>qtWFk}yo#pb1F7*0~>F6Bf@pD>i<)-GCeG~|P+wLm6 zJHvrKB@?a=^p88>GI2KpT113}YVEp)QXcL^9`K32e%H|bO|sKwED&hsydY(_vrxA3 zPtaRIF50N)Hyb{pew)%wL}y;!sCZgf;bLEJ5ZT1OXVCM=J}rZ^BL^??@vuS*pWG24 zyTrkP)e2Lf(3bdYGm(vrtOfhX`KqFC=X<)=)kCTLLQUp@14yLk+WYYui;=6)V^6Xr$UlS?F0rQUY&?2SFRyDVq~P=w2b%1!V?vZz2g)i zRoX;v;Swa!W?!H`P#21ei>s?ACnlmbJ<8b?L`5~VG|Fp3IPGhgYqaN#*?5&bFgQm= zMPW^CevVf+q+1%uq70!E!0*$$iw zi_1OU*BjR!*Y(7_tTTZHHZaWJJ^&kUH(WI%xAtY2W+Qd+nnQy=YnAyE>LnN5IG(9i zv*v3itD;&G2Rz{)r}_Dn*>10@*&`vUwf>;DG+e)~o8{K1G2L4r90O1VdI4nV^z?M} zN0TW}KX<+zaj=rifrFZtleGOvWdlC5J3dpdmRcS5cwdS<7MF3+$ifzr1#Fjd__EyT@&g2b1ns&HA4*kR`TAl+g@QaHJfim9&B1V})oNg~|(u$U) z?Ts{ysUfMa+zn!>Q9SvA9~)!vvU|YBx%br;08DUXm&(2NS6LruDkdF8)QIDBj5uu_g)HQDO z4`l|QRw(=fThN&(yWMg%NHJ}f=^OU-zMhGd_XSN>(hrZj_I28Je8SCCwW|XF;@h> z>Gh`(F?qQ7=_B$Nx}nJHg*28n;i)qeYI%8PFV5>yBiHLP=Kv!$OZZ>y%ce-+lBasI z<5s0bJz^vCnM&}RqmKvo^<+9DP$)@V@|ee8?5Ra_TNMg=FU^)Kml+E#D}=#E)tS6y zPETuYwt0$K+Qy;~IR;x)`4)5I9sAvu50R_Hg?e*zEHC%`anYuhnPW-|PmbM&Fevu{ zrq<@@0wX;v`W6N8)~c$Rt0tPq_AqDC7mLI@1Cp43Qqp#DW@Y{!i*udpk7W`@y-@_*O`F#UhjNa~w9$ z@;L7h;arpA~fOUKs@x#X}w-FYJ9n8RzW z0QI(2lgW>o;@Idm0|f`3ZAX{DD<$Xs8vW~6wE$J)35np}9mmtWH*IqlQ&tGn_wVFE0oo6Z6a44D1;wt^wVEQKwc; zMa4$vdZ*XY!Xi=}o- z*2FCfS^yN8sV5xPW3{8{Tz-_WyY&YsM_$vpU?#g^2ss@N5`4g{~oGc zeS|0m%+%?3YT3cG|8Xsj%ji@lE~%7?6x*X?a+3t`L?)FVwi zd@7K=B>eAaM68M_a};zm7xe7JTeF;y^LUh6HkSASj=(eEvP;R&uS#~a*H{9Rc$6fKw((4xtymO-di0}L?YYU=wMMhV8| z?TdDymdI28<=8ItPn^`8jPmeBgjoAQ7`x?3p&xwsM2vJQe8_Fi4NLR}IbOB6riRze|jdXJtk6?n<9&o8O#6koZa#AwEap18-7qywnB0 zNzr+|(zwOM(jIq*E_o#fW~Vi8-msQ|{yy|Lc8jCiLkT&uNlo2uhsEsdO=jON2{A?# za^o9OxA!9>t7qV`iEi$O(2k#6&&$^7=@Dt58~1a#?MDpTrx%~B94Rd#Q(OfM+jAu0 zWFZ*M!7ZV>5r7;sBmLeO;=L!O>!ZYVy(dn<)*p0UK8{5A{F}U_w@!aq^gKsaNCFt5 zH*eQ}2gj7NV_=|C0d=Cp!oy-2VCI?Za8-zkFGR*=?VEKIh>f#;aw*ARG#f;q)9U8b z(9oLT9~0jgTU}kIJpG|KN5*coaEF(2Tjt@nVF$qd4BFpk=SzT~hxd9z-eGC7n%tZ* zVoYFhnxX0Ll*-zA*$0;YEZ7;8KQ%?^B>e&pL%h|!x-E&|>q8)iemL}TwSVl% z!MDarLv!`^STZ=2o?k@EVp^XAfu(L9Af1qun{x1RR&ygFvY*NF8RUXi41JYoVKLUz z>(ExL2ps$Une|sv6c+B;zDh>?OqNRc&J@w1d#l>u$4GRSGfxT&-TR|9H=+f$bBdU4$sQJf7mBIC5J|rAc25o5- z1sXKb&(+4SrnjVWw|;kOe=XrFL<76x$(+xh9=4mO^%-7oW`41rr=$t@BF_UV|5e!)58+dq(DXVK`M3sjOWAJ{b5D8Jz%A>Z& z7Bm1wmseKGEibbpNR6<^M!X=9Tc3J&oC8A2HOqNZ3(X&t;4R@tmn;60$A5vlk2M7x zuEpKm{y_5vq!bmqfTs!Mf*KZtzI@5xrBtNsttR0VtR!O#)dyLISV!Lf##C0G*4gb< zl*qIK&L=k)JOKRxi^Rdnu~KVNN7PVfO|fcw$9c2e(069R{n@Ct78wx*l#rl3JgVW| z+*vj5-vN{M>H63yE(p|YaI3{YD;);+^9!}MIRy^(oT{jNFg<0c9sXF>_~wB{>H>m8 z1Phx`o-mbVvRk7qB{{*|jyRq#HqN{(S)HqnXn_0Ri$~v zVHi@(w2>otc~qfRg3+9z^tdcmvmK-L3us!rz9VZ>tsM3hqI5!A_z4Vw-0Xv?qT|q7pXPXOq203e@Ok#Nk?rqK^YcZd< z_erPwDr35HoWeYQ68fudps*qyJbVnI*`NKPiABvYqsM#D^t2K5EUy+NLeT_>6*~tg`YpJzD|F9hn+L0)sg+20*uKefgMJ`s7lJ z=y6xjaYOe=>>l*Zb>iYL$s)zRg(ORg(h)8ao)zE(YQnAOMVlP>5IywYD>^|Cbw|tn zV#>b!i$X`30zJS&^z8sep$@%uW`8iV>CBTpf)>#Ab2;S<2TXXmj3RIRGL==YU`{u;0K0K6Ci_zX@*D7x@43h z34r2H$Y~m1L4JPSo^%v3ChU6abAb)F+0Nl1z*h?sAATFh$YB$IYz7`Yh?me9c9gQz z)Qyoc2F^ve9Qc02fIpDJfs=0*03@f7-#^2S^ix#3Y)CVwBHNKurkH8;6?(ZTf~qQb zpH?|VAVpm;dc}H2s0}gz`#2FiJ;)RJ`)B?bb*5WFFWI`fjl)^aiT+@F-O-Z$$7yJJYbVkQv=hznz!XjMRy)6=Q)tr$<6gP+}8~AR#E}u=wD>VfJGP|fBy!wrIqr3r$ z%CqoCW#8W~=&s;1=qbJ_>asVI`w1E`on%%mYD)gR+UCrHa~sI{5je^q7o9)^KzYNG zA)zZ9fx5*|EKI#kV*EIsrt|HN1O)xL&S;`%iuIS=bjDOVDe2kaSdE)ofJ~yzJkZ8% zGoEvyiLpk^c@K)+qNBn@z{5CCq_AWRf|E1+AJ5#=p%owwsbr#0a_!-hXMx!3FKmDX zNlWWa1AekXddkQvsbrhYY5V!hp=pK8`?7r0?yIdRLy=Q=DOg%oR`RyqxO+vA8?82K zgQvk)2oR`TrGDiLcQ+RPw`ptN+SS_|xY1(Akgo8(sRcLZeD&?^wUisp=UP};)J;r2 zWR*!W_(w(X4dbGaprAld-r$g@yGwhAd=*kr68dO?y7~)Lr)yZ1K~SHMjT-u9e>}l~ zYkKU$0~!Qk0iWg@(UOZ?T@d^Jzr;@8>Wtnoxl>vR%FrySgo@@M?L^^KXG+CQP% znE*iC%@$nN4bO+cCl~7L>%+pr0Ep@R=d>M!HBz?F{Vl4+7+Qj z`U-Y1H(FI33=i~OToQd_0y=##Ho1WYM$&X4tw|$)Ncc}EjWkKS&jPHjzq;+y!}&QS zj=(YSsGUjB(#n0krrJX&URodYA0?_$y^{Kh_!B}^U0~fJ->+ZeKLbpp&r*I}%Rq|- z%%w#|oh+#e9AYv;;v^(*o0{|U^IHv=d71&~u)LgBS9cY_wgUDcKVRR|l>u|HoIg@R z^F+|nxmlG20!yMyOqyjWD?$)Pk;ozI``;vuJIp4&vFPYBvTpPIOE9x?l?_acWU||}g`pSJF#^EQUCXZ_{-8jrb+{REn?d+DT zG)3h8(kR-Fp>A(}bb<%+$xS4zzHr8*40pQ2Nq_2jrX>+pcz*{rJAy&>rDY>#Dh7mg zrn~ns(JE>4*IzXJ8Tow|&?-K6G|O-kI+?j_qIdsW&6Vw(G^*;Vr{iKJi=qmpEixrg zXu(a$vh7RYMnk=uCa?jdVgwO6D*>eex!a}9wl_qcVGe3KI_LGKh#0H@g78mTp8wix zXYywZ{~QDh3fNm%yecYiEG`0vn`J~O%xIOU%k;-s2RA$W0}5|8A1Qgt-I`-$ySrF7 zdK#LKVGTM60WuP5K%=O&mH&mi;e-pVO2o7J-O%=3EHn-`n_9${LMrYDGNRPUmrtKy zQzc3D+6wy%9WD^b8Iy>FUYfwJVu*WaFcBT?t;rP?O&xl@&ZdAVOoSZt!3Y|n)QjC! zQu*H5Ra0pe6bEgDU27QB*GCf03GIK_Bax-9Mis(oGhOy8XsX~4)7JZBx%yqguEwr# zs`-~F5@OnR(b-GEoRHKD{J>PUlz%_t;4{yPP~P%H3>+$T-AbWk3Jf;Jt-QpoeS_)l zzZpSLBH3Z;V|3e4M9;m>>%qw7<>er(fPlcoZgto7UYq$3g`gO*d!KjB%7mNumlUqch6rxBawY7>tmIOwZv)S$~Nb#jwtNK{Bd z%-|DVeSN8prX!QS#u;nGM-G^qt4G*gt6@p#NXf73Y8?{$l#kS?ik4YV znH;D|m5tf15T8BqcA}KuT65V`!>X1AYwZ==?k@7PHk}JuZGo z`e*m+Xxq5HhS(Z@iqL>BH_J~;tFul@Qb8YYj4E4bgsBKaO_qzy#$<0nL9ax>|71lU zhxs{_4W?wKzYuDO5(YyJQ>?JeAc`$4^uk`>YI4BO zxbEw=#-2?dHSYkAq*dR^q1Vf*Un9TsOx~cbY2B2(my88fKo6YgGJhngeh;7fM$17C zA?t}T-f4mdYQP1x+Z>}IiGZ~Y_y}B&&&@!2qOHB2 zl1;WCr(CPwjylsFWQ=F&L4GM-wmjf#%amfhqN!ExMCn?P8I)BC25Gi3V(?v`XSJj}M^mMFosWA5*Iz=UQRO^fAv zYRc(_-3DQ8i!er>Y}wq==P>fC#2@7bX^yNl0^gs){?weBi(DR+L|ra3oOTD;2S3t0 z(6+&)G4Sv0w)<}jQMY-ym>Q_}J9iYWq;{a$OvxyTOgF%0teHp_?Ed!BBptVq6)s0P zeH1{1Gcz?_xz;DlLLt5rYzo_Mxt}MCH-{IVJibU01~>5y`azcqwoZJ)H-T&3ySC!q zrS*&IKjBN6)0}W1L;YX4o-l0>w0||~d-qKvDeq=$CuNM|^4&@If^+3Xdz!`o@&qa6 z8{EXUY?`ml8<(Zmf(8Fy))?pF8O?rN?4=Rk)p|S0ebL~Ml%Y8{=NV2O$Tc2lRp4`9 z6_0J-trrw)V>XWcx}bx0BJ>r3O5#UG;AVyDYZTc#6nV7OZU8WFI7`$mGk9QHJAOu> zQE4M}oS6bK8}+@C25IOakR2sjYCV8Kp>wybM7-aD?>qSJbYywiWJZuNWnvor37-)v zp@o}Fjv`{Yy@9P!W|frmgn}BdR^Ja-NJhn}if#j{)z4Hq)~N|~u#4j|L;8>hVVC)d z3Q43`7bNr@5HfwbrEd~2pTJ!A{irmS4^?WjQM}$r9bX!STyY)O#(vuX!-#1{FwkJ5 zW&T7t7rU_Cc@-2x@jGNT38w5f+gF_o$L(q~7yN_-y3wp!UTkd{`-8BTac=?BVHT&<_T z+~je2&G+6)Bwi|u`-=J$8acYQ-+kR;kzA_OHI-pEIWFPV*5Xt%mt9a_BH4kV_i3;9 z@vgkr&(5l{K7;vw5)$se^aN|`$SWBWrdfwEcSnomo*``^y)ufP-m-PiYHHvKG9JiMTc1M zB(P%eqoF81ew9=OQYh&{C48AC^P(QPgf^m{nm)fJW>)$OWLW#asqAw#K^2yk*`@fo z6j08LCU|z(x|2dBFd(;S;|>ui7Me+Q8O-vWDCg;o&v~g1VNsgspY|0QfA%hUl&tM} z9S4K58+vsDPQGW}hD`6T6xtz!+SV1~om_VMzhU^^^)a92Mg56l23GCAg|xt3SSTsM zFXX2!75oTzF6-tePfsbv(KjGG!oMEiP~rDfm|w(ShWe>4q=bpb+7;)kI!dQ@lQzWj-Y1!jIYSY3Gzq8*JKX?dvRYac1$Ftcj@6b-f zO}J1X8|Y3jzKv`AeFZSx=Y3pC95V-TvvpA7u4?03dS?Z40Dsr#|Z zv?L>7L$HV=e&44)Uk`5-yq-WUo1zthC@SxaC#be$ABOfwWu*&qL`@{L(WVSDAQdES zmbZ^WZ{#V1aJ1N<(FP;Dq|_QtG)%7?Pyn<)vogus)X+ON|<=IXH%olN}y3^%I-w<2L>~ z5b_}A$WTHL{DWi~gLhq}yJz|8v%Jyp%fxg>lDW}|1WpWM>uzYNN?gxQo4EzvyqNlf znuGq&`$yJoJY)d9zB43+3_ff8(_cb&6M1srQw3AT^`ZD`{4u&{I~?y-WMK zNYT;6-E>?=jZ2LAHy}~J!sHVRV2Ag7Plg)iKA6ZpdE&3b2~b{5@>pWFGMg?$F3{}S zx8WmtK=CM7QS>lI5EK}-Wjyfvx<=x9Hd2EAWmtksHU{gDoH@*Ph)qbCCIp8iS5@X} zZ)D8{SPt^*r19j`8y=Qq0C|N6JY&NAI7CFlH=Rh5I>xb)k^9-10&Sg@|1wQ*RkO%} zkT{P&HD9+qXv1Ew?0(B>kPXc2_T{@ixu{HKFm_-^8X@(g{w$zwTJZBRvP$5N>dcd6 z9`Nn<-Xz+FKS?%qNg0QCY#kR!X82i+!E}$yGo~)8f-4d4u70Gg{UD1FBjV=AGmLJI zPi%*5Mwiu+UVJVkJyO7B0^;JA3;ipBYGA&9yzIToWRMqi!>5~yVjsLt2H*|cFQFealPJ+;J4T3 zrr=*SwU7$2z*e&Doh!1wY!j_=lYj1Di6XD#9Phr22D(_SQP)xsv{AibMapg_vO(*@ zu^38Vkx3lrR)PW{r{0B=a<&nAx3jpbc;)G}W4@2Dgm9Gn@sjEp)@4W>;} zJYUmhMVdtyn)gU(_8GbT9@6xiTdD{Yy1d&>DT93pzE0aeKN}Q_@rRq(b*AQ+oa_!w{+>@d#+4w&nXPqZ$**zTr z7g=d(w#9)7TQ|A}_Q5bRUKevYcgyVkpA81=%m=@FK%<95xEz{9L{xj&(F{}amuXf? z!a@83DIRvC#q4^XR+JZooU=y`2JgHW>ww^#%+W(Uptl<#=1YWC3zjtNjc%T4u0K;R zzK00Kexo<;aA`O0*8I?3ko@-j%b(^Io))ytlteeAjn&PSPC1LmABV5Ra_`VT>uMobR%Moe;X(#^yf9CUQp%)Z&Jv z@+j;wjw~Q8tEGJm38K$y7P9n3%<+^khw*4?^ciFEBnWd*8SFgF66LlDGNRA&p;e9$RAk-pIMa<7~oqD;JZ^X}!@>UU*W^`;`k( zo*2&lLNAO)?$CCLUQJ27Mf!(e@EXSbWD$24)=5UiRq@c>hLl@nNg$afDy*+jV%MZWx zaak3Qq0T~$IHsZcutX`rE)GmnIlVRy{UW)s-YHiu7emG_lFo6(hbre9nxJ(cXvyp zbmtOM(%s!4Eg&VZAl=;|Aho2_(joV}ckVaeWoBnsX4yDr&p&?gJQAANv*x`7-+!pT z*S^6!24O55;0eU9`<}zzV&0(6#Sp71CAHfW<^(z?Jmi|j$9>Mf$GAg}vkS;quw|o? z?-n^yk4u(BM8-2Z_|X)(yqHW@=Yjo=@53juX4qvKz#zN7*mfix=y}^30`^^6Ytb@w zc2#vlhWRT0R4;H$3E3f2hReMB=g32bvJ7fB34z{rD%#Wl$DWQhzfwn3{^@l*?t36xL)B z27CWxR<2B1L}(Yq?Y>73-QOdQEOA^u=`IRmvHd7}FP3UBpz`Sx4|JO^4OV^U$wmIz zjN*MaIm~p9olZ)eD&+)t`kju|AG}tZ!+!E`)roq&ij=U1I7w&5U8_xodm17>IF&vR3UUNpT;wPs<)*U= zy~KSAg%48tDQNQ179Cx$sIaMHnEv&-48=w8h$mJPV%8mYvb(4XBb=~F0{G;bt}`Ye z6D|pODQVH6pi2WpDqN3m3l}^t2Wm%KTP(b&!TME0vU2l=m#?&mS(dm^x6=uX+k18d zIj=d);N0tkjxxIOt3nWLh(dd;Gy+^)6wj!j)?cqYO^`zxw8CkHiV9X>RRQ?u*;wARw`tafOwDb75wQc**u2&1_=XEYSLi=b=mK7=K5umeGDzCiN zt;)xNxamLL92^`Up3=kd%kk=UR=(Uuy=mH)3){^p4RxjQ&hS@*ixj@VuiLe14W^u2 zV{V%%R;dzL?;UHc~`CuY1DGoTahmx`~SCK4WGC^1=$=Mw)-5pLO;kIVR5Og2N0;;b!jq`lV zxoOp{E5(jbp!ow2vbXJt@EiDC*=)I0~n)|;Q}yz+!! zU`8(igHsSfc!|G1d4+_wE6V3yaz^*t%gLO%%eAFuHaYanUhKBm+&?E3g5PkOy?HEtBKI)gWOUp4yly0d-|)Fw!4Q=*P$2&D)0$wrCUMB}Zsl##sl~6`*YavI`l1 zWNHZGlyOS0ox{!u$c2O-s!3o~OQXBEIGdN3l9F=EiM>sVPPAq^lnq9KN``t@(q_xQ zc*PEN(Xw8tgTZ#*P}TW>TbU_QC!0-0Hf3>FuWJ@D4T}#9j~c%cs{t<0d70V z<$2Tf9!msPB$@2Osk--B1QvDl@A$mH_MQAn_MP#?DcMBdEpSc+7P3HWu`&#+*==Tf z-dpBlYh%yP1R}5)&!z&D%k%YY9|OjEA7p&EbP^H);6KaM4x>*E9BoYF`9+6+_x_wH zE-Tx-|B^^K6i_^Pw!!UM^il8KyU=lC9oQ)2?8F3@)iAr)&fev4IA?={pO%(kCOfjS z(#{B^ZVaUUakRCsPt6;;QOdP@BxG)7vuPSXBt4o82VRT z{q;va7$*Bnr1?hb1Uz_x?vWk+g*en9a-d7+KugVwy*P0;CDuz#D)>G&eKaJ46p9<}!Lk zAO$GH7p=RTr{r`vuKw^G4{S*W>zmM5s4FX?>Y(OL*$$vs>E=h}^z~ zjcF{Lx$gexC@L0=omYV&V^~Zji){wQG>8nT}`d*(Wi3z zT7RQ6SNHGw0SHtRSmBeBry|kVuSy|giO~POl{1lRI59T>`iPIOTKklolthJzc{L>h zJujBVhW*QdKXIid^lW|3z0$H-Eziw0Yw{?KfGd$VG`wk_{t*5&fBp`-DJ7T)VZr4;WU5gP-q`N1BX2-p%JTSSbQeKq%~Rop>%ZIT>WjLAFwT%oF!( zU->QCJ9&+0I_YXl!;)`FR1;_!*fp$00oJ=@p}~lT$QcuOW#RVPF3p-sZy%gbkW9P; zv%U^t6DNfbFePbOnGYpU(=$1A&Pf{I`cJ>!{+{=ka*FK&QI{S3eigk7+I)qHO~WGY zK(33VmI+Y<(X50=v~3AxbS)Vd7ndN3%zdbmtn49{%jEXZ(6?HX+$Mh?yU$QK0#+U# zPr1JrpkRjYEpo=v!BcF0luT3zT29Gt_4er@2W~g&$sciZ_A)bKJ)LG@16K`Fv2aZa zsbNUvwi`=9Zc6`g;Z8WD@e{|2Hd- z7}7I0AoC90wBqfwbXXq++&Ka&yD7#-h!!v1(wyAy&(j{Ie85e1+M|CNb||WFh+cmX zPsZ5v`GLcE684)IWPtOHv)};H`^%NJ;QxKFL&OqjI{v>Kq3ATG*);8gkkIMZWmrs% z^^VNzU|UQU@oKxa10LnSf=K&(5yt)fYJKSY28QUgcruUMzbtG_#Blivf64gY{F)Q{ z|6cwCjEpssME#+l`@)a1#pvfukXxJc59j=9b)>8r~96kBcNjX zSU4w4k-tm;AD_!3Uvu!*5*a(k@<*spx_L-Z^V_z57;)W71BXKFeatwl`_=TNpNK_& zESYu^#KEGRt!;SJbie8EWz_dM?qCC4(Y>}*_rtC9wcpP1D*i7R=!EN2D?HXL+3S%x7Yu&W%n1-$>dX_mwyfLXM30L7x7U&Z@&; z$)IBHytH{(l7in1kz;P&!4-(I+bQ?ek2EH0{~E&4opkTp?mlSaw$*LqT5(VuI1MUI z{mLOo8}sKHA|2@|SW|sv7Ni`!=h!X#5op7h&J_!M^ygQ1G(YC$5GmGMp^TsD^szVH z6p@t$+SePK>v))+-%NX`G&@5sLXqBP9!O4wBD~)0VVuq!fdL*3bT7B3lE-c47IZt2 z1Xp+@V`lF1RjA@%mf$D155)IEa&#m~fRYUflo5)*`z|JX058HSIuUeEUoC~7NDh7)cwT{_Vi}gMj+arI zTKMekX8*;&E59H=0xNZ6hY`n-T&bt2%x{>b6#zPoWSbg64+!r$yaq|p_+6fLip5%B zVadM|5FwxUyzj^yw3)iEE##Ip18;)p(+vvl9u9<$AC5`IWAKx{n3n>9CpOg_0zV=~ z%Ak(`C^jXP?=z`}MQ!HG6T)qu&9S+J;R>oh?41v(BkZ$bliWsM@LADXo`~?yhLFg^ zqr<~R{~roaBD+b4PEcR$(-}YzoSP$=-u(mD)kAm80>)qhN-fh;sqyea1&$D6cHgQ< zgBzudalk|<{Y0V`YFth^-9*J-=R=z1c!?v3qXXVgO=a^WfqoFkoA*$;KmVM5Y(?x3 zR>=FQo&W3#@Vns=kuXSkD}Vm{DUv*nZXadf#*{B?QuUou1nj% zb{*u5S2o-oFI>m!S$_8xuZ%l~bW{}v^LTW8sTHJT`vv~ihZ?$=XM(tH)BcCl4}TEB zuYnX{AA?l_-q7pSqHI`CSz%Z955}I|0DKs{(FqF}**{LBe9+PS`ZK#&$a-;s+*mO_ z%YzVT#lZ@K4m-U4YtN5FfJ0O~)qL0DFiF_2?if)h;lR|=d$v(car)de{TtgxXGi|> z9luNP$PeV7lN8IjeGmGkvt~96VI@cywRDJ^;dfpZeNB8m5^=XtdniO0B!hQk@~1yWCFqe33{);i5qH8AA9;(SHPnj2K;-FV3rM_tN=j2j zmh7AoJuJ38T)lY}g;>tezPB6gqTwq=tr)_rpWDE-rR9b5U}R%+0!Tfja`9{J9*pn9 zpQ3~G_0;?>2m6t+KY#jk=~XaqI*kaV?Uw4!a;p}9R%p1P_h(=3zsS(Fz70R4yMWetq3g0?;(m919+;y9C zR+xT>)G^?riN`&;0PGy~Bkg?t+8r*)VxxX^SP{HR^a%3TChZuXlw0JQTh~@Ul4ruJ zecFy}eRoNSYcXv#na=W|%KHY>?`971&pvKAoAEUk4+kc;3n>}xA22y_Z_O%aZ7U&lHy1n>}?#!ryh8x zU8Nd0)?Jmt0IgpmJJOM%vD>H**K_oN+ycc6s^QK*@WQNlE->-=3XoS_5&p^5U-D}) z7RD_0?+zXDO6zK>dpmG?*G{F$Cyo_}+9TE0SIs`j=yzlag(+JO_-wsh4tj1Rd zSw(t9NcxBa1zB@pc?@21=QD13_kVhekOqex)OI|51-+;)G02IlK^b|e_@o~2V=;`PP2nlcHVnLOamBiv-o&o$OuwBhu!Pj~cP2?^Smm%*L{z7U|Mt~E%COnNr zDMe@W?%#ae0;Yt~7z!rSMom3G477ssx=nog=>m?EFgyfqqvObK4Nc%g%cvdWe2F_I~ISSa4x57=BpP%f5kj zEfmF{@=-xj2s>wzU@Dm37-Eo%Oi^rAg#{lOxXv-7HeHIUtgK6q2*1o2R1`>_8HCTp zi|w~L&QbAWab)NEC`DZ?T3sFcbaap2 zzuNpdwJL%9;QXQ#72D{b>Y&nrlv|y>2(Ma95V|;IuU)!B^xdqMEnU|5rPS?>!@Jp5 z41k3*GgM0)*1@aw%Ybhw{40OBxuw_I4{B{pW2@?TJcrtqmJWlBt$dN+;Czw@+{lLY z3u|t$_?iFtfAT5NK@qsD4au$cAuWngS3wqPUAFO^^6G1C7?T&CyE3bP_lxBrJQe^1Zz;9GFBpoDaDk`s4 zGly8n)#g#(+S&~xR-&u>4(`>4Ta?N_*;B+H+smdQIYz?uAO^(#+oos9icH-vazlr4 z3W*G|-IKKUVUyZYcJ7v;!1WE42}$-PT+jCOb&zpVDF|va4F6S8Yi`hX5~@EGq~>F} zh~`Jqr}ZKZBZ;#H4a?}Xl@>R;J>Or>Iysfo)7#nEp{zf^4uG%uhVva@;nnB{P{x@V z8Q;Er1Js$XE+7v>Gy$(_@IXB798AHfW)Autv!GH zcRkZcq(Xif6t7*eVCZougR6`W=SWihyM10DVuU()us=h`SFjL;&XYtj7`I18$D_%I z(-N`Hq0xfQD_u>Ce>~(0HAIG@Gz5BCT=j*iT7hXuV?B8)&cy=4R1SB- z?_xQ+doPo|w!=1EikV3KpjYMXhO5-lvceIk?7V>e`b z=$_9ZDw&?A>a&GXmcDuR7-%5g z8#J~LKb2c(_uFo~~zB+=Y6CEPn=KL@HNRodgC$eu7H z170gO+>^LT8_hsZK!iU0=O@b6h|5fN@M@v4WkG)5T3TRpFsTs??l0{ZhEJTiGBXbt z8$CWh)%tBIU9w45|FBG$yL&6C2Jb^K-%MG5NVO%9*5DT#NOh#fS(%V>%&=Q!exi@L z;Ovrhs`H7P1(}TW!;wZgONNk6zqKJi8QL{gqB&8^#zYTm(Hmpb(a{L;s+gGg+-@rm z)cb_KMJLCSN2Qk)0sMIubwFz{g{U7vRZV?82Rl2Z_3Zui^)(P6?C9ul+a1b|RG>x3 z&dQ296`h}o1}diW#RC02JYWF+fhSs*g{B+~mx;%JU+9ET()CSlu^vedHh|xlMs**F zj+qb^Wp7_!S(n3Dt*i^%Rb(T}!~K*uiY`9c4|f>uNHcx`5{F7g3o)$pVEay|{Ta_- zo}^V}QW{~j7_2mpZ+xaRwUZK5D)&~kMSKTt~j-q^jb%}OBd!so;)QS~~ z8+~zD10*jR40dNJ$jI*cv8SG&A$ObTd>)y9KCr79HGQkBV;i1P6>;{p&LRlvdrY%@ zju#*>EMlkb;XgT{7dLwsLD|rvOS3M+RGo-(3@Xu+n*QUQzr9fb-We5@B5!lBg<1+J zlbjjrfCfcuCXY^5{+=JX+o6g7TM_B8E$}S~y%g3VR*#e~Gu&ObEkMfn^Io(-UtO$< zT}k2=jQ7y2s1}#QXa0YK|?z1swo1v|EAxXx&7knL9>u0 zuR%ZX9Prq64$}>){K_QVZl!m1z(a-rzCRtq@{KB0B?9UP{I4jc7R5Or-U+pbB#Q04 z(bT~2JUNlQdxED`lK2uSb8AR=;qR96xwYPFqhyKUrJ@k;ZhMmpx|*N;ZU^e&0aq{| zwtAsheqLT&1BI|B(_t-dC}5Mfx3wka`5briFqJQo$!ciXww_2XB!#}RvLa|T6ci;X+8HE9(*%Dz-cvkh5eF`)T}l2Uo&ERPlS41O z>a?6xVSUx$^;Si>2qPvL2|w3uf3Q~$@2hqR@^2rCcCp9uDN8uz!`nEh-6rRu#biHK z)SneDvqAVu+&@uY-;i)gnG6j1jbqLq17{w7sr(HzN$|c@UUzl@_fHWj{_lDSUS{se z?*{7Wv46OsH9xrRw);vHQ{Aa7@$n@kIg4>F#26p1#wA&3->(qZ0}uUcS2-?gi;*k3 z{EjAQNj)}3r%IBIW1|)rR9MDeH)%UL#nFE#FHBEPLKa>_buQ@=VPD1Gn|C=Cs1518F#NsOv0q?t7)v`fnWNwd8!4MP^!Te zz+v;h8*``+f7oEogea-smp=c+H@vU-UVAEy?NGpucJKDg$$(i{$eiY7OX=j|{sWNJ zWmM=yM?p2ssQmT6SAGbVUDcHjp8V_GwoY;2Du|8_)2lzThDJu7EL4>(ER;-4kcS3e zl)N6IaE|=xt&Wi_DXN%3nE_qsC)d$j(yZHw{~T`ASZ|shgH6{gcjYYCfaPHB?mD6i zZ@-p;cZbIh3Vl9Z$@c?osodP$z<{Kv7!5WsHb!@tED(L7iX_IM=EYuESO7k8MN3Ny zKPmWg;30U@idfzCZZa2$ z3fsdW&35zhKSoe1Ctb~ze+ZhXj8qLj71Ptb7?epPY`m3P2&k#F z)fJUuI$D0{)r^FqA+sKG+k2c+(FcA1mggoo7qlzI;8tx>+=)}Zo!9fqQ;WwFP zV;x>f%wd-ONU|RN5miDY>Kdfc$BPit$)`!X&BJuX)qkr2% zhhP{~S5FV=zmFO%e>;^YlnUq$Vgi7Vxb!`}Pio7_cCxgWDtyEF+^Hf*Uzh&qX!C?} z4)o_yE-&|!OZM|Ww|37XEjPDI09SSngyuXCHDa<9QyI2{awiGjg^HIC^Ch0{WV|Op z&CN`&g4dfF13-8)#VL8L(h-&Wnnft~rYo*NPfmFoYFsNOIr4@2S}D7(GQP);e(m0> zRSS{tm5g&=jp@8+X>{dqW}c;2BdXyFZQ&0WAqg`zV987ITJRrWBwJlwefO^Wi$zZ` zynyrXqUooB0a)0f4y;e=&W`e>XDl6?7j-ysJdL>*xj$pRLfIt$9Ch}7!>5-oQJA<8 z^3s~37+)|Ca&0xVd~DV`d$QdfQmw12L*EMEnfhEK=2p_!c=@<-AN1?>%$@XR3qbY10YhBK+vd75PGVA3AGx0Nje_NjML4BXT zej@f|s%v6@s*6VuqsW@a45j^ULI_y#i+7*DGR|KgH3tZx@7v91B^L(?I1|@)`8Ia` zY_cz4HsG6PifD!6vb1)7A#_udl^xW=BEp3YaWdr~XNR~wqS66Y&$L1pP85;Xds+wL zJwd;;xjBQSDBSnz(b24qbZ|mnBgqze2k1BEE8?_RC0=>eOK0=M@9OW%0uzIn(F0yz z2Gqr}BdvnRghd=;V<{5O~%CUp9 zDFrk|8aSlL=71aByBb}XN^dn-j_WI*dYzuQ-?i<|Ky(mJ3m*{66%!K!;Mhv#KN@i{ zr9~N{^nn&U8*A%pec&1>-yQBO+v;Cz#F3dwh?no`Rk(-4zE9ig~ngG-R+>u~=x9reFQ>HP8L z1kf5`U}H~@jgfwDdj&4C)YQ~WHe>JG(^WwG2KYV!^NTOQ9Dc5!E)*ZeqdTfIZu<;i zHn$^jD^cnokTZSj)yErFN>AY>9_o5czgyJCMplqSfhE-j4ifA@nUPk2h|ThK5RR;@ zqKP%ahfdHf)Y7snjK}83n{AIR1S-sz7enhSPGi{F)-UXHAS!RsTyc<4S^0}4oi@YXAe- zpc0#mg){rhzs^xRoam$^WL#Wr{kpZ>Gy+DBw8!{PdipQ$@XWx#9l_d5)zvebEG5m% zb@}c$8?qMqtt2ni-B7$0-dnPzCGIZVYg7qz-$!0q8RFWO|G9P=pa5@OUPeZui;&d- zhnP6Z`Fy)S5~p3WRIYdw9Sv=4bkwlXGF+`9>>i+@s?{t4d{iJ%c*%XVw`V=7?>1kq zOrolYL$1Q)VL_6FaSa!3hm|y5qd%W%rZmijQkRlBUi8!Jr0g%1CH5$b3vw^2q2bVa zugkAb^glk?+7&l&A|~2=o*RCD*L?`s4*=tVg1Wjs6M=E7(-VNg82)aeEdFfn-g|$U zmNUj}Qsbmm1<=G^!a~`@HV1wCb0Xmo0Otl2E;Cy&nU4vv1f2EJU<8ttO#M)vcPJ8t zu8EQy`{g1ea(E#prz;W*NETTy**%4JhKGNVlw{7&&;2BBLi-qwa>}O;682#aynK5y z_ZSv~ruUeheiPTzxw^{AOW*LnM++Vk6BB6L1n5A0Q8hIzWzOt#G5x^SyA+tAjE%!l zH6&3AC`f71;X05Fv&Rr@sY#VMi6Ka+<;%hp zXx_wuq>}n#iO5_LZq~4Jq9c&JTi4tC^G6!%3`{i#>Mi$K#=uk=QJjk-yv&Kd%yL~gZZ#c&<9Xk zP|up&>BnPC;dJ{CGg@s>91|M%-GcY7eND^jB3R{L z$)gIIc~i_*LBA(QFJt50bVl)E52Pxj4Tu)GD(S^PFe`7N|hgmm-1x*>?>7wE@L@}nNrvtWv%V}Hg=a-kr=;#{tpB|B)rwBGB zw1%S{qb;N4b^_<<)|w*UGM(^O2 zML;Ox5-U;}F$F_@q6}Z|{VjT^tSL>xLBxYo-;t&Yu$r;utx(HfYqC)XcBc?93=a?Q zbLrrloScz$GE1h3ua8djJ4a;Ko+u%Sj0Bhk1*iNsH&4|y09>g;(N7yktb?L%={F2J z13H-_tEi}DrWBm@r>XHZM_;=?AUI7Vz~Bm9DU@}VWoO^zw{#m6cQAX6hbC05kimF; zv)ci|M_c=))k%5Qb|6O|yNk-NI!N~6jt6BRbYl{pK)H%8FrQ^G0#hXhI9lq#y0AFQ z5r<6&S)DWkUw*uD4{TJK&If9p&G~PQQ~iVC&}o70(ecddUSw87x|NpLl2XH68u-)q zlv8@q7Lp=a>9T~eq8b_!WCFK)C~y&P)I-_gw~rTVaN2mzb_|}b0Aly>bOllb%|HL^JTN6io(NNC$N&d zn=s=aIsqxDEhP@2-0EsQ6}MHSMWCsaRE!e+5=3~)N%wJC98Xftx>yv))u%!^)ArGG z_ZI`h+}Q>a?H}g_rk&w4hHzgdlYN6DBlb=C^Y$XuLCd0hL z0uYyB5^8T7b#sdo1{>6Z6W{YZ8SuH7TU3ILqwb|TBUOAScUL($llDvB91^rS7Uv3- z?=r0lIHh3SwonYTu8q8yV#!d(Q-nRP}Eba3T3>MF4z0`m>Fv_vKf0ZCeSk zvBq6~E%nnJInR4-k!q##?>}R0?uu} zBG8Pto^)BBqQT>UGrVtdQdO+6ysnO!-+Q3ZYU;UD1!iw=Z;rEKmXc1qY~QlsJ@k6z zZlaOop|5{OKe+^gDv{+DOy1;FL@W*`=tl9XKdrlv(hyqvO@@_jJF}i2A75Qu;E3e= zihFxu;wML}R3p6k6+64OcD%PoN8;#w^o>M@CMu9v#9iNb9bJC7?W>R=_6~kyOl8{M!#b${1bWK=RK zwH5UhOdBzbR8uvtl<-ASO};{guCi+IwC`^JEX`(rbGOGz)%TO161r=fm1dBERc`0__b3eA{X;vKO57U{!dtXCW*V}dv zV4WQBh{$JbXgF!stl+T9JvQR2;2CdQEWw#p0R|W{MC>13Jv?|gIe+@yeDK_uT+5k- zWDr8V>vJM=@<1NnxcOSPS{zI=FN)gQ>f$H2aPFh3YifWuxqWmbMC@;USyH@xoyMHN z$M-vK4p>}bk_(mv1m#g&_FN%T;12T&uvx3|Avyq1xX;ro%9*fs>f zuz0vH>J~ow9TiJ|c7M=X3cc2Z6)6Hc$p+ew#l#IckuH9?b@sN0Bl8gQMq<1($uhn` z;f)UZ?{%W!oi_yNyfI*)ryaOJ9#X>;O}qT~TsA?@$p8svWO`a&_rVef|A(pXZg2Mz zF>3Jm^=xbe3X1qB|k2}S!0W-+)I+Gt^I{&<>zcy_898dlrf3{j%TMLY< zZaI-ch=_;}rUwUwL7)ORNMluFA<|OqC(%Ky@sm1<>Kx>SCizljD>iv;9Xjpj<@|}u zhZB`4JWolQ5+RSO=9E`KyPMK}#l4f7eblfSZ;r0^V zaq)lMSO9DW6W@o@&#F;Qh&_XYpGef0WC%FwYP3n<^}a^-Cm(DBSwG-S#mB~;EG%J> zaGG}&6pX?SCgcQH*0_Zus~Tug(5`HC)2|Ga;-8Gp4-|oQSf}G zAys!XCy`Js*BWwEEEjcdKn^gUlwOz|F9qhcSsDb5EJwM5!dg6?JQGI%7ojb1hObN*LfA3kVk(9d+sCtS}YpUY`F)=p-yarH+=7AqTo z|CA;#BEF+KVKti?+3eI-=CI8e@6BzIDqi!FmX>bd8Cjc}nwp;FB5^M{G|GazIC2kq z^>mE4-RcemVy64zBAW6CjuzWYo)sVey;mNu+TY*ULRV#DW&LWi)#_wShh;2aZvQ$k zu+-DjNGtb;vvMPRC2e6LAhcUu@gc_+Uo%DGLP#A3EH8@R$pER+>_O0)Ls;04|D;87Uw);2l|o1+ zPT(jPDyf=0U4%Ik&CYDeS6}eXTwA)|GFd^n7(73?^N|>(ME&zLyF4Fss!oE!S3yN( zpf8Ol@x6F=dpk*l)eMy&3mPWoTUpa~_x-wVyln+JSy{YWzZ`>~7U#y`7JgCL$}7vC z=#8X2Ji;r4tFGC`>0Lmu=TDDj+e}j>q09NPrM`PUzF>xQ>*1wKO@oDEyt#>CQBBPf z3xQ-M_8}V({H?PWNsEe)H%Xko6B}S)tnZIT*XFzUQ>UeVg`aBrx@8*VBq4sH_2CwJ@ z5&5vD{$Ef|Mk%OEQ8!U0QC;WT#-W|1e+Fq4m8<>a6z%kpxvP8$%z z7k06WJfVrN0Rb?~RF}tyl2b=ApSvvGnm!4V<@!5*f|kDC0#LR&XccmEqH%k%&GV_< z;NH)#wWT#fSGOy9RDxHU4o_hRZqNh;!-y}p6p@f2ShH+^UJ{cGg^VVx?H&OA-qx}nB zx2w-VmQVaWpO=BOzxUnK!0@51#m>_+cq|fwB(#mTY-w>(^7tp6&n{H8BAe$WDrNN& zFoLn}?o7nT z06S?wpMiviBAA$%K=Eq8cnYjF;BcDcRws1HJ#uDCg&iks21n zRYOBVrSge8G>y$Dgjz2k7@xkS2Z^oj77`TK@deXD>S}6pt<+vQ9{%C5XfuYrz zi)cZUY!TQ_=?n7Qa|B6Y6m@2j-`OE2Z7w{Q-^*n74WneV)ZK6#fhiHNTC2714$rr3 zc{AQcWU2^1+I)r-ZdG%YMlw>Wk@MN7ePqZSBVNmB{6*z{jSX4?(9QHWH+BdiKGOZ` z%spRGQOlwt1Ra14rW9kW42`i!Cq-jp6B^pZyT!Lzi8C7Cs*H^7<{7GM0}eQYUZ);l z^Bg8<3JMqbT(oa79bMEvs#~UK(b0z8qo1IQH9%j418^q$@8m{O6;QZKS6`SarL?t~ z@UkN#DpWNm=FrCP!2MhYRu`dewvVQk(>mfH6Jvzhfm50+{bIoXO=Axl_p{K`uz10aP zpGB&;RAYqv!);|rZ$$3Bjq{nkBliNsEqG`st#E5|wW^}Dw79sJ%SD0y@ZH3OWfYd{ z1fn|@IkD+EP_5>A9+pFjSPSrqH7w0a4Bn-8;Z1~Lp@sJW#EH^g0dDRcW+v-_q3^>1 zQ%LcnkPwNAA1+%xE$t7_Q0UU59}4>$3PfOu3S3nZpMMHusU?7&9ap2OqfipS0F}J= zVR$<+HkO5n2@WEpU84Tx#zT&>lg_MIjvccwMfX z35bHt9b9LC*n;=jZ$)@`0@6q94BcM`muP@NVP>}1?1%Lx1Z>V(z3=X>8xK{sEGd~0 z_;b=8Nq0>+F~7LDpCxcXl>u%En|R861K1EI{H1gxt;bY8Ce}M%6)^$z1Nl}_(VrBJEG?z}7O8U1T3~2VmE?||I1lF$ zT{CXrmRxILHwVXD0l-%iU2XwaxMP0 zr0BOVI&r)FoLka=mG&m;6$kpYW-@NPt~?$h#RDFtqOFN!7gp6E%zN9ij0uf6*yvWR zkvay(JdaHQ?bm`bc*;I^->(HvscN&nK`)RqUR5l%(=v=U7t(%B`6YL~;5n)0l#dE( zJ;O19qDPsT_1m00LI?euT+CYR>FsAHOfyvT_ldTg4A$b5GUrerO zX-lnR`(!}IDpy31C?C(5UtX~$x*M3i@T%4`2z}t0!e$} z>07P-?BNH9ahr3<-A-o&54K;!<@|RD>)Vu%e@siZU&@{}Xcy&UQrE*r-Sr#@2CWQ^ z0kzfFfhVzl(%JGDAp`_uouq`P4Fc^MSs&7kbHIOrUO4&W&VQ<1snLw`kb({)@Rz9l z`GZo<#NpOdlT#P)t$c~vagnH4mrZ)uzI0!iOLL=S7=Pax=`2=gx0sl#0Ah;U>X*)(+-BeCD#%D;4Fiq3axzM&2 z2I6*0tL&%~iL`X+CdPBitNj+HrY8F=KQNWoPouc&5QzG64z0)I<4=G_a3pk%$3K>K zS80Fh+mzu-L^t5&MX=&g*KVqX{C55K+|baVnH?!XDK9_zO>XGEj*BC8#C_$Bi_3Xx zEduw1vKl)osv$W(PcVMTbQJrGdbYm4zKx}&mWfH1d2cA6!_vS2=P+x}B%7dU{_#mR z0e&w8sGAfk1PXsObaWOrHZaE$CIJB!f#3&Q0Z(!hHsNUZ5*C+!2vv!MUq9#%+MBoX z@Hg*R^gv&pe>OMkC@aTWQKnr;gF=UEzE^iVU2H#kogg3}NN~GN0kBp=g`34Q*kKHc$yJM{*fPRn%;thV*^^sG1& zo7#b0{n^>sPG?ybGOF9A^d*tOD1kg#mF)~8nO&XG(GjQr_5=D@moiCEg}gW}v(m(A z5jF<%iAz}>AE&v4!&4@iz>d5%Wh0zz>{>eyU;&f0|5TQ}EJyidL}L^kqyt0d9mrBOuClO0cyy#vS*^G`!KeU}p7)#Qbpam5MVW^SW%MQudqS%V zW0kgC>58Nv5OK`z96~pHf0v3oslD*}d!tIm{d8WI)vtYPCu;z5TK2D-kC}y{FOI-oG!?s<{v+N8Vn>qBlQ7Xjxgv?iPnogE44IYea_9+AKDk z?cz?X?*w4!tR`cSp`Toh6RB)tV`CVYn8Oy7B^8l*`9NlTP++1Uzjww6xr|%_=%<9C1Bao=S z2q?rKY}}>gJ_l+Jx3{+s@n~ph#0(%Sm5pu;vuvwb`lrW_jS^Ir#yDaNAL!_SDPV2Q zNL7_hKw!D2r)On_ctRSywzftN^D~6Lu=WBW@q* z5Cm0S2CxuPh+c+gPuTS|a;jO)Y%kp!u&-H5b@AluAphZ$eh*=65YW zdoL_`Q=)|4ePAluvmTo$6H_F8U4t`p_Yg`ZxnX;)&yMVo^7orttXWuLvbuWZHiK%a zZWxmPvcSi+Nn;9)%5$@@=BmRPC}A@qBIr$@mu|_2mmJl=p{__TXKKX}dT01fjwD0p zk||UPH@$@FLkX2Z9V)>)9EKlgxNm4IvY$4?a1g(mU*{wXQR&24?_g^{BJxdmn!u!98 z_%K4m4lc2Fmp&dW&KIn%dPU2ov6}!r1~W7BqvRw|ph&~5JiA_BUrpvWB(fzW)IWSG z$#P(YEb;NXd!N{~yUC;noXjmx<3u+dUeEu;vxnrn$;*!{E@~gS@j}`=JAoyf`RK<- zdOA8GA)#u6&X#|VUXx{pFlYp36oNuS9_@B-t}qi^ahw7(GZO>u@3e5Tg7sL3J8spv zYfDR!(dDfgu_T32^QovbI9+EKle3pN$IK~o+?xj;9nEo&>=5ql>h>K1U;Vf>E z8mIGlXrj9vgI*rq8RsW8xDh4>DiwL*g9!eOIIO&Owv|;SB=@-kgaA2>jGE2I@~Sp7 zNBnjmvy`b}O47RkzPA;mykM^~=5VfS$LAO6->B4dD((~4kPTZwUf18AqVLc9P9ct< zf_QYaX+PM1_6(}4Cu#Yqv9z@{?QWUjm_)O`YpRQI>|KUk%Jh)^LlA%X(wlAV5-|-J&_s<7S5l}sorWV&06OY!}AZb zu*jO2NQ$TAU}P-<`^1hIGNf#3NQ(dw?vzm=2DL1+dObk$>a};X41BWYX2Hw^I@h-j z+f@B4lQK`sl{%<~21R7`S<-6CJlJxdT~}7t=ITp3pAc3V2Sl;4<9ETb_+xjxO?5yv zXw;|zrAovX7Z?FT%S}smpTo{S3i*iDFCYk&GR`C*?}phHP5n79Lq>|XV|m^EGnE|j z_4`EW!me7jCWR5xQ|I~p(2%-90ug>4jMwL-cx*}?Tl|Mj@Z`YfU5Ijww!OyW6E=~z zNRpvS_%6Gdfz%lxSa{S0LA0hL*F9Ql2gGFm?zX3%{JsvJL)_>dv1JY4RoR$ zBL*b2SeV;QpAuevD03%kl|Q0t1}8BA(apsJHGW1kuF(|I*RQK`CaV4y&J|wPnx$9C zA*q40$DrE_({dh~XKNA5wv|@w=`1Sgm?p3abrd!Svb<(x!hOdfAuq4Ae*69C9QtW3 z-@8m_-+o+!1++Fno4iA7jzEZLC?w;E93X&Sn4^!=_C}@MX;D#G{-VXzf>y5 zeg%VhQj09ybTl-~l*R{+9Dt>|OeB`G&zBE2A!mMjDC-@?qXolM7^H$VX=%?UyXF*r z6yAjf9i4=56e{F^1zQXM`H|&IJN){4_81U5djS2y;F_=?qk;w`PM*%%S_O$_aw|73 z((f!w6k=}=>pnMRy(oUpqJ>nL-_z67WkeYX!^pv5%Sp_lThr9gAUzWEBl`0CBDjcl zrJHON&q0BUYkOzsOM`1o8eBV+2Be3hIGk@4#VD&L1h7tyigiE;8|H}}VN*6FZ|P*_VqSh!5rcxD75O{?i(!xbL;zeb5k)o z8mQI8s|yPYmw{}?9oH}5gVWPE?+d-qZ{IK!x4DXtTk3+*iOiF=y$cFqaz?h0nGI&cQ+@15~t36 zN*((4>TK{RNA7Z*%H}Yx)w70rtb@=0(S`!Eb(H;pavoC@pLmcw2FH>grJ|D)RAyIP%Wuq(q#8HdNe|)2}zgxj(1# zj`}}PGuT^Tu;`++GyJYKsrF)`@d)F4Dt zJ6wn_6$Nn8GSRcwRAEwu`LO>yAG(JJy6zUjII(eoJt=}&L4nmb!5n*`l3YEI65rL$ zwF)Wex1eV(n9vE?%Dp)n$ko0%AQ(V|OV`!&+-4h4F;ebWS|zctL?u?wQc+bUBP9ir z>TbqP@bDcFDBdyZ33XRhvXoan{~N}%FtVSs`*KCw*71|a9T+;K+H6WvsxZgK{t*#= z2QZc_hcTMoLco#a4 zZ+UGRf|0hWDkeQFT*wCz5i+tw;hMcBb598HajYMs{%G{+;xLBrj?iY)je!B1-G}8D zV!$sI7C}8)JaZDygX#B1hk&DHa%!rwvJxbk^Wz8BP?z{m&_1uhDG>BDG0wlT@?i;B zUNF|Dr=(ch+D7g}<}NO3jg8b8=ppb5@)MADkKB==^gnA@o!x~>;!q4vuk*LdNI>wb zZHs}`8WRg3lF^08eW8%|GPt^g4#j(5;6nrD|nj7NaMQFtmPqkZ$Ylez-?Jn{RsEs51M%m%OrufkJ$_EYn0 z6JfLDhDn>%e-O(?`HB*_)NPVffMk+LM}NR@VX1sGGa2^ld}nUg=Q|LIZd*zrffX?Z zp|^90$T09Vk=w4m?Ug;D1^>o)aaG7{lG??a8~x0Pd#NFVubrHK%J(3cVVAe`yP<@| z@_>lh5wG|4MPs@_eOXRU?rcLuX^P;zn7dH8wueux4Yv>z)1{EmQALH5pZs}&K^5!7wlhzP7wzeK)Z+^{mSz{z}xA<7E zfFCDzJph>J+%uIOUS7749|>uYJweLEZ^I;Aj3@W(#H_MS9AYb2LWI%)0>bkYOu&@h8#smM86eZpQs@m9- zijtB+Pr$=RiQa#|B!Ns>)Z$`Jx!CSktG%(oBI@2#8;+S` zI-=<+EU6mEe9#Eqs|fzfCI`h%&83So-O38Sk>#!8VfvDZmMy*b`;RS&Rhrpuf(9~n z_n+o}mzoAn_oU|L@!Ss87e)#om!%TaPfK#Gt(GFqx79e3b^d$@7@*I4l*x28uBrCu zM0_HQdrh3ItYlqdo&ONm477Ur@W@4F#;G=@EWI8bZH$dopPrhS29oR@RbcNUqkEs1 zER>QQUme0l<1Ut9Uy4r-D2~q9HvIHKDp_AhN@Pw=-5Ak?#W_{=n=LKDjkPaMA0+I4x zzI^#LB+OPF#LqWoSo1-N*1*xV_pKk%CC(h#*vJTws+#I)TbbM3cFZ_BJ1&q3oGm5}~@B(I$h7DHHDB{7#1ssziz6k*VMZfv^lX68hu0aWMD5~cshc9U{u*u^9M%<;3kj+anM zFD?H3Nw4fXbOLFl>%S#)!1IdlIKd*^5zmU@r`q1&@fCxuK!2D&PpXsXC@3q>Xw;;J6VEELil3?F;qihKLpK z5x5(!i_ev{|0QxRUvi{dSWV7UBRx_nXMhSTTzKhg9~+F1?wh;>mpbQrR|`P;Mz&?O zKQm2xr*8%n+P)q7((f*4xf`h*Xhq%K3m7yuJWo0Yp*?-J#|Vzx!r!!=w|UQ+L?DAD zYCXTVQX)t5@n;VEVPkJ1jorUbC9gcH9SMPJ8(-VQgz@p?t&A_D)~77R^>GtUc>|db zf3Q!C>%CuL+8o14iMu(b15z0?z#jzqFGtExoEbuJ_4OB*!V52rs1?g9|0HHTABQ5} ze*8$qqBHpoyxu8)d6}Fx()8dNLhC+&q^a=TFaFLvCjx0##@=67**4DG$pwIdnpm@)VBo7>qD9*8VNsvspI5&(qs z_g!w5!=CDyI+_NLU`H`~JV1lK%b`i3gI=7&Bbd8C%Y#||Y=CP@AaNcijPDxId zoFyY8JDAChznn0J8xeIa7;y5B03{}B$;ZEo9Wu)SE>BKQCqO@GR$as~I#b&MnA{zx zI@XXO#@o$uU+Bn(RFb{BV6=Y=KPXS?%pn`Ix0_*ZVlni?16s5F^$QP3R#VaoG^?4jy z;uq?BA@`!R5VyJcd3Pves-~s}5LW@)tb#XqWUMkk-Hb_W)?uGyAO*{R!ON*GSIo(% zpwGCM({FY`=)=NNPtJ2NUES%g`$3sSDoIs#O3+g}-_?$hi4$9SfC~(@^40b9yuQEO zZ5IcoLStqopymZr-9+tJeM0eP*GJRh4}!EvP^8L`Q)gG#t;NMIOL;&nV3XB1HaWUZ z(!#-tk%dke{VZD`1_-`THtJ<$@RQ#M?=gvs2euR|TB$(b1gawpNT6$sAL`b}|CXlo zs2@{SB?;%K*(gHUf3`De9gT^iq9O%RdTq&wN1mIRsA38Ub7$&sAu;MYi&&*@-~1EL z6vG|pl3*?R-dIHN#$nL>Xwcf{6la(%n*RJ3zcW1q_aJI7ON+X>4`V(8t7M0Uxc~4+ zG3sMcwW>@rc*$Ja#uJA@SX$CrZOj|#k)IXXz-3?fuQQt z5-W6D8Sq>nMj}T85E9r|Sc;YLXncm(M1_uSKWh_bXEstA!c&d-^KaX5xrzTV1_m4^ z7X$-y@tPI)toi2Bxye#~rhQStXlbXeFPi^U*uj`SM>yhW$8a_8`6IRIyE$r{=4lL5 zs67KlinfhkB72i|((eQ%FVE?~+yl| zeBj7CAhJzLxbGJ$E99|!a%o7!cB}I_ZQ$dZ8XN2D!$Ls`#)c6M#yK;Vcw@~LCiMCv zSKuTbWFbFELz&A{U!PNPMn0q}Ee+R$>wJMkr{?MD3C!W1*(3I42nKc!-w~L})n@=x zN8MVJ&!R3)PS4GHC(U-a9?29E(=cIC$(YcS)y6(KpxCOyTZS{gYpz-|WQdDP)BfC7 z6K7_;#zwosW@z{1z3%?yOPlxU+RM`&5M&n<6SKa)p{(ukXnJXD(XXsR8v-){ID}xY zQc_aPQ3-m{!vx-@A*SsC>@*8>p7@T~pmp8i?EJYxm&~i`x;91`FE6j1$n_0~xF3e{ ztvVK|===H8(94U5fN0ro-PbKOIYle4sP_aGFFHy}7KMY0YLXneEu7dM#=B#~*URtq zRzAHk&;tsP52c9LFZR-}juWC3h`(bCGJZ1+8_B(#ynWIx=lkB7%EOU{xG)quDb-e~ z08_P1gugrfo=B}JU!KrOPI*!)TNna*Ot2O%xBYS>87ra2QEOlm#+_!47w<r$^kY$U85lU&SY4;Y&sZ>&nk+40 z&~q}x(7uUVIZsUrJs0PjkMt=Wp+P12!p8hLFBM>KZj#f-a4PE(V(S|U5Yb0Bb5K)V zuPMw;0>x93Kj{&}YtWg;_C;7X8j{AjM)7<(&j#h^Q%B2|`7!)JF#}2SMpX+EF>S#^ z$=~}YfB<0~aGUSx>8Vy>X1u>!Z>PhiK)~_IA_4hviz^$7FfpMjBCMLyCz)4ke9<#7 z;D+U1btKCSA|MpAJxI8W<5cA)`AOg&85^r?SUAFseI}G+2iv78GO4Vr%)-HOXWY{z zfa89(_r9P}Rv8l`qHa=#3Fa5jO8|}lVCiAp8z{!f`3bO)_3DZGL2cw8TINQ(0D;d+ zTieyW@2PTHTMaHvMt2t=llSxWHJb$bj$vXz107jc%%=VFcPR04_aHe%zdr3}SePY% z3tar2E8t4O?z-6({mo{z!8*ojYNfMW%OfE>#9O@Al>sbYUoU}cz5VIyGuKoGU6O*N zzP9hU#@*S5vZ8XOxR;Uy&jKn0#u#j#3L13B9T+w%(qsxow!wZcoJ>jz29-%J7g=$R zts9XwGAE(Zt9!e<&-2pKZM1tJUk^Q{5D{eN$A|RciHP)M{`sR@EBPIk&6>QAHhO5Q zv{@VByD z+5@eB2+Ol~6!O_-$)G4jwvcL2x(tvgzf#8dai4>Uaa73jer+orQ8{zpKbbHqJgj@s zlF}9MTewVRB(8V1=H%v5(pT?cp}tlPilR<=i3?2AdwkEf4jo*YP&Z|^o{3Iw_c~!@ zGcNc6QVoiAFq(QP7)z+gmOp6?GSJ_QEF=Qkst@T88gdkk0{GhXfl#yePEO-2_J0|a zCKn3IF-ZTMi^voTbp7aQUTnefJbRh534Of2I0O7R(ClW1rSX?1?C+%h%|)}Y zlq-L5Zo}XCo8kSNNSTg~J_vfCn_2c|mQ1R*<5I*Dy_n|w8|2!>YnM^`g z4%z-)n_d(g_k*}SVfJ9g_SMp3H<@|7=Zxokj;6ys{Q8E(JukmPcsc!OY(YLYCK9`~ zrV0ZaZH=6;_;BjH$f({|S^;H1bbmf|Nrp4=pA2=6`+1eViu4}T{%Vhc5~Gw%0A*+} ziGex0r|0^#wWxYyny2alm7l+;4EpI|f6p$)HvPBXDi+jWe?OdjxET{8%G#u}Z-sau zLc^JDVR=+k4H;Y0?89$w?+Dyk0+o|@-CnVd3=Bz?l_h4WAR{uz-$D?#(`rNeKm4kF zUuK=Ch7_VfN8#?v{nFE-AGg=BCG7kjL{LW1PF#~p>l*Y-oAJ=>;~i2*{uZnq^M>Yo z87eesg@eXQN4;_~M#q`C8|f888#1*%v!Ti;G!-@%79}I_q=0pm>)oy&EY4~=1?WO9 zzOKd!TqhD*zsP=lTF`^tnARE*#mDbR*0DBsbX85cON3ty{zz(VXet_rc|I$^9D2Bw zEB^HT_LPRde6w4gzM%MFS(;9c3LvWb{@iu8{M-8o&yfBYxV4ZO^jQ{#C#$5i}~RU|448R@s$x z$R)tQ!;`@ishBO;9T!J_N)UI(gWcgB7x)wh+POK#u+w;GX7~VtOj^jxA2&M=05UA# ziXN#o%Qt4#j*O*(f`%s~gzD%3o-C7sN8${-xV4#o_xy@X2?QMO_3yQ{%}%5-XEXi| z4#QfC0PPNIxYU%~-;H-wFe3qyT$N6q$v>TGK+2=HtpcYF#>*qiZ#AWYaCAOIpGXiH z|LoaD#+xfo@fkq7AyN~2I-fYfTRBtfcd_%WQxoRoaZ0fjiet z|IKzD|MnM|$@#;2`dR1p12^~8)&7K5iHZ;aeE@&~`;+*1(FE!>Mq>mHRYjWiz=td_ z@by3I|7Mr|u+4uv1xLqYfMyBcSlU5;5puG6=^2^f8i1!;> zy5LB$>_n-=L}qg{ic}Ia_&tK3O7=_4!;J!bHyF?yiPCFNdXW#VfN2EILA{QQpC5qn zFZcCfK>3}Iwx^7BUA%7*LL~QgX%HqqnK1?Vl97-tTWLn)y~L6QB?40O^^vpKrR zk8IVO3jW^Z(E*>-pkj*i3SIA<%%&-HW-E!ZYwtP9{%IV!Lkfb0SN#i-h&(J z+=-!#Eh$YlSD`Nd{#v+^x>e4VF|&LvD9}tw!vDKlE#IP5I+JsQjWYT@Dhd-_#v*en68uH48cjSOWygtej=N|HP=?zG`lh)A@u@lRZ; z#3gg&lxCo!dDyI0^g<2nm3EG+F|Nez{=FlHlv+rL&9tCUSQz0=%ZXt6RZ1Ya=g&-6 z=VxLOEK|y~I^+e26rJz8d6TZsjV49D3r{1)e!nJ$p z%A>*&hv3@+qOc1enh%p8#+%0S@B1Zvgl<|we}k=tT+m_<4HyS0PCP0xP*w%5K{FG1 zoEevsv^Z^ll0|a~L+AQ5)CWS%J}oG1d{eCNn6q&+ogERG`KW>Ew`3x8_f%~H4Geu% zH+ajIh~NCGJFCnB#4CJ*yBwa$b{wF)WUNyc^im=waH_-dx59?n$sl}|lT*%))3L&K z{zf9LB$NQ+SN6Irh;Q`)T!4mLGg}fKH%EFoDYu#~>^76X)FntTfOI|n%?@nx zD$o2Y!I7163&SQGZY&7&*~R=elm4SWyN4U%y%AZjSw3Go!x5+Jel_58NVS;R+P*2f zJUvjeRGgd?!xv~DIy~Tke7FA`wCdu5{YL|V7@ervz-IIHMXwnx603Q~LfGe{9{8f3 zLTt9ybRpkC0OEDZoJGwrQu2$H90`k{+N6_Hz4+?#(%ukaZ3Enug+<#`3x;InfY*v0 z&TLb(Q^t#P<|l;}L}M$6V|t}DmlOjDDFzoCn+MwJBxP09EvI*B{hc(lLPVI`9^n?k zE;)?m>D}!6`-+#AEhU4EMES(VL=(?loSFSpbnV3{{4Xv~d?HV66G7u9>mPsmU;EmJ zGG8<47cEAEm6jCwME$@g!@|!lEe&oi(yez(q#Mj+d0+Yydui-c&<*D)8p1&g>M`CI z6C8iM>9k5M#_%xSGmD#cAh@32oyQs*ne#Rn$NZV6FR}p)CC2 zbZMqG1y%%XtB4H;1%C?oD&kF?WloAIG*URxyV)%VFW^x!YSI1i$6(J_>N%C0Ra-E> zYFLWnrA1M(z5yI2%{3pv1+rHP2gdZ7uMKYwS**A^3Vz4ukX;71d(N|D#bB#*Z3gq& zq(L%6a9U`Y!dMRxD~@rj+R_))L5b?I)*%0N~$I0|*SbC_1GsY$JY zX7n8L4_qq4UE_{hX>FMJjtd^zR0TJFaBrevrAP*AsFnb@EqUL|)3Zq=j);+oX|=`q z&)!%P@+Id#Z4;9oz#}$0J8M4qSEte%GB=PLL^1NCSv+vUB6Y5KOq+&;D?Xc0=r2lh z41kM{#nPVWa_zxopX`YDN=gNJf;6XgCM(Nu&J;@%rgM!Pm=H7ePpV>mP_%%cS)-$^ zg@t^i3wSj*nv4WQ+7|KEyp3yiEMkvyc%8gE4r`U4hizVG~>`}Q% z&OAiphj&S(e~+~yRxEXX_qV;cFIf}$vzo5I!tPtoM}3C8M4fCzT?v14OZswGBQ+_W zgA56x=;&96QVwitgn}sy8(+nYB|b%%B6tcJA3e7PU>#w&;KsUf8MPpExro(!h)A0> zG$Gp%kdp^oZ1(}cGGJK^HWhGQ<4tNwO055~BmI&Eco!lgBN^!FONxqC2$DBY*o?o~ zH5%AZC{r(UIp=SiNp%vL@&=`xt<{?1Lq$bpJ@)@nzXMRO4-fpuZ()F+wZD3~1|SK1 z)a|i6q~C}0Q9D-*j~C;wD)@W&`Xc}MD4Ye__c+uD4$CFjT>dwa5Sa!e`XcfK8-7D+@TBwZPZ`QpA!37=D7syeZb}UE#l2J-DN`e_t3%>o6 zjdoU%RaFYdlh0GQfW_^skyk-LMWQ-_(M+ICwTa>=)8KW`pn3NVdyr_btccnx zN*D=Vy4QoF)8A*+*gK_Vc_;HdWZi?~;Po!+?XBlc`RO9Rslbom+9(no&gn`G||8=1sk1$SoD+A&e<;eg-@CmAO9JDlT&?PkgORNLC(B+u@tk+_c#2L`n$@x=6+IL2+uAv$PKi^DqP-~Gbt%R7SK zE{me`_`PLSlhh)etu1O=zr*-V*wY@M+NaIuK zZHz}WNhChXH(NR6_}+XrH#PB<>Y-Mi!jM)>JOUk>z7u~IgqkN4V^e$wI&$SLpF7!w z)}OS0NbivpQ_8d9kx^gC4sVUmbb2MiK-LG(L!*&CAKl#QdwV5rPG%~Ke)4hf@p)ZZ z#9@#vinhFm9-!AOqtEjZng6YSE>-DUYc5E(s>@5}RgRZp`N;O~-S_t_i&TPomRusC z`;_lW{ur0{?UhPHo4|2KVU+#Py!ZfOL@Vp7>DTUy6f0O4mZF&AKf9Y67=nS<3Kyi7 z1uF?O_bRqiySiM<9tXTU0Y8^hFr!Qsm+~uOltPmUvPH~ikJejY6OYhSEdqO%{W}qp zj}}T3v*XkyKC3N!11Sbs&mMh-?LC3`D4X?m`PBYf>_8ODutk~af?UQeEnQuN6nUh~ z`wDQvGf(ZBdS?aDG-BZ;O=m`CW&IHUiR^a?xNb0dzGdDHll`5wo@HXDTY#xC9+~Sc zyhf>6E;vNKR3meI?uRXu-x27IsKu`OY~=MqUt^N$d5KFN*$)ESBqB=`d=u67N7g`} zmFz@LYl+1=t$?$J3=aP5y7T6l?tMb!ch#H`Y%%t6>196oz%o5`TB@T#zSoY;A8^t7 z^$G6zW!F_;@U`%-qYZv2Y?)lGo*pf`-Ud=iWDfTExc2 z_DnlYZDoE zn}rCHijV0oG}X<){D57pshKL2Z*6Uf`S4&l;eGFpc$vjJQL)5~n6ad|uP>It`sxnT6Ef!c z?vJ?9SSw{6Mri+zJX(WoReF#2mz>dW?Q*C@p&29dg6^_ky^_`7E?^4i$l!y528aKi z8RrWH6n12m)E6Qpz?yx7;6_J(TBx_rC`CYwIA;*h(@(z%!gHUmXTr2~Xr^yZQcgv| zE`krVpOij%U^%nn`u^j6vfKU>%kI~%KfRre=)Ty3u+Lff|vvfu1Y5HoPH8`^8Gopgd)Cf#x@^S&8t7BXPyt5wm|*AO-pO zqX;HGeF=E#l{BU_i~4#*Fz?v+d)2;dk$yLmULd4r4uR=c@*@HxGPtW3*=bQgp_$;m z=PQ@{UQ$nXv;6Dn$Ls(9SM0U|4UM+uquqjYLT2G<{B_E6G4>MXV5XF>7RsyE{ z1FB5p_b0pDNUSFR4{rn7=nmY3GKG4v}F!^#jIXUqIzzd5(lp7U$dnS9(e34?Z zfb!1@gqTl&e-r3cB_)4RH&liJ%Wqmbx@rJ#u~hLngK!IkOhR&0sT553#9_aV%m^zy zFD!0yEoLOardsnpX93Oe7~OUngHpUp21+dP^|NC189f-zV55%nGKn{SO@&Y*=rurs zyPfJ}EnHwr+jOb1D#T+R`~)7NPI6^6`Oc&@yUt2V?Z6LR@O5@5G~|mX8w>+lrnxVa zk=R6db_&p_YQuW75O2YED=n(Y1$ahr+AOUBOiY4a6|tP7pBimLUlJ=T@4YyPhc~+m zUhJI)*P5l{<3eFCJl59{zLMEqyrKSo)v>%AE-YgBhjE4>5vj`Suft7rCpCqw-V3=b zVgwXo21!=Kc^|B7&}<7suRZ62Oxp|i#s&>Lw0qz0LC8fFqg!1FzP95Z)yws^;uadkKkyf>6b)AGJ&m3hJ4_jyaESVT!brt;-^;~iz{6U-P%0N!|0=|fMMRYN7b8N{F4ZOz?#RWf`2*7m!$dCy`~P`@$Uf{ zt0+?ScF1Kwsp`N~ra=*PIrJGu&&LUJw=zRlF~`s8I=}qZBuuXePPi zF$*8xfWn3Y@cOV6D4NC5(xSNjE}uMvVo{2#Pzkg#=;%x@E&V_v@UAk3A=JiSqJ0 zO!kAW(OMB=OMD(aY&jQBf=H z*B0}By=A_*$4CD)8n}NfRxUe#o1piYC->$=uTJqzT+0GO~i)R$hM4$PBPF(z^WJA`vQy;dNFh~ zyH)PgUg>;+m$OcnQ!^f12YsOr#KdjSk(4$87ky(i@-CYlpMleTex3_-Yl(?e0S2WD zLhjtQ??>V(v9Yj_+@}FXGwFDVBn;J;vL%Pz`ZBc;YP0BM5R4FBd%M2GMM7DIB?bH1 zL;lWy{Scatb_j^&QB*Vv1y{3BYXc}I=4jzWS7j|a65m!$J~&Wa(Q01G2-0(6%TY90 zI{GUlG4L#BuqlJ(jp(FrDk(PxgFcy4g@<#+(l2&+U9Z{};07b; zJE)Xx3rZ8Exdcwca8HR&4tnMXoE@Q0I=Z-Q0_rW`c;S>yeN&0}r0@=}n)*>)0_w-Q z^8RJHT)V8WN>1jgfR%I{DLryN#I(E&2eYGH!-l@{Hy+h?8hi0ZXYsCv#>uB(P&CHL zhu|bR0)C&f5Z9i14{t2L^KLDPw*V-8*5+rx>lhpi^F?`1pC35HfNcW!)XtmZcP;=5 zkW$r5Zy*N>Fi40}KX{*Sb}Of{_Wc2%r-hWscc9q}zLH7Q?|ny0RrK&=g0dgN3fFWB zWWx*24mq*0Zr0m9R_I8UQBu<6W8c+-1?VMVqsNlqN~5`t59CSX+IgD5puwBp4Rkqe z!r|YBMELIkKN33d?8M|q<_~2Psf0@05El`{q>1MZx&*p9i(iY zX?jd&sN6QYkNx2YQ&ls)sN_G@1@z4V{QOvwK~6b2q9C{=UO<)S9~LHyk^+ychoIE) zMSHVQezk-|Ef8u$B0@~2tgp{)jfs16IU+66mS%@48d++q;dc3j{ilY6dbTh?FfG-o z1XM|=K`nsU89+quR6agF0syU&5_&<2dx?b!CqQ9VXD;L5P!Sn90$g!VPfj@dgZ2UX z$?>uO>+?fiUS20K?gz-4x#Hc`)tFXn%*=3bu%c$_*TTP8xp1>iM(Ojn(QtCLN;Q?y zp9Fn5E0>HGLOl#>KRtWBJbp3n3~k;!$jk{y+ip$Rb(emZmJA@47BQsctqr1v!_h@b z7zj#8i4`cn4%UPSqXZ5tHM6KsCGAi0Q031U_GHn)L#)K1dGngfI=p<*NV~uyg1s>B zRM*vNVzSeHzgE}e!PV{`$1ims%?fQ~4|kl&5*I%ZIFh}s>br3s5>tv3gr1njoPskV ziMwQz6T2@V`#mwSFJzL0B$xyjTsmh5EOL!CHo~MmzPkrJHeH7#6U0O(+CRhAU3{^p z8xm_twk4NSvIUEdG+Zp;)>Q zM=3#p8M33h{S^dy*zOBWMERl&tZoz66Mp`LQyK5#r3tN8(-XoS)2jkRf@#4k!R3N( zaA}!Q+wXwr9+6MPN299{n*pEQ;?s!2FS01u?FL^j|H>6>M)v{|_LTGr*_0I4XqSy6 z(#z|j1RQq{%bSpMq+l^41O(0=J?ctsY-Krav-n>^v}F8P3k^{qJsBf%NA)njo6o@3 zb`v!6E&X~zWtAV=BqxW;#r1YU*dikL@uQ8kbv`d~_b#0|g<4%#*6q3N?@>~x!_M=D zDS3*qqgC#ik&)7hit$Anr2cdrUEMeI(hmeDq$~8%aj5vje`r0!KK-SkVd791?yNVVh{khyiQ0|%PnNZ|H;|?OPw95jx_~G9)(B$o-e84pr{FpuBKmy-QZAoHd?|a$MLvL zx`5qm_U_0Cn~4#}?*~#X7nd{9>(}9HZcgkM>W*P=H*_q1v-*`FQ&a9L!I@N$R;ij; z?3zV1Km!9hNC=is|M8hIQqgn39Cv-3$3cVi!{hP%frtAFc}1mMD9uMshP%Vk`AkZQ zk}}5IDA|d$#*V!mb3w7X5w&e67}{Uaws?}(x6J7<$|CiO+yP@U$vPoldPAcN;=d2{ zBV+vgK#7HgzQ++Pwz$-~`AywQ2m47$JM_AbJKUi42gWqIj|r8u5K`hr2S1p7^VXiX zifArWiS=I8buTZyBPciUg|HhYQwW0n!wm8aF}u2t!biBk7+cEDfrHW>!9w!}W!E}B z^L{fD`x0z3b+qrvZrt6$M~5gS1oLEnZeGL=uMMwj&0C~V3N1>Z%OP9M*oON zUI3=!yMO-@pdq4#ba%g$pZ9L%=DsOhd;|22)Zm97h95cjp>-N|D;gQr;lkqn{FQEk z;);8BY>w_pT3mRv z><>d_Mno?!44F0@B(`;CG{he>C`PIiI_b!C3=6|?1-REDS|!)+!r?)0FFiejnbm^L zegc{sHqE|VL&LXwQkm8{(mS;}xqT?5z!0{mxR^@E_*3)EyaP)ryRouofd|Z1L5?2? zG}ikzWJ7^BwUh$NQ`?|-kRtpmAt(aSpc~*Kb$43B2#eG?&s(?F>xH|NDo@wYOu*PD z2C`w-Tuz7vR*IsQt*y?Mp4yegca)+Elz|HI6wyfa#{X#H;Mp?joKbbg<`NAIgw-&E z5^tD46LE%3$_Uexhf7CZ?#BPr&7sK{783m%9ElP|pP{xV?vOkmGr1dn|NBrDH@0zi zXM<*y6Ph=bd6=Z`Cjwiau-=y{5e^aB=z!LB%+K2mp~dDlm_rclc^TgBL-p`ogYGYO z^vg`~%*QHq4x<91YTV(OQz`&C$ijlw>kIH_y1O$9XBZehs9W2GMV;Z&<@=1-(%v^% z^I9Tx!iq2%O+YO-ixiUpIGnAmt?qx2G0piOcC?GOBLM2UwWWmufDlohMMkuDq#Czv z_gEqqmndyv6J4FQR*|KawSkL6)4l7;qve=bv0_p_2__fHTc6~y9q-6MwC+w0?@?SM zuVOz%!Vl!{*6x_-Pm5-m!e~_b;-IAG>5Pm=m)Wcv6n1`x=;_uC;fEKfG2O8r%#=a31$!g01N=J zca?ysx4F^8Nj9nxz6|yxc^%7~Pkn$Cc-^v~<4W+AB{}ljsPZ7UnlJdB%I`w5ocJy5>7a@)EgJmjZ55U za7r7)qdj$dM5c=jOnQC9L5gri5jt4+n91^|*qS+SwM)#+H3f$7Er0UQaSnCUZ2OCO7vkTiL)abiDo z)VkE!L*5HXkBzOV?Dv8b{q)_VEHp;;E@SU;SUEmsa>?BN{lGP|vC-Yp@yH<rkG6 zfC6%8C?Hfb=uAEDtkSx?^t>v%l&8jRBod@hKscDD3z57Vb%!i{;N`Lu2DJWCQc?@0 z>S<$ED`&vf(nDg;Yn&JQ<#kai)tX|>u#MvE>|;MmY*^ve@^UGzpJ#nfATCyuL7l=N z4d4>k7!Hnkx}^js8Z^);Cv)rfs^m#{ol|(2w6la>cps>tf2^~BuwCC&JGj{7n#KS8 z`4gyTwqK?me}z1%i|b<*9@}4BUn4-j?dX5x>q?LO6Eg%VLGQ_}pm9@g!6T4eT~&d7 zUljoY!da-Os0Q~KPD4UMSfPOJxz?n+BJF^L6I(!oz4Vvlz*hg99Cu__97|CsBI2Y; zW;&Kqv9?YGZSr|xmDbYImHG@KHd~5m zYW|xbS)V9}DKKfjj?*2$zXvYZrZ4bMiY4g+Dqi&+esf(QC(y&yK7(qwoGc)WGX5X- z-a0I+?Cl>_P*g-pL>dI?c!KWk?(Rn5p;JI<1Ox;WkOq-PxaK#hj@-+F^YZ>RVa4-VfG8Q`5JWkh`n*kmn3U$Vg!;TW!D$c3Ofib~)fU zXgBUvl%VGU!5L}|-~%CKQ;-hTtIcu3{AjRac6{bp-`1ZI&vQ#W!6&0Y?H#5qrwrNJ+U@YAGPWu^q6TM>NepOuUzu|u*Rk;VmuCrS^FD(k`xeJfRtrInR+ZEVcV z-wG}Q7i3;P_Un&t>_=NCxC8kMD7;x7fF`m?yYe}sHe`ovLhs8}_7AEwH1wgXYx-w} zU`m_PRu)tEC(2jQsO<&K?11%fYv}#bq1)Bfu$-K+P)Z!syxd%0UtgK${HyUnDj34w zEL|!JVT|Edr$~LEo_88wvxcI*v@nRL#s>D2{KoZjf=ejZ=)M$pULJV`oJa>DXt%v0f0{s0U3vWrVh zN^hdcOJKvyf=FIy<%1SIw;%~}uceYb=3eKRz5D9jr~UnX@Ck2q0H#g>*210Vfb8oj zR|OgdupF2O;m#-m7S;J3KquPx+Sps6!Ma11$$cC5d-*hy1>`2e6BuHM4*0wByMbXlQMGlQ+t+<8CMKp9k&;na`3+FrEPQEhsTMl95dj$g z;h1oKWZ@t-#ISbZ1I9V`_WdxaherEI=2v`9FP?qGL8fH9>v|cV_rz$w{q*~%;Aj|7 zP68~aR9-xeokM8%L6ihI=qO`!sOF)f64P$Y035~Od~v<}+BqsJDvDD&?k#0e+mCPH zXh>|6<5XxS-Ce?Nhx_Bb$-K>~v=9iw%IaA}#D&Ycq2}cAqa)^dhY5CTJu{WT+AKtt zSjBsu@1YQjH7(tHV!gJb+#Ar@-Qo9ejDtsj=;+;tDX(vWA&3Ue?GtzIxBa7|)n~_h zuHl99n)t1)OXcrV?d3h+`sJsmfB$e@_ui6$5&7C!EBkpGWxkb09NW-9$EQ%tQ3`Z{ ztlQ2m;OpdoK&#~ar|u)cW{z>xSg5ajjx7tNhQ>Z`fA6R8>!Ii(Br30{0IDnSs$i+z z7C}nt`{kWD6u6Ek#H))1^wCL4yaLLPS#|3>iChaCPSqmh#Z0@qkj)e*t*otifSH@2 zVVY1{WK)ypuDSQw*Et8Aio3F*VVRv$4e^oPP>hrc>-a*{<@YO-llZS49pImR4B~?n z9DoidR*!ftvD@o;Fo!`hXiq>vK>Zqc)Sy_L1l2N`uWf+s=>M*L=a`0;#&8fp*W&F2S&Tf@1z#lSZAu=8MYwWcPeb zw&!ZnBmDg50Xk-SDALRIM?lmtshr%DJjd0uIrzJZ_l)^?E}c9dyPY# zoYQMHPF|#?S-TP)`@!<6+eW=WF$XvOr=g*t!)cv`qaV!TBLmS0xXwb;cU8KE9$|HS z{F>Rt86i#0E?%og16MKf9!5nD?=YI{arZZd*xl^8JKrSQ_4<`k&Rko*AEma*6fmwc zGBN^YQ&wi@ySq*qhB-sGnux8F2u+%Ppx*AV2m(%Q$riWWv~ zl|IH$92#C8?w(BIJ5R)4&5t!z`sLI?vYZ-MP58Q0MO2m@bEh~Z%Rl= z*n!V|HjR(0bzJ}_{k)&_5j}n0}GAN*PPJ1D|xnGvn&UKLmjns@hy_0QLs`@}4mBr)2u=cfg z73UKV1hRk|M)-ClCB+@(qhtZS2zI)8*4t@del|Z}Zq$mJqe4JLw7Rk~9<;G!K1#Eb z3h+SytAN)jD+|l6HysXYvG#Z+;^5$bbTem979Ky9Q;?VO4jP>#9%n8^(Z!tmpu4V$ zgN}Y6CdfWnU0*&eEukdnMr;h!odoqt#ML#8EoO|@FA`HPLp{;kRWS%(A zoO5LGvwtbkT_|2&Uq{(rpQ_L6p6i=gU9B_gCU`0Ut#b4fonKJ0$}Qyjz*8U=XRgBb zp)SQc{SIMvmY8xtf1b)?KMY;__@I^H!QB@bpL%(|HzA|mN_&ZGgB-_BI^MdpJ+d^= z{ME-tO+y1vpOC&iuQ6TeA`I;OG;rGhF=0@bEUugdHERO99ur!G0e-3ZN^TIkq7t^g z57VuQ3B1{btpRHJ_TGrG@pyQg>j9JUyrSUMH<=clii*I&#^aPkJ3V<2>%=wH+&)VYWOTN9dlP5w{+!tqd13@@@33 z?@`$$z2jclT(50iY!f>d_FPrOuQLnhUVZn$-I}K9Wb$f$a|~_kVt>XYbFUK)nhIxk zcdL2l^9RCQsA5AmxdoQga9P&ecVyX({C}vA_xQ(}6x2A4Hbs^o*On4o^{6HF{%#@s zZCHDio)YYaqqFzBi6o~flo7w11AHT$d1K+{N z-vZA1UZD{#JhEvqgS!?YP=z1OSE#RJ@J!eG2cl5KL9svjUN5JnPMn@{Yu;NjzNTE- zcvjOWw=#TBz7MZab)Wr~$^lMXsoA|FoVyBne7lj{r%v3-VyGWuj<((-pSIAdAB=vy zIrXk7oODAK%YV6|^199jonddvMs2h_KO>M2mwPyxyOY=EM@X=*7R@xC>?&_v6D?NA z&m47$On}r6dKQ{=;jtU~5<=gTbcNyTrJp}nb&eou7|j0sB};bwh4e%a>2Pvi!HX@; zl>K)Ecu}&n(uei?+kD9^9o|Qu->mPCd#RS$ygu&?O!mn2T!rbeeq*R^u#C&|e8 zcM(=7uS>*3@Be6E6DVM_Pp>_3_%Ywhx1yfKv;1RyrH5~v_pItX_8N*zT7`4o7Iv27 z`RV#b%xP8f!PE+U#T7!}_h7>!g6H$ih3``?ulbSPU;?q6kE=uYI_9|{M16G| zT~3H@4WG4B*T?I1dfe*p*z%+O`A-AW%@_wA#A~sf0sifX`h(=xTtjY0?NNN?r(5Dt z?9J^XMXu`lx}WMOIoyt&AdScV0Yfys?o%XVNF^o*>*euE-?ro1Tw75olDA);mzYYg z-ST^|_JVb0uTb!|uW~$rA4I>0PVxRLFD2gm2R2LrLSNtuq1=rppC|2uT<#SIK z^XHz&mb0olZT7P#^*23RnDX^>iEiCR$9o$SI_xcu9lZ4QYa#Kudb@i(aAU&v%KmBI z7ayM#BWU=oJNR&#QVj{i7`HuKh6jd<--#V0?1ecFbf~dE<`s=W#0gDczRjPSCz~7A z%CV|enAqLy7(?w3EOeH~KYE~`?~WF%ig0FU>UKJA*WOB+k2fElaX-32dbrdi?_#+R5I-3$^B5yZzS| z=A94^?ga1};eU1i`26jS^g%MhKwcrYu*YL%353|$2$Vayrt&j67#AJ*B8&U9gaLC^ zI!SR|i1&n^u(R_O#60c0NcY_Bk0dMi!wrMdO2QsP*9fb=q41Bs6KA-+#r}0wOKa7D z32BGx(4h8qSFpl^;&&|NRQVl@m4o}iAw`vg5FFvSlp{KpnHnnKW^0h#+ygD0+5 zUQsI75#RfplU()QQtge9Et-DljBV)6b0GQXH9+-MK!x%VDm~qCN$nVd|LalxuF`yO zIR0!PhHK-=41W-VF#g$WgbOqyfp?tPNg--t$=~8eHy?xDn5FIKOg1g@qlyhO3qOY zbHar&3U;3{H*tv~;&6s5EO8pquoaT=%=r1%8!<~Hv7Rp$7P$rw7gO{ZD8{Hh?U>Ap zamSfvV!ute#cbMn`~H}$-gKhl<2{9*n1>SHCo{LXt2|x#x7*r`l;f6Mzq${o2tpkC zMb}64A2FL+BkE0WD0?b)1qkDbHJF4T7IM+w$Ew%l71D{3BnEi_-%scZ-?tsS(;pLV z5v#n+*Yl$Mh))sMTI4%2tbQ+zCC9gNsM6x`ynw@W-g_7tRhiLAH#Clx9wD?AfJI2~ zY^yYFE;{!aDo6IHC4_N4VC7sHKl;Z+8It%9o;ia-(vt(MI-tiptUcSn3zRXX(VdO)3lpoC_hRe*6NRJr@m;_FGLV9Z1l*m$Q4gB5)-2 zs|I>J*H{ncqGKtJn0t&9x}xIM1lL%dbH_{G9F7&d{#x=znts+YGZ2lohmO-_R_yES zu0rFVvB$rTm2%xhoVbrOLu1tXWw?$k+h=5&i8x`Bz*iy=T2H9eV`z?p$kVJFxMbWuBnaG{Fx@(-hB0bDeJLKRk)b8$}=X;SD-|mjZ z3AsDR!wC_*NR*kY3r>6miYC4yyGW#R&=cwTbd6KKM>-ND^4#TwkT070{?^Tl7Vbyw zzy*7vcd#!KK?|Y(r}=*!{L$0IkD7A@So6}-41x1hpvXvu{bkN-gLb(5{u1+lX7T^=8vc^D zqowtjt1um*pVBG4=)AJP+Bc|z&ucKZXykrq zVlf&I(UkO__Ez^!a=!ghT{OP4a&Xhx%M-Ucc}M5GXRlhW?x;)uio1Q<7zFZZ+Q4LL zC69q2yE3m{cX)(iCm5#;VG~Qp&dOPMZ2|TH9i51fB|L%~`>;&nrAt;9aHoT@Q&UT^ zv%WrYGBh|ai(+9wlFa;kOZ@J@U7yDUygL?8A|f1&U!bWgEfAB|-pUKfDJ#RFFcraG z+AFuh;M?MdVzj+`WwE^Mz)u_}o*859S~*cwK6J_s#V|fr(>B6O)Rmj1 z{|Yg2)HOR|1k5vvG1xRIVAxLpRUpA=N#uVj(yt@~yb-Fdn`H zjU92Z?dJ!xW;U{>BnLr1`X*Kr^h%;!9VuSFUjBxRY%99A)9>TusqMumiDEE?NrK{S zcg630AV6G*&^}AZ%gueyo`_qy(cGS!0(Wz8&*9?$zCD0fC2A_muU{g6{BSUC!wf}= zKM-v?T%ujH&%q;dbS(CDYpA4NT+C%wR<^zNgGGtL4Bzq_r6OGxGX_NJE_r>;izMQ` z&9n#ciD6MM$jRrEaziAV34JB>^(Op>rreW~Y#&KdTwf#LG$83i?P@;URYAv4m2Yb^ zabh`0#NK?c*xNhbRwcDkQ8w3uxzOyVjn1;S*U=?ot($oDy@}G@w)%Saq7M&oanyPv zhkOl43PLrru>eo8ItI0j?;X%2NkBxze47ptI(7U5rG0EQRat9jX=(N19uCgSW@a#+ zhPh_RCbGRE10tn?4sKN$5 zsS(rKW^&{|FB`^_US*BC3y=1Yi@TPW6Zw)fU-5xbhnSscW;yF|j>LJRSh&rkQ^8y) zo{#>D_ak;Sajoor{(EIYZ9K~L$1mKeqC0j!nRYP`(Hkdsm>jRb7vh&=VZA2sQ|D8p z1uyg~Pl8MyBSLw!GvUwTa4O* zSvz6iyH~=)!Bp8pPQ}>ckuj$UIX@pNj~kbpjhzjsjm*u)+SZZFjhEaI^u&}4Twg>( z$jL6VI6dMeXJ&#i!5CpM7&#v*85tRmgNYfJl8D%ECBa|3Y92- z$DeZky^h~j?fd z{%fgUtQ#;Pva(zv2xI4q*!=?Yi#@m!((GT>%SFn6KKU21T=EW0nW|>ejtv6g@KhB3Vtwf4dOYN8Q`3349rj%12c>R z{5Tm{;o!#%2XUYtb}0CPyzCc$vx0Q+V`gE7a|^*>P!p_d_;P;d>V6NF#^ zurq_@aS?+2AmqFVIY3SjUbMvw7RyC=(F!wIL>D0_3&P8?U`pE2y0 z*BloiC+H^#FY|D+f@^m8MLauLZXg7Uk_E;I>bwXq%5rjCl;z~O$ivBTk%yD>G7l&0 z^7^7S&db_3IWJn|ysVS+q9@>$%*Fr~E(2KX3~UVS3>*xc3}9$rFa{W$0mjS#V_^Ub z8DxU7Gk{eO2YJD>03HSKl(2#a2CR4RXn+;Z4Hsb%10%%2Day(&Cd>&Hgh2(F*+gMt zoE$JgK^Vtxllhk!``=#Xe@IjR{C@o-`9Bx@A4y!7s}0BzrhLpikbjE)(;EDhEGaCk z;D9i*v^M2)R1y~=lN1$ZgK@I4F~XU^GXBSW|5%TNr6Z8L+#&zwMba49DG+9#>UaRl0mhP;uLsj(BdlC*&W3ag9m@$rEU|Gtxe6k%m38H|PH=bb3Se!m9U z#K3)l3@R!h11sxg1{IZy3_ovMMFma%En+7Ja1F^Gz(n-l1lp}DCr7*a6arVdV)m)({XhJto~*QUtem+b)~I6jD|o0GWm z#pr@`@ym|??3egu$1l`0B@lnnuZyV!_X^5f3VsTp8NSOYzfkBdC+ep{_q!4Y2QOza zOKT@n2Z)$8Xh6i&_+q91p~*>vbg4f=jEmu(UO&b-F!l}q?rtxED|jWo;&!F%Op6W! zuB;*yZk!h-qMo_3c6MAZ+%xNt$%V>BN)SZO>DUwhBjJWm3d|t2`bj?KyGLf{zH6%o zqlvfE$VO1zm{_}RpA;ui&$IfO-mpIOv#k~lxz{B(#lBLbf7&0jRx??^CflgZXjqw$ z^fzkU04 zX>kZqx?{D!e$MJoY8*^-Co0nLNsOFQyp?fBZ?6iH-DDvmfjGZnQ)i(UcZD@(ZJ}Y3 zZM?zrOnT3+cxTQ^7xMb`hU?xbaf1DJjftIy8WIY=G2QJbnnAgH$T<#9Y5@-;y(yi^ zH5(!XQ>wN)kRCz~4YqH_1Q?;d3;o8QES2}sSBJ6ewx+QQKzmL=k|`01D# z_19UEw~{DjKH-?Wq(-|ZgQEw}LT&qu19^JCjj7x2VTh}>I;9>bAH@1K-qwPq=5r+q zeN7VXR&$%ZP1aaJ&%P4l%&}z-Z`+Rsxbp9^dY8Bhp8im;c=X6q%&F1D({k-qMt9&w z+qceb>+w|W=icZ9n(q*OOwFY=cwY7{Rj~TW+r}Gt_HyqY-cTNH*0GCyz#K`7i>!uq z-!fe(&M`K4a1e9k151^6)V&ZnS^?T9J6uf7g!aVUw>;7+g^wG}ZqcJ69kR#`Y>&wf ztZaA7yr<}4b_?HV{I-XDa&p^`5K0x=WU_Xhy*PYjbZ`2FQV4dI&n9HY3+r*|qVLsK zo7cSJXmqy1IJiCpXoe;ImtLkNj<#Y3=g%H`hOov|IA_>8sYovNdRIf0r?0TkGllGMOtUIGfM?l_C;?1#bv& z+}Zb^s0(&)xy=1+J5jCc;Z-s%lKFC)-rkYKF2|YRu>;1=c0LIlQHBR@OUL`W>=U@3{%yM#jWt-jE=nW;gH+`H>p-jVdEc=ttqaB473kad+CzaaYC& zx+hldF(c_bN>>eAU0kALIjzW1h_7^@^*T7vJ!GU>z;X<}%5ui z$_qS1-i)J{9c9sKc4(EQpgt5-8*8e59B>0KdBF39)Zk zD^?sZGcvjc|ABa5@erRh@VV}+GN}KnYQ4(z^`8Y!rdG<4AQpyKK3w(Vm_f(BEP?hx zIFLk+BAGHU&3I(6g(&y;Aw;{HdNC-VK>U?MWgPpKq?hSg-7PJ6a}hepZ!U5lf&s_r zQPq5WO#br@0tVD^Q~HBna*j~&z9ynzOAAQO*C8DBDm|SIdPJeEQqd?r&Q|l>{0CvY za8xVPxc-_hp(a+zDP@0olx6f<5n)C6uv!IwHPN$&WaC8wBe>X@TWFo$#-qLbW({xT z@uZ?<5a*N8%yIaAx=Eq0W`IFQa@8s1^0ZMSL)z9p?G6F7DI)cX7s|i9JWR zIbPq^rX>2>m2{6ZIRv9O4LG0Qh=(K_J5by4E{wfd-@vjW;NI&tV^`&Ma4@E%c7IUv zv^0NXWj+_-DsI|GR86#F&1BdfCHat@|G}F>WXhhMTHPOZo;TjeP3LP?U>vLr?c+Yj zmt68+8^3wWr%K|=)Vf-CMbQt{+5puX;}t2on>pQw<%LHhcv*s?;{{QL_ED|&NuK4DO4>Y<7IalV|;(OQX=X+#$t z2{(35J)wTQirzG*Qf;H zTBxgS9061Ac<4-EMxqDYG6XFZAyOZ`6!!M#JlJ)O%bM5q`pV9o$dEFo>!>oEDTB)1 zZZ*EQH^z|nkusc%v*lW`3&y;|mDxLuw>iDVu<4kH+g)U^ix*0mm zuXLE9doal{Z+k=8zP@z7{`IN+ldD%o$4A-zQ;LPdfavqDXf+VMS^gER{zG8?zl%Hn zZ=lZqJ$Czl18KfgA$|kT|67drubKbH8063DF9EWD)~W!gc&ShQ1NQi*CNHp}e=dKK z@>3!EQ}zqR|DUs8r2I$rKNPHgF7rq7uY!M|?cmVizeeUSq1Zp5?4O`GFZq9oivP99 zC8o_w{#W>$70Lx={Rw~nk^2{V{Xfe6CzSeskpC|LE-(3?kY7RQzaf~v_v|kK?_bLT zwdbEvirV5R>p=@-1jOa34D(%p>FvC9L&Np!UB3TL19gzkpnR zzV{_B0V#-*DL^s+sDJrD0I=H0!P5AT6YNXm<@Yme7zd1v8U7Cd2t>}%2Ap>P35>ar zE|efbmQIcerVhdg8#{#U1sKNhCsgTo3<;ucX#x(&*`REYpKuBQ&TKAlDbOKj8(YWA z6a@!>br}O_4}eDhTCF1)GeB}AOfAhVoX7y!{8NJY4c_`QNVEFNT_yYn!N-&_*}TYy z(#1}k4(Km*9HjkR?87>o?i6>wq-5x@!E)Bq7$W5$DaFAT zv@AI+c8yc6d9?vK5ST;0GGw zEinK7|FTS5+XrcB$H3Z-(GV}tFb?JE%fWuwTwj{JCNNe>U<0`W4Ot>y4i2-;9em}N zoDIVGJ@Oe@fR++_Wn`a%SBS{K0u-$oD&r?0O&1&J@Bg^-vY|jjOOuOQrQWf?m&w>( z&dkuzNDR0@yAHzh=&P%vN=viWEOsBi{j8y;wm;K)8wa?)wp!KE(>vRm{Rn*H3^nS8 zM^ekvkUbgB?=K?;cimUZZu3Qei7BBX18XNq%2-b?xv|klQZfYo`S4rw4PfCyN=jLzc{H~3QYicG`_!;6|r;^KD8sN9gOiRm>wTxlWu@p(K0B#t+e9^G6SzGoLs(Far z(G|~}l$aQkc+#ICp2Y3S#K@?c#f)PQ$XMXCH&k)Chp4mm zhkcVwx-~SM)h~b(`Vk8#x~6z4+iek%K1gF{EvTA!r9fJ*SKhqL~K}sh@ z;Q~B0J~^E+FhovJK+fA-0{j*0-@j*nKne(8F-K405WIeUxg_2&uI35!yir6pxNdrw zo~}7~9n<|Teu1vE^s9!B?#VK5FF=K-L-d%KXcchH0GmTPSzvDI42UrR!CJXRf4b5D z_)L$Sf&!S;PJ)l_-6{ zKc36kiZ}5oSB@sZ?CdNUmZHZWGK1q{s%mSsH8irha7pR_HHC!Nb0SXP2hSi46fe&7zVPI62m8ryt0SmV5jNJXk$4A?M6@I3v!DEjO z*dJ3-Er7oFF%}EIX0!3w{SGsDf93xDSD>3}om4AAdU{i3mID`7LK-1SLJY;k`Ju#V zPZEIVw+u(XDHn#%9FsuMb_3;ePf|=wCetJQz#MK!u=hhaRSo23ri4%WIhHQ$b4a&jc_PsA{TdF;| zva+(MXag7r6A=;3%*@z+ZMYMUqx}sf4Q}`3*-pMj@rT}C`Q&&O0jo$cjsZTek4C8X{j`>#dt-5)9 zefvN|0;EVWZId98%8&##l{1Ei&$ElEO76njea?0V8qrAxVQ@M+8jXg>oG*Ap7BPkV zKW*o$Cq7M!t=7UV*dTkpaCqv*GotC~j^x#vmaoQh)Uf0%)c&0sC5u3o9Mj50|Ffj}4; zMez&1Q*1n;ijkI*+HC39ud)*yx*`}OhW&YXxIlZv%rRF%P0b8}z|fVEk+B{r)Fn0- zrepIucJkMynI0M(#1R}$74%D$Y_}aUMA$reC@xN6RY!^O-A)q_-f5PyWW60A_32ya z-a}9{L(4(MbD@7bCtlNPVU;i|^?HmeM?58@y@($IwDBCz;Qg}4VE$RU+=pOY<<5S-+P1Qi|YQq`oc>f z2S?RaDPzFrdT6*QQVm)IE~(fNQ>%Sx$iBqI7#ABs>T=iU=xA^qcu-rB!2wcqhY1HM za1??d+tEiJ!J>LFu{2d*t5NE)XLZeXNRnbEnc2L3oKXAN%mt2?K{q5}To(!VZkuj8 zJd*a@jpX9ij|lH>?_yzRf5o2rFx+l%eSIB#3wHB(Bwv%+d3o@|2cfwDz(Hg&S>sI4 zvRw%%BY_#};}@>LSTlk3Q&W>6u(Vy~y~diJ&jNN)C$OLByKsPl*@_to0)tS8If>S+ z^mKCnhjuOHFU4S@r-;A&@G!+6u!h_|Z+lDwMU%r<%zk&9yO4s4FWE(&~?0w38%Z@}aC zl2IE83CXN0PO&vEo%}_|re$M%l#Fh$aGAOy8|Cu8Xpp+dLF3eMAdTR+J#q5Z#&!ID zKS<#BTk9I$A<_ONZ?LnB3eXQm}MuiyabNo$dbVpY%^A7*^R974Uixx zI9-FJvpk!|+(ebcRp!Nt2@eZ`ObV3JFQ;pD>fEqi)o$Z( za{6Oc=uFXfdflEeO{!VPVXz0JEka2S6|3? zJX`5jdZKRuxi9$yS)^!8ZWbPGh#1<@v*PolSaA2>BfmlE@{tBPvzQ(0Y|~JrMkxGc zBzyr=RGl_lh>VgIeymI`IBjcd%}}H+N$~Ak6jIZxgt)l(5l#JRpL=@H*WUo|Xy9W* zJw3fhJbHS1KnacAVOna|J=o~uJYe?*3;nb&O*m34UIyiU(dRD&Pi>Rm z>Q*V|a%l4Rzjm{>HU!1=XJcb7Wu=078$lPjXhhy!I5wv+EMM)bG^B_rsQE{ z3h{7)d&I;^v9b4vi1Ka22-6%}pWOp2euY&nDL$Q^81|$cL(OoP0bl^Uuy%J-3`2O{*V?tw2YX=7ff(I`V}j|CUW-5 zoWwZV@F^B!5(E&9N>{h%@960XGBNEYGSMzYzBF@NdecnI#iMT8s(JEbBl%;*`TDfM z?w0)`-5M*=kG4C6##N(oNtq?a=g}`;Qnj|uuf1e^V{cr4_ZCscR=>QuI%R6Urqr{U zIr)5oQL_Rqcioz*wwB8l`5kd-o;~vq4dsDk>@p3Qr>EErI*k0vqX&Hpj(P@8am_mNqGaR2*D71A3ZB z3_iD*cC#)_A0JxAdrY6kweyMPyc-fZDS|LQcB0#pM9;vhhTX?D>H#@-?Y&*P8#b%(xd&+G#{F%W5?bp|_reC!?G)a9JY{u#E zuT}^q)w-H?;#`l+6%~;v(o<3r8lr&IkxkZge1fR!%&lrp$hjvZ(DnDvCpoWls_WBQ z%vqfs3P<_nH_Jb2JL|`dkKdjNMZ$>7Be>2Hr_$f9#B$SnN;Sy_h{k}X6A-H%yi(@X zC;{?xP+%bTTOd7XnFHGCS4_Iuk6c&30LRX0?hSXNF@V-n2IQP1F3qmI?S64mudUYm zgzUBtZa#@SRcX(SjnAJA9PW}0~C^2EU~3Nj9sXo7v#47dcbm# zkWZ)U*_BUXLbLn*R`UHcb>7E0b@fg;GKtx)MjkbXhdjsl*yLXyD-RjmRME;!$5NNO z-~XKZ{X-(>w5YGwkW_n~-E02vp~B}!fgB4^&GR)&Q8s|pBcy{9G((lbpj{DWXxtWt z6y$Xj!;S zI@yj}EiEDqw;3ghGlYBzjYcPHUGKI{)Z|uvH+lHg0f=ULb4HUc`>UD=1VRbv6Jf~5P9p3j?5qg9s2q{jHk!6M(AmQ z)3cqyEHn~gQ1$SuSGUIzs8gvFrH{Qx2Yjll0c*tdG=q(HEV`m?Z&_B{`}Cg19?gND zt4me8Z|KV>V)FRYe)Xb;!RAjBtVF7FSE_15n*;*V52RCgmHRpDXGA2-?636SCnA!W zmpaXLCgF6LE2x~BnX2|8>5gX(()a>YVPSN&lpKqRGRwPBazZ@bCsnP6KAg4Lj@}01z~A8Aj!&{{5XB=)E|6fhQ~@_R>*l-gR!8i|L|xL?p>!8 z4m&iQDY0@%!@7;W@Z2VSi|ws%8T9pmK;{k67wx9^!gKH7LG#O;xDCQ0M*PIe?waCO zb`(S%WJlLHui%o96h5P@L+U-*9gx3)hL_6du+SAhqVF?l0JZxxbT1qa5Fs=n+cGcv`zRYq~npBu2 z(~~4RX7@QeabHiKRf>>c_VMx2LGK0RVk({zOqqBUO=i1A`sLqJiMd@w!hE&yib_&Y z?lMh|E{b>e)>?m!A8=4o%G7ch<5XuKc3p8Ba-7&wk)c+U{&vXSgNlqJi! z8q^gVnTl5HrEN*XLDqPpbavB#!b-5JvJ!q}t$js+B2-;lxgRh!5MEP*g^a6wu0LMR z13D1hqIEi!S706)Dd05X0~)W=>sPPTrL}bw`z4%GZ`-?JUk{!BQe0d-=MQv1%(vj( zI#K6d8m)OfIw>jK5x5gb;jsVE+WO{0LJaT??#=Peo%$$9USTK9Nd9(srERe%EuE5% zx-dmbp!zI-tTGMX%P7SuDuHZdI|+qOH;4OsDXgXU!#N&HNSqB95a*{x$-0HSGvZw~ zU`Aa?P%%!v6&~I-Oh{QW+Vt&vny{NtfV!#x#e8T)1csh?U?S8Ii4ICmo-UulXCjSP z4KRc`inuux+O#rJu?Ujx4B+tqs3Y0A8y>l4gy9-uVki&%57fQ=on|Q{8~nv-*>|hL z@nF7X+O??E?`-J^EEQZWVi_Pa6Ts8+4M@jzD{A%D0N$$vqs&hWoP8ZzvV(%erKFy@ zkm=C9*}a<@^+v1GR<`4WCQ~#rxv$-VC7o(0xtH$;10CJ+hG+&WG}`IPx21u%tkB*R z{_|*gzT!=nB#Va*G(mzfX4kL3h|9*j8CB`iIIsB*lk@v>F3$A3f=46UXCH(_;R}nm z55NkZuQqVrHZk?Ii@E3KJfFMMLmZHA5bIp1S6(OgwE>$THx+A3s$4yXt57T}UD0Nw z;D`SDr)xB!J7KdJ**Q0m(%X>lwZ=zgCRkvxOxdGEC=@(X3TIUDC&+M1i<*XQ;Zmq7 z4hk8zco<;y4;u3Xqxr#@NwTA)a5M#Q3&0`ZMW5f&{axx! zSGGLvjaV}VPAROkwv}x1=Q%W|-V7P&A&goy4w$TJdQ+WkRD*y)(J8UMeqNG?o)z2F zlzn#g>)t_SwGXLP8S7hKk>`0PN6*`D(8=yMo6MMRvXN^KN;i;4kR>Eu zX?Cym&nAW3{bX%D1@wFEO53p~z)kjeg^lp;lV&4az#iu$AuXMOHZwbG$c;u$BSo@{ z913`7QOcB_rjlykdab~A1xLeQDA!$DPjT=W!L2ZKJdgYA1~&!xX=p6kF*8G;?PKMS zNSDv9M9nOts@J1P4bwV}+>9zqeb<#%Emq;rHwfS5N1cCL3m~rvp!#kqwSO5K(~=$@ zWfck!6O-1)Cy;au$GM?i-UX=go`x*Sg%iM#W%ia#9MSNgPcZl$7sBMafX^=!*os`Z zz5O#>Hk25bqms`ZAt4^?FWMJ#Ifx&^rSDb9TsKk8-r5_v=RGtitd%e~*S^sGOrJ%( zMB-W|?F=RMlNE{pog_9{tc_H*a`UMiYCxQBfrPogZ^Qf1+UN=<4t<~0Wc2nvE;X2&r>U?p1)i9NMHs-dW%3_L)W$?^i3337UP ztngxhpbVUWH*nF7ddsvZa^j~g>@Uc}ZcZ%iM=5uHX%ggY)8CF@P<9T)&VRu2J||XH z%gjuhyS60~Q$3;QiM4z>`ryr+`}Z4=D?@DGL4nB;M zwbFi*n{Nr5+S!!g^-y`ljE8>e&-rwdL-a8KXF`qP5_y1U5a`Z$PZO?|(cWTF^YEyt ztYrUq{G{)W>1dI@Gvq{5#3n~k{yo(}5eP2CpALjT~_|LkpgM zBLLB>vp__u6R_%4J6tzRH)XLxLq~5z9&)hrXH*d{?RW5iLm(J6^Kb4m>GVYd(Q_P# z!+_T{)m%_MD~Q3DK=ZEgRCDtzKv@P+>)x0G%F1|m85uNI^&eX)B!EoYbvq}o}3)*ECDsb1+Y76J}wfq@|P|4V^P=C z9PNmvu2m8ZOx?GO?pg-ecSS{o>PIv*w23Nv;~}0Ww=Lr@X1@?TlE8I>06E+HL5@M{ z)S&abL$|$u6r^_~CT2Q63b>ud#U*;1C@nnPz{clLkk;7f)C1b;iquwhK=k#0^2BVU=$wMR(%YrtW#$d^kX3AZC3#N0Cju~j zB*r4Fr92X!jNCTQWthnmrb9&=J)?JT&0C%#C(vj#dV5)0GX$rFc&3`29B##Y^}T-k z3T&SVoNGy2t3^}EVwxv>xH9jC+L~2p#A|DC%{F^0L(h;0nYCf={SzTZpq4hPcPH~| zOMhSiemR6DWRtjJWsPEQqoRHxWNH5pa2tTAN}rl66@eTM{FLGJN#v^C@!to6j{~@#$de$zGX8%aDki96D4HXcN~33bkH1o8^j%igrbhKGV|pPK#6a9MVgf zn*kiRct#uE@!b8MX6jAHYCB2S&~Oi~?-j5f8Hvdog+xhMII#6r1Sguq?cKWq*Y)-v z8}u@?&&(A$AZ%)l?r+<#HJ2KToV~&4qbbm47tPep6oUdvWJ*?5c?MI*J0pvEk!ipy z3@Wc*f7iGBgaoW|@o-b17JFdh0ryb;-f%b^?4fC5^~+^XD(&33Sf>ESEGl~AE-o&c zc@GgmH@SKUrB!C3f`46%w+{GLF*ptFX1fF6M|Qd@&{2x}F>^LqA(itT8H+X8on;px zfdorlm{%G@bqWQ>tE;6{Q+R0geRQ7^e03A1_2v>S4I~g(l29;qD9OyEnN(CVBFGfJ zv9%jhY+Sw8KUq6KLBUSSIm2xrFjuHsWJp6Vnv~toa-)ifkAzPLE5|A(6pwo@>Nq2W zB+smW<_)UwGnP!=N*iSYwLANY6m_|8W0~;%d>`jCVV!GqXnFO}hbQC|1M+ls_K_O8 zD?{WO*VRzzFOYjKp0kbSppVZ90=zOa!12kuOhA;`?-A$2qd;wL{)F%JGv&clJ>Vha zDo5ylipsfCw)R1uzr3VkeW>(pY^*B4_l|ZJErndz`T0|*ddI$B!p-8t%?n#$e-4h39TDhMoi$$&7^`Sok#2TbRzHbVBu z;C2MBy?{y8HxKHlPq_@Lkw%?3f}HzH6k6^E?B-npg5TMLLc5?mp{3lp%bM4pAR)g| zq!YO~hn}?CB}YW`4rIeP4tM(ceAd5gy~W_ii6!`^<@At#VSPP0CdNeh z|KjMX0;1}+Fraiv!%)J2(%q5*LrZt3bceKb4&9y7AgLfAAl+ROB9fBQefNJKc^hWV z*?X-o>q}0t89uMKw&sF4wgoaEZvHM`)c-6T+vk(&%%{af7xRAM);(`^Cwj4E@o9&&|IN@BmNQ8+}dpjNN+t{KFZAxAhKonC26Y8ty z5`XZqo)rMaoqjrFUeJMBqtqhW4a2Pay)_X|Mw{(w-IEAU%(3t(GETGJ-j5$ON-`^b zM4dh07&wk_w$1k_{+KRTwQ8J8ORqSZn^(cB-Qw>nVOoe%yU3FDqH2fwg)=Yr8K7Y# zXFh?>StLfKS?Uv|vSxeT!|O;Hl&44EnS1?~6|eo-lnK#qE)Q*A03o~q2nM;&NG++SUM!0?T<{+G zT73eI8b=XM;%zDL5e#Mu#tD5ra?8^ACpqmiF#ub^T1Z_4h{o;vu!~cIN0<$Ij!C;P-XG z_2aA1nB85>fIAmt&xo?Ko#VcFr=)6#RN+d zm~8AIdg8nA??qtFC7g;@Gs5I^$hsTjFDxvyPJgux8y{9nwc%d2m}82FTC5L!o+)OW zluW&2_$@rzutkyg`YA}TpdXabJb$S^p6myuqYKq?;qdCAmWeCh=Z8|x5x-DbZ1?U? zWk&nJ;|t~{4=3#$QGYhJ+WDa&040Lf4YH1S(H?5bh2|>Cug_!Ss5Eg}WDJDw?4u%N z!s^)TS8FOxFE8isoX_TKie@!V9<;H^eS%h&%r@GvhqPCztPFha+(Wm;N`nOA4u#G+niI7Nm=g)Sex z{lj1;FjuQav*opxm_d5hffZ~CmHv2>*Yb_~z5IIT$=~n8BXvZ?Sqg-5h+16oJ=E5( zq^P_|M}VfIIpD#EN^p_v8_Yyd(DkxfLt|EKAdOXXbuI8kWNQjxA8fnLETQzB?7D#0 zvKyd7K{wm!@!~1JWcXJ?!W0tXS~*#Us!Bc3mrjj}HcLf$cnufuWH< zZFh9U-Ffe-Yp@bNlq?ewDtmYN+R(t~;>f?aDFxmB^miZe)4kvA(L1FvYOU(UjHG{m ziCOzE5B1dz4Mz&at|&;rf)^7RsS?^dPVwfGZIWW)d;R<*79wU?e8IlJZJ7)l#2c6A z096Jk{P6$@)EAu5JX7L|L0Z|Y|M>s%1|RE>dA23Fyt1<9VpV#xf=9;Q(7i9Vyo*Gb zheg3~+*x%`&U0;lce(d>0TmV131}RE{$%;AC+HdK-9;7oRd9%9&-1XiPZjm>c3!8v zBueF}Ps-^>tEoLR<<}!*J9B^_(jMjdy_fmSw%5Awzt$5su_cBQhlHG*cEEb84qMTp z`rTbR=ud)*M*w3EPfUQrc^#-$ev~H`zF6Zjnu#r~wL#Y^25pZj(6}Xp^vwZQ@d@QB z8*#Gt0kMRiV;n}2eN>7wGi_ZSW_nca%s(>{BrRp!?*?`t1$z7u_^U1CsnKNmF5*ML zwFDx?`186e`8&H77|{4odqi>PwRkj%F+RJh>(C+*>kkd`=}T6AA?#Gol2-!T3#t4S z=0Hj4sFsw)Ds?c+R@YT0&hcQN{8GQBpul7(i9T#rVRu8^La}6LNx=4e+cI6A{TH)Kl84V zZQ4Z5W%f28lGjf1Sj(&$uq08AUN@5Hzxa!lH{IUaM~$4DQ4TS>n_1jd!YZ+zfvxVmo5-84&F#zQyFPlO zx&9|otZHk!^3EL!B^mb%4%jqypy0a>(;FbW?9(OT)KtK_-kdCpzs=!QCc;&%7j1UE zZ5l>{elQ8Mqp+q542%&&-}lqa7oqvE>dQm(F<1-{ihRA0#(tWR{)w6|0$D`(Pag@9 z)<3q>N&7#w*uwA_b#dSjV|epyw)62eq#OX9z`fgaI~BdQ)VKA)%1T^Ze3i9jx8n+g zPX2`Fiz9T}2Vmd69`uPug;I{=teTUq`h~$!cgI9?2$Sh$E??AZ8dQfK-kha9>FD&9 z<>4|Ti1(T(Uq+=u0O9`4B{&n2_7ax?X>-goT=Td%p{KoFHWV!NyF=SzdE;;jmm9!$d{9 z(rL(Qr-i~-9B-|O@9k=9foC-n9i8?2_m|=#Gj1+onAE-ZFyWj^K)^*VyRjC(jrfmf z?8=cwQ96}B_sj;ojx285427QCv03HS|B&$yyCGVE?1@--bEVdH_fapDy}Zz|A3T4y z;QfdIon4vpcFRqBy*4v^H3qFe%5|F6B_l9KYxoaOW0NE~p+aZ>DBg$_1<{P8D?B}7 z3^VjS)o@nM&CiF2h230Vm)NvkBK!o5oS&btI>iDI%yq{XEnmFOOMs{fL1p6p&;kM7 zmz3%uFyQD2Gc%7M@^VdcaupRb5+=pMQ>g&eo6BP{J^MrdvUbb z1e(pjeipN?`KcGu2$PUnlTjenU4NJh5XZW)UH;Wx0K1GxaNeDi_~_K}!})0^f8=24 z(kok0yIQ5HUK_UnCVRTF)X4wiNA7vQ8vTpfL4-sSDZWTjXuR#RY@(vq)g~k3l}hm% z!4U_K_!jMh^dl43+JQK%3s7C|a!Rfg^@G~9CH2ZB$%l+DT3kzGsT`gR8#7T<+LdGI zbfMZX)x$-ay3X4KWTa=$Kp#iHqIouC2i%2#_t@Rt9UPP$K%C`{i_$|9VSKBiAG;LO zV?xc-sbXa@khyQg!lb6rD|)czfEV}?Z+0+By=pmMt!y0LqWw5a69V84c`?<{S!aM; z6JI)QkDz=S{tJNWZ?=DJ(LUAd5ZT4bS5+(*B?h%%XJVn zUloloTV z4Z6_lWJi;Lr%*KWf^U=?qUx_?hJ1XkI!W*(v`g8ID2RyqKH`y*Uf-pp9O~*~}$-8I>;F2+OM&kZ8r~D9u_DI#AsG6?>OSd0l`?yUxkAoV&KsyU8{xm467l5)>a>V zb*Y%^ZXI)#1bomq(hD8Hutr>Yr>H>~9qk%uQ=ND_A*Nm5N9Xu#^HVjdzS(E)k2Lqi zRAzPhJ4DXEE`yP6DWnKl}t%}-3e1lz69DMXrleC) zVYpiNzz^aG>UiyQ*j_8A#fz$OmTQ{4b?Ts(mM-dOeY^AU(k;qOQC^L?#Pq(Psfh_1ijtyY$pqN#l9QA5jl~I^+2{c-c6oeto97>JWjKdx)$$`cpqi3G z`jZG3_p01f&u3E*%P#bWFY`$^n}+?*(}`qry-qH&BQoA1&q1=!EruIcGTD5yeWoqZ zn-FU(tCY~^&3YL<`DwhrjrR6zA6_v+CV#pMFv4?&uW~TQZN>lTx9jjZxW1Ntwj4hm zN^;G1(W`!|LY2y!L^?b|RI|t*u^Bm&`Pp`E%<21BHZODdPn74fQlawX#B7FYDE(F5 zDz6(9{}2jlS;P&#u$vj3MXTExt=Hf5HhjX(Wh99@=UUfOhaSJNO*tD*{hE;hWGyq= z){H_?ypAKoOfq7M59CheSgUNmCWqEbOAp=nr}(~mRAnJ{;+`L`1Hz)*(yqF$?)_Jm zi*{F2(~XH5Vh21i1iR27MVoU1q#$e_)TMmJ?gm=A_(!W*=p#oi4=PKRfU1%q%1KZf zeIt|s%R=cRGA)B-#ISSxeV!2g+#J(KX!lr9c9GT~nR*r?>a+U9U%YmHvy$7T3PcXq zQQfDTOush2iTwP?y>&=hzMf6|c!zO(%b+^)|rfQz%aY_QOj&>_6qes#Wab&a!6AUen=&E@- z|BApQm*;M+fEZR+SJ$ig6CeWYfQ+lVyBjddO8}<+;p2+EklE$&Y@=Tc@UbPVmQix6JpOkjJn8>kQzDx)an*Zv;lUoRI3o+GpS<6bVq zoQ-f7s%vU$EAlzyf^_?BTO#xjnnHe#k4|LtnJOn~V+g@`VR({omgD^k`Oi6Jd1|`z z?JT*mH#fasUAWA{i=6eV6GIW3mk{%iyLG!T+5L2l9{)D9av3HmX*$?TN(N`6lsgH;Y>)NX)yBE0TLpWxS8sGJ6$?=av^3>YE$H)v7;qb;$(n zb@bHKnDi~QKCH`5jE>4GvFd+ei!C3?7G)_iH)D#UbUR#}N;Pyqfl1B>j_Id^-4^J1 zbwTI7?av|5CJada`(Ak)+~-+oLC4!l)aiKvdaamoTh2Us(8R$7TcX?Z#8l?g6AAqh zpw`pKJs@Zzh!`*E&t7E|$*E?D!^t@- z!;MxGTCb(Q2JX=}zl+DXL*_ebfMv14{bSb%8WAU z$?WKo%oYzkoj>2QwCR6--&Ef5ag9qshv`AqIe%UUd{xxc#+sUaJ2;d=7VqCH7d_}n z>ep~Wp_}o$ohJ0;K!I=e-F>qkn+1qNW=0!A=IC9z2xu8xB-FTus1y` z=%(kB!(LKWHe+JBwFSB(t_r?4LxqIeiW;UpZr!zw*Zg+IbAd?$aJYK28_qmDJnjdJ zct+>DQz2CmIFxPfdr7T4X1$S!&zmEj?FjgNy^$@ppY#Idt8V%X z!tegT*d%TNAuBZ&^N3WcPNd_vimmuwM0W0#SlHHy*%3X*TMr}5snFQ07G1{##P)Y0 z@0`TMlZ9T$*}q;WgJxS^*}Yn*z&#YkEY;-*=P_OHwB4T;U~AC)LVK>7j0bxwMsRVj zQ*5e&K*dzV_9ce*ZPhc>>c3a#4@7Eeom5F)TVb2K-TOuC6a2pJ3JX zH$|%_E&V=;>l`s3*dB2#sRbrC)**J%0YT!v`#Ui#HdBy^ecge51C$Bfjg8^9gLxgT zPh53*(>co$*nMxT^`m{ah;$qKM<5Ccnj&hnNH=CpmqFr*9nR$4W}h4Np@_sNw#-}M zQF(pO?cyoq(_WV^*WfWr%Mbdu=MEw%i?~^H{>#c>NPdkuurdm{={87A&AWB(`3)Lo zE?W8lS#Q+g!^^?(nO*Ob%inp{^pOk7A4fN5MPmXoy-7t39Ygkgwuxd@IUGqXzWALt zK|dig(`pac4UZcw{S6Hqy=~Yk^E#^C3Let!o$Lvd7y4bqX74`j*e%y`(3NBd+TGW+ zOep2~v~?61e}8?i4>h-`mQ5_9LaCwVDGv#>ql^02_}zQoCS%Lg6!Ed)0$Sc|N=iQio5xH|ZEc$IthlpFF- z=FWOnu859Sxd?kDsb|ayf^{Z#U4WKHO!+`lsn6Kn_irmDn>m~VM6dMqOgfrDl07z2 z`g+l@+`2t6HX&MZA{4y)Chx|7pPZQ1)&dUhR5K~#LUJ+^JAzqDBN7TDW(>0w^M2d# z@Ebo2Hw{Ye(vU%^uD&gyd2Jsgydsaj7Pdy6gluRqco7h`y%9jYn|c zF(b__LF>mPA;%J%fo-BwZCk*5&t5A!&%(^PR7+^70{@N-CHvYHbLOGbVJ?nONpEsd zd0R^V`qwul5GZQ-UV%EFlkll8|LP>40MNWOovk+=HTufwDb+?DLSW}#TYJpx<fAhQl zD5<#kvpY$;qi;dD1rISXaZe{`h0A*~0`P9Z6tJN%cOgMQTj>7g=FIeTk;fCb2~CY! zTU)bLd|yF?+^w~==0Q2HV&J}pHjmM!=xxxc44q3**^amaPo+xq_(n?ngx#{WaKCy* zwO5oVI@)dpyg$D6eR^}Sbp#uP|1-&8&!^t~BD~c6qDUF#4i$3v#A2VQW^X=9e$&)- zWbWT+PSQC2-6K(|S|JnhpR*Nb#4LkAzT!xl7v zkrZJR`EX7wT&pNS7tr|pz-h3*FB8-cE-ZjP7ij=v=Or*YI&Zwy6bEjv>1!Yxz{A4> zlzU_Pu4QVhwf&apX3?pge%I*Om^L|lu*Zc|I`(v2rzT>6!-3~9dr)6hqU%I3q+5<0 z&Xi*2kgt?sQU~w{q$JWP zDu&Hbv)EsE`p=drUkNKoazP67N5Y@{_Cep9s%m0-db-v}WF8<=@C6!mAjIjqE~lVy zK|d($z`(^l?n`a)KC{w+sjCzC*fNreuK_9Qhl9?}wxYzZsge!_@+*;^_{hapO;eE) zOo>n35?CwKk4OnKFmrNcvAZa}MG2f2pbzh*15Ys9lvG6G;^?oCUma`^Cz|A_aSmZC zj>{bPDV_0tRmIsD!47iX(zlxn#xWmY(Awz8G&4BEd&jj6Xv?xS9hF@1BHJCoM%C5o zs>82JHnuWq;S5o`G#k^`uU`X|w}#)_X&rmInVF$bTBeR$YpJBWyE|=dZ6Fx`k0H~W z3x3=4OGi^P%<=e(0MyP>3hE*mB3bcrU#1CT>1caik{mvXSF|N8iu0QQ_v!?EV0>*v zrt%3@P11E*>{(<&uMYcKd zA`OiMHpsEFv>&1qtxpW|ZrN%yY*$olJ@=b44IzXH(t7%EHHISjd*jDoYMww$`pW`5 zsZh5zYh2Cw!ul31x@Yo6z8~>=re10iv%F|5?iI6H468HKrnj_=5^i;s-r>|@V1=6~ zv8!`IRF~bhN4sasLsQ}=&#@>3UaSrQseiK>OF?}{hX|NqfmcAD=QlUi;m!^T1hP!s z_v0;d6Y!>GWkC|uF!BH`X>;WOdu81|bP;=sr(%e%ydVwL7<%3@1ciokyfNf?oBs9j z*yRUW?8U4!)IIKRYihRTWQ_Y18l`2*vg=8mOU+v-%fA&tGI-bOYpK_flg6y3$1fL; zlIW2<{O_W#Ypv}~8wEyYB?K)8iKzlw)x+ltBd@-Sh@$&P7+V7&v z5`{m){{*?Yx#{V*ytZ2aifk=abRRa*OtbOg3`p{!Wt>VQ0vbR3H3Vp66ww z^TTiJ9I<>r@qT}Xle?Qd85z=_-T82N*w(iE&C9pks?!m_0WGhBmtDRA2QDpb<~Hff z{QP~z&QoBIh)aaCkc$hCtHo=nQ^eMwJ?Vd!GV#4^(lR4jmv2EQ`0T9Xhqx9Cp85It zPoF-C2Hal@Dr1)+Fe7}Yprrio_dE?O{O2kGMd*rzHLGwJ=$V)dSlTeArlRYSPL&hC zM4d(akfcJBn(Drv5({g75!I70MsoVANu$jQyi!{uv~P&4H59^NEL3daJKT^lG|P6g zFrD~NP~^&)L$hYl^Y;a;-*QB%JvaC3K{Tv6)>`=b@JkGoRx$8Dk8FIrvWiNAJK5mF zLS;^qL!X;}(%#gW; zP*}4O1-!mq_SKk4HQB_#9TL7y|C7E}!Rf6>Jx~VyBe4!kc4=AJ6{guApr`1ASHHk< zUtsH&rF#Z8c(CCqqRW*j$MjCRe%~RXAZL5vqAxzR6uu`CH&?>;KZBFDH@T9upz#g1 zxNe5ahH=0D^?Q4>qeHqqU3-8Y)_>etoc#J?G9&F3lP>j9}W%(e(e28Sn(@~6wv zES+9W2C33)_vgjw_xQRF_j(yml$d)=o~!va$k! zkA9f^WxxxBnhZ9Il~w7|XYZh}ScH#bmv-3L*i~9JZJvjE_KP3I;Fk%c6B$qK9UZ0Q-Twv$2fg5DjzGWkHL_b((;S$J zNxfa_(mB%+u7Me|SPYqW>|T>}Y%E)+NdO5Qe)6(?I}&fUE??Hw*!XNDbu#j-k7HwJ zA&54{x|ew48AO6{jTVxfja)gkc=5-3h!%qQ;rzKy2#=bM6m$*39z4-2C*UU#H{;hH z3M0gshbm>Vlf%7^BH=wxpWf=#>c93}o71#UId52gOIs+y#KsnIdtLzwSY5gJ_%73@ z^*>iuRV_TE-*W|3?8|Rnmj&0qeV%Ss!>Zv-VeqPHuCnH?r(_CpB^0e-Amkw}tuW>3 z9A#}!gpF0?S+Lw~f|uS_^6Dj0b9p4DY>*brPFn8eery4qNA|im3DwoAukP%!XHFoR z_wmYcuJ4h^`VBh`O**)huNZ)aR;Sf?TDqIR|y||~3a(9QaN6v+1QxZ-qSv4ud>_HZsBHDFf&gz(Lr*(+0Gswr044?$}>Bkz(2=$TXh=7 z_q1SKMd7&>?I9v6#98kn@{(6`PhBp`LnO5MeZ1>?$gZ?bl(jW_T6ayNkgv$!_?W81 z)Rk2l`%JFVwocJ$H1+Qfm+Slvdf>SNyXpZ+NXUOo$DQTd9^-!aUG^Z?JNguc>7i{& zf6Dj6FHU8wmYW2<_BHecsxTtSS_u-1^{*w`?s|T1rYRl?Y_0%pT+%^y~kFaC4>bG=x~EzS2IR zWPV-gYKx`@qV_rr2qU+5uy80C934|b1RO0!@!NAe{?1aa`&2FCn?8o)G}rDNUB3%P zY6CvB)R1z8R6&m5lP1^FU-psi6Jo}Kqy$iIE1iX_U7B{<8l#_(dx{Cye%LWgiSuP7gGImdSb5twJ5oI^8#L1=LEWjflu&_=fU7GWY)4_ zA6e&F1aMZA_r_kWe^KdX)u-c_6e?%cD~bGp;7YpD?-~%7saw9Am!-x?X|r8$wbtlp z9Qm)RF81R36c0+bWPdEh$oA&&FN37T8>{O}{TQDNX6~8p^Qlujyl5YmLOE#g#xeW5 zcOdxy5Ys@S8>s}MJIYll*rL{3-FmK1W28PrwbvoHeB6a5y)=^*d7yD7Nk53i>KHHd z116gepNre+=fF>g5z0E-ivTa#v_HLxjCE z&S*&+of*`Ng3652^p{p3z#vmNE{`lj@HSsWn&UuLF46Qy%68`Il#D#3h{zo4L1dhk zj}=NR;*OeJbrUyNQDm%$rp;`D*g_;HJ7TtuQ$Y-{9sxgNd~9q9{VqjTWr?^>sfD^XbQ+>xY)k!f$Os9)n@Unn z55hjz2x%^|bG6U+#lMgXP3WC>s?uV#qivb@ztH5QOqMt3sN{P%Sn}zhe3s{6(<|=; zW`6K4f2Cni4n&^`Wgft|IQ&U6^ML9`B8snqIgNihh@ibNT~d;79MBq62p1RsIQ0za z9Zs@9# z*NdK>kT4Pmi9_rb-IX9DF@AcyZ;=coTk0#!oeZ~35}{*RTR`HpAb1-Z&?R;V4E@4_ z==K-;bA$Ry(~Drw1Dql0fFDu6gt}bXMGA~CZV4-$;}K+BNVMZp2ozAq$Hn2Gqi#khowr>8p=-(ljp#k@6J)=UvoBV?zRD6^Pjdgn!Z_giGm=M}VE^J%1YU5u z$%`t;^kh2-`WXS78m;qEqQL@g+^+1R6OaN(1L0MVM z<#(tE(%M&-V&EM6@aabcE{G<{AO=A#Kt{E|Ka@Zt`D?MHgbpKxF!4tLrebUm>_k6O zYb1?(XB-|MT`38%;m)fq=@sRWu~4sxk@?Ulog&!NHHB}_ldJoUCt7Ht!L6);(Cc{q z!iVD2UZI#07;oQF#sk+munFAX`-LdBwYJs|gWZdZJmo5bOKye6q{i6TCTvhrz3h|6 zJ`X+1fmmrN?&y9n0l%-2Y(AVn-p$%3_@C>hfR`ziTUMxwirpjD$%)DtDRJ?foBCMf zUiZyHhAQqDO%n20CPIKI{xkC6PfA3*&US7c-GgF&yMD(;1JTj`IlSi0<$m7(N_@!6 zV>T?bx>?{RM3t|CX%7i+8qp@mXd04m-@R*HTFRaL$aEhs5B&;8QNRMc8l9Utftr14~L(R z7ZKFMD=QklGZ8)ov`vUgX0RCI{qL=LatA&3zIP_U(<$9FS_g}f!A-rS4~0lRq?Wxq0_SBe=gsHyXl()bX_C8|==DxX<9(v4zI ze0aPcjf}h|=dUbvnWnq>jcLwFK2TWvw~|BELZ&9hi8ff-rp&*)u91|l{GH8gm+q(J zv2VJVkIE&g@cOjoX-%>?Xqp$~Th z*wms{qog`}c|n-Fbdd<6T$8B!TqYm;*j!t%ZSb9!$An6P)_A`hCoeQ&X?0r05R$x! zb=y8|6gGd@DVw&~f(Qy8E`7TiRuWn_C88VW=k8*v)ngxRrmzzBLDiyx$HB-epFcoq&XP1 zqO=tih+E=dFU~JD&MA4&?*I9dl9M8Z^X6gceO))v&=5YcmaZ}^7Or_=WE72kaD7;! zIuZzgC%`*YJ=OKY$kvm{3x&hhegsI>SvENC-!4h<#cC0rqT0>#;-5n`0y)r4k$MLjSyD-s9JFd}n zR4iD3x%2zvu(DdpR$Go94~2tF0^oBlqGMSd8DRz<9D4e&?S6NXH`5|qONEjVAXz4s zLh#?|JZeW#4Dd^XGpM2V`qwkvFXN`qMIz(1_piSpN4RamP~UDmIQ{%Ie$S4Gbr5%b zeHIpGWHBQnW2?7ZK2=O&{JnG5eUF+}B1irfW_(Ez(ghBi zC(G}OA1j5X8T^8y5fgjpqY_JsKECYf`iPl=SssLMzB2jsH6Sk zB=tdSmMF=WLi9rA5>Z9oFzlCT=Hkd#s+^{=NXQLCIi2xaH7ARq4X(pIzIT^@fBN4Y zKfMY3mHVd1>R?ITGNGuF>1Pv#iz6^x!N^Ii|IWPB3eAx;ExeD* zzNHsmK1a;>PPz1FgGLb-eRy>Dhz&u#Y90<8<#;vY?t{a4@ZBl@W`mK%HodS}% z3&=(gD+q`mfL^ohYw0B0PJQ^*B_R03X6*O@_N8}4cILAeXzcsrAa89EfQdf!t95Sj z8XBXAFLB4?_wk{L{~x*coQ@vT3of(gC|K4$WI1b-g-Tvk3ku@J&CPNi9?P|cznv>$ z@4labM;Clo!)_j9j>y@+?w?Cu_8du7|^5th8dj}%4*1{K>9&3Jg29>`EhV>5(+ z^C&l0X|rf?T)klAl`s52>UkpqxVN{80x!@utQ-N= z2)BJNMzxZc7r`2!yLLmwjizk+=xI#E^0AWa(%gbYU!S$^`I$_tCgM2fvwNnf* zQVIqS#HUiZK^|_SE`@jt5@FFlO&w}XRd{*03I!0anv^$>#Y5n!#fjlbCnj?eeEby( z>AlMC+P=j=9Z*nT$>cDAqiR)N#>Y4OSN2m@(6hprF-;YP$**9enJ7J}lz}#GfPFfu zA2@$973a6Dg+VM80N9&6f1l~ANfS*o6T{t z5>b~-*LOt!U&iOc_FOztDhaYP9lqx0)-GCq>13dGcvL^OzgM!BBpr)Bk|riP2tG~~ zo5la}*`fUNr~K|a@Vvmu`T2tTpG1OkxruMgW_&6-HYa6)#GZ)-xK@<5r$YE{l9!B( zP&a>%FD}7H#9{m)9pB6kVs>*Q z4_}e>spns}U#j|PBo!8qJ3O2MAz|yy6WN_E#a=JQhV%bBO~h?^Zl;zz_hK`4_jZfK zs1xBW;%ywIxOS=|J2hOp*21V`Ic6gz2}xO5*uT={mNF71yUv988EIwfN(vy+zl^Y` zakeOLp1~&(;q5t`xDlIpcws~VT`Pi-$D}-Y77EE&DXEX5TBv&+*B>C zt)ZHmPfXS>G$$lv2T@dv-;tZtF6tYvuMf%=a5DcDaaG7h`#4vnin{0Xz#ts}!8F)Q z^E2ssK)!Czi%E$<6}^#4iKPhb;^3V#-OELXBO{w_y1KFU{J0||da!p~>yl<>R?K0b zRcaL`IQYX!!7G11r!*$H3HFn-vok0yExt}d1&B^`2GVITQGzDX_rDvPnr2<_;j-F1 zYSmO36$`bRwibH?kYQ`P2Sq(*v+WsWRo#l&L4?)jsxjkIYV+5f&!6;|)zqT1JJlv9WPwvheZEkBlf>8CMjXMiFXf zdMMkd$Gdj^%MN;0Z=D!uYrCQ>X8GQtJl~j}bCa`a5ZzJ};R%sThegi79VG?fXK}gwRAY_MPA!VAE#g}_-*ft&(F{ivy{pZ)tsB^FS9-2{xjAVkYlh+Hh7JtXAW zRC!t1!mE+=Dl+R7yJMs4yDGi#@rsMT^)~9hSSx6dO`L8!Vk}?Nb)(VCS27HC44xzG1nqQj7hvsToYN+KR6|exaL9}2N<@T> znyhlLteFuaB$T8DU;zsQfH45?Ig#<)a|n=E{N31aIb7!LgZ*{}`Eelf2#fHM9Xt)EtQPB<(G&DhW3!irWU^TaBk(HFUEzggRu1#@r(n!nfG?scCB#bl(1jLU}iQopF58(PGpR2DR`u7L4qsiM|~aphOzsje0#5O zxRq*74&Kq`KPdptdq7T0OG#D#YNI0}A-TJ~1-UkKf`XaZ*5>BwDk{#PhCQ()j?UHn z-}xSYow3+Jk-Dun+E=6-5A#R4;p6^xpC|vsD`w`0A3g5Uo5$bH=UMJgLTWJ!q|H-2 z_mI@p1q9IE?beQege@<5{3Rr3LwNc#drkj!XL=w9T`_rokOT#V_KnMsrDb!6+k53?g!gj=>1se-XQCXR~QtJ|=-7W!Q`sdHrT(V7(I~IVN02UdLK{+`u z6Y|w4k4-Bfsgx)!$71!f1e9>gCw1zpIjZQLVg*2QRywiG%~Rw4fARNE$&TrMJT^nv z)4!D7*x;Jroa3r-a-!yWMMrmief@d-kyC%Y%MfWD z?IKRkA<1v)_rkLGIZL;hIE|@U0kKR4ikhs(r?ko`SgCL*f|*csr1c9zZ4^JY5xc#c zqlS@HORq#^q>%f8L|>r5I_g;W8o$X^H&nXFT3gk)$)QHvkD>2U^nrFsn;JGe-`LRT zy!!}C(irwU{C4ca?p37?708f=TEa^$^F?!P2B$u3Vfgt^O%`xbpm;um?;G)ys{X{s zB@HQzFHD(E(2^^>^t?3dIl_0nIx@7i1`_$ZaG3L4WlKw%j%+gE=P!)4QHt=YlW2u} zoQ*02mm81~5kt-oP;seLs3nKI0lK>^P*ZW?!6bSMT`+4ljl~mvqM&v<+~8T6lwEcA zYv)(NaW9@)B3oK|5#=LVmTx^eI;seQM>e|p`(f$v?=-b%_&!e`{F$vfj+8c6#5!#H z#L0Lb2mDnzNVk&;+$I6jND^t+8BbH;=y;#YTy)7Q2O$XKPv+8J;fW(>Y}2euU|7D zKS7)-_?mvi>l}rRnv zmnUso=xR?GT0~M~iX$(RK*EMA@~pL^v$Hb^+QM#AlV9KXE53ifvo(?qE*n=@S9t{m zOiWB0_49($S+V+g5{|;6zFcye>Wg1NY4L@cA>sXitZ@9<0&j?g!5~Eaag^V{016W3 z$|;W4zf)6D8L6v7(?^C(gTthI+dQZUC%)fLj}+U`6@74Y!oB%75<5NJS%_EN59KA& zu4~w?{uHjuaKB4#qlkrWx>DNxNi6lf^%NM_3=BwLrY__775-dBypAsdRMtyDvRAKE znqGy%Y;nr9RrW6=H~-QNPXiHAMh0nxhOM2QLh9=1=mAKA0a-Z*d-wN(27wVzsSI~> zB%iZKc6YmEh;ZQ(2-L{BOJ&W>va_MO+etq3p6y2UfD_& zpGh4L)R;60wUH^+ioy9^u`mDH7*bKN;VAMq-^Rp6IFkP=%t;Xmb0#9kX6gRJLc=4{HtXJ%a(@WDIcI6C28JA&nqpuDuaO6ji5iSV0R6 zZ2!<5Co^?@y9-iNKprqxW~?n-mW~#)5acAQE8FJY@VCzwtJfYF!bvI1^q~&|#NS+r zsUULv0^;L46mvYJpyxg|HYTPBq9lE}BKW8o4kOQQNW$OvGjzLPfiMLq)b~zK03Yo= zKX(Ts?BTnb8Uf$5dcR{Vu&edsf9f(&n}yerPnahCfu#N7d9;}5j!)TH9$yPp z3$L&1)YK)Jna>ckQ>SK<$0X0Wwzuua0U?k8wiHt7;wZ)~9Lr)St6aSAXhE#cSa`FKc_7yJ=PtR$%mX=odYMd%hXE0FZ8yFY>&I1Gum3@AW zj0`5BJ-M3xSEF779r|4YrBTJ3DK@goKN?E2tY<5_#W#JAr30=_H8fLENK73LSqalX zYBUic+H0G*ItWCZN7V1y8N|@k)YM1~Q07<%Yn&A{7X_qkOCwo0vauN*H2nN&e&ae{ zgwHh;@u>Q?^AzQ2J~5>Kx7mEu$ZU+J$_q6$6Z_FG9`5b}LPFC%fOrAva8xT+(lRpX zDXD^^xiJrS^}b%|cDOuNPndRo2n1tPW6x`B8k7PK*FCetUqgca6wCAl1tCY^u$G*> z%*^Up(*5zC5Uy7e*AMAJPuT5%V(OF$Op6!SuoAPVw30=_Dlq|Rl$x4~Qam*{XbqxR zG@AFiKE94Es@Dc;MRvWWT|l3jnwnxL)LGLZN4xNl{lXP&5@RkxiOR|Yk7kr076g{KqDKoe5PA5ZUUGh=9y;SNTe)k$0sISU0tcR&$L@M zYJ-OZ{m^?M|DAv8Eu|BX=P-_-3K!t@d*_j_^x5`;zl2+MakfjyiA5y>6F-LzUtT^T zpi6tWNnTa|;DwHp-9JCj0s{4VdTV_JR{+XNvpldwzT&gd8U@BE95k?C7!4|)s4Hvf zzETNgp~hx`{$u>qbX(&l5i(a}bcSu~QRJL>C~Hg^Lk!Ll498{ah*eTtwU?Cn;$GzK ze1EnE*b+lSLx(rT#;k`QAFL%Wd<4uChv zKD7*9OG}(mEo*KR`#;P7u)i<-M@2e?@ba*L-bgXO;JRO^M*XYXcUDbZ7P#X-efoq` zkhK2)1nLZd1@_m&;AqgCT4l4Mp4CZnR2!r5uKS|L=JJbXpTJ^`r`pKS5OlCE9uBg- zj!tRAcmeBnYZ({G7nT$4N)k-O=y{~&tKfA^OGk=yOFhJr8iDsEk1Q zqbk{GKRZYZP=S(FeG$>Lm zO2Ccu@82Q5GX{ix_J2(9Se9^Cy{b;WOHjp9@GyMwlKof=03>Vlr;aDk>u|Gk0Y(&g zJ}oKIAqm*dcLn+5x(X1Eh8v=sz*XZ9F(A|ntLIw9>z)x0u`@5hO zN4g|IjGtgFkX!}8W;jkK?_eqQ!BqB3vLi| zt>*z^5cTLN@`{R@^f*L?g)7pMmT83v2eyZb&U7S#`jc-jtW}8M$94gxUf95Z9(M&P zi~zdN_i?p&pj?qq`OskK1k-ARXhbME(zLvj8!g{l6rj1(t~52ERlLxabG#RHNQbR52(PLt6d59V8ClvXC5kM>RgXh zCcamtx7yolpGdT?2$q()Sw1J!wd*s3o=sjurYE#t2;-$52O|qBRi(b?bE z)tI8rXa{6LfNFgQ0^8m-*&W@V@q1isYnkRRnp#)UftH zH(bhkqtswR{F!HXQ2(dDPHqF+=FtO}n>J0xWLav%REA$Qc|czb@CvS7pie7rpOvL7 zDgK1f(XrbPvwsv#E=*JJfH1149d(aK zXUX~FM}(4&J|k&tYpbriLv!90Zw*j-1EeqT^!D;QI|ct9t2v#Nz9S@-iVv_*wQ@*6 zNB&zscKL1GkrkDp3!z#-A^XSSY`#jDJNuGJ%Z`s?*gO^G4-6F|6K?IvPnJ#@_u z8|MCkfp2qRDu$Ycpu6-8iu>)Oke5K4om}iuzmc5O1f+syjC+K(J@UUA^TR;c<1JQt z{4;f`+Vs?aedgVP-WhUYUK@#xtg!zqHKeL6p&XWvv94Y$GEK9~wsP1v(16B+3B0X- zlXBZH0y^C}F_2<@0z5GpG8u4?I5H|>l2*q*nNEXrPPKKag9go5wqIA!E_%@&d8x=D z(=l15uUnohExP_L0wC`hCY^a@9&;qU(drSc1yqbEW8=f&#WFl_4;CdL?gJX~ZrcOs z3^b8rHcIfCB$w;x8xOnPkr@t0!ga!@;CXTS1+x(uqNfM*{2-%-X+1sI?po5wNChaU zG5hd!^5%4T39-IwoD*B4Q|4+^|F&^y#!}$XBsG)hp9jLU(x1D1rEsZ0WJ0<>Gkupg zeEq`F+-L4?26l%~f0qupV1Yt=-t|c^;x$z3NFMPuQfJB)@QFpYP2cV^Z(c9O@#&ct z3Z(^Pn^)VbU9KpZ7FNJub-?=Kd%gc@LvoKIbEu(#Q&hAUIDr8bKY&t1v^RMJbejN0 zCEw|}b8~<(D&U*b|7@LCooh=BTC%66-l?p;e0%t1yN*S{5!mz1?kqP(FuLh@@sm7_ z{>mQbt!Xe0!41{Uv&!t^0 zQ7q@?;>Jy+{iNf_;y9sI`2&W4Q!=UObcMscBC=5OAJz^tdr!`g-#y`p4~R{AT7v2g z!vGHwX2J{oN6aUVbbG%bRVPIBt~H2E`}`s7*AnG>$2&f%A*q63Buqf!Uas%upR@Il zj2P)Jc3{x5c8Nbw?%)d|4*{6cZ2)-~P>2|l$AN6c&!1QpufP!o5Iwi*Eo6EFv`8HO zXC7OwS%-YyJIam5wQD}CFO}r$Q$@%NXOPDT3rCiSkCn!(1Q26YnZgHeG~!gaMA2+L zec6IM2u=1hC^Wy>H0HKQgnjyWc8DdZPGwC^$%U0QW@S@>lZwko&1|qVLX&BKaG^}x zdSkP4VDv-D=SmpMC5rAx-|9xk{YKaJVy9Q?i$dP6w)^MZz|@(HJf&*x-%wy_X~)$D zDQ@?ZAQ%MZnWx7xN=o>b=Nf}XT29V+AIF<~waO)F85w|Zoy}`9W2I-!OCq$Iot@Qu zf4=9+yZj7Fh<<-ZM3tJ!DS)#2TT0~hIP12}3RVZ4ku?a{(EH3_S~`n=hI=b2-^+L$ z=2fzHxZng`U0**k|GM^uX62*Nab%j+)j0=)05CCMEbQ=0^Seva3>v*dBnRgHE?HqUcLG zp%%N*`gBP`|NUS;>B_Cs0}**32nsq1!<$Wicr1}3mA7j;6#=3t4y7yBmfvR9*jO1{ zWST4T%f;IZaoh7Bia>H0hznuP3*ZVt`Nb+Nv^nnqf_AZbIgG2p>~YYUjD;G9-!Mg7 z`O^BgD(*bB;h#04`aCzncjbn5ABt;vuJc!f4dS6cS3ERf-|Mf-%;Lq-@|+h+P$?)F z^R%^{Z5-Er>6bGy6K{4tbc~Lg5JLVJTe`a|K0rrAB&g6lK|;D!Q!{@dyg>6BY=-tz z=6ZdL`}bRAKxd@Z+RE(3Cf?8ny^8d8(P4-p-|c9aAxaO;JHsPn{!k)yOOB<`ED^V{d#tj43`QLj@|tGQ1(SQz6#&*JT?1cS+nnO z>;zrI@5xC?MQYlZ%A8FUTV_^PYk}mH6rl9VqssWM%lqd3-UV9r`80e6@6a8<#sY1fkohCw(?K^Pl zS3Ya2E+sXkaJEIX)xmuajaHymI7dxGb9Eqx(%|mi6VPs$lbSk`aI0Fv7t$3VDLH7H z@+o`~xYaF1LFH0Rm*^$1!e8LJ!gn7?`NZ$r3rgP)Yp&;Lo=q6jX!@|5o@?Dq^P4sZ z&YyUmKboO+(>ut75G(PbY%Pmb8I!~H-kE`jtI5DG%HCJb31Y~kaEoCPV&3@V>%dNb z6@LC%QxzC6nanE}tFJ{41E%60Y7K{a@gkKYYxewvI?I%wZGeNZsFrmFt+vs-d?WwSBE=0m2Zb?E2HVTR(AGJLqjy|g#PO1Fde48XmFil|!ITjqO6h&;XTK+8i4kBMf zu=uCp2wG+=^7UDkVBz2Xt#%p4|0QRPljZoRZne&IUiA3R@b_N827dULG&Y7OC%@M= zH@n?fcVfB~j~Zi_KmWWJ6fZP<|9$rLsNSOUwknkD^?Yy=_Q{1F%+b8hxYdjK^7Wz} z%EV#mp_WIPpsc1Shmw(r;xqvXPD?9s>vGw*69&SVEN_4g)eG3oWCQ(-0a6p76Bns7 zoZxm00}lJ!gl{k)pbrgbazH7C7RScIVq{`^`TJEzXD%&6zR$sx>!XA`L+REDyC>eI zTrvau`HU+=#AtyJn#Hz`m_#t}{5KuQWX%{0QuqA)3`lz#hLUWEth=J)7@G6xTsAr8 zwQxX*)Q%qTB@P!Pg*5ON7tQsRdX^L6EuoxN}{3`)b^~W|7@tO zIO$*ob$96s(j;Pr$mVBeZU741X9_J!kkCYL~yjOQ##a;u8#H$9f4^dNfRm%M*|=mCK; zGcq{2xPWBIr2aWjh6Z%|?e(s&u2vbe0%Hs;)Bnk~mTQ2b`dt{-3|MvYXVu>+;^QlD z7Bf>L3431Q(vH-nK(#h>HO+tXN!2omB( zW!1Oy-(CDJeo~_+6E=S*DXm%7*Dosx^SRBN97I zct^B)grW9-PIH;dyIN*3`0}L$_4IV?SFq9WnLd+RTN1Ws3k z?J2|sIGvRgFX{bw!_F8*`YJTnNAY<&M+7zPud=RzL39G}TED~8MqHy#l+PU8rOm6a zsWEON*_yS*vVRMPYx1mZ!G@j|XXpdk?vQNHD0D3>s79#E048=SP&B{*n)8&H_}sha zF*&@Hsa{T<{{=W50p&F-Jk5ad8|Ws8Nv!-L@>7&KMndAYbfY^H9iUBNpPvCPq`CnT zHZcpGn!0Bz-9X;2dDR9`6Qjqri~W;bLUGP}F`GHbQawG)uT5bE_vfufK5jNgH5}bO z_!weyb4D3t!}!A!p3>4pjY|2L-Uy*nZz|aZ-ZzhR&fsey+-S)DU2v~oV$o}*6fNc0 zgWfg6lA+j~g3o;xe+O;GdRB7&ZTu~`umG#!!Ws-@1o64g?R=BDfaXGg^-ST0NwhA$ zgTW63FLF~;kN*90!~(YebbGsuKNDZZ4%Yj3E-wcsCo{v*H_Yjj2&1+Oq!hiHfuq~{ zpIwq~>!bXBAd##V2McZlyE_nMD^@yL-u=%nI57@k;WxsQPE!Dy42_A;q7N(3v+71Z z8-n2WAtLxSR=OOAlexWa)@ub3`ukwp&dgkdrr#cFLu27~H$)UV@ptz`0Tjd3+Z-ji z{EvX4h^@{MRwFPPvFt!teJEjb17`~<3+RuiEe0ydIHA*E{FCTw-?E9$$l)LpI-$hz zjbgTx7OpSstJ-y)^<#+NWvc8gvFIlhq4Xp-F5xY_JGkf(mR0OL{ExZpoh|n>J+5xS z%!Y+>9g~iDc?~*s#~zpAudYMbpYHN-mCP_zK4+}Ypo?i^y@ed-N^XmYc%`&YXZ7g< z-kN7oKaA+1gE-P5X(=VO%F;jaaN!h^WY}``{G}=jk5?UBA;!SS=fPS1SYv2cA(i!D ze?L#Jsig&eK8QDHVPphBl<;>!OCdc_ItUHj-ULW9wKX+pXlU@v;_{a+K(8HO^4KI4 z1xD00(&b$MLPW#dyfd=S0s(DShV04-z|;{E5_$<%$$4n#hJ766z%_Eo*Dq zn2V^vbfAO=3LkA#dLo2pt(qL^sVZs0e+uy(xBQ8%9 z;H?2$&QZWnVI2h~A&5nIiA@rt-NA|^h>s`2!y9XFcWrf)nxt4<-wIBX9(NAhC;C)3 z$l~=p7+FNspGEHc| z(f=Y{taXRtUx$V!(@pdPix!d?zDmwG{x1261>rgz8E*$(c*_ghDb>2p%#0PbGo%JP z2qGQt@ywS_y*cNd47V5H`Oq4xgw5c2b?ojVJl^P*#I*gzw3=Htr*?BM+hfr0Vc&^^ zp{FO{4hcisjJp5lZq#`{ad_C=QK(97GaX-8Sl-!|udvbPOm+K6*7>ueWNKrBs0`?! zm6GB*!SJ{=1*)IK4y+5zeno%+O~*30aR_Wn)Vb+#RKEjR2f$SHUyIa8H9;_x9Uf_o zXsmvh1%kMY1PX<%??bGRFApE*wWvXhV$d~AH_D7KUHGyFq^{SjB|D|BMi2k zS#KQ#60U2&FCD7~${``m&lI^fayZs}*J&}U1ttM%eh-&3t6 z_$7{l3Xm)_B&qJ%$@@e_-l+#ad*)%f+?=A3sA5M)etk?;${gK#;|7&?&UyjWGyt%U znwnZj%m;C~)yV|-aEro*DoW+qR}qKWLzQoF{7jyht*DeuM%rV)#F#`a%fo{hJ}$p3 zYBm)iF)dXsZt~f>K%FYPtselz{T(4o7rj=RA#>erF9SW!IDJ=fU`bA~|GDrjfSTu3 z&9eFZcv3Y^RSBh&@G)dT7d=3$lKcJmqmHzz%8cQMXvOMi0;tC&FycQ=10l5;GUUEz zaSdC)X&vpEsX|IBCtMtye;d3wE~KHl4^~=?CH z85Ss=K8t319|=fD&~mmidli!uPirgQ4I@&I+KBkBitU^}sHUL-8#+i6rM5>8B=T?( zxFaPgoLL~W@CCcWy7aiX8nKcJBw*GbJGTFkDA{48_8ntXtBG4>{`P;kI(smtqAyPh zoYcX&>v(Z{IQN}?>bYQ!fdIvzqlk!zWgL%~h@Uh0AP;BX)V+s+mmhozyCRLK8Aw2vFMSfonslEP2!^p&tb*`E;&WCJ&aw|X)V znvHWDW-Y@CMGlEx}W>uT1vpsjclUQJAm__#l-&#_dN>P>JM)@CMwYU!E168bYq2&4#; zaT1!sP#cxAE=z%3TJ`%3-i$djzq)UPXi27YY|{A#9dAVM{<=stfa(|y3T*fId+z+2 zqF}+0hBuBkS!cd*-{<%oefgL=XQ+I{y!e= z`9|v<;kB^iW?Mu=#32f+JJg%^WnRJ8vhR8j_yPW?V%%^vC2YbLbGV$Xed)pB!xD!b zR-(8?+U?u@#G%Yz!;iW;*d$9ysW^=5GM% z{b<={gKRy{;>$Ib7Oh}Gi9ZWS({gH<7r(>E6G%ob)D#p%Wb#Jm(`6=BV#XP$jVhj# zy&rom=!h2VDVi;dv*!DvrxzMloiLpQ!?!tbj!ds00 zjG0N-2)z0UKc~*pm@!8 zJ`C}0(>rXghNfUBxN?eeSp8Ip2$OcPebB6=jJez&PE7j*XY!vHV{=0X^*n- zIb|7Ie4V|j3O4{cuQG= zH#&;Z#ii@|S^z5!3Dlo6nNximdGv5v`maJ_lap}P#287v_wPqt9R*+sA1UlV`T4xS zelW!t{ZszAshqFWdv0icl8NI5ys=vEMT@_eW~l>92x zUyC#GUml0>e`W#LsnJg<5$m%d78lzmMBXCE@3$Ij%@Z6s#mX5)(0nTRsyZELflpE? zEQw9v5j1lwD`Caf*>3nfW;4IT4JQTh?-oLkNuQoKZ62rPBS!tEZz?Jecb8sa%4W}Q zeg6wvK}Ftlvlz&Kyz7IUCN41S+3LBb;8as?)%0*wH$_5)`aqsk5c zj6eHwS`V&=qf3>vP)RsF+dRB^pJf%4(YQiGV)dc0A*65d>rLR@Iy^R>3}&X|rH9`- zQ`%ao@+Sla_0X4vFiep{H`BSbva`FWxVoQCLL+L79XM6hL+i|Hjpxe~?@*|Nga2(; zmkqAvygV_CNp{1dY2v^)G%U7UVq|9WKsOuIi4CEHEQLS&t0r)8*qcq8()h9crOoNA z_*N*JbBASsJ9~r)^X~Pp7jJ(M7EB2V4oNlbr`?GkS6ENi???`4MQPyDndJ2{Bp^H{t^gA&y!Ff1O$3!bx zF2Ea+d#q*|UL_N({*lVY^pw{Kwk6&Y^urgNBtw;Y>+4?1j43q$H8w73!VYDk<$(tZ zr0i4X$+5}>zlq5uFvxzwA;z&37IKy5CZ*cxa6i1Nlm1#Yj{+eJP*s<_prJ`087L+5 zFDem0EkY9k4}RceCP_dnq$DK$pbHa#!OR!NSfi&=mE{D7MQ zEoI!#0A+cv+xtd(XjnT_kJJ6i{P4%8{?JfuJHH2`Pskb`uu|bAl`wb1ct)Kq-=;EF zvjw?!ccH(b#PjiB$lcuGhX1J**!l5||LKWhZjN84HpjT3XsmIjA~yIcALg3dl{Wa+ zDM1XAOT#)AC`Ip#gjyK43|T2}xrg(syp{ zv~GrmXs;aB%c$o+)_0E&g#!%JI4eX@9;+)Ps z0q$2?MfSKOem`28itjh38D{&CD9$)CUgfUtrJaJg{16uuujogwL{n`AY5+l2849-U zfWLF{dNih?O8B};zejb(wxR^|VTs0p;o;y&`UN&%X!g{-ydGmo1PAp%I@KA&DaP#u zXV5Wfa5NAW1HAnMZf^E()kT=>w)0BLhaoEFaOo(Tlq^ybrp(OQ9Kj7M%Q6P`Mo!$j zc8SuuBosk-Wh%l_5ku+=JM1WVWc>SBMF`zG7Uj9BpoxizhqJZB=Z|AYzJO8Wi&q1I_}54+*+rOyPs?(8ls0htYTh!Q34+?w0~se)vHx5p zrGNYm^D|kBal~Qs@y{^C?&@lsyMfhXatEs5@YG;=KQfay8DfE^RpFM&v3I*-l55yB zni&d%j@J{=U1eZ{0!vFIJJ2T<*W-_Aj_!a5*cSu;ZStF#{Emn~1oB`T>qZGPn)1B? zZ=ixhY1LP+hWYJSrNfBxe*Rswsh|-Xvw{dtJ2~dL({eI#b`D{ zp>T{VWb;isH-XFq8fM80&rya7X}dIzd%G;p+D9JX^%r1jwiG%qF@}PhkoGV!mH~{N$l^44vuViT-47+a961nN(1Hd$l9(|Frg0XP347O47+_`1^T4( z54APRFpR79)y>STrQ!LljRI7`QI6)Mc%sy}ga*20*Wo{!INLY93T9?eYAMD)~AS-XvUQqWZbHZ4JKONcyGg4;%f8|3Q2lMNln6v5%M z8IE2oPu#q z-VKhE}5|!1;3}_A7LOe&y&yXRs0-LUmtE<*&x#QP$Il5D9)aL4Fe@v6}wze5H# zi2p$}f_bI*fyqcbKZ2YjE>wCNj=lk1^p(7WJv^#vj<%%weYVWk({8Q+7L+a|=#d;I z&MEbriMuG3YM)IiY`I#8AY&2cYuYIv-mq1%@DV`FW+AioPnZ-0IQB*WK@)H*_!Jf^ zq;IV51)Q>gQ%%dJ+d?nAyu$(a0t=R0D}_JKuK@|T@!hyhww2pz2CESY%*m* zFbM1wF(k@wJIMAEQeKV}|2xoR7f*o-mjSjV)mVEtu$X@f-Bm>FMFv9Ss>U}6!`H{a7CA}ypBQ8e+~}(I6TOA zo&hkv0v^oZ#&M<1=$tLeoGmR+Rj=indnPz3y=7A;vfMpj%i_5CxP8NX`RaXlee1T? za(u)GHRrFK+>Xyc2MWgirsy2 zrnZ<1^ilZfwwga@Tx70D?z0UzXT|z7g+HfB5Qo@sfe`Yw*632~`QPm9__XaNf8Vv5Imy~FB0@gMS@O@o{-#g+afd&=T`ES1!G||`GFT)DG6$i*z8`41B`Bl z_wp0y85uKxN^E8pZQ$f;3nZVEm8SuH11Lwp!@#HmYOXwv*|dl;`;P$ovx{`Tn!-qT znZDL?RYL1FQe1?ryrQ2Q7>gL2sKLSDiRv$M0_HR0e2{&GLF=o`+0~CcOyYpc?-dQ4 z&}I}W@Tlr!vc46@U=lT1Ypdf5LKReyk*dswFBrhs5JD^^(Ko!j-07(YCkP=5O=}Io z%-euB7tRK(Jd4_l`}Qr~s=)b&M~C*ML2h#7@HCAYuZ(3A7wE$NK){TsR`n!i_;fT@ zw#DI9B=AFL;xm<(tZt8x&9>0P*Sj)0r*G)S+J9#qc-i>Ym_^?`z3aVwEX`JGng@Qt zqY|hNjq?ywR1RReSTZme%Hn&=S?1ph4}I@bN~i4UiHh}VJDiHHJvwCkX~sS44ElU- zO?&M4H+8vD_Hs1g`RQk!(s1z0&emxKmFy041 zTM^OG7Eb_eBvZ)SMN=~=Jba|VYGN3OoMdNj0T=yIMf8pP>voUP^PL9#3h7R~!^M_? z)}H@>O;xFtW9hS+YtsF@46g5h(D$Y_pdF~cN2fmMk6Z~6J# z)3%1RoU1%J+g}1lVsW$Q_@sCvw7XE{fg1utNrPz{|7l^M@G45Co2QrWN-2PZht(r^ zGRJJ&>nj+Pkd+0T1~vibK9R|nr3zeN-a$fQ1T=f@A)zj3@w=jt@~iJ0;%$~CFoD8R zIQHp1wNX@51j2EEbEaAifFT1BpfkW4!06+xbiOSt z!2iS_HAQ;74wSH+2V11s?yJ(SKUZt-$K;y0+kofMyXnlIG}u!Xi{z|qz=yVUHg^U> zoz#4*1HcpGI&*TGSk%~3+O{l{3sj!BBGtn&GJ_o{4x2sqm>dw8(lZdv6LHIe4e10% zi%1m(O7`K#-AT75y{ti{T1;M)r_0IBOFtgCke@%8Xer|HwQdq%IuGCqBcIb}WpVKE ztSv3u+S}(ufkInxnU^g9rdzqThFYN`ib?o1ABIXZE89$I+o2yw3I;j<3ART_DCz3L zTG6BRjy0a^cFv0<{_q4-rkR;t1C8Cne5G7*d%ZCVgQn&u%Zej|4xKm-$r%nP(p(nR z3br2g^J%!u;+xXTRuVK?3P$kN(*+V7;It%0?yyDc812ycGfA5@b&UrF6o)y>FQPN9 z+c#ZD!be?BRsK`EF9;V0-YV1HIlnJnp5E`zxyV#cklntd+3#OHpU|h+cGDp#=CWR0 zsjKC0W0l)+RK-=--L2-S-B#W3I@rL@V^TJ!qx>qw%wFQSsj}Y2NYEw6Cxsjr83#&n zp9NH?4YvJil6BF`-#J9!Ugiam6 z+V(;k&Pr}Q%KL?vwm1$PU%&(b#RCD@8Rr4BvZ&2pMzp^nc@O$=3oh5-w?62*FAcsoshNNBb-{=`i!E-k?F{UD7+J-xsD3dp4v1u$y#!L{F(Ol22_gY&qkdU>rzQj zLH!&Kmxi;S!@}ym)vf|~$l->kn_@eSyRPB3?C0k%?#@-e@qSzsGQn~$dlwhp!5ytu zEDe2GSy##OIshorN&n-G0|z>vhmdL$fE>4AGu1)SkdU|Y>UeEQD5#ymi{6=@@)ba3tYCuKW6tV;7KH_!(0W*IdxQrq2z*0<3K9OrLZs2V9 zYmeHh!S+2Bvs*dS9=R_cP#|MC)jpXi43|~_gjs+%|3!huS1JUZ;G5S9YZiTkw0!8L z4Z6deIg~cX)l#-~D|pWXxc1!BbR?!_kC_$9fPf75~GaWaFc=M4>?* zW`$W^igK1}BV1G6+;IvrB=7XrZmoMGZ;k~Yq&G7)E6M}fa>>Uem^DQMcN|Di;YB+0 z%^olJedi45YEVOVC+M#+;&iVFl_vWx?E;?bM~67-*;njh4)361 zIYRpEp#Kv`OG+5~%v;BA}7Z=cS*wkaY4?7a0W?O%UpUn#4l-h1IW-(%%%Fr4d z!Zqv~?c$42#ZnfS%6hz3$ZF{wSl|riWHGStEuX|O1XB$UkL6^~b2B^x{0Jo$ zW@hToEkHx(el!tp5(7Ry8Q!OYgim21-;U*^sggrZr4?d6XA24nd$-6sJ|1jIUMBDX zQ+z&AW0_uZXgtTy&g(uu=Deih%^-lEngFy_kIO zw&i5W{(NJ87jM2-O?2*7A1 zJZ4)IB`fRfJoj{(f8eQCgiRmuh+Mas_;DOtZ;`B~TZnA*Su0cgG(mc=-Sv7&#~%By zhY5$%`4*E>Cj9nxM{$V&O48^BlV9Kh?OiR+tovgf4jyCQV^q!$t+r~KS&2gRLB6V5 z_GtIh;A8VzexBi^Z?={VV>78XXL2D{n`48KW@_Qi$9A-rDcA>-X(0chepIrWt_?S} z{X6DDDUMJ)mREdwi8n45mTF)`)KY?I*ZTc!Y1+Q~Wyt>(+3Od}MNCQ*?pCMgZvYWE z5QYlFl%{7ApeH3{P%3Hcj^o@hs*miHhspd0F^p9c`jf>5&&|eXfw!&Z-_xA@37Mt( zzw#51#k?yx0D*gDj(gn%ZR_{9xC$tTLLjN2BZSB z+7D@iTEx@8e=Bkht|X|SjdBAjN`?hJ{d&#;7xL634Mym&LASnk)nzXTzTUlZu>$vX zf01D+{Bly~_Hr1~e;Q3{Vm23yTI z!5^)=6Z`!G<}WK~e!&($QOekVGo(E49TKt;^u`d7KR-PDRwNkixjQ55>XFF}yc)Vd zK$RB=6sc{vUdeHi8LH9MyO}|Wb^q{Zt)DZE?7h8e5sr)zOlgxd#TKw20r=cs|JGb- z&papjni{Yp$E+eY4mXgjvr0gBjFq1}aerHwr2on%?G&@Yp!@?aZ)ll~a&a61L&=if z$hw|TDU&u^P+@QQT^QY0mYpns{@{QjH_^yT`Y7p}C_rlRuCIOJwWpp)k(`)+-11v5AnyNf<}-TNE+kGnEgBg zA^6i9FiQ@n79xG0SReVCn*O&p2Ms!Rb_I)}u1e9ty)8E2zct$~7p;JW&+l5&WOB!!WXsy=-m{dN+j|{w(DkeDfIM-1dOlw$~?Nv(5P&cvJr_>#s4pxQdMuBC~5LM~05OQck&KW#+{U<1dR z86WZv%Sf>8&U;q)kZ^Y}{bir~2Wc|!ExA18lwNKkwD}6>Fr^k5V{zBdxs2MZy(IWL zY?g3;ADdUYM7!v)_WWkDZ7k`1T^!Lo_+71+dTH8b8I3v~zb4uGntylRMx@;0QsZbD z9_j2YAYXGGK_tT9)9chGtui^B(K%A)aj{#ZmnuBU(cs;^mLXssh5>025nUK^bGguQC~~&hZg8$kOYwlbpSBqZGt1{yw^FVj@DF?2?DS2i z2E_IfsDOD^g_r>p7*MV7s*mOdB&cG?-$(c|!c1=$HPF4d6#%txuCaf^sWKl@@n|Ah zVIfiHE?X2XyRV<$MQc!NXuGdGn%4rTZ&58=>r`|ysHpM7SYgfnor#welwpYu4`-hO zf{_6trTl_U6H?AEXsTDZ8dDBqagCao?hHMQiN07uD5H0)NZ)`X5?tSORmk%#W{aSq z|4b&`)6)q9GbS`&z%sUdmwBKV)!5t1MF_fr^2|8WG@&w-d(Y4yn-P-VueP8A;CV{A zAMm4=W9!+@A99=DyetK}MyHj)r3rT+bf(uRmA9|( z!3*by9~}St@ux>WsDW|e@`b4_s)VmBvem)BYH6Gr^%9SPFp9A?4!-_wDSlQsm0 zufLzBJ^gx_;) z>CqhWtxhxzY3r z@?or4E}%sElyA^F8B2ycRP?E5!8WP3k2&vJqjWMr(2E@?(UQZL>MNPdb-HJXk@XQE zBaev{(*O3$phfj-)#f9Ym^vc-9YWcES=iKnqS=zPa0+y;jSv50kievcR{z1>hno%~ zfs@Y0Lh6#7J%u+6JwEP+E=#uPaF~T{PK3o;3)Zvl1JvbK@-{#5!Rm zE&ZK;+>7%InwskH9Bm^Jlz(}6Amn4`=l9OHghOfB6|(zLJvjF#17oHQ^A$=r#&ReR z2@xf0G6-t_y!tecG**`CKi3wUIQMZ*ge01g*fF9OvFl0CT%{y5I)?W&k?aX=IS>r= zDrM6y09)jNiiBl@H((iQvNL4iw~}FBbZYfcl%`Pkd)NgCcH#KFTeIMr~<9t5mzQ| zzCg%O4EzP}?);0tv<11O=h=?=u>n;aazc=!-1Y=R zU-F+_YP&&(KUFbMAYuOuGO@w}+Q^_Eyxf1sjG#fHukqPs#4{oj4UDww?{8qcpEX9T zki$BE!J~4-c5nrh$ly_m89hYz{Y!q66aI9TvA5SZr!7l-pJN<5VqQl>GqZf{N`I)Q zXcyG*I4+#;KAsyb^YiM!80fblNKJW%H7|H+$?dJ9r`y%0g(?C;R>FcxP)(?iXc2Rx z^(-AnOeB`%%jZA~v3N>KdWNwUZz)SFHOrzdD2jK?Iem{4`7X3Dau@tS(+TqLePTYB zLFiCTFv;%j$pWG!^RmG#62%c%KnKa@QLd{lAMhJf{`r$h9{ zL|G8?egx(+FLd(TKf(2;$clX)inC7&(P9;4?6yA|m6d=)M3ssq7M=3! zd~wMWz6GoCBzL82ei`UbD(*05Syj=Fsf<6tSzv$&S5<_J0qDDh6xbQ*4Qb6K|NQQc z8Mo?F&5Rw3MifVl|@Lau{;4)dft{8lH|0r;7?-=2rgJqNcXq* zJozdm-_EDA2in_N>H?aZpO-l-Z2uWm)w6TPN@Rg3Ay{PCY`Dh7@!Ooh(h5p8W4J z61v(cz^mb3+w%u@-G>?ngp{`_o)_6)cFIx}cpk{#=jqhypDdT6BRpOH$zoHI$PZPN zq$+}TPnHit5s!?8NpE%_;>uBqh|K>1o9I;2EN>A=sq=&!uVP4y-}!LCbsp3qE_m6= zDfDkXVo~B3)%gLtvZ(=uiYewq%G?OqhG-u2>5A)Lawv)(`{V_9PguqxOkIBPORg4X zytF5}fV$X>=*n|JNmx`AAMqblK~GwIe8;bj*2`r;*XiI5*UTjj<2M zKFNHZ{|nmZa1qI1stALPkHz`j)1y}B1zMd%nDl}EkgOY|&qyWH(}#=;Xnok^Q$K$y zc0sR+&!w`p+UQP{RTe&MYjCFaO~bRyPIG@D&OnSw$*Gw{HYn!cOEEiA{Jevu4D}PK{mxFu*s7|svzU?>IMOS8@Kf}$LlmUbOL`t8Ttom)&|0C%v zqoVlRFiePa_tGF;0!w#ycc-v~bV-LaOLupJbV*AiozenIH%P-f|MU9EPo6#Q?94pR z@4m0=KrKr++y4C?C9*c2!mzF>Eido!Ry53$|5eoL6hY7zaer*=y)#Fks~0y*;xxnF zyK)f~fmm)notHyKNqv9FW{hWC2UxGHMiWMKK~ZgTnq>^X{8m1)4dwZaPjR>qF zC+&t}LVmm_sYycskgz{~-9-gO+qVE>30xUZtfP|4K$6$ns~-J7rcHX#fH0IRzUTJV z&=oO+?;geZ0Y&2qFNg)Ad-VTM5hFCiLPJpjry_*9a~|xx zkA|j@x`H@9DXq#EpBui^#94UF>UYu7kt3mq?*Z7JngdHrTWw#@_AmiUfngi)0a%Xi#n{Pn;4HD?%yN99it5(8hS5gyWz?t%&>IT;%+8YGp}7_a%G=wT;JUI;V?052Z)PHn{A8D zHW?-lPvGO{dY+xIW)8F_4I|#-ksHmQZmB0gau7 zg#|!q{emhEaw7p*_UEc#=(A8oHEYQmB|@KjM7BQKmzo zJ7VZLUQJC(*8ey-N5CKXPKvP-2g&J#npOF8;KaqHx$D`+TDYoMe1AVqDJ7l#U_BTa z$r-{R$YaGTBv2NL^CM-VU>3q=+9PB>@Ri@~FEFhWb@}*}U{}=r4P|EJfV?Bj7qWFA zSb$UF_3uPJTJO@=MdOd;-FkO-94I5(l>fLq$A%&JhweI}k{J5vXN0wLuRtsh`L7=q^XcyIWbm&^pC`16Sx;>FY ze$ZS>3Nb3-YY;PTkVj}1mpZAKsKHZ#6hp44C?$<0Y~tp>Q1!h7@tY?^j73=97NIsWEOP*^paGiama^6xUvH0fSxsX3^5k?%E`h#TF&zAe zj?Ulc7SxtpFdQ@rIEgOy5XDjqFS5Yz<>B_v%IxqPiY5_#J2^eg&C3%3^s_Ja;-G0B za2H#9bMMm={plKTy3>J8BU4gZ3M>eSF_At^PE7%|msU;Ca!ujA_aWi>Z#ya)J9OU% z+|m#v57FmLt4L*nx0n1UyWlcT;~(tiKU4S=m-_|Ks$3Z74CaY8=+X<5IcU&Qtf?5nvdI<`z-zw~yywejZayG=E* z*uQO^zP+CN0x#tz2}G_~NsPkVpyJ|BM51WhBAS}=va;cfNcg_KRtN!|xSw!ZFuC-k z!!lvMa_qpmJpEPf4Un@0)Ln*#BwZ?i`6IvXjoJ2tb$#ZFQSWBC%Ui(Jb#ed{K)cb? z(*6SK8N|d5HVa~LaSQ9~Hdv!<9O?ksUdXMiv<1H`l=oH$$Y%dXg8cHu8e(?c|7puY z*J8WRX`^$<2Rl81q7bX5>}v}B+>kK=e)q#(vgYMwm7N{4P7RSu8}J`HHaZHZT<(c{ zuE}9_5IWG}n`o zp|!acRG=A>Ne(odc492WE{~|Fs~Y&T`K>Z@_b`eG6$j~=*NJ?~X?olKBT$t`(5*K;9pExS9lrf2ag3-dB6_=M8{C`B#s>AbT3+cYxiA?&n0L5vR9!#V2HBGxtFf zK2%UznntILJTET_7y%Ct<4pZ&UwAR%zkdB{saDX+Bab?OB1k;KKi{?^)1lv#Ql@Np zzI%9dvN-?M0L$I&hT-KV+3L){2!1_q=FU{Tx&XP8h-4xM7VgKoQR}4to3N`O6hfRR zdW7%dhC0ref>4|$qX+8@O-plV$`kq1ltM0YvK8iZb5Srl+FvFg1F%lsQNI%?gIRYV`|W3{`zDeT zTBzgi5CXQ7g9E_grmCXy@A8u0bq}d`_%sQ}P;p{-e>#Sl87c;bpIKPsmQ=K#+Z+2b zG_-5lQ}Smb)XnuDmhtw7U{(w&>~cxbll2ZR7M7EOurMc2Pu2NzM(EQ&buqDNC)*Ni zlF)6cs>BWjg-_brbcStNN5@+OrIP9?W|00)r-Y5k+}y;xJQRrEOIADIo1l6?Ku>sh zI1t=hf%%c}%F4=mU-%RD2x%wGDi2_J{xHdBPX&h@w>f?)GmRB0La4Bhc*T<&rP^Z& z$jsvWy!%f+H`mHyfV8US{tuyN(wsM{?e^*9Pw;=kRfGEUPo?f25km1P_#Ue>Bc0F? z?Si<-V2%_&8ac~PpGtuT|9?6yQy2haxLqboUso*U$QI*^{QSa5XMHgH(yv%7MhVmj z{Fe>%kXTEyvP8~j7joWCGE-9*Qzz2D{DNN=djMd;eWumA-()5Lpi7&>uesG#pM#k~ zAn;RET32@l#J8!VVi?(baj@#!TJYI%w*i*j_{Mi=Xh<=GVh&LOUr-z)7etPS? zShjv#iK%atG|wg0h{*)ef?#r=-s;Ct*q6;PRC#6PaVUpbbhhEjuO{bO`$(0@Y>MDx zzLy?FuzdKRNJg+b=#fLd^^1~%zkg+?PXb#;6}P>dq+}}sB8+&u{W2R8&>7Dz;b7%* z%WS#jDUwr(*4Q2{U_a=4$>W1HjbsGcp0c<&I{pu|sH?}zvkxkuhUyr?D4LY$XcS9J z%Z1`z0z9R!L*Wn1jIf1#{&yRvJ3BeJ^fTPfx-IM`8Q#9CIsm5F*{n-!CMExkw}>X^ z;8j}v@^lzVE!yis;rG$wXdys9KBKLztv4kvZ+G7YBX#F;Bt_<3;1~YX)P^1Sz@Q|J zFVbOA`T3c7CZ2Lgs0Qr<+S(cT!ouBzr>ESNm4S`TB?K8@gXvr#`^{VEH~IpgOE2J$ zhLY)3&sG{}xVb$5)MA1R>1Yb0Cf*ZWnbzFO`p=%aI+eK~=18J{a%PVKv{_0u3L*PN z`b~Oce0H|)vai_qK5K9RKz-L4=3kzQrhhCx{ zmr5di`6#8{H`Hhi5{<#Q|Ms%6p@01WE-ft`o7h7dj;vuZXx!TLwjIX$!b0T_hxd-V{h^2(8yl{q zS!=5nmKIa_f+Ocl1Dl&m<0w=hEJCmEJ)5&j|3>=6Y*}G2ok>^3>4Gre;42FX0tNY| z`h!~?4tIYJ2jzi|x5WolRW)TtDo01T;b4{CTlf&CBr!ycu8&29gy=E;Smao2X$jQP zAxHc>KK6V6R<5}2>~cX189yyGbuv$w1kaC4G!UdWHy$1Egnr5%xf&IY^Ur`9H_l42 zUH3cIqMHcv&U1Iz*5X^@l;D==8sI2@S@dAhwh!KSL+-YgdWi3`C zR`Mfo5*bHCx)W8bWWP#J%Uyr*^!$LwIn96`3)P36Mg1)$F7>wk zZ@4&mZSP`EuW!sI;@$;zR>JpO@Z0UT{Cx6 z6pJQm##+|rMj;>nI!MxKn9JkHMB8?%EetBOEakM&bw;LUE<_6`w{Xj6)z}R<_nbGi zZEUW{Wog<^-o-cO-}&d?T?zGW?LT?A7WIkkTq8o80GbI23<g^SV= zEfMDB(f<|yY)jPq`JSqK`vNS+4wKgNoYX`~Qyz+l2~c<}b_>U-O}5V_nRQmk1wF$c z?8o6n(hnmGm7mhten4idI!Bg`C-(^BlIG)c9YhGwSBQ+&3)q`#LxKWNT`>b=V={~Ayu3}|9@yti zOUq|A1XR>ZOUmK~46UqYIz0xBg1BZmick>3q~TzZ+eh0zA1_T)Qzs=QNyUkm0A4OX zM0j}ky6?C2*r446oX86&WOdd=ri;NiCJwPUb4K&BlQ4d%SE)iN+tBV+_!^{mUPfMB zp#FpShULv)aJipfICAAxv>z$927W zGuRq!V7UqOxbD2RQtuzWhy;p+`Ck)DK~CeOa&hVN7+7DvXA;Mc;F@;W;*QudM$HnD z=5$*|SYdtlHZ?B{gg|`lnbDSdBb8=c9{K7`fbSgSm^90goZ0lP=W%>>6{H&TH||Py zQ4nrgWA*-Ff6TCTu)h07LO@_)<=(pR@=(*&tGuz%!z+B(C4 zw}Yyuum5uQcM_Nm53nyY)%)UN-JDnSH0G*XdPKk|ddEa!^BMaDYr~1sG)5S9{Pg>& zbcskV1gAdrtzu3{pv139$;nT7l#Rjnz{o*rsH4!1vTP9!e7h2bSgO82>JfNw>}CUc zsO<&{5x+=DU~B+hhIf{lyx1QeO^#1;Bul0~4r61U`mVJC4g%mA#m&vV03(7_FOc$d z_N!?GnA~3Aj4g%L$vs7W6f*7Z%;zoK(j?vl9KxAKjz?i&cTD7WkqgIYBhY2mR1oFl zoGj9Rz10bXC{afb7UR^O5kDZPWI|wn2K#{}c%wY2hRvpNzixu~w!X(( zf>S|w4psiW*;%bg7TkjKosXo>S?pcRL8B9 z|0>{UXkdVHH>Rn*$jeg(@aAhy&**U?=G)s#&d%h5gQoY7j}F?sy&dG`3P$A=6p}K- zMg;#H+Ulyo?5CsavQ-zwi=Z&G_ikL{hNG39QNAU9fDD#tSkl0G+_ty!xZ~7+1ZwdB z5C{wgvnmhQ11jTe13jTm|L@`AFJUmqcr^~FfZu7_$uL{`sT$+%0+*aDNFY zDt?(35dxc7PyUWtM?K(bt+5yybbbd1GQUy-jzGtI9Y>?%WN3bjL;JL_lJv9|`pTcf zhSQgwXXRLamzI@LUx!6R$Vy289~W3|{Wb=CDz^6aPdi;jMS+O#21UK=6FDSnxa~U| z8#TO6kYsJKYgPn-`fmI9)D#A+dr)@t4AJo)TD?h^as`Iw+siK_GxcB^NqzNMLF%Gs zfbZASvt|WvdaEirY1}piS#6FXCO#S;f0(`fw2eVcY-20Tg`CfeZ-yYJfn&e7nR zz)UchNOcHP{>V-m_ihXa-9!B4XsLp-r~;^X+>AxrRnX zQBl66r{_DEuA)P7HDFUhK9L@Oc!Kx2;Jei6PoY`~@z0xnynHKbKZ7L=(X;G;mdDsPFPsr;NgM2z{8H3EaJnHW5mAnymx`jI;$ziM<- zhWVjXg_oC>XQv-SQ*fg8`rmz1lL4#Wz>~A_q}4m;=Ds`cai#)F;&(Fav_!IgosW-+ zndp#rlz)!d!986ju+RngDqvzgIDi00I6x+}zP=vlz$@loZ;#=|+-<$xj;CG+Mc%-= zKZk_8L}2{+WV5`uxbZ!r{;Lm%kn?s>sb4;W4B&W2%}{?Vtvqy+k!ey=p4Qe$OG#z* zaN*$mc;8T^&_vG^g$Ke<&C7@sflHXk{$golaW? zy`7zb-AfafssQ&>5aLixO#ntAM?VY)=FOvfX`y)`R5?Zs36F(Nf|_4`PoboLKVM!o zaCvQQWSX6w*DLe8uZ+%;w}%Jq*JY=k#7wx2e``**mphu}s%xwUR)8w%__(^NXy@Rl zYH356VY7%j^BNxBZE{j_U_c8DPWX!U>5?w#qHXJEndH=H;ac)>o7vBR$v_KkSL+)bDWpl#Ul4?1yz{j3rmJ3>`kQ zGiZ*)Ldhh@W&O}OdII-zRx_o%s_Ke9-x*@-biTB728VIkr=SomQ3y9jwEkY5eyXkU zasO@$;8!b7=Vs=THCrox@_QD#c)i;)CG9gXlkoS?5*DOnfCQTVri8pxrlD@1WE2j+ zvkY=b1xXCrSh-j~1L$P{pJ za=HR;JAYLM03VKGKh{;7?GWf-Z{O6=0JQAC9M42v{kywEL{(N&0#=+2FhE-wn0r!0 z=tV`hfF|JLWUZcVTbsOT7ts>oo2cLY1)xU#hz@8_1q1{be3_Z61I`)#LuCe;*EBR> zs{k@l{&s>biew<&$`VYLoTSD8=HikZ27u*p=g?}6tV&}i;yyO!6twXHYftvmm` z2t1Q(kKpV9fAKT`$pg^JJl&dg3Y>EUhE9t*4Q}Z_pyp7cG#8r3D=*V!Wepe`@#OM1 zh09e;EM;e$FtB5;c5++n4tKciTYvhLnv#YQfeK4vJ}F@qMojF-X4L79+#j2;9hjIa z>I6gsueofJR{l)w8@@cZ*J4w-0mxvw^oieV3(Je|xg9r2@d?6}#B12D{;4P{X+3U_ zr&7|F*Q(}Qq`B+V@E16KpiQi+E7JA%7cTo=`@$4S#t8euY55dk6kJqyy6 zISQCr$F=Ste${WXp(Sxioddq+l0{XSlF=BPqlMB^|D;0Zcy zou(>(0g>u!4SRrBnq1F1f5}419b<{}<%V=UukPZpEg=Z|KF7K#jfjN!)r&__uxdohcNn4{eVPszTxoD%JK(q zt46Wh-s>2>uc9J)Omu*``A3|8S|5Pn$bu0~+`+~MaWO1{s$QyZcO+-VmiX;%c(}p! z0p|0mguT76=PJqhdK42Ytxk;F&`#m-@WMcUAExU=1UI)_xKUA|A1>T^A8aa_r9j4N zRTWd}KSs?mv&&lUsH$^&RZXyQc@7NOrUUdp*1&0@y1M$n)jJAgJHIKb7`YG8k&*X=av_C)0c~-S8!+omlarGl zEp~X#3fp~^GH!LqtgB#kK0S7Cno3uHSRpA7LcsZ~Q78d&H2aBW9!s$aJ*pkQO z6j7m>nVHT`pE*e}J?wJ$Tr56+{tVFbm_%;AD0N_Niz6n^LlbuM@B?DUv z!%`P)Y&2so?!p-}?ITzi3`rMr@8MW$5*Yf94v&w5!aiCViRRz!v@6neDSfCh1t&eZ zfj3?a>clSZO9{zIi=scTFHh)QkJe)K;(?F`%RuEEQPt~xRAyf;GdFcTdL{3d#P1jf zRjh^;?L@)NNtvndie?k0BQRbBT=yEoP!$7S`RV9}r>Ca_UwjVZ$mY?|{%PrwG^tYg z2xW%ID=K@yCbku1f}%u7MTEYqQW#rL1w@E{cua--h$UuDPHq8%kL>KQD(VFRn)j&V z*~E7*enuKBlzTfCcMNr^Wc@ywB8GohmNL;9)*bGZ z$&M&|e9i+HRj9biIdY+hUbjD%B|Ui%5N2$1LFffmdYv5 z=k`}g>!_@Z?yD`p^XJ_PLgLf-pn~H9%i**sv|!Jmnc|Sa)ZAwb?CgG5Z|eDfKR~t{ z{6UEt1MEyAUth<8e$7NerBOdX_Xa zsIkUVi0qlTyXQRJL{(QGv!4VHcx;PA=bHGn8{PD~+FG07_u} zwL;*VUZvKpkcNg30lTArAp8PSnn6o64(=M+)}pqx4ZXZl3L#z}p#<~pdh6${xWUU1 z8%iGvf037zVmBgjvLOygLKWjC@V|F zM}XhOCF~W8n1=`KY_=cjMN#o#w9Nm>$GRC)q`+-|bUi1~cVYplB~EC)#h&o3s<;^b zZ>T{;;g_)#ZYPD0NF4anm_w+*!GgbEyWhjrrk+4V8C_KcBp|44Y4Nk8Q#=U!%fWOu z=3qo4L|aT8in>3osw}rX$mAJqH}Ou<%*@Qns-(EsGK*5Q;q&RZ0$$<^AW<};kWT?y z42u47MK|66P8+5&xe!NO|BU0?+uN6Bsufv9M1MlxT(zFA(=TKp_mH7uW*V+y>-YIEkNFTb1?Wv#7w zHtou&qUO4!lEc84g@xsQl>>*j1QUjC=YFN|3{x70bA=YaF%#k;}Soghu?zSTXY$6s>*Z4Ie**!OCWR zd3ew~IkDyeTQN2*EBZcB+S`9^b`ItMG#!Q80C6#GU3VK96}f_W;RD$cjshOsCKZa> z+VOII$th{56g4s`s(pa?SY7o*+8BYi?=o`6n(KC7V7{`b-F}m+2#=}o6rrGG- z;wlb{a3e7vyHBf3L<}LClI-62^^2d85g8M}6CNHO4&-lF08$=|(8bV+&j{e#+hbD1 z=S#%9=w|WPT}OZ$!qAXVH!jYB zDDi}XkAZ=DuG5Rv-MhOJii+7_+Yr7~!zB~4;NCaU_n0c^C`@?*+|pL)hbGko%H^hF zeVNbCriu!{ev^@EpSR9bmp(85o5?qo+&?6|7^a;!wR?Mqa^5eG^eTBL3k{a?^2q3F zzDZZF#ZVd{ivBo~9+20Y9`sg*XgzjZ!`R z!UT8++607xCD^E86!DP>!%+WT*PDtQzQQ}llEk&bskPR{u3T=#GNsE{r_JZ*<8S#^ zuCck0smH-@$ng(h@?PYIYSUGXhoCksMGycmc&36p4tlV5<=SvH8(cV04Y+OY2NBbu0PC~wJY1Ala zx9S`u2%H5%ESBZ6Nu>Q3Q~wKYL?|&W?LX?{b=S_#VX3-$veP=l-^9_bl9D)6ze3EZ zyhSH`g4HGqiBoj7&CDfpyL5S7UHva3a|~&R<$!zrw!p|Q75tg0Jv!i}M3-F{moqpe z{M{K>9L4gc%S75yCe*SJHzwK+m)xXRJQR@yN=Z*oPvKbyhan6G# zx;Z=T_vbfkja8I?u;~pLLq^tEOwJTO|2aW&dszOb#XfyY3^Kk_gG2fZTt)yWO4%|m z40S?kILhJrj(wM278~d4Zg3FcynEl~12N@v3A+H)q9Mqj#q(rKl!}>|mC9T!o?L5| z9ZJ%hlJfNin9dfhWKZmmb!fK|u+VN39l1e!B5c+m!hh5xE(F5IP29!DemGs4^ckAmvk%}xvs zZgIeZBcC4s<=>%Exkc|~AM;8?%p;l|`P&1Czk<-){{C*f;zsAxHkZu@c2(863*e_W zMVb~TteAON-mK$Yv->$ZSimHI(eLGhtaX5HG^ zd9%)#?Bj7ok0RZkg;`ZB9a5`bxE-Yw*n{b*o5a=~A-l?zABVhdZ}^b7>Px*|yV`JU zWnQIoJ_%1Vu}D|IWEKE#tj^=#a6z2AuMb+C8dEnOd{W~YiQ}i>wxuRg8jo@r-;{ZK zl^BtMHSH)0-+15OHSkqc^c0|@U}%wwoW$q9T*~r$jPt8};`wZ#^Izm{SGI~+ZGU|- zldFZoU#sU?gOl^8Gh;t0!GY=PYfI*qr(KFb=l#pwc(}Cm5recxHRs3r_g2vc#ddhe zuTwBGLAkcd1!&FD(;Hn6$54_0B_jON4@l>OgX=ZA>@zHfJJP2hDo{Qp9Sa(xHqF@8 zaGZy)fK#5^*Q9VGsjFIYm-~uKXLX_<>`cicoo99AF(6p$bXgksgjc7;9Aw&4UPUYARMbqeop`%$ z&Tyy*u}-q)VI;BRoEV6MY4*e2P)&`TnxrzN4IO1FlGv2M23 za_gv6U>D_{! zT0b02+Ts7hq^$!1AiI_DOJ4C^B4E6d_@gUW0mcC(E0#{?qTUKnQ1M(irL&FGrF5fb z_3~~eoH%f=?oaWLP{FOdlcz!WG6CZ=(CbEt^fMg2s-LGq)q(6bim>T3<;4A?{bx9& z&1QM-=^$bO&y+nYR)@cVCmbVuwVP?II9LTSROGkZX5o$-;LRD@bCB7QEpcLgyyz0^ zN>FIU>9WauaRDU_HR&$6IELV`#}AH85_{HI01X0pa1|z=_YWD0X_3W#mJ zj!7a>J@Y}<_D`M<2Q&{y%r-*lD^2Bj{B1(6CB=)lifHvK85s*&FVX08FbIFn4u;$L z1oN=V!f47=)17)fhLcG&%PMjxJ)C+DNz1AAc!=}z&UD0)@Rt`_s!ujq&%1U>%T0~G z>lkV*1-^E<<$Tt_-XrfsxYbnX_TeYz7|?=b*drtcSke6vTf~}vKV}{zD<$FFEP_q( zZR35|LqVy!=b8Jnux~5L48#Vjb(LO_7=UpXF|)=iYu z?V2qL`S>_o zz3gpsRMDTONK<9dzDLQ%ANrtIl>iXhPrn*J_1IiUSXfwidbZ}PzRc{d|3{Vu)2 zY)^G+8XApe{cw%dfp4#ioQ3p`nxJpS^Gy<0}AUL6s zRhjS6yINeVyEO)MqY-VF6~89}apGcwxW^BBT(pRZSDVdIgvD%=(VDX>VxSF{+7I^( zm4AW@DD@i$6W@5$Af9-{mEZrtbbteUmJo(Qc>VtpkMJ=`#LZ&(z;NgCs=iQU55Z!= z-;?sdU0x%9CEW`0_4Y1tSz_t%SU$M7Wy1z;j_z~X_uobt6CsI6zLCY-KPSdt_vVmB zQ8+ypt9)~0O4Y5-aa9@0e|QrwN&%_%uHhGJU$w5_`0&d19t)cmPiJY=&xGWB-$b;Wg+!9ly z@?wKRoK5m}ZBxM}3`_z8wGHfv^H|@IzVI&lwvHv!veju<>lig3JG;1CE>`Qhs2_YX zG1&yGNGK?F??g9QUESQqfGlS_kPh0q{m>h>mLk*hI)Li1I8q#r{wEak z=7^d3)+7P8;VSK@H1+0vx`g7s-B3nmKwRAXT2AnV^CEwz%y(?@HWPpCjy3x2WAh(Y z>wNo2SA7FJJANZHr%Im`ktlyRcxk1nQW`TdzFGD<1Qh`oyXPW^M)f;%XeFqv5zS7g zSRz&1vvtsdhKJA7VTU1ZDZffNjAxOL^9ZsKnYA!$mmp>CV98WK6qxXybueF?rA4}i zdRHp5xpkPLN<~fGXzecV*qHsh@A(-{rq-MnX@u|H_pJaxsB-QWcf~}sG&qRJyZlYo zAnW}0+2iDWM#WE)N05Oah*;`%&;RN9WZHQ?QbN+E)}*_(s*1Zf(Zcqljb9uh>@h58 z5vYNqUDxVgg$@IMVq;^6VhFF#|I?V3m&*d|`P;L1w}RncXK=mFH;vWQV1_#L#{dMq zoSe6nY}wES1^?it=Da=u;S6Eq_E5dK!e`%t#QC)~%=WD;5AE1 zy5*;tNhW<)GGr+_jgR6-icwnY*%n+QMu4{FeA)sY_31nmYrXF()3>q~snIYvrO#*3 zIdHAyg`|~#+9%v&EG>dee!#WCh^8@?kAkC8_7FqQb}GP3sbKiHTQjCfTyTl9MGRl~ zuROR=9lNqGpU#vQP44&JHd)NNQ7p;6OT6X=aOeb%!o}uAj1Yk z6+MrapoiiGKIepy#U1k3o)^V1v+Zu>IE>26w3P#}rIyrq51=vf&JV@Y zZRk+5<)H(xZtVG(2|k}qIsr@cv5-u_kp14U4mI6++s=vT&{nhk3o_&>^nOt4I1$> zuUK*QCvehim63)T;#=F?Ya)NS429vrRrv4pziG|v#>pJ*$#*?B&behXi;yd(PpvlF z8oFGLjgK$I%4kbV%P9{%Tu=ITB7+z`lTiuQ5mz%r{^VUs_${^2i9%}X>f&Nzs=s~1 z#KfE)9|!IK36-JN1kQf|x#p>BhYS>?4VdKv;wTsJpf#Ono&Zo*FOUv*I*MD#$7f%h(Z|CsK=trtWo3H+W?NkAjeZ5u~i5YLSODTij5ibP2j_354Ye z)(AFAI-wA8E#X`_ob^6P;G{-UIE)wlvnMZzU}9dae7>!iC(?}!iqbGZeqsS674A!v zGvefaF`MGcnxAJ0L2oc6aBo4Vv^x|}cjw2I<#X06p1#B`s6Ec!EJ%_WSAFPfwbrFB1 zF}4_z$EG9p{#d8UN1O4M=QOG>yA{6lP zs+1=~NCBZR03Q>mlqS!>0Gbt^Cwibyz@yH^g=0UwFP~de6Ks<5$Y1I&cQ^e}dbd1h zCuq7^b!pDMYu5WDV~32zIH;6MV?5gq)vs?-X|^5F8(%GEH+x{=ohG;k5yDdgJ{dYm zQJ!tb)2peC$3twuQc0$JkSbO*Iu!owPdaVcAoVU@M)EO-%i9M0j(Xy)eDko^}0#RZ^ zBxp^}Y$s-ZxracmDsiz(sFJ$ltfIo{Kl<3&(f9gqO@U|*ywWtXKcStsq!^sQXU9rC zSh|jMgU-sOlMVz(x8=!jjS)c|R*QoJkC)O7SlHjk%kXsKTFK=eVxz(X(iy%PN5D|o zLuO*oXf*}^()hSrLEz1FmO0*T(k>G&8JabgvVl($Z zHHJFZ_uX50WoSm)tv0OPpD|DV@eD)!dKobThITlmcU{dA9sS=U>T801i28LXhlrqW zi9gQgYqzEeHi@9TwCXB$F&)MoLvy0uVDfhv3g2KJ$QwS&>?Tl2qM)E`mrBPFG>q6O zL#)(H5N-%YEulOzmhi%9>Wc(z@NxRJ9KeO9U|I548`~qW^%pgC_CB-5>rPT>{zpTD zaqR59uK1zwY4SUj28%Xm$kv47_%eW66hPI?&<=K0JdbPFyVs_w4 zNa%N&CU-yFvEkK@e+Lz5@#9DR;Xl;o?AjlK=yYXIYv8V~uBLhe$I_{oHm0^6eHJ%q zy#`1f0rmB|7a$1jokm+29CHQOyPJZD(Zc`$y>@4XB(~19cgn^#gf&`5!iBqDhxCI1 zU2CBVaD92}W;L>s+6AA(>5G|uCj&ks+&%4+(Pf;s8Q07P9yI-vGt2u`IQFsET;*AB zmDpW&i^RPf!M@~S$k*6dE^nCvnvdFYq;|0~NAa=UE1%k*FY*mpsQQa9k+$E_H9{{U zhjfF70qbYP4*-JK6;ZZ?gMtH1aQ;XTm|UQgme!lg0jiY5!3)oWM}4^NTwEO{f+hs8 zDG!g7mtJP|uLGCntzgtGz6;djq~@V}7}CYA-zLLU!7i3e^w+tM2nux#cc?5N8gnLr zwTzYdXY)<*c!mKAVm{yp?rN#>iTDdLL^)%Q+t@__JxkTeHG(}tn852_b($nii#0j{ zn--1?KE>XyDK9sek`?{oq3VuDauZSl7)r0Mt}L4EnAq9rGtMC9D43Y^c@Rc&!v}F1 z)>u@e4xrVyHM12u3zPy*Jbjgwm4VU#u%1LMRA1yb#~}%w>iQ^MTm?$X?m=|l4r2sS zS@tuUGj$sUM*)Aw5*D`@dmVTBCq~11HHys(V$_l3wkJL)H^GH!mNW4zHx#?-s}~k% zNzx1s91LOig_0@HBH2nz!)%oN2pI|)A%z0uBo`MKrK%!IlAmJulpwFOh3sX*qz|f! zfn{>iyOY^O9B}65<78wYs>6{2QB$P-v0TK?Esd1r=USYW@o_rd zr+?bkBNYsyzO4)(Mim}+9&N)mYFgSz8^h%B2@Y#CHdDvpVv$+7+k;t4z#_r)_Fr@2 zXrc1qdY^*NS?t9f2&Dix%4_dIOb`%*v6Z~Ny_K>DLfCwro@RCq4toW_#uIoA;p4`E zQi;5j5(~J!2MAToGldNR$t7u)!*FVFkhs;Qay>#F8b?oQ()|sGZ*1YjykmfyYx=lTGy=}eq%U*CB>d)wDmHe9v zlBs5a?ESsIPUQv{&sJp+;petfpnKrsU!8rgA~*VlvYaiX|0@|0oaKI6e0lP#2?!W{ z==WVYsHS3{ZU54=s;`rliVd%EN@aU`+*D!NG*zG ztC_*(=bOzT{x7975N*^VY;7H;ecCC|P)AUl!=1Fd_Uvf+Jqt7Bk*gTn|E*g}M&ZlD zpMWV`P~wl@dVshCQY(t=S*~JE`B5VUTAR1`*D_s<=Br`7SwA3m9i8s!>2dq}6B!w~ z@^0^NHTv`FM@q+)dU3bmf&$9$Ur5e#8cfWZ%9zHSoF}LQKk!itW9njxT`tTPBY_bz zXGK*+-HO(16=m0Kd?EMc##X=AB=5^G&Cy3nJ^VSaN=0K0OQwi%K3wfUYC*s^6Xjlu zbidr$@>n=00B+3?mGgNq_Ax?sS35l&BIGQlOwww%tkNx004zh$Knw1d*-loYSWiA) z;JJpGn5ky`ZiQarSw4@d!6C-;*2TVHCZR9!G6U4DXTqQe^yEFOMemJHMy2Q`+wBkC)50Re%pec3!xd4m9*d2C2Y1Qr-FS2WG0TH%?o(rR@B4D};qH5f;AA&(6wl zY%=S04^i!TS38MU49ze&iakh#H&FtY|7#cc@XADVFJ|b508hr4`-?5XX>L ziMziCzxcd%oov?r?OHd=wlLyklyne7#hr{-)V;#T)7ArA#ARc( zGx+1Yds)4tDS(5rz-}m7Q!@PK?3d=&6)>LxEv$Se$VE1|GSJ+7UYiSKI}u$~)uT7^ zM->{&4g|(OKR9S@B-Mz90Jv*_^EhFjn+#!J9<~zWpHowLN}k{eW^kh$aDNrN8Qg2Jp33QP z{yosT3Z4&PVohZ=)ViptX?|dSol4xzXOolfD^LA=h=CxUuKq5q8O+_;fm&|ZATdfd z++&sSr=shjOxZu3t%}@|^XNc5jIDi#ZKlO8Rbf5tslVLeG{`*hme|VU<+0iazKhhb zqZs?`rHtR;i`cGbB2uCY^-}$e0c4QoegSAIzr4I4`xrOKg^F29NxggFw_mE!HTm+V z?O%2}MCx0w<4y!y)@f-v-Un)Gsb1nPK28mQlvkEliP{Q_V+V$%-vQw+)*18Mo?wS= zYpPbRl-LfuTMTFxS5H(H=Ayo?ZWtT$#HFu7M$YSqxscuC6ajtoy$}zhB^#ER{YlC@ zK&)IU%KkgmQUa^_pe%b~tSAEpR-whqP`yy#fg18WGOw{%1i`C(*$z#~$S~QT9wA}- zi$>DwyEjURgA1Sg>kb&avvaDdzhSO-eOZsfy@PmIga7LWA5Z173tdHq_VvRq?2&=E zxVS{OI+|GN+1S4|l>NmP8Cm4E2U%Kf0S(#n&2FICc{)=VYSIgMTykX8)a;=LF*WM$G4DSK*;OE;qo1yKT&w(rTGtwNTs4f4H?jP|2dM*f84{~QhrxB`hQHeTOxlZ(DVpap(MKbsf%*$KT_RYM)^-?LgIK7!d~p%TA1%|pH$Ex z&&cino){WZ4!jK-4vdM#`(j|#1N@rBX?_;F0ItPOkK^|bz!+EO<}3Q}@BlUheg_s7 z)V3$Q2zKYQqjDoc=YB-!abA`4bJlTvLSj?X zrxzdY(^>dVZw(m@HQ*k4q}h327mId_Ei;`3nwJcgB!KmZ^>16>c|`fgz@>R9DU0CB zhxf67Wm<6;0ny(2x9^qJnuxzqypzsu#ViyV`Ey`p%C_NRha(l3T-g6Hu28ZXgM83S z7Hmq&3V2gk7>Gn1RuZqSkX>BXCVPZ*_E;0+tiizE3K(twCCA1henb@hW{Ls@-XTa4 zR~Nk#btdpK|Hsi;235gsQCJ%3l5S9tjzf2+ptN*%NlAA%DBUI9Dbn5D-3`($-1oaP z{$<92bN0Jqt!Fjct_B?({ORsSMnbaxj|Y7O2UL5^AYfTIDkkQ;*3`$oIWnK0Sw1d{ z&}m&RRfx>bsTkcb(Xtg?dEO5aK$gt#q+yzf8TC)1t)n($ko2i}W0Ptm4)A#Iu*F6% zaiiL$CZW=}hL`G(1j4UaMpADokB%Myus~CHP1x*!GK;PCoA~f>d4wY1dgZA5}yJO z6db?JDjyyndmO18Tx^%R*&2Q8M;j`$LsDytiNm~_o_>dHA)?dcW{Ovx8#nt(KNUn& z$M0O4%?dnJ!14ify1?nMVBb($QUVPP?U_3M+F-LxNks+RJs{^}IxJ^Dd{^MV_p3PX zcxF@3>%-~7x04ZqgzwMKWlv)-iHWHblw*7Oiak6$hd0(3B*U*EXvJ-8;TDp7<>boi z-_?F)Ixl=e)*o<$pvTxhH$`YUp=I>(Cfa{caJQ)Z>8@k^agG0me0uA%h=}D^Ti+IQ z?QpvtZ;kbPtfsCx`x;jsdC{>)mwCPQ-gTd-EIswPeYv~8-`}AVbjettkY(aQ4$=Cn zb$yO$b6~WPqG+uiF7IiwQ1aYT(TCRwpd{K_USG~vRtPHFQrpZYLoul`6=j>j)c)Gj zJ4(;6vX2t#I0E)?CbBLrxBYU7krZSgocX<6qI{O104=TgVU0;+NFd0b$4y9xlRu2P zOJeqMJ(>Yg86BR$_q!Ij2V7J6_MwT4TDLe~hjg4UjbJXMm&G~dd|pow)A;POEyLE! z5iLFLID7e)0(_j9PwyV~Ys}*;s;Yl567o=gp(Nt>3|ttUIq?3cDVdj%+3%*TBpDI} z=Y6M>XA67I%pl(#Jh(^eTX`Q*ekZ~#C-6zK^y{9A&Nbzke%X9OL&4E+0s(mUlDj)Q zfE2mi+FEklZ^JvZC=wvO$sd2RuW52l;X>g2x^xH=H#bndA2_#9IaANX&fUglB_@5+2L7^8NNRLh_CJKvxxJ$ZS&vje`gOF=$n71 z-YK8jxLRYAyjsrg3}Yue~gBij6F3oK_p6k%y@QxqrFf>a?reChJC#s@FQygD1$I zqf9K6NrBWTtfB2m@Dmn#REyUB;@n^lrVF1UpG#S}FLx5lH=4_qB{&a%_o*n+9Ieb( zfD-^?ri@~iLpibERaFNh0mDyuxs93{?sLiCJa`c!4-dXF;6fMz2#e9tPvjUH*`Di$ zIp!`jH1oavX;exV%g*}>qNz`SX0T2LXJcV2D60~7I*Lk3UGY@)IPF87j~^NYc@e6w z<4QPm#)0!086eLTpqoTIcu5-wkVK5ypgbrF>;p-+PY(}4fq^F?g~LZ+yaTg_`@`if zkvNs_>CTSR`G$z=?u2gm9EJ@+N8jLh%vafW{$6jgJYnu8rJQyU9Y5 zV&GF@47`#EvAmaAN0{q7PA3j{4=b%I(f!N51 z=x8QpW;0^YUTOYObAi%_S<|p;_6|sYkrYWY7aDRI4f&Zz01*Y1P=Sfb=F=yk!=vUl z&%vAv+)44=kF=M&!{Zq|RDWh?Z-5_4d(P}wf-+BJ68IB;|EAL=AtX%w`P1oSfz`*y z2mZ|hW_`Uzud}#eH8&g3=g`MV1M6a;d`j2rys!Dm0XaDU&j`r~A{KeLK^>uJzL8d8 zvxNU+G)c+iAXA`}FT+?*?BfGF%z z!}eNe<<^|Qi~ zIO>nfX?R%J#m&v=;2;4<_{W>AEyIN&P;r5QL@|T6H^?2<9z`LI6V+Tir{v+>`})tM z>qdtkqqOM}vTkDfNL6^HhTUJ?(X9Bh)x1DhUMJ~j;kBS@iuEuwG8!Hl zQpvtpWUu+26LLp;h-lMV70&kbv0PV)C<=oG8wY1c-}D13o2JM9m<(>zLCFVf#+yrM z!g`+cKh2!)-i?~2#UoHoW+8|f-K6vM!$3D@38_P)o9`SRhVgDrBm*hA@9wdP5$7ce zzYg_MgH0MpoB$vAiyc%V&hyvk(*Ww6NXciuGlI~b=|W{E$n?kDf0Pa1ot%2~0ZV6V z4JN*l`n%>&yJvjsIt8`dTFZuh1}4XdNeKMe0XD}!}pLu)ik;jZeFyY zc!u2k4)~b#>H+DXHHHl)5f1}_h1_0RyUoN zfn;^`K`^}{1-z}<@y+elYEvL?{lX`pN?0Z)z+`QP;7CbEwrl2(#FF$X;uiSg4EA((l^Dt%|Zm~z@Lp@%t zM6Uc2_m8NkQAO_olgZ!T|NYhedW&;uL20Q9w)x1^?7w6?Uw3*21_lZW3Sjd%bH6{| zyg8m{moqg9iv5XJDQZQFBPk{E4i`7~aNI{%|F> zHrCV-{n63qH%n`jxfkNY!jkF7$I71kqp7{rZ_41_ggGVu2>5rK`lGgibYNxYNKHTWU<=WoI@;u^DIa=KF=+N#9yioYH^H zro%Xp9g?EeXlX!oLxa(zx`_iU-V9_>9MO`9L@2j@oN;`h%Ds!|l}ln;tu{j7_g|jH zrcnr-ynlKseO-9rFf@m)a5>BG65ah)*U}#|U1Kt|4IUNR#|N|Bi?`UcLRl*-$=gCq zgjBh-6w%*?+NFt*_3oEs>`L7Kex8uF_WRx3#Q$)kPE4dv!r|Aaz+9=N=QX>yR&mV) z{F>DKuBKoladmA93X!>!2hx%(dYHwhhh~L$6qlq ziofDjEu|^r5TE{m6Ow%ZlpS+5N-E;T<>?aDkx^|MBBJSh znd@FW0A4>HK~bcqSN4i%1{8l`|A_l&8Vu(r#A7oHi!fhbK_zmG7EEC_^Oe>=xovBE z7e<%Y`D$?JWCPz`zQ0dkYwg<4!uBrn$A>5+fByDR^U(#m@WdL+M@OyT)KF!u*n~w{ z)1$;Fr6WJ+(kJ_+1osbr)6>|qZkA1K(o3B`? zz*qfCLP^NS%WG|8vuUSsEY#|*TJ{#AB>ZM~uf){?C;{WO!GEjcXqFS&5AyNQ)bUE@ zHvTh1ba-SB)Efdky!ksHkDQAnJ@ZY`7c9*+iF*lwLh1gC6-)<~Uo)M$ZWqv)g%XN( zzlw_+8@V`>Qpqf(yGD!*4bRz6Pfp-l-WIvIm>$(iY3$@@8X2h&yHHd|>{ zpi%zDdSg2^lh8Lg@DboJSXeL+;!d_?sN;{0EDHp&lEum1`e?VfRs(tsJc^vaAjmgw zK$S=R1dnw*U3fVh9DIG+Sm&@iAaCmGypI;v%eJljGh?-HY^-H&E_};SIT^FgM+gof zuSByx5|2=puFj@7t<3ohH+?drVW4K+OkGVa^Y;4rbdt&@LD_Y8zwr2=X=GK0^&J{E zp_BrZZ(onN=@TIf!tDwJk|(J0 zHVplk$U}EU#9cb6ay6{K5R(qNpHNb|zRB~gdOagGZ4QZ}kKJZA`P6;~T$uCgPu{P8 zS=?NUm@jzOz51Vp-8Od%Y(v&#qE0PHThI(+l*i2(=xh6IVd^?NhtMbpW3uw!GtIn( z$qw+zc*=6CwD55&pH_rW284u!@Nsh=go^JYAq~L?_T{#En1uvR1RxBl?WE*Md3ZCS zrT+QDcZ7P=1%;d|?en_P)U>l>{4=2{ptG~H2m%mkC@3iQWuH?3Y0$GIe2T*=w7&s1 zceF)Hqbm#JaIWO4k{zW zeX}YZth1mKf?7uy$l8xugeep}peLe)^!4;ui@leV22j1Svh!`AQ~@v5zD7b~VgpiC z6;Q2cGpPs9W5|W|T{@WTF)|mvmxJYj+ar{L>U&l!ACdRxbGwzVU7f}b=`p+7qjGS2 z7#EV;7>HF86I1<17V(-{@wYS3n0{1Kc+r84;Y)$@2q7gd;oM=9{M{b`z2Bew2vY zAhESEomb-Q44Uk8uP+ek20N+=?%B##t+A(PVy+y;#LG)2=W`>?hbgnHRwq(^=vG8g z1)$`XIHj*Nk2IXPznNFB&}$TQ41Ru&txq7qdaJ5l3KpF*j%#@#uVPT0fa5#O8Wgb| zQM*L83PVUlSoABHhfg~;wD+~ib@JwFTq-T?_3C7?Dm3)EuFguwT)WQoB5XyQgz3%6 z>G4+x=XbHZn3!>3M=NZ(nH$jauC}y_puqZ)HGv3z#jNJy1p9}@>gwvEuvX`zRR(?p z1h;Hozu7Xy@6)t+g0`;$7|@X?5bJ&>mcVC^I2aS;#XI!JJ)ZX$=fQl)7L(dPoG#qp z#a%z&qnUMmfq=?rG+CLPbYf@t z%|M*DA#F|{*KEsU6ivOof6IRmF~myzAX8_WmlN5fvM!D+l$~c`Y&>)NG1SPK{L*#Y zUmY$dh|mcFs2DV~v_&u>DWjk7U%|YrofH=K*7N$RVkZK`g+`yx5OX6GQHWAapf%?g zmX;zVta&9FjH4L9cTg;~q_C1jMftlBtL|u@D^dAYFVm|dHNj$1;$0>FgRCeKfQqJwq5@VpJ?=P@`7>7bydPE>Phq;$xjh+<_?O-);a%M5M3pM-Ze#ZiuBU|Wa3UZu__BT{In9+f-;|6#&sU`sq{X>^pijVw^QW4PesjP*N|~1aX1fZS|_f%2HC# z&ksxa)Q$;0l>QHkqSx3@8JNPQA%-SmfqkHOfQzbwkpA*F4+)80a7DQ{0?IVd3jR{e zidNwOiHk-?+fZdbZ>Ibu5v?4*9Xd0=^f)-=2EwNDrzE31cX|AKJP`{S0&D=!ZS+so z3|>M#3VUlWunBk`+Np!5*t|c(aVXNyV*(}Lpc4d&QK8_e z5zg10ld{E^ZglDK8I#t8rMkDAiQ@85)9MgIR$9x4F0^dZ-sh7zyt?fIU5`)0)IB?P zo)#hz86+kqlgX^loUZ2Lav-Nn)S97$)XIB(R(rD21R!Q;eqvKsT}h$HtCjjj~vnYh%AWd??U6>R}tN`EAz$LbmpDI>sY z0CJdf9)FT_B>UsPd;8N|(#b7Unm5X@QPGm;MLO z4_E6SKIoQKy!<^~?gKtcN~|45;+bo)sAfYCz7pDFB;LemUGM9EwpbU)<$}4G1lS4T zp97*LQ8)3sqtw*Zsm#AH%TmV!hz3yV_>KOO2#AoxY4Y}#m+8Oim34E+nxeO4-o(Md z$bSEMbY!4AKm@Q~P1POPy35BDM7EiI)0}U z6AEZL!Ylas;gB$zL+#hp4)%!8`sOnv4ga`3{qy~`EjOoAIHviH=JPy~;)6Nre<3CyX>4s=H#a}uxAbVOp#km}uJWXlhQ&W!&B3xDanRVbxmhA3 z16!3WmkW}~?>03%TMuxF$8+U?M8@potoV1$>!1sc{T6dN>=ugxL&ESPdP5&4sYvYq z4|`2b4Zsoo!%$Br707kFav!{5VZEnyl?w8g|K#W9`U?RFc+$Bi7Z)FZ8dqSI?=x6; zq~FEIV;Kiz_E97zGM$<*5d(kG9!Aa)39J8CAwXFCp}iK9fQm%uC#FwU8DgZTtn7Gq zrsG{HP2IHzeE-=HaZy+_iFCPt8P`e>EUUgG=0cF_7vqc?rT;F5#0WZwR#4DePCvV; z)r<5CTsPlim~<}T+xak+qDpjJch||y0RH3E&IP$w^6-eQ(UIKhwp>c&k3r=u9M}Gc zFFuEBt$SAUmQEnz1v3y>paCMah=j{#X=isg=#n)Z?mvOrH?+|QNr#Td8*_OXkVzJs zJn*d3UcocAmf2u!(2`nF(TquhoFHXhl<1a`PD|nU0VwB!JP~j%;9&du*<&_J)gjQ~ zU%vR`@?YP73y;Jh#}&nu?A!mQz?kg5V`WXQx5|yc^dF{KNQf+9(L+3GQKMDbxYv0* zw#&g{SbEXzdNZVhR7||yiFU(H-szaL^D`EQqmXi zK}0e{3g`G3$|kOlzu@SRoC3a1!W8)P0zMtrr^~BApXTAczPTRyDe7nv`ctO-S|mqQy-XM`iBJvt;4n;la^s0dt{&9Ye_(x%A@S-QpwWJ0_{G z0l663jdjM`T><#BSNr4bVG@1d%a)UoL9*urHsgR6AZ#QgwvQo= zM@TWkpcI{K(yq_OYLFRGL>;$5OGC4N3WwZ6Orl(%wA{>#^MfYLmTrRE0Gfoi=S1f4 z@00QY%!wV3@NsBv5blpp7k%l<JFEFz+)rKLB<@=^qGL}Nk0Q!65Au`wbWwIY0s{%#>TRg zwyX&A%)JH%>oU^1QYUEsUHd1gnjk(|5!Odolw)v4Um06U&rT5Z=qGsqcpQNF_to{?^Sdy+FEuNvZWe7M?o{`r`kz4|h5cxno3&1$HWGr2;?=$V!QM^OLK2??2s zp5D^R$cI&QB4`@CIha~;p0QF-#QW@stZ!%OXwMD~7QWk+rT2)J6it{zI6sh)HPGQm z>?~AU69k+=qY4nx)6w1Inp#_<&^9+U-QVAne}oSIkejyiP>c={m5$PwV{We6-~76Q zB?1mG#>P|qWMto*b-w>4MH0JzEIh}A1C?H5b6k1ut0wWlKcyfMZXe{|t`iUAgQk>L z2R{d)(=*xuh8gB1`)gilp!H*@#Ls!C!u1Qbp6on;**OG75wPIBE_dF_R^Q*d0%7Cq z*A_t}FvB!*adCOLx#jF1d&2Vvz(<4lsW}eLsU*Gd>yAc5v=1W9|MrNM8c^|&`MS|C zkg89A6Y3RRIwbNPAI&fZ2cx)(h)`y1azDS_&%;ziIQM>(@xo1e93kM8Zc=irZ6d72OFgUZPr+tol0D-Ug=L2EF_8&#%!Wt}*oR^$9jW3gvy>Pz_(luav>RVFm z&{3#of+SDst2d;~YegtLp%=QC+X1@s#C}UW5f9|H@W1g+*7fj^#4E5b1&!cxqn*{h zgn)p6mzS4nBhx5ae0_k~sni!^RzN+s z-1IGQ3d)DIHMfz_9U@yW1)L#Z;0G9N4{#;n;em>hl+;AN;>v5Ayca6W($YHB(I&RE ztf1BE>JWk>tll(0N-g=V=#wrJvk@4aN|O&U;?x<)olMj)O`#X{FLZYKg}r!psSTT6 zg-O+BYXwu+V57W03OXEclpo-7zdj)0b1C~;3xAX10x%h8;t~=W0v@<>II8Eqi3h?H zuG_(dX+&Mayr+)ju%2@O1&OKK;>JE~7DI;o)QyRSwLpqH3p}={Z#w7l&e{!-5A6uejtMu({mmnfiGh9a2HUb4x zK3yl8T9a5(IOq`IybgXzK3{`77|B$Wco6@kxqnS7op^iKkO1Gam4p!$fychQOTBM@ z4|B(tLQDLL(T+xv`z23f1BSmt^c~O~<{unnuDgoBITYbR)YNMq1BPAX|4HVB8X7L- zJ@9&^u6b0pC#kCp5EAV+G#J|oqV)7y>z~zSPpz%3rCkXr8i3A*0I#!aOo2S<)5pHS z()J+m*J-nNe>76+Q#?m;&{GPC-`#jjHi$rgr| zi}XhqWKn5mUrqKJ7-SwEI<~X`|If|OXM86}ZprBQI65L?a^R6}p^~yPNY2Eigh3S_ zkL+V^qg`uVt}T-fmF$V@4oRs@u^hV}{DG1<;0T?PRvUVL_Gd@Uk6O}smJL>>r z(lvKGdZORaq*I#CF+N6^(_fr+G=LSl{z-#G?2Vg1PUN1?Y;G6&1-b zg1p~fJOL}HQQOwg&>!G3j@4!<;V~T@8~|uQS4RhR|L*1nV7AIzTbtp%@6RbLKcDdZ zPM;+JH^ZV&c#N9)+{+Ua7{tWdT3V(3%Xm%b4bNaK!U?+t=P{s!sxk!7c0oz{q>$iX z!(}ig`Yco#{7WUa`9~Jzb+ju=byR$E5)4BAAP@!`S#{2k!(%g`pwZQ|1fNfLo%^hV z4N_L0!w;4P?QCMR8FWY*5kK=<|d_!!8 zj45%DKMXQ~PbeD~x3iHwNhWd87sW8RiHua|Ef5rfJzm&z^Y6~un(gbjfj&7*d67a! zZY)NIgnBz zXL0I<65?oXp8EA^oGj|I6+O`ikhkKBiK*3`w08o?RT3<$_{2nbO3kldot>OE(17}_ zKbi!_&`(SlQvfVJAI!zch}W8(t$>bp<@)(O9UUF;FH+6@R)Fe=P6rBbse>XoXRCwB zP@d7Dp{@f|6qL-ZPFaJ*&1Ar*oOYT?3n)oKj3ObavwVkwdBz=sxc)mZw0y{9Si`c# zsko(V1irT|nN3kypT&%6N$;K031!&gKBEv*6y<|$hFEB0ZA3YUigCZ0%#%`$63#0v zr7!6nj}@x>30U?-L>}9HQR`<2?wXLfxf=0-(>g;+4no!kmX*detlRMs9BdpB0G&=97tqUnooZw6`V+&RA0`@~q=5X7slU}b;nhUYY$5~VWFNH4SE_k=_f zh^eYl$A!8C&NjnCnQ+4GuD&rPcVjMM6CjKYg@sqaprI z)e>UZ1y#Ei$b*2kyrePLqjmqJtgAa-ydNsri;BmTKP2mnEV_`wZfvu<{7yzj1``wW z?CcDjBamc)m4iX=MelYPj&PViW?M*?U`T5bsr1Q4@^GM>tD7NStw4IzWwL=sEGNRU zpHIuF8`2THJ2$e{H4g~tl4s0z z%lPYq(CgFd-NOt2@$s>Nj9)1-9#hkwKZ=?B55R#2rZRG3?q;ti7ZoO2Ab;}YCiU|4 zj1@on(IXdeILyl%^`E)J8y znVMcK9M&+VR2J{b;42puede^0<@uJ|k_&2oX*-RT$72Depvt0^OJfj%ljciv;((3> z&QKSeu#U|sQEx9UE^hoK$Ozef>2QFDg{`7NxJ4AQ_o=I|H&6#|U~M%u)43q-1->ID zLd^G=ZoNmsdB1-7NF)AWrWE>tje@F?5KFEcdV6=8r&>BNQB@jnf&>yw2=ZlyXMVD= z%RH()fQ}w>eeJZM#wlgIySL{8f<8t@ zN`E7PD57spPAW@(f#mx_&A+cZ1l?|>iIs^7?QPNHLp3JfhYzN!&CW+wCCcM@0J;w% zQtAN(Q5lIdkYA+NG(}!Yk*q1`xHbtVEV!nv3t+qWt@GqnnLcEG!PI zsyg_S0Kd%2&d%1drnaG?yu#MXE<`KvP-a8Vow* zn%cp|bgL{u^$z|)6Jq#=&t>4}x$Dok&U~k-BUDI3U0vDLtzcX_3f1}BU!p3*ySmJ@ z$!l;B!(HON$#$d}QXPs#^CZ(XmY0mdza~RsVg$-c%F`D`rNdU~ZZwdWyTCW~tkHM%0vMInZ*x+4yA{#(E0L(~XOUnw5;9k2M#kfX&E@zMUZc2oCU;8O4it1B<3T-!=)7!oGdI0wPxri%=f$i4Mv^k8nJUQ|1E>i2U0F8rk)l4o$wE7&nNoJ8 zz%h$5l&dJFsIgevy1gVTN2;$HMXV<6k0EmRpyzx6q_N;&_!I7EC?*Qq!~ae@Wna7Z zqQOo3$A?c{n}=&X9`fy^Q8WtoR3<7VQZeR3IPQ;i+nC}bWxbva&o1nwm9aMlKyFr54lpNe^3as6>+6{p|V1v-1-JE?{*aKX|d7e{v)< zffz}_L-CCk^xcUn!t!7~hd&w_9ep39)nE-QT;vgw7*MfScXu(-(R1;7g1hnAn8G%e zmRlFD<3LkUW@=>>aX>AAFHVPyj12mDacg5WhZ`VJ6crN#>ee^e)kgicz|2Z8_FL%& zmv9!b^l)?2j|^zGz&BAegmGuTt(vksPX6FIn3WFr2^ zJ+(joX%POGXQqG_&_^LA&HLt71ftunfQFW_jOymDq!hn3XgrBHGn&krC&7;etIO$O zL|-IAMbwQcgeifFii%Fm?fXWM^w*|y{1~A~6X@;0zf-WsKA7+6fwg02V*_z3NBjGD z*w|F4(Dt39qo^oYh_F)wfw3lV2nbS=k~O{|#3Urx*w|80gayX1UKmk@3K^m`p=8oz zNyBTcG>Vzo7hvGJ%eyH5$be#|_baeJFA{}~EuJZ0TTVd%{>Mxblw_iV%QJw4EA-+8CY6IP}IR03LW!B4iRZzTa6*O}7FQ%B;Mk8mJP$diU z@%@R7jm^%^=1OrGFQu70IFVmm1ixri^Rh}aw#mM}u~c{WpYfu|cyxA!jT5eqb+EIW z;+@*IUq$@f<|ka8R5SIa_Yu4}CzZiS-q(3wB-=R%hlgbdS+Z3u>9CJgi_x=L18IK_GoG>$ zM)2ne$>_YFn(nuIYIsIvM)x?%N0d2+u^jZ;G+yqTP$=Rn+A)?8z2J_EeIXTne5@2q zl+24l{So!C#4b0k=MOf~@Mp_H2jgSuH-((2V;(%VP@vJ$ZtFT{p0t%vYFNK9IVc`OJ*Mm( z*w|UCtExWFevz>#&WQRXt)USMnR%*Nvw*0nu_3_x8MeH!G zNBSc}yzUZ4>yGbePiNMlV|yrRP-fJ}l+KIAx2-b!3mGQ_%OR2?K+o%00T|vR%gT7k z-lx4U0N=`>V8EDZ=HTboR%-Hkx)t!a^;HF}rjB@?IFRdf*nkzmR6l4S3MeGr5&nn= zf`=oFA4v>fFYCLMU}0g2L9RHAn!IC>C`DgNS{l82MQLe-D3Nt~`Xf2vI4C|spi8A4 zIu73sxwQXsj+uaIh$Dem^foV>lPM^43uXoyridfEh^5z3=`-xxcs6lXa(|?#FV&Z{ zlyj-UZ=*2l%rV?($s-UoIoeo#WxuXf{R8~B)tJ>F;k5e!S5ve7 zK^?&ZrNPO?W$zVmqK%D=l$De&38REkE+);*3Z*wP=g1&kT~vpP<~vU2brfOY2S*&K ze?ps=76#^N23WUrhUoWA1*w-rf6}!E4+vvbA?_&*u!{dyq#qf?WYWMO#lgYC5YEUb z6PleQ7|6`}^Dlm)$>Dv$uiKk+b&RO;VRc6Cz0}gzWv=F zBm~M(UEQ_LnuQ%W+hx2D=>x|M{T`6o_+qE7o)i!OlN?CoCp_zX6D-++1A!X{-cWgu zM`&wYq@V+6@P0)_MX6@JZT5lxeQW{VYdR(-QcmmS%abJ3D6sM5o(8*Tx%pcWL&ID& z#TyXo5n`AF^v7#0ZlK<}NA+cO+?&@`QydPKY6?vzKPWo56f&{$RA&FMkXO)ApGuMn zgB;E`#INw-^1fF12U0y?G}uArW`KwnFO!Gr`F`6TJqAPC zz>(d`kwzi^Ew(iIeOAooe*L_8eiC6+-N+z~(HOjyW8UIE+qIJ3JXr*&8|_=$+poS& zTR>SzlqxzBvs}BMhc2Y@XC4H)GCMi7xbKF0ifgIg4a8BkU!8wuf0D?l2C<3(g=nd% zsleUwU&Py=?{-E;d7i{$3t*>xzS;eam|GmIC%~*>#1HB&9i8QyW71d=I1tDajJBKa zqNTA=h>7WygLze5f=Ttb{3m;|xZK38qI|XTfrLawU5ZL7K2fcGPi*z**y7s!NbwMZ zq)PIPmbnmD&Vb zyFt>1`yX+!j|8)IPNSGt;KTbCG>{NCm`u$1T_@~Td2fCsOdMVlN9{|lDP5YHXf%MNRyLI;&)d?B@{cVtdWHy=#$P_oh&EW`>oZr{@V{3EkW<-yA zk{1?6r}E#heM?eMtdymTdHBqj#q3-tCwQdEyZ*%#o@aN>=Miid}neb5(0bZ=p8Jwoywd^p)PVGoKc znaSzyc{Br`?k)(Aj^cALDcEdR8uF>Z$3CH0WIKZ|P{QGA)4`fRSQMdqx1mJ9tqT^B z4&I4^d_!l4e<>rgx`;)bb8dQ~V-F_EJ~@X%A%FfBaafpX^FcAICc)ftD$Ne-v1M%t zrwrliBk+M_DgXLx`T@$DZbWx~+mWAYd+2a_JBRrkS~sw_o7weV%kCnD(Mg|DO-*>a zZ%A^^HoN<6q+dX@c=>2M#h@}7rFnqTQ`j12sN|-RjY-gyA}tQxOZQu8)OX-{at@HtNywy2EAefe)7~+HbH~DL{$(^2Ph*<;aR^pfx20 zI%;k%vdpND+{vSS*3wcVJ$uKWY}3jdDi_b6%_vwjXxChvi^r`7I;um}m0JtQv5w}I zRm9oN=)9Yos+N#*{J$?Qe(ZZN@4>}@5I6fudSWydo&EVz7%8a&Ge9K{7b0nqsbtGk zt~30vGEy=h<~G9aXZ`}i6v86N_S28rv+WBt2??p1+Ha%mZS7eiVAJIreSpv#_-nKK%#lV%MzY6e z=PUR1n)Gc9if^|NN`F`#DWk zwz*`CaZoF8fM)AQ=WYLZbWdws&os7O3p25@l5=v3H9E7kl}luZ8x<2*Rg2HmRd-~c z;10bKoRKG8rcOxx?Re3Q=PMZ}J!ADG5!+N;^f{49C)}b)xq^bxgD{%t-&W=0G&<@4 zhG!Bp`$g}MZ#BM1V~A28Fjyd@Lf&~bQ?S2#8ZRB1z0y>Pn}X8Dy{@m5g-fR}i5Wi{ zjPH#1pMFLiQ7>36*9w(&=^X8sUx~0Q!Y{F#rIlg}6}M))iyIF?B8O2`L@|r__kpGs z-3+mFK$?&v+;m(m^|;ZXTMwO>HYSP$JvOhp1M?fYl)gxV>+u6^Y{hzh8FtH^%H+xK zYuE|wiTp(0_PYM1Soc3-IM&vl*S9ucCI>By#06~P#~sY)zeihzm=OtKRiBGT->~uljDHU(drM;mlLKKpo!xKL0eMw zB$TY@pxFy>G2Kyhp}U9osjP8q@hdAYN~q!T@O-pwtT$P(wKkTAmc6-2$U@Jq(8#$z zJP6Gf4#_cRj$NphSMRz~+=CL?&}L%0>Oo zP=nf%+3VjhF=6NAmZ3x@<#e^wia&Yh1NoA{uucWZF!PM=#%!iMKVN?9nAwDgcBFOX z$B8Pg-|>>a5{I6=7AJ~!^laPD+4xOt6=W&lC%27EH$~NIvPZf+58{L1=zCIBw688N z=|m^H;UdKig}mIu+uJeg;C|HCm!7RgJRFr8t$WQ+Oo>i;1DLxVpm(^RBM&WJUe1gF zJ@fn7&hJXt=2muh*C-v6P|LExJQ5P|w=VO^$y=a)k?=_xME^3?R2chVnsu&m>3-UePw|X{V5I*=KIds3J6rvE84ejFg44@-5DVySM@y~r1y=g~G z5P>d=VZye~f(Rv1Tf|Ei1Km9#c!@e0^MI&aAnBKe?&n+k5NzJz`5X}`;HAkKiaW8K zHroI8?xZ#M``@_@8SFo3bZ75-j*e)!`(bP=uV2OgqlBj~=u0c$OkNp&Wsu8Q1 zKdppg@^+Y9jyjlS=6&oNG!!k40oz_E_yfcm)X&2Dp)993vQ*|0bp8w+EQpB(l~;Us z9)E9d)|OKwkqJh=ZUFoh~v&x|&?3qaOD=pjL z3t?eMdKD)f^eQ*nRi@Nx0j6`vJXmX>3$oyin9_Wpx$F0-GtZHv=9Y&u8!btMG{tPb z4bI(nOUm))qlJG#F>u^~Ey@xoJ-&vMg-LVF=f}0E>*uTWR>6M1_vLv!g)g(+;)h^A z+J}KU2pq~(lcnm7vxfHy_ivX^^W8cjJGK1Oj-!OHQYYl=9Be2zMu)`52q9TsABeb8 z6`Yr>-+fu}} z|71TgTVmm2{?Pn`<^KbIOSUqTVO z`i1#Ew7WSvi@p>xt$J(-<2yBeudHn0FL2zy&Jjyc6^0J^TB&`$q{KP0Iwn?Mrsb# z%IO`}>^ttTt zYSBzpA0;O*S{P0$Ig`n1zL`wvy@41}*;qN3xUF1P5zO-IW|5VF?Ow(_sb>aARkI3!tJqV_I#oXq$&z?&)29tMCA$e3~G8dSc-UZRq5+ziJ1~dVR>weT*{`uQ-r*Fpgq zA}Che-$awdPe-5eh~;U*!kS4r4O6gI4+np+_V$tpJ+lBlj&6VC7iVJ)gSj#j{H=PJ zDU&N+5@L^)#m1}t9_er8(>+58ms=4W73q95ZfR-FzGaGV=KOJ z@Tl?0_=iig77~ALW^@SmN8;x7(A)Z0?iJ&X-@XM%x}d&j`KEr1f<$+FYB!k=S-1tn?bU9*1P*x}c1BV}wq(Q|TK+gTWzbC9Y z`TKf#n`q1%xuV3*NlgBfZ91lkOtut40>PnS6Tr|u4xKA^&S(mEK7Ll;+B(|bb>8{Q zK0Z*aJm$H;LocToKt`+@Ls~CGb#WIOsQcxCi~iU#P>WMmDVLtgDL*ckg^d@Q6kg?8 z5FLsoiin<5{=4fLVO%no;%;oW2>B-KSO}+(kM0#PcJGNv*|ilnLp!(%uJ)${AheL| zM;1Hx_(EL{(R&}hC!4uBE}YNdvt4XhM$<)7(TE(Big~)8JZ7QpI%Hy^?noYRAJ}KK zhIM&-c0A=1Y^GvTxFe#R(oj-zzznd*oBZ{OsNd5~-A~%yMa85Kihlzu6y zA$%j3`bPDf1hvX8OUnDl2ieijz5;R-vk+?fz)vfk=Z&t_qAS9&8}gqSXo*H$5O(-{ z+6a*cezwkFA)w1;;rxCG2wYW9&|;CLCj=?4Jo=@dVn2jo-yG=Z-_~B$?0~0dikW4W2!uB2*+}~28~T>8un5l>oP6fAA0ovYXEaD);j11h zTld@YT-v}0VJ%}0xru!Z|z4*!tO zLNn;*4$s}GnD#6gg#(j~rjX3i$(O~($`EgtpXxa$8Ni@-7B}(@=3Tf+KFN|<+H(x- zk^(KaIRO^6W6^65<$ay9pdsJtfu{E@cMGPSHp&;Kn_>#aoZoa1daao$`0TJJCx6x!4Q$7*J zbL1zd7mI&~p#6872ON^%t-%3me5&k{&VbgdNfh(dL|$kQgf#tU0T_2SqtYXpLrDlTs#6F0ES@dx#sTPusai>iBb_BI~P3pOK{H^3`^x~j`Gl9x5!2yxWe~3NRv=zyK*i^<@%gCHdi z-Cfc-bO=aDcXuOQ%Fx{%(jC6%_h0WfU=6co&Uwxg_r3SEY0(wN=?^iY5L|x>&QYaS zA`veX%~eVo0ns9;K*{ETZQ+)cl7k~bH$?DdSrwfMYLdoPm#2o1z})8$se}_Ly%S#r z3^@M@?1!}s%fVmfuE_ z7%%-}UM2#5Us&lxb72C%^O4pSPZK|qSL0yg2xWIwK3K;{bk4qCb74N*BNrq9&QM9>dJ z;lk!|?71uywn*wHOWJU$AkXTWTtz{&eD2&|x2HC8fMA_b%drZtRp*2BMp-)C!?@2- zOldy&ky?n~`ZzYi|1!A1a`bVQ8)*nDLFN{fMeo8bSMQ!yr6ZCdRT8ww*4`9k_A-*! z{#QqK2#(R$_jC$!P_@E{pQV@6Rzqb7(jS~HzZ|C0Ft)$^$5_YMqW1=;08w#!AezzW zE%~-lg^3k2(=@|mp60<{KU7S$USvs+NyNT44+x*dL2O2Kh1j>>nIt&Ku!z_$r4HoG z)zx9$ivS;j@h?+sj*r)>RwZbAr8fv7Rm&RLXcE|ifTL^{k1(WFnoH{2UvQrnEI&ZN zVi$y=Qd}~kHL+}cLdcB4aiPt@PDo`10Uyoe<<>UM(HkgfOF49R*L(1et-YM?7A>@9 zjoe{|t**B|J!W0K{0ROAl(vBQr>}0^2N{6O#XwKb^Kxfoe0&_J$P0!H*8iYnS)zR3 zXf{J%OacvuZR0drUvyI%Y()@zTUS8BS(Mh4i!jHgDG8MuV!jx+arAhjCW+gjvYmwaKb`kHI{NCI}Nm z;FQEv7!_Jbp!=SmRje*NuhOQbemH~4zT6&Pt^SEr@P+B=(g~FphAw0b5i%l6-&e4J z);GztwCTw$k%DAO1g;}(=iODqseVaw3o_7*)Zkk{I##^u&$lvhX_R7R+}`k24n$8V z$T^O}?umwu-NV_sW(c5;F`IpdXOJF*kU__&G934>(Ss8ZxJDCO9XtVJL-1>cQ`LL- za0~&fON$JSJ{%}n-hQNx)dfd{N4t42I&@%QfX%EAP92bA0A zDC5GHAH-I7Wz5-s79HtTq6oR3^s8l&?N&Oy7~vm!gBDxJo62VMuaJM70IFu5f4-tw zJif0S#AOCNdL`7zWQY+m_i~Id@xJ%8!*Kkdf1&na%`)?VHd%HD@{9yCvCnZMRZGU@ z2btbt5(b@xT`?+DkU-CHgiRyj2HFb>Z<6vaXzaz@-p)3f54G(;`t|djgb;8Z;M#1|@eau3~ym{#m_?N|tJ`k`DdiJQ?!? z&f^FSB88nCE5gjpEfp)%B9l&GY@5mgN)Ss4^VB=GHUwLqGaWP18PLmaqyODgCEWV# z(S8M7T0n>dzG_wPHKNYDn@MAyuP6s|(a5X8IzA{fD8DZ_0 z@f{PXZ0y(8wROB(!dSA?h0g7z`^oCIfK(dL#p>lHWC)`@T2=;dUER~x6#rF-`Kz%3 z8UI+uRnfDUlbT-(C2nyEyZ3{^Fh^kbs(BPrx{VuZ?tc*YLFyNgVbSgU{_!MG!ALUR z{vi&~(=eR@*$78;+A{pOQ>L{1C?Fc4hQyW0FEt}pu|8%zSvw@T<0lun{B zMF`Zp7;PzDGG(YI!+oT?eDEwF;2REjuX@T|2-q&QradHN%^95WNC@6)w}V0I1XnKY zfKmG$l21WKX#6F5aOeTg6{Q`xNLj?yePQ<#_IJq+ll0nO!NyyqwK|5MJQ*=bl6SgvD3L3z4zNo)dfauZ2l5*TC`y4x>4(!of3FG|TG6)o zC=40ni?ut_-QxSZdTDd?_R%zw0A6#41{M(1oi~}6S0z^FM~O-MmE-fBy1sq$6ERp} zmI&H!#~qWO&V)6%StCv5v?K63n-s%QC!NpN%YgWI7h5>O+z>30;FfbncR?rqE z_euP?HK=Zph_nepy?5a4e>}4CKAr^>$%MQb7R*FnSiU@`-zl+QNN)5rWZGh5#^_fw z{iF^&=N1dh>}X%`f7+)aK>ITrQxCu}^BC=7;9px?Vr3&xI`o12wnZoYtlZ`KF4hhv zCv^WB+Zuf<=~)H5rW1K&J)BE_A&Uhh*y8YQTe{SJ-(9ojrxPqs8lcgoa&R^_0urQR zULGDGT z@5r8Y?1*oIbSt|>o(?mKyA0~Z^BHZYLr}bv#IN>HSY@Qub3|5eJXfn(^PHA>HvKqv zcVP`yc^jrQ)<`B|`T7DNC#u{rB&g+sWWIC<3-D>eW$K@Q!k7afC+}{c_a}{1R??Ey z=PhksAC%Mk_k%J2_fq}WNl2c1Ay`%U`qk|p@1O>a70VYj?OdyIspKPvixoxNd(B@;B?#&J3b~8RiRTs zHdx5TE>TpuB{M6tu`kLJEQBa2;nL6A9iN+Sh7}gNUKY3s#lX+YqL)Z4taSp(A-Hbr z7&X=l>}M2YWItq@M%2RfcR~rIggS5}FaaZFmhWiExnn}ac?&Fm;2#!WgC#nPp(W|R zMlhS%#L{^yA;q@+zrt4oYtcW_XF;FAc|wyP4)FDjl=byj?@c_UjHI@Q$fIw^wrll* zcqh`SZdVTru3g!Vu;PU4lA~l0%)6vI3}QUspfv`1s?GV>w+KVvyxJxmz4KO=xeL#C zxLMdxdet8Zt*7(xOhK>S7IQ~Gt5c)%kp?v;FskDIv4OWDu`S~U6R1LsN+1YaSPpT6 z1=NfT7kStd9+pLpF%*&qThhm#zUbE%j35{+uTcUiIM3duK6VJvG>j2+)*3z-^K-g* z0DtVnX-f*mdVDclSVVh46ifmIm?Ljy0bP$IV4aPM(y7qftZ6_Y&*FEX1>B%rU1b0M z{NQ&PNu&|m24HdkKOHM9YdS>U6_9xXs8D(a1|oKoH$?#0y$mP{0xEg?^)6u`h;?gf z0S8S(TieXF9#;U@Lc_P??)>tLH6*vv(5mm?b`E%@@SNkp!RqyEm~eNfQ)*=&_Ug)7V_6O^b-Rc!C_TC zfjnk%-s=}l+YM`X43)OyeAL+2`|b+Ai@aOT|J|!RwWAVrZO8YS@=S6w(%@`iCTFy! zEhg~%L7!T)lT)6$CgOSsfni&K1+}(Tg!K^OO^39=&l8r=vENzCC{T6+v{KIGU&HY^ z!${z5PpTU?+ZFub9B}Ce5Jy^1<%U`6=m>V&EOyYmI9Ho%X-jG0?f|~5?Mz8A$ zAs&7d+qzNE&n$t<;&MqYjYCmD3gHO-ia+!R9RMZK#zaKLB^LpJMs*tZR0?fnp~*LkdHkLpCy;>pBN)fxm%AJ! zX3V}B0oi>J)!Tfz%R~yIRM`ryG4=+lE z%pUrtIs*`DD@U`&kqR~eVE`VVpn{Kiv>)(2Z%_1VW4`Gb0jL!Sg#K@Y{*vJ~AQHwT z6-Z7=;f}TJ4+5lAC?9BX@$fkKUQ-gef<#D%3R4MVT3nGFv8-`!r#~Lkv!w+i&AQ~r zyB*|0z+a-=cBXnZS^~Q3==0kV5aisO>R2mp-cd(Rh%pz>5fheVb20WhptIwosyP#8 zWAo7;_lbhq+IjQdX@WXCgy=3#5Db#6gvln|FKTKg<$Y~xM?I9C+f&eDg~!ukUr5%b zebt3uSPb*^TtDD|#5G#*2?q#e^DE(lO`kcpZst?oh@(jn1zCM7zh93fRoPPStYPQ= zei`*{sd`*#RDL1|BbSn0eI-`&WBzbdSO%z3xMb@M+(%;9FFKRG4zIaSx>bCGT!+6L zqq3U8xu4m8q{iOa!IPWTqzL_9LqW_yO$csAuBNWN77>@AYojg{5q_4H9bGC-8w zg@4yhA-OX}Gvv7t(a8S8Dzjf#4vC&#t$_QzV3p+bpl%K8J(p!n${%vS0y!J0YU9!Q zMXn3GrC~)jeK!U=*}VxDoHzyvc*@BA4hw`{-%l0TBz%q^1q2>NRfE`zS(t9hUjOM%SRTX?r@F*(&!qI1V zp>4{Rc442L%P-4UDp@l^va0>jw_=k-VD&;(k%V$xyFWj1uPA>w>g9;1dQSb@42Nb< zCyOVKBn@nOtAlSP;m4S5Fu_4&)&Q;+6KSIh^5F^gHpkdg96Oe$#%5lo*A=rx@a64x z1x6L=p7>mE@N6&i+hn}+u?_<(&+GnOk$vBbLYcB(Kec@GeDR$A^)4?kpaXs-xyIvC zqsjclCi&5QDlVKP)u0qki=?DZh*mbr2f<_%o)BVdf!_}SeLl^Upnf3eAPNfQkkC%{28JU{{F2~l8k$lgC-aJb zD=vGlFUwS#@o&TU5C@f7y<)jJM+N|-&0<|4;<^s{tFr{X{=E5KbcY$Yz|}7e0G391dB0!x^sd%MyS?3JG)nLg?f}ei0nE^ve(_ z!t#ZE$MB~2gXTs~ePPvJ=74-9&Aoa|6 zf6m-xC*wOHp=WW4I_PV-wrDaNW45Mk1_zLpQs*?pJHCE&OksE*hEC7o~T2X6Lrea2xh1dPS|dRVezNHb=x-@ zwIXbFA}7){C%qXD52HN_3b>|^x7y2tQ4sCLub+OfXw=KR(=VFB6j77zFK&FFhxx4v zH`<<}jax!1LsV|ef5#pXrjHYMLzxWvxS!5-R8?i9nbueQP^`y@>@{%nfZy%bY8#8O z);LJ+A5S1V)~#PHuJcb(Cvr%S>Q%frmcnT*BjYMdkl^WY?&3YO!~~Z7Z$%@UIm*;1 z+E!`lCOq~s`6R73TVj?Yq{VY|qI0sQBL7zK|FsZiJIURG06b9{MpBj=n)`#xbA<4AA(MLfC! z@S3nvQRSo9%=E~GH##0FHLPH_I^~ z7SS(Qag`FJVWKm=^zH&55sJnVhQwQJRS+v1n&&Kx$MO!7m)Ok=PpNC2$Lw{J{GjuM=n>UwD#-f(; z5~DF<2)gp-hWbno^)O!x#{5063(Q^kNO7*BosR?LO>lUtzdi z2tkO*>`^Bx)RB5Wp-v4>I3j>SF4Fki2XiHFmA?+R`|8^f{=B}^4MxOqu*$%51-|&V zu`gyx{gR3RTNX}1Ro1oPWaaQLpIN<(e^J4xXe#G{T=k~2kWi!Hk%rB9exnSjmaN;& zRHdQjA^%FS?UJfdhWg=}RfziRO(!>9cFL)!N8E+lz)vn0{BH!8V(y&&MU!8L1R?N}pIJUpi9Gq+&^Rn7~Y z^L&UxBWEFCk`@>d>|Vcb{;%+YSu2COh4Z1lzRvw@jg*AM*8RvmK0X!+bFx>shL?Bl zUa!}JlZKl5MAx6PRGtwBD)9l6}mc)D^!l84h^ae(-83B!$--}o#J3G-)v z266Gf#$w_+)jEAu@2a`i*gP}1{U1v^E~9QdTq>{~Bp11bOl%OCl6G^-rJi>d!?+6F z0?^pk)l`K?LEBrvYz9bO=R18o(!}Xh8I|W@78+!{N;$9ld4694K6{xNxEANyvv^Iz zSJwXgNdbgn0MbnW^`uNV7r}->Ce#A3fJV4G=kDXxG^tu!6O*Oz4WC&<7MmuD>{V)g zTRUFd^XZ10MVLI9JLc$BGq|(6KlaIt-4RGHjqQELADsJIR-FCyKWY~S3W^g^h-X;% z@@uQ(PYVmMi{GE2fBu}RKb|iLo}Q}xZF)v|m08O9RqF=@7p&&IW8h-8P1 zI5s4l77;l)0FuWAFyH~$>~M7AJ;ntaC?@>=pRxN34db;8kG@|P6QIZ_XQK1d<~pZ* z3rimFgJY(ryRqSf%7?AKka^Iqe7#JKYiL0i&6N7P)rY;|O3{Z*2k%Olq?#_9pvTA( znBhqw=t-MYbmgbdU2ZDNrurP<`4aSdyncRu258k<06q~PpO%`sps;Y$chIE5sXqdH zzRGC&wG~)Hl9O?Dnb-^(AV5^Fv5oJyg=W>Q?ZUb`?z7(+G>eN@p870Y$7-a|s>}7S3gboc8Ae59^!mqtQEc}6sp<3K6^|5WZIxj37j7_QjvMxU zDI`2I$|1Qz$kC$bM2sVw=c}^KB;dk_=1?Ca0gXZGmg!p z#2#loy5F0~8E_#j+tOxC!F%Enx%dJPANoX03zxE+OGZPDoTp(AnBF-6(L- zOBAD8+&<9rQ{<)l!OU#Dcn`&Z&NP@*pb&(br;U!$CI4<>HF7-q#Nt;NB;(-FKQ!;+ zOEvS8I;8qn0(CBlCuR{o#GYg9x8>M~W|~2x^=eIR3=%Yx$Bq&)>&K5_cAx^@1Z+OP z$#L=WepUSU`eM^>GdcVXAP(F+PHT-!PhSBdX&{}*qM&UT$dLeoUgoPme-%E`_DD!b zOH2FyT}~h)-fle0#rgdF=Y5I#(0pyJ`0-qjd&lf#0-0>G^V!}W08~oV8ES@5#JWxw zWq2YXg|99Bo#eY-b|B=5DTPw_SrJ{!PUW$_yb4N4I-TdL!vFW(v7yWU{R}*T_K~bmHG~lk{n0!9hV5oQpsP0ALl%w-R+fAuQD~ zFlYl%8@<6uHXg0+ry2G2K$6GB_2IOxRaWiJ&JH$}3_#Mw1c8Tt|E}ujcm}Mt{bV+i zB_;I02Hk*sV{an!2O#fJJ{DF&*wMqVZk{P2a9cCv&2E0iifY@k%mP~_t_{S;k_rDx z`)qb+pCCq;pJOccx4KoJ$CEtqAILhbZCH2^!j zz8`dmfiSC^P4*<-sZc9(uTE7z*EEi;J25tQE{;%4z%K2LPFx5r}yCL%HpMJIAAzW!J}9N z2>(0)v0B+jTs#mkj#pN)>|0kYM;ifxXpfsC?VCyf(JChP<^pik=^w*eSXyEb^PJ3` zE_1+OtK$>eh=_2`U;k|F=Y$@X-_aOmfW_Cl?zd1)=fHd_20FB5nIa1Bk^cvs3c` z+P~}D>gFl9JqXN4>BhLtj^$dV8ln3Nu@q{42 zlDi)s#;V`uT2g(6$-$wl?+kNvSi==;*XI(?zgrLIt2qQ{6ukB;dfomnJ0nTlt0Rh2 zRbT9o!Zv_37#=Pz%~CBX^Znmh$KGN8u{EOa&eqq<)jZ2oaZwo4%>TU_9uT^0;yMS_eJ{C|r z4lHVKdlOPqX*s~ZpKcAEoo3EAIZ*NSc6T>l{UF`|T9-Q%iZ1dH@~^(G4p?x8hZhzW zE~uxOrXoMkg-s%VLkRr^T~7Y;SsF1fUpk|LhE^-iQ%GLsrn6nJ>p_N3+Fyj}m#E7{ zK#yiFbngzttFrUuTFS?#P=nw7WC0-V07gF`=sSb3Qu+v1_vO3be0*&G=J}>s>$T@x zI+t0cvx^%j-M7ErN3l1kw|6n?(l)FRTm2llvv7rym|22{>-_WU;OE-)I8f ztmyypgaF?be?5KyRYB2KoM^}yQ%XinaKJ}n+Z|L$uA zTHui3^S*J~`h_kQ6k_ zsRGvU_=_x1RD2A+JhdDz*^j9^(8 z|M15l95TU)7hhg|a1WN%)zvf1XJpwJOc~oXAB+LKgMFy;qxAh;s_o-{cnjEAOm-Dq z&y2smaY@Mi=yal};JVZ*uFuBV9N$14E>qS*=RD;|9cet^)pIT$4f6*Ea#8p%BmnU*06{xZ!dxFn zYCKC^S-DC`z!!4JBeMTpb{r2Yi zq*Nx~03ewI3osz5=HTD}fTnB@8rAA?{!y$VXmJ$2(R`IQ8#M3y7-(6ET57Y z^5lSaiG@afA}&l$*gJ&79E-eqdKfzD8gIO=)+w29Fc>2<<4)MBtEqW$Sd31%GaHHD z?nkF1v!!65on8{o$oMKOerSRjx7A-TeFVREe4Lq>n3$c-$^gd49~F73=%2dmP_o1( zt$fjb=ZFG9y+#OOl5 zWP>87h#xb!Y=$S@r-7CHuCX$T;BSPjEkgxmuHL{f3j~B+p@G;TyWmNrm26~%jbOUN zqm?TDw`M={v#DjW_&YF$phLv(fIZ)TcE3HcuQ2pUv-lDshlN{S*h)z^F`J>k;D2%N;N1#hz}mGG9#XnA`5?DU&NJ(R!uJ8(41&hwYIxPye4cWazz;Qn_O zGCJus-nxD%8%W;EtHPTYv=h`nU_O*vEgEqJnH)Y`m8D{!LHz8$=y5*>TbPPUDz4#u z_sjQ?BZo_#?bT18bdsybLom8~)dZV_q{C*Om9--KKPTVsWkU^p2H)G5`RP7H!BU05 zYXbhg9y}8`zq%&;hX)j2UlLN1un3tN`<$cQ-7lu53EA29mX^0d2D;(Iyn4&;-)jta zBWS4+C8Zytx3>39ps%h<8H9w7(SDF*g)>{i=%Hqn@|)}nJ`Il(Nu0R8x{8j7(ChN$ zcY*=Fga}OT=d!B7Fmzkbf+3QMgLze@6C3SnTyD0bRvMZprz38FaPk;+RyPBJQZHSp zsMxwE<261d(V1FYx6#3J6Os&aIYh}__O3}EA2~74o33-rp1JTB_a`SKV7?F=F3hPV zj8}jJkeg{cG}bN)*R6(dKL;RL>@F=_E=51-3Bx>3Ggg-x6U!J)4T-DsgHd&G5Z)#z zfqq`NJpSns!zHATi1TP@BozS3r>Ca_W?e8h_r&nDB{wgb-s)f5y;~?s;a$+0F|uN5%BkK0BDi>bJM>@kpo+!qY8F*t*sH9 z01rr!O!rut3&5`eK!rT;qxJZAj=deJ^$nf(M}g^JT1QXVeb|?ycAup*IHUy(7|)?K#@te+E|+x>|CWz z2GxQ?EntOyutRKHLYn%MM=FRwr^07o2*g^-14sOw1y?t>5h+%^ns1@mBGSfW_Uo4D z29$>$g>jfocIG`Fq8B~gu4v1NGrm4f?@cT;pcECY01BV>=4KDz#0P*e05^)Ot7{A2 zYG13%K5i-wHZ&%tuD<@OVjwm-`Ptdo`ohAnpCSav;3t$bPCT7mf)U{T<_4+Xq3fW7BEGBS`{+zVKWXWl~* z5#6h*5~JRmDx${O_M@&A8^H^`QTk>y!`^x@Q<`_Wj2IXgdwbg#DpRxSZFe|TXz^=m zY6>}tS<7gG=gs0!GHz2lF5r|KH*;k7E{FR5d3kE_Cj|&3v3S=PLwfb^m~=M!Fk8g{ za-3rKFCCEmPxzy$)pfb2rJ&RM{7ZoAr+@=Uo}mYr?< z^(!4keOH$VJv}`&b;!^*dLQvmiqrCHfW`uPf+zY#QZO#2jp;IMg z%lxj4$Zd95jHOu^)s@}E<&DM3|x4NQJ5Kyg1KGa0M>#m$6iB9UU@Z@0r!rtk7XL5zjYmg+XvX_@}=j+1V}5 z*xI2}QMGDLs_N>5CE_$OL2|D5e7t&R@#-ymd%mG2r=yOYx<4t4$ zBX$ysjDees@(PfA7V!*#qUvi1$(aZe@E7M+7q`>^Pf|@yyuc~2$c{*r;enQyPeq=T zrWWWMn)gWs3Yb$=8Xa;V56-gw1J?A|E=bpbG!pTXuV_aZ0djB<$mjI+GfYd{2u8-)IgsF-K(gv?( z2Kd2_^CBr&G0r-RnW5(!+wg}Z^K{mx2wHgq!*g;HRHdy%<+|I~R;?TVtw!2S3cyqY z0(UiKYi*xpw|L(26J!}Bcp~S!nQliIUN6b?_8{;7F>A_Q=TbxM1j1Cj(0~D=8kg1C zxw9#0`iUg&(cEmS{ObA!8()T4erEDxO#?6$khp^rfr7Dag`RqDhAAi}mV|%n@Q~lc z0LZ}t7&?2Fd5vtdU;gD0IA3f@gQ5|FUQwl5A;^60XogL>^)8b(Zf=JOdPEGm7Sv4+ zx@ckH<`WrjzX@=He@)8eS)T6F%nPop#Q&(Ujr`v*_Z5C=C#?G0;*zx{G#QAVS+nnR zaQPkS;aFm&Qyf`b3f#oxMg-7sfbO0J`Rse>>M9yEjts@z-0Qk!hJM7Yv!d0d)`P7` zl|a~wvZl^k5<-2t-Yv?*(^OfhT;6P#K%OV6V&Q>1o)<))ghM9!S22?>!O6%HkElHu z3G+Xg-$NF#qO`WRf3>gxj%XyJ{wp&xTJo3dxd|&QFECmyaa4k>d#4!UVrDwtv>S12 zZ2;YD*0lXEFq$wDLJi|P-kI@`D^QYCORW~CqC*FLmRwy$M(ew4e@mLtXJQ(eEahu9 z(1pX>+LR(71i2v$Dr8D!LqQ(bC==#cTbwb)m<=C)oEZC{~IR z+wC83xQqrM5?@V8wYH0%)H8ynb1iE1G0=sl%%g&DudmM^p5Llc;YR~mF@X5xP=0!9 zN(m@0?qlLX*Zso3z0t-85l(`8{YiH*rAgUoWjOf0VsLVq+E$FZ&8k!3K=01#t5(Z< z^Aa3!h)S71<3m5tZosJM9T-61QAIys7Xz0wA@_)#J2w@@k0Hk&BpPu3yu2i^@Oz+7 zLTaGr?)a@r;crN?Tu5lB&=ykGUBF2iikg-#ei)`gKJ$A@7}f`ctssFQo_NAON}Bf{ z-VT(=!S|wbfKY;C`Q)Uclx8@o4)_$_(||bgoLmX%QCP(aQfifI=@}IrWyOe=k&T9F zh5z|gA0lRGLnU{rSv}9zT+Z6hR$cyhU`$SRcXzaxMyB94HNAgYe*^u)^k(f>5H-#N zi`?14LL~|SRb<17>^B1fPKb@CCnsyBDTHOsjmbhoYNT()azP94ez|=-IlSC$2P_sSeW8nj~MNV^S2z>VM8Nzp(_?{@sFN)Tro1VjG+KrRX%J!73;; zJuNdsqgpIqOMyx@?{Ct&afh5{k*l98Ku(Ek=KZ+e=z92i@7Y-eb#`|e;d7xau9@^+ zX#wIae<5C8TQHvm&Q<28FqLj!r6x*b@^Czsz2}nxySkl@NcuElkmLe!Uh_$G&9BUz zTGG;B|BlNhO)w>ji?fCe3bKiO@YZm%hBaerYiT3E!{VFCew-|+%H7!Tb8?zjR2X0P zDvp*+wrB9&Hz#Y@g!D0Z+Y_ z5lc5T($uMD%o!b1G%Kr9i#}$xO>QC$j z(Y{mz!nRtOw|!&%AzC~}^|-e+A%4V0qd!$s)#L+zL~oYUs1s-3z!PN7o&*ju=~{Ia zKW^+vg z6={!g3l=Wc()qENwzlg%yP({;kT%8ozY{L+J0o;SG7$?Sd3hrx#K)NgthWhi;~BH{ zu+Y#@0K@&??zI7E7?25hVqHkXoUaem0|Neq;q$%49g1XjYINT17##d?swT?7VDSwyCTPH-(YjJ6QWQEpcR%zMixg(xk1?4e!d5X(^Xx4|H_N7USgtRhh+<~ z8Lm{N_FLDwoes5X9_f*)2;l8LA8o};Y$<`7kRU1^f8#TWjoRnhUdGrCquG{7IemDH zS})D;7wM42&)d(2$I9Ec#rlCAJTS~zfu_~ocsgWcY;3v9PY{DsWksm!BRs*&^RpU3 zn2glI!BI9k>arp@2pRSm+|#qtXv6loC6}Ja$<)N81}OCd{*3;I>=SW&gHLF@$O`h2V`EPqkb`9)s}+mv?YEI6 zt9!>n4)^!AJ%@do4UOr6Vm%@mu^1hJX67lW!~FLiQVPjAf6TZUSqP7h$D*Zo8o$5w zWarVzBxm|FQMlZ!&*uN`-6g9Kfog3n48+;e+N$pB;#&UV+ba(u4GCj2X<5K2`yt+jp=_$CmIjy!N=Jf`pnnbHm+tP;o$nV;vSpb!siwp(th{M>C!jYBHp z+X6@}=jNI!Ds2A%TE;g&6LCTkm8D>SAC_V)RXsBi$Ra_f2LdXwf!*u@mV`KlF z16XN#dV?uE8e^mgpN;)0i`?xYN zif#!(_icP`^}G~K<{_dc-y7Q{(?;|W zQhD(Mz$KTgpLuvV^M>WzS=Bfh@q=G}5y1a`xWtC9rJ|ClS<{UiZ1*_L$|~g4|9!q0 zbYd$l$QZ#rtQV=aB7?)SVMt0kCM;ap{Wz*m|7^UerzZ1`Nx`4MyJt2cREqEG8MYCv zM1hywHC-NIi}3!jV9hiMDYS*!n*iGyj7fR2nG4*l7G#x^{z%frkFS5%lwmMyC|?o> z2Q^MYWMmkHmlrOHlG#P{C+i&Cx_Tg|vk8&@V}V90G8G(U#z##a-ml#}QBbH+qqVk{ z)(p^C!h@RC63Sg&T!?Re&(8k4wZ&;UPU!f1W+qu1Q^J%(_kp7DDHk1-Bjg1)`CkIb z=qMvUKM^(~Ep1U(m%pE$UU$2P)f{u%yL}q1e7Y9bNjzJ<4n6g`AEE(kKq;|rRhXY9 zv@^85-2gunxho(5$RIs?egH(~f|z8V3ahJk4;jwCkF^-3u&Z&>fC&h8-SV3x&ZSOWGK2dLO8Tag4|5_Kee`*WQmRlvT~K^J{o9f6K{j z_4-y-HPK+@goJU>OTOWJ_qUYDoM^bMNaf2cofBF%dtgPy!cDo9xlXE|Np3?0b8(Z- zWSykjC;SJ^Xz0bi1-82q(6n%DfTEx>#*hgE>X;j+Iq{A+Z6=9f8!0E1rY5U2Ys#@n zI+i{tAZ9t)sgy{TCTYxS(j;QmnUW-{=0|WU_YNmvf8tm&&i<27wei{7?Hd0eGrpg0 z+Kb59nb-Qh-`&}RzrW}rW@Bnj_4(g#>Si0t+8FF_)TZ-9*g@Z^MAhQH#1T3Og#J#X zBpwo#!p4?Uz&V6Swzl5inJijL8nn1_o$@8@?StcqqFa1{}%{YCr`j z+zzaAlB()b9{H8PewI0mXDCZ48b;60pWe9;oLsIB95T1O&Vb zwJahQ0KqByC7&@^*ldV;kiGiWm8wYl!zX!r%0T^wqfgg9) z8MTInhW_Y?Id8%}zu;vsnz?{SVE|%~lb^u~eAeZ~CGv7T(%Os#eRwz_A8#uOzZ|lE z_Vo0Lj>aOi%@z`t)^QXL49v6j*7@v9@h1ON?7P9sW8_`+M=7Q$z%(vSu$D1jt&1s> zFE*dbLdZYW0RV_+EhmC}_Lto6JV@<0?d{H@c}e*{js4wB!>gwpBe)RsDS*=LcfA@g zXRes(%2qhPbYNF9Q1$)VT5iCez&ZQ%Jt}HQIa9!Cx3G{<09VjmFCyTdAGT_IQhSF8 z#~-%PNx9Pkn)1)BF8AE13ZYKNnOEie189JgD8r0yr)1*JaT1VlAPUD zg4#w(D`_P!Y~l}ijOiqXNmRG`5tI9VvtMo4#{;u|YGJ3Pl_3RA{|9rGnL#qyUx3O8 z25$@BS5hd@1x3Zc`2FY4*P&LRcmO~r#}v0X;ou~kUAVNs5;#DUY&4eirK1x#-7UI4 zmdfi%Yx+Oq6CxdukW6W421`iv>(?o>46I3jo#}9l@TKoQH^|+KSoIcvq_uh>=GmzK z!#w2U8DW;zquJ>Avqay^Bbt(6f8xW8)+C?Fw~v(k$_9qXx<@#-j-ay#KE2ZaozIdv`SKNk`_AWj++; z8D(*CBkfdMf>=(ki9mw%J?&lM`~c!uYNVF>WXTEYZ7i@EC13;j`u;U)QByN0qgpHP zzr(>~@6LEzPmM9VUoE~(&N}x=F)io|Us7M#ck5szDz-nq&sTp!HT7mH4fB$@GN%eq zWMZ(2)bi5`Sq!o8Y0Kgnv*7E#*-s&dP*O>&I&OzKjssfinw6DLo3ps1+GL+d0dTJpd zDw+WV=qIWgkY1bhtd{$mq6~6kVgmAYtObN?n>n;%m7n%TPI>R3IMBFnh?n6i|LD3T zO=6V6sqmVCDF7_B_$4-M zY@19bk~pn*qH^nr+||g?Nb>5o#VeYlI2{MaXhv4--I>ICL{wdv3~1C*UT{=(>Zi!@ znRDN}PS$tz{rq0i=SF_QYYMgJqdl12GBc-x1SEz`L+*4~#8$8|-g5c?;pIsLqTBO3Q zN~$t4DzV(uZ9c&5EmL6t(f<0I9@uiKZghXE2Pga{IhuTXwHG)*-#XPPNJ27GYOw~$ zkj@yg^Adt&V($)M+khwsXoo(kWRc=%<6rF>Vnw(xdjd$n1>ij4e4`5;N)=sx$`@=Pv@J_itj z{-<@b7)b;~PX(jO%>WO(r>6(teAW9*J*CDF6R7E=reuKd@KWOAH*zVe>_S6AEG#Te z7Q4Fx+?zD^RFXO|+6T136+0GpB&y@)p6E(adNSYSHfHvg+6(zHM;Y7)j4W&-5dt97*6K)Ua7zidpM!X ziDX9kX;q48As#=3%P~$6=ipuzo)g?lB&fP=G7#Nhpuydpf#B{M+}#OI za0~7b++Du?o^|*M!vcD*p}X&@x~g>$x+87(V$vTf$!+(7mZknR3NL9Wc8HpW%fTf} zz1z1km&`?9a%ZbQ4B)1ZDKgkwT3W{Vl?uHP1xpFh%18Xs=x6Im@$AfU0k3aqSj4Ni z)|q7(v-a?Tba0->))Rju{=%Ip$FC^3OziN@d-W>q{M6_fX_Z9qx|na@7sEU6>E8z3 z+$)mY@%%ycq5h~a0**O6R*A`DT=jEiNA zxvP(Cfe>|8lm|xGUaWd5ArX04b>z{9Kyz${V$+HWWy8oi(}w)7`fA8hlrZ{VgJ3Zu z0_iAFV=eBweezCC(qXU~xhnj=&fT2O0%TXOiN^^-YJEP}7%5b5rH0^eEm>{FCOM1G zxRS-izZqz=VmLo0Cnu(c%c=O5TiiZOmri>F+%v2wsXr}Fw0ddkOAt8JPy0nF^mc1k z4uB1r@}nKhP~eWH*8Qeg_D9unt2w%+ejyV#y{&vs}Z!;$ZUr_Hu**8DN4cVq#V=E|9D>qi0bEs)G&dzbVSe$w^6t z0&h3KrWX-0Lidm%0g+{UtSyj!{aIIS6r01tme)aSdoKFv$M9@IUELI!Y5eBq=6_Dt zCt>KgFUj@wG?Zq}#+we@&1Sv5#rdRiQ%GllU7^p;n>_*Co0IyTNKmRxlh2qfyV3J7 z|1DiiOe1YUu($qOvdYJype=yFi8NvONWn2faZhZa`Iwzch=nrj(C=JOGquK_1y5TO zMF&!`ZHnkP6@?4Zl>T=lUq8h~Z0gD`xfeiwb4*JNMLeO5KNGDE(t)ZmJLMCl&A)We zVW~L(FvU#`Ct+aF?CSS3*K3@(NuB0#wJ|VQyO)lk^LvV@Bzc#YqvK9lYpOBU3w@PT z+urmKh>S!A`1>B?ym1$ z>pUM^7MbyELd95F^kts!T!@D#W$MHxJd0_I9eoNWRNuQQ~a>tkz2ow)mh|pJz1-3r)FfV0EaWCuWr~g zZ`~piA!3eOeei%43`l%ZL;}*qxAD~8gBI_{D_|e126h_2CIrNL4H$v!>4Bvq;6%>P zn{fk3MH8!5Hkn{YQxnnNjp@Hg{kn)@GAz~AGqVK?mCwK^O!>J%6-+}z1K3y>9B3D+ zjYuiKy|0Hzm1dX54`<6nL{&}6h^4qHuR0Y#cFXXlmcH5JK$*NbXQ=r`=}Y}Sq^ zPA)h2x7S9TyOWqk{)6gs!-JPEP=J@y(*o7M!z|JHapmfzIF-B2S6U-$SD^1}S5BsOVc)?e48I&xZGUJeK~Y>&WQjby0gWnEo+I8dUj zhE=MVwh|Ft8)6zmN1gwHqEXl9?aHEkwnX+5G|L4FpupjhR+v3MgKp>0z(>D`Aae=ips(&| zPWeY=&&Xo~uU+Hn6BG^R$XB+H`{kjV~}boHweuG1Z%6=Vt^%o=7}uuK&tL5)Oi)TKAd4c+F%j;T7>kxs^2N<<@L0SjVCZ1 zTNg`K+V!ewKed4OD|~Oy(vwdtS=3d;g+gfAy9_ zgM*&Am5KYFA3^5}3;9lhZu`;Bf3UrMfLkL|&&Mi5e<_@57?`VOeSIL!zy(fEXLsJx zb3HF^b=o_<#O1I&VxnP-^WkY@)9L-CV($pn)vkGT;fvJKZQ`{xe6P??a2%HsCI90k zY#&`SH9u`64tW#!7E7(8GoARw`J4nw@+U??69vCc%jsD(2y$hUEusX_6A<1j_P8~)%A z{n-fpRUAs~X@{;KMfSqHB_Uc$?}I^v@*7Z|MH>8U~9(cIWLzrODK-+RN;Q&{(ls^^YWxzf^54Rj_*!|&`AK1gg6YXzNWUf@Wg9nvKa`s78fHhN;pO{23%Mc z@N9%4I9KHsf4pGN8%n2o&O+) zJbI(hK76jksDCK|C-a~-z2y(2sz&VP{)@^4nBnk)gq%+P&h&ks8FtS(%08sf~XeBJ1*zow$J?}5q zl+Gq_c_C6T|FPnTRh5QMc{?~edIh&-WC&bf*oTCuf1M6xwu@y%eWCP z)aoaw9`rIWuo}jAe5@6|K=kI>AA0NYU1Dt-At$#*SSob|&nz0%-~TXKNl4Hs@K4GS zl>hqGc1MH~FWUC;j9*ig4F8|=fQ5yd_4lw+_5NCSM$`d9VJ2)R#x zuQuuL=9NWRFGfZyQ#dN9T+7w#%xr4<+kJ}%56`yIFAy##EUft@9wXGmBr&^+o3{^+ zi8A@O&qt%J5drbmx8M}#rT_D ztxIc{Cnhw1`$z`5G>X!o9uF;L5}9>7k*f1Z;q6 znuRh!xJVF8YRC@@>j0;J|sB<4A)K^QXoR;`Q#?+Qb5l9J8^3KA|5r-k+zWgM1d@ zP}V549niq$CPlSvy4ik)>FLgjfg#c4!T_C?D*`{@&Y!q=!`fB@mMdHsgF-!OaQDD% zxuqyBHlG3^2(cV3=z~W{!oWb*|ADefry~mJq;%tsfux;XrQ}Hph(Rq%ZJ;-=(B2(%}r9H=cfn_Fm2( z(@EwfmQhQX0Cjapwy|=PS||>Fl9HUUGPmr8NQQ+!mD!2E?8S59H)BGA;7bmghfO~= zh>G0%o7M*(DM0iAa_ST#%=lG0pkClUnh+lkG?tZ>m(z;F!PqR-0F_Goe0*(XWk*EW z6y1Lv%nC8ZWaZ*f@B>NW6U|3fTTP5)m6ERyf=IAluYR1G2*I1*GRwCVnP&2r%hdr`j}IL(u`V z2KDJ*Z1dQjTtrs*46#Q#UzBj%C*v|F*t?NG^QE=9UfupxnMF!j$aP_BKYk?2ULv|W zxY1syd$zf$^n~7;0KZb745h%>;l7Z9QY-;brn;C(OR*JWFB-tShkzSHWmHip`BBGchSIs7zk}? z@p1<;PhcSiwQ{+rorC<3!POuF zJo`A(gW>MWW`j^kIgc~}9$ddFx&AK}xF@qmy^v!mO@mNl&O|Z3O1BC4g!1$y zq?W$1rs}#D*YJYX7hsV9$7LFy#_34fbU{0SVaaETLX1wmVE^!PIYghJPas0Lt4)Vb z**0#;lau!M76X33WAGDr1eX1v^`PN3tOE&-{hP?*B*7LDV|Z%vSQ*)jO!TH47jAAg zGX|r8iKj>r>Q7+)s!=MY+%@z-EK5m-OYSo|8x3iQm1rfx_?{nBY#J>x6F;M_<6iic znA2hkeD@*~(Hr#cth!$!!-V*spHY&9j;eYtUpbkU-MdQ6 zb-LI28wpnZx&`i17pU+N0MZIpjJax9o`^060dlnHls^|CyQ%pNd_1c3Y!&;G&O4zk zkXP7v1AylEVQ90x)BhC(Jo&W7^GV2*VmhO6vO}!m5J^-tk>L~2?uf@eyOrvS8~V30 z(MS=};N^|0R=G=k*{P8@L-@9qhO1nZk&k5o9nMCNW z6u+uZ=LGW-VWmk{H$AqNr&Te`gc{CDLb}-|&SLE4R2C}~OU!tR7P|ORcl`P6xD737 z5QF%sl2IY9I}?YHPW`-W{%UsB(4{tIix#?p^Vm7;?=Op~bFPny`RHPEavwv|xw?SI zYx*1TYXiRaFmQ~U+lXMYR1jc{hGQou&#SGy98cre+uK8d3_g=}GD3mE&Fuk0RkKj> zI|0(hrB*c$Kx@vqN?OpYe0Sg+yg+Q6J{Bzq<;%#hIMpq3H=2se+mp$%wa-Z3{Z*3a z-~;o_VJ!Mbvx!iG#7}?Sy?=uw#2-_|^I^;%gNjz(te{&kIwy`?Jd9o#bh1){?jwql zlU`HF8>s^dMv=p znUTe>)x3#Ptigv`H;}6gs>XIdKC=^+1(n~+9AULip}vmg>J=8s%&6TwQX{@9wgmMu z{=VK~9kj$|wt7h#Ryf#ZkdXa-69m~6h!chzx9>h}YABKidUb)3_p8z1)cejHq&GD+ zE{@H(#~+y0u!-K;#IcZhDube;f|mVYrOKg17OOn(T5|Fl>U?~GB>VfLGezvy>PzV4 z(2x*S72~rv@;bfUSc?1b@xYhIg>+7OESan%soz-K#QHcMlDiGVRB``SIatYqNvzBx zE!uueQS^Bua;k2twOA4uw6e>9Vm~JUln@)@njcBS7K8F}=c(A6+N(1UcPny#0}%2_ znAGThl7@R{c&P`UwineFOU?KF!gfepP=!OwWMGefE~Lr|U^ZGnXDf$kL)PjebzPp{ zig`U<6}}2jW>~K?ay5B5z#ztm*bsqGm<^+ZDlkA_rU)pZH4ersr&DRem_tqr@7C&x zpA2OvEutoi-M`|SvtuxR%84Ue;LTyVHpsk`p?ty#AE3r`-xCKbnWulm%lpUzRh)RL zR4R0rTqIY}6kGa~F9SE3-PlXvu}nNMWBe!c3pTAQB^2W?i{yiKyiCIllF!T`B9F0N zh`IS_cNIhvjgV%gX_Qe~_U?QmCn;&3pg2haga}a8zAsb&ku;JhNtQlpAU18b$#zS^ z!mhGA`KdV=Ru7my5%IfLJa65~74TSsFflLJ9Qud;M6CZDeDc)b2_-@=y*oMi?gel9 zXXG(>vb7M?Ll*xF`U|a^d4G%JYrni%M*n6t;>k7Uwq4O>^{0<-6CN+raqMxDc{AF2 zVs81FlA1{IBWufb9rkIc14(z2jK*mF<5^o+40il z<9Y@3z$7r{xki3yTTfipFy9{0m(@SIy$d-_8FsoyH#)hff zbY^27T&^a>!A*t7DK-u=%1ZCs58SS>IKJS(YednQ&c!Zps_6|**O6zyVraZXNz2c| z6^7VISiC!(t*1O2p0%Q_Jy^eAz0N#%_sUKyhEUVfuKxSle#q!YCmIdrs*Xr?)SpXb7Y(k zvY)554VaGNtcy z$&MYJnF-*8*p-*ZGG!nruuOY6H5{B%!2gcWIts@I0TM941|!&by9BfgEiqvWI)brK zZS+AzpAkGX0dr!qZCM*%$4lJ^6A?Fs;Myb0(UdmJb5d9nL$-IfNxyHYR6&GMYl@>)Ni)i65O01v|iKPD5mMuk;B9N>+dlAyE}ko|98d@S<8v={%@6* zgwU~Ma+XQw?E(3R0b=hPH;HJeX+$Ix%%I;(fVdyg35@tQSkI7wWsHlOpPxTotezYm zR#6-S@&tRuf{Lx}EjTRt4|3M@A3g-b_rgHuzUn}I%v{fP(8Q~ zX>$es)C2Z8h)sgW1OA$KD6b(@ikS|?Q5noLC~*P+;7AN99(mdiWEr0 zUycv{J8^MAa}Y3G6qBxnxw#Q1=zf@amicYBHv-%1*Ytyzah#n{ZQDE+bj*L_-~M%8 zt6E9q=6VM}Q;?7(^q$h6!~uCY(;it*_XgFvRZsibw9NzyB8>D!-lBNuPCh45GsfKZBygEOAa`5ow&KE)@9YT~NU4e1a2 zY-em($FjQUeUy+g`N!_zMhGO^I^=NlN2>8C_jKjcj>23%#kHP7 zkvQdAjtf~}|t12cf#a z4*0<<0Jqr`(;%?}Zzt@?99CeS05Xpl)a8AzAkHSs5mtdpm5EiA$gX_*k*-rMMQJWC z_rxy1+#}G}zpl;_80KNLS$oOLqn{)r|G*d5MAjiF#rU>PsqqumzTW0FAq-3IvO(KJIjCs&1_)Z zGPk_UXTRP7ByWKh_NJsx&s*#6LEEjr3@k&h&x5pWZINJEIjoe!d4U;n@)jp))NEn< zy1*V0&6oGc$fw8AB+i2gTUlAz3_-mPnj8@)r#U7Mxv|{?#2ys(FRQzY(py_b92+ak zPdEPx$`HDoAU1Y(>%ff$P<~n#r>CcZV5fL0FC)R&=;rneyyc<2QY3Ie%yw zjmLRl>(MNYU0qy~}e&6u%k+bF|6u3Z)a{nC|?PW z^4n-AbMg1g7tVQi6ei;}K9~&VU$CIhyQokpUh#sjpQncfNclBw8h>TxP19LIz;fc0 z9D$Q3EGNtUQU$>0M6*N+g7^ZY5P?^Zc*}!9d;kAC*C5XHBna7-$=#mQXjoX8>&r7U zSRjxmuv`Gz*XW8I*`U+Y1#rA;XlOh>KBC-Oe&lh#FxJ;6ex3k!SW-tsVta9kU{=Ho zZ3)c}0;&oBvDnhl%h|BA_5OHIP!2vloVkTRQ`Kka;rl96^Ksrsjch6a{{a(dm3?<1 z%2Df{#|AXk9=vv|BBB9w;(RPLT>r2LmIiR$#L!8?+k%)V+X-D81kzm{@59XvXBcP@ zczFqg^b4ES3|cAL#$$Scr}L5;89XtJ;cLt47Nu7)PY9m*ZS_YP7Fr-}Hh_!>p83~8 zi_eliX(=w-zE}`#9!32L6K;S6koZVwx`(Qlv#-;PBh6RfZH`xG{@h6 zr>N*nfvE4>dPj`gC8G^2Xm5Q0w)1B3Pz8KHF;!a5=cc5NzS6wY1JjQi>Ll!@$^5Os zCy`k^*@1NFX~|XZ(cBZ}-l)1aXrP^l?`t~}s^+$Stf)Ee^n<%8dEZM(QYt-_gn;*^ z$d*6YIT9xSukDhtP)>4h`PtcrqV&UzU~08R_0@nm^|+OjnUmu@!e9Fw?}Xp&*r$vB zU0Eb2pORQ&w70eJ2)uXD>3})G#&dK&9xKenuuSpf#$q$GoIaQEz}T3{iaqCKd<^!q ze?^Zqd%R#G8+X{`&)nA_goyaSm0_ZPW6PI3p6X;n>Y}2HMD<9-LG~=4jjIY3sUIVQCS4FujS-b%;lw>Jh+j%H z?cCS{fV9tZgfWUZ)cglNg}V~xmxh=Y0N!1=3&>$kc4yVhokQDKAnuU?KYZ7M-R z+n;;46%T@54Y=a{`XZS4Oz~dl8rvdjOh0k?T@ORvE`s<;q@?H{HmY-e53TGFVD6&} zIKU$}`*t4DEzcH&33gd0Y3j0W+7*UG2PS4&$+8T%v!-%zYY9_PThS1iTgZwANDFvL zfOs($?3dDFuz#(0tx@`JOU%3IDlEakH1*Vyk*|8O7At%;kfvXJQkMrRIQI1JsXw~g^zm$#0ps`D5gAbNkKUdnJ%tnSF~Q)bc*hiYsDEek&6 z4sEwq^of08SsYyKQ?#;?GM1ByO`a60m%gZ$`KF*yP;J=ZUJE=jTQP!rpa8=euyZu- zT{n2Yw*#{K$;k=ep_`H(3{Drms5`Xb;_v$1RNW}#h`)<&$D#t7^~SNrV1)WJ5Knqa z&{ZM^cHIu1%Y!7>cFpx8zO$0E)mF6d?B7`7Mw40XZVvL0{MupX17EL-&B)k0zO)eY z^WT%g47WXR7)3Cgu$)fMS^Nz1d;tWzyO6z} zF9={g=LA8oGJjF9(p0`EumwGdBQORbz|WZbwya0A@!2L}s9R2mr2MX@C&)y0%x}`f zK7n$p&!fs1EKKe~Lak((=H^P(o#ql7V7&C}B;bR(dxK!NKYE?8abm1C!dKy9i*pa| zLJ;7#;6gZjI}#I1Gn)MSwi1e!=KBgQoOMWTsE^$i1jeMaFvM&!)j%8^7T4c+on>6M zcV)dYIZWzDM(D@&;kYI~I;R)(M-n=mL}U0}k0Vw#?wNH1>*B&UKFV{NW(3#b@;G!k%*-Dsge z#gbXve8gAU`Yv0f zc3JjeW~kQHK!0&eVUu`Zn)mm<@HW{V$KY@L5{v6KkkL(#_fnrjv!$al_Cvxi-h3gy zG3-2&jsZ$pU%DqUt#W55C^fRtWE^$T80j;=1AGSA*rlm=6W*-Lj!#6In#&4QLivRj zqLL~@nw2&hHQPFq?dPNTMoZn{0zIh>@hZG>o4V-5TUlb;BL+&pat7ZficbmHIrNxQ6xRx%4MG6=>d%EJ4lF;uuy6LrY-Lat^*GXB$`Fyj!_7H}sh&5sh48iP~Bfdgvhu8~drC zDeooPM377U>z8N53;4SIEafzZC(mwVGu!K?F7+EQOCo3(xmd(3??m@6{yLmDr~=9%Yh{I==8wf1Q( zfWQG9sShDX5z>c1obiMN#z$CEO*XeHg#}I!7A;(Ldyw+2+Ix|uT zO1{x!8K3CMfM6v4lUCym=)q9L3kar=Ai1cdo$!`kY_U#~D;Vp_3;(`oP0bH8w$rp} zq3pn^0DsN{Gd+tO{dq+obnrilw|%196FLCRh+kHoFgrWBIOiN4W9P7t4UhbBJ7@rP z7>zDTMn)j@fy`(ImYIv}K6j4wGCJcfkb29u(&9qcU62_5nC+4=)?HpnY@lF!(DB+S z(>m4NRaz|l^@^`;m_-$*_Y(DLX!o1ZxbhA}5MfB1(0gDv`?B{yT!4cIxVdOw+Klo} z2q2|$MqFR~u?R`eseFAX5HvNwGntS#k442AN5t^f@z&6?{3D)r;ZnY0_iQOEYvjZU z6DAdBG<$okk-{uT$z4?Yr3Y-L;$VRAKxIRBPXshN^G4ybGzp;-vYYRXCP5@hH-YON z5bXreack{vUiUFA3Z9yt5v+$BM(Amb6b6p-L|nl}d8i~6$a3BpT>PJihXKX0s>-fe z#+tI4cj{$^pd!$mcP`={$LRA_fT5iCqDZ-=#v)XP5(0sgmX*~N*20FMZCb?7$b*=S zIhd#H35ZOme#|_K(CU6<&;qoJ;|vB0Vwj=ClV^L_e)+|4N7wAF@70g!qaQPG*E^4f z1bZ2%1gZ9Z144u9Oim+f8H=I9*=)(X&pj|%0K>`SKZ<-1xcMm*}tDi?UZ@! zGHsR%NgcKer2fWX(~hFB9EJfL<*hKF%PJx1rk_~o6Rrh&DyMuh(`?R;I;r2PFadVK zOyESV?}0^JezcQLbV51Dw{T_9Wh2o_v#_CNa-bWkM5i(#rG5eM^dgq(BwGhQo<+Tl z*D;d+%*bemOy>jpq(PVWqkWfCHrVQacvdWIY{4A>`T|taPB(tnnsc1W6(zys<^5ss zU2v+g{78Q?`;BU-qwB5hWc@Qci5*ooUVUDk!$#NmpNyU7+ZCtdN}sW@ew$G!;0M)I z4gs9fezb=RZ?C!}-h-6tTF36OBtW~W+y*x@lmuX}2tCn7gG1pgTJ5qlt?GlYA8yn< z9xeXXm&jh)t{iQX`lzd_o`{aC>!!C0wLX7Q1DL(%9s))%*9YJKHVc%o7#t-ef=wST zPM1`b9T+i!dr$uALQO=J#8na0r>`f&qG$R!Jlnx7!l6n_4e|RnR!)KhD*dLVVqSN%PUl{AP}PDE}Q7_js6-{2a7 zVo*O4_Bu0ZTTPiyPm*nIhmd!kc@33Z^DQ_;4c)Sne;;SQ-#~m9Uq=U_%M33xrBzjD zE6wM?%rq)8vV&4a&GK3f|3Ua}44$r>9l8^{`D#~ZZg|0UY)coXo6$!-Qdu0fF|2ALj0N5GHVI; zGt{zjs(D^FkO3s#+uelGKRnJIiQVy7|7Ik05FG|^Bb1r9#1v6~7>*B}2?(;7TfAD0 z#Lx2bGH6z~2UY#IKt-#y)3|B|W)BN#3&o};M=3hLyitE|#HsuOngkO1SC=0Nz=u8S zopoN#IQU}&Q2;2jC<;iguhyFN9^`1<=grTQ=H@C=q2t0Z{f_1y?mX}d(KGX z_%b=p@e!pWIDaJ%kMXC=u}!UNlKffF(G*1UGic8@FHWEIM%tyM@=Mz^A6Qc!5q&fq zGd{(V=<-^Ps2Wb&%IY70_C{B?JH6T)+wi_t1en@D)Rd&y~c2wp_L{71K+7dz=lM?Z;A#=qG87 zTFB9`0$yj8OD3|>Vj@SM&f3w}{c<*bB(f}Fw+Rm&Mp9?S_A|ho_1n^ zGLh2pnJ_S9N(5HI!-E$oIwHbjK==F6Z*ve&`UK!TiXq}w%CJurHT&;Fuc&XAetR)v z*2mu;KMnHyp}Q;XhI_h@mj3OHwY9IPsl8PC)%nK{L($&Q!oukSX|3@J3-HWL-R}qY zq*9!clPSah{1rmsgoMgQ=9{d;1|_5LBqb!YjWU~?o4dQ;4*`S(h|@E*oF2L(O!0~& zqp1N^T#-QdjafA@-6Bq($Ml|+D5_}$a(osn zqo-w1TFu8)OBK|cF9TNBaYHDLcUH5dMUpb=077TO*(yodHGU?8p;$FNypXDZ|J{*} zx*76vqZU$XgaIl@8OtP`nb8w^PPtihRRLB#@*#~|nY!2zXF(lmXqdlTzXD7lN$`N3 zKvG&7fZq#)!8f#(iPbnUXqh$Ni+R0p2D{uZw^hFfZyq=2NK?udg z4c5@XpNLE2(PCT=RpRnpAvj652Y`_qh`Rz238fq%pX4FO#$lwUFYG<+2WQhV$)xd8 zg1E9*r?jj$!7?zurXD{vQY41o1ibZr4~_gm@#w@mEM9=vatU4rQ;_~WRC(A;Wos%H z#oojH5>~ztnwcM07ZG>i;Pj`E8-E9?E-DfWQ4o%Z_{!~A+QQ@U>e4T>(iERW&7{Yd z9gE-dwUf_Hv%@h|iYDWvK#OH>e`0FbR6E|#=eexHv6Q7t<(ubjxXDl5^`j=wwbp_d z_fecLjNoWQ_>pYEm~$39p#Ze+^7MRez8BN(hd)V~O-gp5?BsChnB672^;z5VO`o8g zxV30xl@`g`AAF}NW-G91l_aF3Cb9*IFy>Bpfyi{hxpTl|J7&Y()zy&<<3Eith#IPX5xqiEOTwLK?wVfj95d317NE|mA{Q+FrY2sKh#VWhKlJjs`1gp zR@tZrBA=Ka`_V%k$wY;Yql^?g*!b4e+lQ^!bh+%ge#)}&`8)2QY9ux{d(wq6qYHpC zxCHS?&llU96BFqYdbxRKNdyZOGdiDl?|W5s8C4m{t4G>6Rqap4>S}>S#`<22(L6e< zcKKs4dRcEuP?f3Rt75ffRSPE=>yqr}h&Qz?07xE^rcqEq6UCh>MTM8a!I>KTiq#+K zj7jp+{^_&p!9K#>=`-&{`QO?3^7t%+S}gp))wOozjRvb*TK?D}S_zwvcIkd!tiEO^ z@}{=G4?@#wYpr-}ik@zc@6Q^nSd5P+G_=)s-33L+q;P!oflvSz0{x5}ENjk6e0dn)%5Gh{AG-aW&; z)8&&uY_Ff%6=h9(^MaXW0O!g>2Q4rM;zU#4TGG3w8tf!=8P$;S_^(98Gg{-!! zT@_GpUv3+R6)VW)bGhB^oA~3deB6Se{p>+v23>9_Ii|#ZrRg-Nu<-qxCD2#!++hEH zkdg#Si~O$ud2H;}#|Iba8^Nj6iRZYCT=H#qEkm|j7@x1J{IL}5_&AYuW$=246Y;Y*NaJ%)2GuOT z=bkI*;&AgDH?s*3h4Aptk9Efj;h`(dt^aSmTV>Fcx*O)W#GD-!y1E*hi`z9%3#R8d zRa^V`^d3eTzGGvjFzEzhBErED zlTm!+(M`yw_}eJ&dailf_;rASi>ScT=k4)diBct>C-~X>X~Dp!_(d|blj{AY{crmX z{g*E=P&5N|SU%kKj(;27eg{vtYF_U-uU9Z-WeWWd!#u04LA+3IZfJQmP<4Eutt&aN5D-Anl@7SbJLvPf&(O_6~4Y9 z$e&#MhK&gx@E;gf?*{V<^|Ri4-@mkP-1|AQlvOr<^8MTkCwB?2SMJ@I24nsq(lMD$Ukq#Cm(~ z%}jUSP`_uz^?rkb)KHk&U_|Y6GxL>m`lpRM5 zK^2Kv>-(#xZihCXv(Lw}5ewpM$0{`W-!*ax|GZyeBQ zJ(P^%S3@EN#bF=32XW?7$6tS^^KNBYrD0mL+si3<6mZb+Ie{q0)2#B|;kaprYI@D+;0-)?>draUKkRZ zRlQPN3-4mmvgtaY1TSm(2;vj`n1`jd(HM7C7#B2J>V-&<4Uh(M3BbD|jENIj(%Fcy zu&n#m(DzqiLieLs=zo?6?vSryGs!8Q!lHA|{mF>utVF*h8Rxwm1$@XC;W^f z&w2TcjXK6=1`aAw2B?xZYi?H~XJ=qX3fpA~B_#xwY9u`}u4F){=Q!LJ^SUD_p-biD zDp=+RV(4q_kNtvqn2ge9aY+`RbsEA=#81U2v!hC)k};eAYexCaI@;MtDuEmuS-4+T z=<_yWbkp3BAeKd!KjK|O{^V#4_SKM{3dbLwR}rFDW^Qf4l~2j@$Em z63vOAA?`R|gZ41)oKz~Xb*9|kATg6UMkpXT%ZtLQO!=U&S*^6)<+Zo*r6gOh9ffWw z-%Go{g%{Y1i<>Zuzxf{byu62trvoLB@pV-*F z$;opDm9~}A`TkZ@Ce$|_ZY38_bt)B+-NdlD3?tpW)H1R_Ij&+rn)5KF$eMooFVgA7 z-8ZL-zP!sN>h5gM7xP&Ri;EYd^lXWq9&(`6Rc{D!Qd6ZP>)9;l>h+k$C*jE@^uCfM z0j?ZD*WbVY19*-CLGkgB0pzz$9#DCiJNXiLX$`0BeBY`gW;o%W5cY$Z+?fnA4)&6{Q zYJu7aep_uhSzUcu?=)JVSv>ym<3NMbe^vP6J7d`4zZs}qiw>BVim{K#m)S1=psJ83 zA3VJkJ-_;$L)v_3z8CVFcW+O>y6I-s=|#qWYQE7W=f9$#B+x_t*VkW!L;*?>4qGz? z(rRXAii*&j93xZHZTFT~-SBc+<(bCs`Z4*7>fdRn`SMr#>i&XfDN9QIE*Cl zWZ#SDD4hPBXB61X#!3>|u!=YsS+rt>n8q?#+omOC2zIO35k?DD;gQ3I@=MO4a!3AJ zIXSjB0V^pn6egytOFlc6d_y)wABR~TKUgAr_}%`NE7EO!mYcg|m6h#y zKAOIloEP;%2_dj2Ok+I zDi$}Xv2f~r$`ws+amhj%Mv6i+(-I9hc0|Ko6n}0omDOlX(5-P?kA|O&Tt;5fvK(&v z?wnmP_ENn)&IQF_1rN^jvbYB*Loa76GMP`pxaLxONRYr8bqAP-0yJhZDGW1tfU}|^ zGa=AugTR)`6%W^4X^N(=__@$F?D;*~3_L{I3W+Xx7Y9k)NBJWkK04Vf^GYY5=7}L% zAi7meQ9ChLK9=#rI~38>=WZRiuR9gzb~XS{sxLzDFq>Qq#E?6@6DV%6kcNJ+6G`1> ztY@HlGZ70g7LUs)MJ6d3PD<=G)47x%e{=~p^J$KD;}ZgV3~{K&MI23+GI9TuOaOI#?)loBog_c+@`|vJ_IY7? zdREKt?(XIX-Ev8e_eht57~f|~l;fha@hwGnr5MfyTz<`RQBhOp%$M$G1c?NHR!w2- zK8djQn`Y3R=qHDr@H6OYI}Vg0mj-wQ<5@jiyh1Hs-98oivW7bPLpwT#3dMiT=^aPu zCq=*;VMIh+_?GkR|K}voUAVL8!pXWCR~!BaQiiguhUCtAdYbw03T7-wKQ|P1klzKXW&|o% zQEcz6?o(H8m#Y{n=X7(8`y(9ABpK#Z(VdJUB&b*h3mu;2!67h3${ZZ@q;sa7URH`# z^}%1jKg?Tvt;td`LD>GGYWuX(fPIY zG7frKbT}=F6doq3A7=+3Re9IK2A-bAAt7JTe=~E_on>Wro2Mxp=O-%h zF5ULgkFvu|k6dM&f7af&fjf?l%@wE3!OkZmLm$6+RQ=XfPxUlL+_lA2UgC&Y>K3G9 zIKYXZU|G9y*}0erQ{=|Sg2@!f<(O9`w=F$8D@&8f)@XC_RRxx4oZpOf)2(;MU;~gZ zD;JxUZXt$aHsYhUWPRaY5xq}HKW7c3PWWeLeKD0?B745E&g1}LR#vXOnO_9B6~r(M zI&r*u2K*??a>EBDKTz};HZ*S`x@y&qS`$AWe#T05>xYUx2r$Wey;k2N<0^E_D*1}> zBa^zFP~ES%qJx0cgQ>Q)#@oZHWMv1p^-3MBB6N-IW(U9%l1^gYZ(q|I)hzcuOmD6= z$-m|x^6hP*QN_Plo?V>0Oqv#HUW^8CbOc^*Y9f6Vj~l1B1PraNJn024L|(L`{L&l* z;3v^S8EQMWb?3w$jD7Yt5i+uprUFvZnrL_s0|07eW~~!;WKktB(I%D+3N|%1xmg1u zq5cDPb#*N*+zbqr0RaKew}-A2u0a6G8>4hxcy0#cTx~C@){C4 zX#>Ol!9k`b<8TMHG>zEl0|m3)X;qBO-TKA5AHQewrReC%-M5!R(PaGdNW}~X?_=t(jW8kH?Stg=^u8BW=$FJD<3y+Uval}FC z7LwLwQVzHLN0a`-1{Zrq>zK79JNnM(@hPO@3y}MyfzFl7O4)8E5vLAja$3#3uAS^r zclgiqq*AG)EhmSJRJ1Y&faHPN_texBG=ay|jmi=meLIlc1=x4M4<;sNVq9DoI{tdK zq4-N$Lc(`h*-2pX4G9I6LUEHN8B0F?OJXSRB2Tb8x8CROURg9L|4-6Av|#Si+Gihm zzh-g69Y080gG8G-faSay5y}q6<9RSd9YZb_{qyt6oc!n|28k~Yh{HvvYr7vIo4xLI zqCTf-RUF>0&|9L~R8y&v_t)17*eH7+D;#Zge|}t3OvtD>(JfElC~XFuee%|D=Cc!) zo5Ve`_F=LD^_-%O(SPN3F9iq*_1xS54`-_8;PYKv>}Z1eFQ8dGrxb2qu(uDSj$b&R zx;q7<^EpK?_qmNvRgM>B2{xP2{#0$@GG+*D?i?VaM+zy{KIxF2-yKJy{8m|^z4%3L z*#oy6u%$OB;auOt+kCFUWk2bDn`f&V&S9_YP}BC_!Vk0ltWZIGnCYz}lj#D=Lwh+Q z5my)M>$vjM8PwCvYfE=e+vnFvg+II^R882#0?BJ@J9v1EJe)&AKnScl^gHfhJ*dj4dD zI9JKr+bgIn{9eZk8UP60#fpV~U4W^Ng6YaK)^`Bd8{%^VQF-rH^?QKWLrhG37JS7_ zPhU}0#mK;5d$Tue5pojnw;-;pv{u)pXY|xTMn+I+j*qcF-G(3JyVLCG@K9EA^cwMPI8X{|yos)z&EKn4Qdu)6L?&YXjiXGVN1V zp%!D)(KxD?c-0EZZ<9&cL#8TDbskr~Bwx%0mvOB>2;4=Kv7kzDKyy$EpQjp=13&NY z9cAdjM6Pt+J7=R@6c!ZFYX1iQ^Z_?0{Lu_<@BtU=nr~JwqfW!4-|Y{a7($R)@}c;0y6W#jhpgCztZ{garXKoFMSMJ=~P$4y03+HibnN z<~XIhn`URn6>)p+fi14zmw6d;McVd_zs5KsQlG(ET;l)y5}OD3(sIY0uZ{are=`ed zqje1YiGgvcrVMe|3GgC|ltj7eLyL^A>`);5cy$b~&np-iWf*;X)J${0SQRCK*BvKT zrqaFoEe!@Uo5!GIa3Orb;{R$at?5_V@x7G;9iEnrj0}27$ zsn$Wa<>w#A#EwtFIllAPJ!eFUdK>9sV#2oV_bMtX3-Tp8sf^qyL*mt6IGA&zdjUsr zRu&-v0l}84y!?+s81nx-qoXiY>~!iiM)M`gKwYJnG_Zg~yaf0Hc0La*lN*hvu)!Cj zYdhagyS=xaKrS=O?NalAP(-i)62XNT1d1^S2;+dJKg6OQCMF+&9xV5Q3&MZD>gf6g zwqtvxO*;nGJKno}RfpuHWEC3x^mMadW1Y%>u}`);7)v&jAMV~%(BRVbm#CMImt9c; zXzbQLm8pf6+LM%_>GC^C5DX?NDv*-)8NgX1-+6ujQAu;fEo^WxIVXp{A2VkyqdK=# z3m?m2-@=y}spv7WxRw3W(h817pNroMU4qH{+TR%#bg`gxo3eCy4ZbGR`9o{c&MLIc z&lST>nyh;^t{@~-!g5w0#zC4y%UKOgWtRsF;?+U8)vE0dfSKJLPKn6i;R~%e!r2pp zX3|+MHf0L{?_?y(*5i3&dEhh~AQax;>h>o}VA7jyO*LAHdzcFG(3}8nvT)`VO3)Y6 zAqnAD$j3x63^z$@6J~wsfZUsofpJPAm}7~W+?*haK)~y4sTx3tT`X1S%4YJ{`EX|J zm$R~Fa5<7ceXIc>L=|KFfe(V69Cf0y*yw#SMRdBs*jUlw%s14{ZjW5tBV2#Yqo9OT5e_RVL`P2k*}nTQ=; zY>FFv>vede^U--28W|Z9vee=EZ>icadp8V&2EUAyvzsOWa>_)-AS@pSX?3U>$RQ;!7+?P>k}YD_05bQ{J*c6YtwjlS3^(#+garz9K8mK``}3jW;i z*+fV=&-T20sw(w ztRL+hs--<&njTp=FmEe4c0QR*#BJ%_KN<_|F1V5z`<0N*bT4>GpSl+EW+P-En=9uV zsm)fz)JkSM{1hkY(0}`V>JLqc+C;wV*K9yYi}tV9RyUdDki%yH0MzynXnm#du>dU9 zL=Pk^z*8EyrpPHMfO2~JDlil9TkH8DEZhrdMkT>(R}AAt^}`roBay8dw`&8eHsI)v zh-XCuY`(Sf8u$ffCIWJ%dCXhOq|)#&04T{43}FM7lsbE3_86!q?TMZJ#TiS8vYa^mfajpcSzyl z|J%Nuw0^weT5>tBzj*r^hTKox@OZO-bCmm@Fd8th{%5~|Nx?OZI**<&EMVs3dTse` z;&tHg9s5<#{_5${FHYLM?mE6F=o>6-!pX<;^wR{-do*_-4=&r!i8T2j9&U~CURy}; zz$RdK&GdSqf~nj1&qI%3-N6EMj?7>n`CqSuv*Kf84W%7eg-Bo;C2uXP9dZB%Y=Vfy z-8UqpU@8cxZ&e$zSm5yRTQ}ZUbmMKm;)R6;fIRtc)GHNh=wiJ!wCV-oJW33!YeB$C zVxC8}n#JvVrRQP#i5B+v4c~!QieF1gS{^)y+HHSuWw{;lEUye4>{)@LlYCtNq}N+L zE?)_p;+uQ-Pm45Gs@5{vk9F5l{`Vy{lZeA5dtx_rRo?kg@SE|!RR`czrG07c_~nJD zH|XBNik8g7YO?}y-aUY>pXRSN=W9@^jW`}>)+b>~r?4qGxvbx(=;0j>`Lt?R?J)4> z!a#9vv@m}9=34Cx+T0AFFviyPz{>+1e8aM*6}0-uE8drcWup$?!Fe)Bk!FZI!*QXLYL5fk&p z2@Vc!sK)n2miw2CqPD=O+Sdjg=K!Ir{JZ9xdR=*9q-BCa<3S(%H1c1@Bxn0jo_qVA@r@lUaz2#UZ_2%MS zlH)eT0hxdSi7)RRX|LNGdt2ZWpy&Q#K68~7O5J9G(IFyEVv;8GEiBDIV<6I5CX$*D z-C$9?PYR?{G+k#Z+l|k68{u`kYCCEe75a$$BHFH5CvnlKd&aH~##@EIio~m}H&oH_ zRPN_dtV-tK1PFi;Bhif;C$`-f_BUL#DPNqQ{0vM!#k+jh8i$sNPN@KUKH0JPnlu>= zV-1;RE?@YjAX^^EZIWRH>7*M=gFO;q!G$M#2hlg8UUYiySnpEdpFakV`EZcR;3mG6SNt9FeOG1Fma|)= zU;QJ=5?5Ts$n{4hyV~2EZLd#+is5nn>QsF9Xgc>t7WJ`9vRT~?InaUy>g1uJp+Mtc zy`}hzinjK+F+jadpwk3i%e6W&V88*qJ~!f}QRpGn-hCk`pB#XM&|C7=roW*nWa$|_vDlQwg|PbLSjz*tY%Xjnf-yX! z={-Kac{#CsEv<&iJovh?~KCRwTp3U~H3e2aSUIA>ivt z_av?0m+gnM>DA-O8e?jtz@Qdh$knI%^Q))np|YJm0c8vB0WAH4SfYOMG z_k5vADF!#c;MdgV*C=>re>UN^8wVN$*b>dn)G-!2)=k*b6?QGVi0X|p6&)+Ck`gsI zF8N+>N}uqS1|l9e6b(5dtEeja5{vR6-lGLf}Kw!_lqpN?Lx&1dh;&o0jOo&)w1kJlgXjgOw0hwjm0JUv{Yb~^!> zuxWTQgQi$pGssWjsWJb~8;xg3!8Lf;*d+w`QUA!F11$0r&bpwtDqrc|5B3AK2cN5 zwGT*HsaF)2utP(Ag_!7M&EOVI%xm~o+;pe#qbQ|r1dl?N8o>dXd9P;F=>t(wYV>I> zoZ*00hnI*>dXRuS?^ay&c~IAB8hEJq-Jb$QDJVo-vyUt2%Ew37b!9azk09;te0h1{ zAVkm7EW!o04*oLjYJ&xmDB7_h35o?go&~3qICgsjCnFM_Q8I^+4wky0MXO=)|?W|rO?LT*2 zH>n&UBZ81lMK7xO9)b)-Y4MfK@7!E4zLO&m*t|LSskwIwf(v^bl2K;fiLrS!8WJU+ z3+s4ZY^_?#w2Rfzzt7Lt17OIl|f>Tl+4x_cO_yY0l?ViC62Tk_$*r};BkL#v+;EywjV&gNy`u_0y%+K#Hce5I9zTf}OqhQr7e=-Fs zkglib2j72;3K`rs^tn@F=zD42X~LA(J)>v7+S zbmhhn+KxO;j^+oATAh>A{3f+QRpsMA!+Pw{TK$iR;0tSLqIFAZn%&XS`w8qx35g^R zF^#4)d_EZpviS^tJX?-*qs2(P8gK92jdtkM6Jo4MeDBxmMD3P3eM97?ALwh_6q!RA zd>cTb76)gyvXehXk66&1mCK9(-* zUBK2<%WaIscE%4y4b~%OCSiIBZ09qn8l{|H(?z#JARX$0qJ?cDOol?69pjI`;E#Xe zaQJw8f2}29skOM-+ha0RfJV?TX0`4!8~7H>{llZ}WFO4Y!Z7!3aTnXOAN#9J^7jNX z5J+gF7akIlz8%D#OlQ4f)KQp*{K?XRnoB@8m`hVIcf8-VmKz?s6av&b_Um|k^(|uD z-=pIY-?`7F&bl-|(qYwiX3ai0E-s)g0JEty4cD6~Az|da(USHo%OsH@@y_XkB+s9K zxyU!o2xocuB6aV~qsH^kkkmx0z2TA~kAQDr=bH+j2&D!UI9w;XS^Z`>(P4khNxeFS zgN9ep8>cpnV7(2xVIJXV!HsZlXk5yWg;RBg(;{xACK7MGoWi&#aMhiGUZyn0Blmk! zo~0@_H|tQf#Ma($jg7Y5YrJZSxRL04pPSXlU!v|#1@$ZHW6&psfEF$1_()S{;)&2N zw}#~zo+=&hD<2`R$cSy|IYUYduXl;Q8`Cv0xXWKm>jqfpZiVse;K^WzHM$H8bRN%d z1(;<&-nsdSeozz^(08s?c9=EGKYh+h|K<0u&H~wdFRaC>d1_`zKN4R#c1ZH&J{A^D z==ZA0*53gx$HN19Ej3by_WQLQ03%bv+7ppS@)2x61AC?@ezPM>oSwQ-$~w?@_WR?F zl({PyGjZhCn6c)K*n3*;^khmd*l^_2vdRwT8$(B2ym!1aj?p`>WGBmObZ9vfWa6N?PtPx}w=+%@0H|krA-ibE!~1@o znxZ#YHJv+tt=1G+aT3i)I2|daEwh_O{-mAXhohzb%+`dTC8(6H--acYc)M(RptlBv z?qAI6L)7(M5AmDa$50aF4O}-RE$g5f9btul-fFfGua#&Z*G(9W(KNB7l%s%Nc$Obf zqcYViLxkAya2%3SwKup&z+q+mzVS!4wGNF!Xuz(kjFwCez7O*}ujM@Jhp%vNKG|Jh=AtAyP2a}nZ>FMh^tOw=M<772w#+#ukrL6ejM@yB~%N!_) zx2YBOVsE3})0jY6pTPm+@4yd#RxW_w66Slf#>I(xDKuk%jZkGx8t|KlN$&&4=4z8M zFZeTY2UL~ZBwr!1MKL_j9!FOAR>BuL)lX*ulv^st^G~E?qLQI_s0Nhlc1k<9^$cx< ztln6h4PTwJ%63MHJJ`->n~uqo`BoXgV}MhU-F>Ha1mC&>e5alN8q`h68wqg^SI|Nm>msq zRmN4Ae_%=1owpVZ6?mAOQ`1S;^ib7QOPGJ2KS5^5{3lT``H9O*gqWDjyF3C9@Ac&h zh3X^m?vjFO`eL=1j_R1l_~%Zyza~r+#67?H-I8u}p9JC?+%XQG$&6zlo#x(laDLgC zhb*h4lLZvTDcoG#Ltsmd|A;R(lO?MuF+hF+Ci=z2#a`wCh&tZd8E!pkVK?N;e+TTc z@=V8>b%PW^eu&1L&?GrW`JYF=!HKPY6V#le6Py1cjb*T#hg5dWGWm5?>nF^-_`h@? z0-~~T2A5|=%Ps1$zbFydYU@Ir_ClE1sDOSG1BSZHNL(yPj3P^HjL{*lSgou-tn3ty zKii~;hlkLUKU?29kVVbP$GQp|2LuA0oSyRGBWdsYLBeaq4OEWwqmi{&!2Bc`vZ&n} zJ_>$jx*8kQJXKg7l70X0a%i;a=YitFn|I&(Tn>^Fx*{;jg7lLi)cSEKp1=(I!R@SY zQb^(ZsPC=1<`9kw-_q|ULd>R&!{!kxIwylHtrSMrony8Uf&#(|yT}e+8+2SH<=T}O zPhlUZMP{MZJYY^L-+9;n;}m^OiV-nr`>LxrB;=#SpiOOW&z)pG?Hu{>K>%uMga8<`gaJGq6zSg%<1XTHa3>rD?<7J;PGNZNa98}?Kg>w zcjIyY_Qn_Q2Zwl!do9jMG(%TXQaaxLQT*oBoYIa|)WLT#3q~wK+Z`wlZaDx+>AkD^ zSQih}TWWt>f4V-=KbNoacN!axUemhe^YuS20+Sc9J{-q#TP;yLL^mKgGvz617_7ei z+pk~Lnwy)r|BP%Sg1%_}>3w8!QG?B=HfS`>-FwyAFQL))(z}m1D?LegZCnf(D5BQ#ZKSeM-!}MCf(XjV99czR1bTS3B&FNT;&n@%^5Q88|sP z0jhs-2?^xEQZf+i=C7>G#&&1o^(g1LdE4tPnzlAes9JX(KS?6LW)4EIv4OzWB6WT4;dJwBs>>EA@Gb#^I&`O|20mekOG+_ z)+XWPFuh{BynPC{AEm`~)QYN3ECyo5=`#(IirwazzQh4@A1rnB!j%Bv4?UQEUDtnosb4^Jc?4UveoK8HSIh9?WPXr$g6=kdzCtK>)* zuzK`i%HwhuAK#-q7{Ku(P_OB8KL3lqf#arE3M<78(ai{0Kxwt=%uqPzf`MKX;Cp#S zomxU(=+I3)CkTH0%b5JfWF}Ss{dqvkgjE5Xf}DHSk2pw;jO;l(211BajS#d9A44Rw z_p>@o-k>X7%mqj3YxZ>r%yZXDoMr6F^D{qd&2R*gkRhE{AW~=@a;cTEC_5+_N`13D z)#A@?CGcK`-9UqOiW>tF;1g#-ibfyDb!K?B?IB{myc_?KLOB` zZQ)_rwLJQto6;Qn^%iFcXhbKmTo69_Ml>KP4hm$m#P2qHJ<9!9bK6D3q_^sp5KSm3 z6n~lK1m-?Kv=0DX10EoAfE^PP0kr5?N`orp1cE0RiMDeoB)t4Lqy^C}4dq%FS7PDMop;F>OhRhA}= z3~=_))zRs2Je){v3vVf6Wz8wn;EVU}|Mh;QwN(E+m$l#^w%$HwmUlmG5dt+jzDp~t znq@#i6=_d*mcjOLR-9|!ERiv!zakKg8vRZ*E%7W&mBJwO>u!*)_(dq^x=kW`NC+P6 z8XC6)-EGEeFC-1Cn=etoi>7YG7jip+d5jz(hDzY1uJ5j86gE`)9R$q0FwCVKqIbDL zNCYa{gtk>#@0ih`Qj*_m8g5^W7kZKY`NfJ08hW;a#j<#OdG$aG!Q^7%!EYL7yk4(v ztuE(1_IBc|Iw^irZcd985qWuyI5@>IVxet+Gd5asb^t0maFI-mj#>gsU10Vn@b8R8 zEJkQ~c{#l;u#HY8Q2Lv`u)Mq=B@0byUXvRjt6~Sqcdn8aSH|(LvbxN$Ql;d=<@q*_ zzId~`_QQcaU;6q67Rt0s;plT;7yCOa+GaG_J}N~tV$!;2RPl z%4)jDquCG*sv>E|^YNMf`Laa01f@@UCxvp{UHRb5b5B&n~rs3v70 z*nuXIlK5*`>8#U17ZKq&9jM8~q*8clkQe>-4`Y{V*xBBQp)IS0Pcx1m8{6T*s*4e- zip3^yg{E?7sd~iP*&f%bW|c&VvG3Ov2|VUXaC~a&zq?u4!@a#J&XZgK*FBRn$-(-nr^~<9@DCCu+dd~7e74Y@O8IXvy?9*;lfOd#38Do=37-_8ty^l0NDbT zCX2{B2o+o_QUfr0MeyN62VlQvSj#^YwB$w`y~hs0edzglc{<(s=)!}gE%-rPp?akT zGl#DfSOEi}vE=dS80+TNibxf+v-vqWfTI{Ny-Fq0Gqm5u4DJHQKqCEz^HmO~qbZIJ zx80pt7zGm(6G_QX-+?m^Nj&Wrs(ClzRsPkfA}+A=%hD zrix7D$(0iVR@M@`UDhed=_1XiDIsj)yYO&jGj5B~gqN~19nFTdu>F%qNqkBi%)?jo zW^o(YBs~oZ>7_6XYImn;*k}z_Zm2W^g8;^fPfX?OooJnOhF$U9%7=SYcYf5Elx3LG$Xg7>If24Gu-9YThh!( zgTy22GT$Zo8dA%@50i3h?4#?9RG^v*2MzID7CoUaQ#zzR7+Wk^Utce_3Oj=*$iXK;a4JL@RA(fxV#;UBpS| zahJU$a5_1;xpz6j#NY@FNkhXps-@#%n~Bpw*01^2IX^AI^VhV?ZY2a zfR}=Vgaj33Wk|y3-an~UlD~cdVUqu#qOxiYxdE-t!EEqZ5e`3PL(_)of zMrgx(vkgfv>)3e_a*Z@Wg3e$P*>gY`iHp^!PGwKu?(i$Rdo!Iu%uV>jFHAl1nXs@F zKU_*bF>MjH+hmT(NAsCbasKB%{p38F(LAEA$85aBprOF)-TXG*t%A=ccjt{3qBN>4 zJ)!SWDNrswEZR-CHOA7L{l|n0b>;`GOOkL@nqMKT$^P~JlsrcgP)ZDfDNkm>OE|-* z?(>M?4wC)a5EP7vcLF>MTwK_}xz~P~Q3LZRy^5=4X0J?bZ&3)VGLM(bPnDx#V#Kc- ze|$S1!we0*nF3cu;Y2i~C|U7qgU;{0x#H`>qHK>N2YOXbJdX*G!VcVdw=c|I3j=%6 z-mk|fIJnf;rk^V2Ls#PGHbwCfLd6Wo#s!joo5m0Q{cAQSFU|B6DG|d1f~D$Nq~9sR zVHXj1{YRG*y+=ehOy=b;Xju#g=IlS7J=oTU?x?zT@1aX#;a zw^w#{ydO>fcSwLNO+duwP5S$n(d}vr*zQBMr73Q=jtxpjN577YjZjn3v$u$ex7UjW zf&UKNqGFS!TIQ(=9c};QXC3(8+xIW(J|j*6Kjp?wT`g{qIUXk-NBd(&1lBgf=_izK zC%~=EEl_V7^(&21#TV6QAdghNT?5#C+&e}}Cp9(E@kgd=Qmv4#@H0EEaXMC!m?KBC zJC{UY&pI9YBN@FoF;OR3R>4qLhYj4H^t_Yhq*9^Nqe-$^fD}mUU+1vEmbC-%!i{MS}Wx_z^ApmH_KkBjVkO%skwZaF{UfN~bA>V%| zcFYvapdQnsu0TCZJ5r#~srdPN1jCUX$7#97*hZIW%dHa~wR;W^lU@sO0cHYQSvZj% zyz#^Vi_Qjyh7Hw)8iC5qKa2vm|7f4pebVSZQ1VespC@<_bVI?)>G_{EY^yeR2ZsAX zOQ4V$ZO}gI{xr^zV!ofl$?nr5kkkdrb#3IM#5E7-qHh25_BnwctR4QiG>U&8)rG$a_N%<|X9Z zf$ns2#vbH@iM>{g`)`6VQfJc1DI;ur;^NBU8ZT%9$xbBII&1&*bkwuj87^j~?=)xc~Y<#-`k0 zV|;8gxmqVbmYP)bGJ=Lqi7HecYob*YJFvCoVdRrR@rUb+S1`{vS%@Q}Fr0+x?s|G{ z>gMJHJ);aa5eOVYWI=+A=OE+;^H@y-;qxh8h$EFBz9o|Ita~`NNu3j2;J8 zG`tZ5{Hymc7EiS>LyL9}iHkgb$0Mw`A|P~I24xUEST45A_deg=jL@8PB1XdB>KiYV zP-C-*g@vy}U7_4wiFW>`E;yW46~59d!sA(^hsW%x*tWFQ6B0o|xPlTvF`w&pQHv;y zl27qU6zc#M=0Nui=)Ank-{zyx!Y0L{WPQIaUGc{2;K-8hf}j$3B>73gZuZY*f0fp* z8I3$C-I$0KnAO=;S&+Rik#Ofp=7tXBO^X=LnDr=vm>k>xjE<*H?Bc|Vq4DQWe~x2y zJ`x2iQ>&;K2~ z{Zpl(2F#xy1*MgMve|Q|FI2tO1W&-r1IX=qccZW%1q?-b-GV*t<5!aMTO+p?V`FC- zwA6sq^)QJzC|<0JThrv1s!XM36Yg7~)2lnlZYeNqXEc*jlOETBOBc&&^YDSlb{vRQ z*51C{JTl0@0{ja90a85a1u7LCzspKSC{wTb$G zbq`^C%5rmrBn|oeuWm_1Xp=QgYsTPeO&5##BZT+9phE#DW)&ff#cT))-;8LG^EaFV znHlbiC;=7d9qg~uZf<>0d%e5+rab$it2FmL;gT$paj8NXF#SR3wk8M(FXqlkGzE&=^*b>`gjICYXLixPG^Sog z!9~r+$gA6MJOTd92gk3>jHZ#o`_nAy>LrxUgs`k7(M5s|HCw@E4iEsuOpGlimNSs? zB(@)i=$kc3z_IF8Kjuh1R?pefsgs*gUil*ykI)FU>hN@2G%p-n%-FAdva~`vaDemY#Ib#jF&4X_O!z`cLZB1QJ83`>FEM60J-a^@TbS;T~aY% z|Hv5nkti!&g%6xF>FhF!7}71p72xypZ%#_7`Hr@{?CGpmQ^L!{fjvDy+y1DnsR00W zJkBTRSXfIrqVNj4Iiley$)L*@zT4EU9g=tXHXS>TTiN%^kE@xXa~x$bl%4(erkzEd z*q)vsuk9Qz7Y%%ueZ_YmvltH@yewj#2h{}Gfq41n7Po~p2RowBX0bBSd^T+mXC@?0 zXj*QzL>bYa>XS@rrU}4*Q&wg+3PFVt*VD9zSa6d96{9Up9*MxyCiN>CntdG=q*6!f zAEx4yo-xZGK)_MTRZ-#o1%+8fmWD`kG2JU}5$AYl@pAufZ#p-SSXB!tXrOP;dTpuJ zR8~j8>y;DuCI|?0S}s-qFP0?bpqiVVoBa|xHbE|eW{H!Ap-Sy{zLxh~r|5VhB8tb$ zODPr0Z)JC`hDNr&ztfqe-&-1@ujl*wA!1^CscF`CeO_ga!X&b#(8a@z!UL8v_3;lU z6SIZ;Z|hQF31*Ix(mtKAIhQyvMt0#%rX6P$R97#~&XUZ`7?6-O6W%IDWmURGF4z4Su7+Yx_$-9g65`nS<0_qZ`K}f) z{h9SVIXj`$FEvgcErk zNGe96qEwTWq4Os3pKIk+3gR68xiso`cT>9x$wI9aJEltHoI=jqjg_dCP|`^oL#A5a zLq_3Qt{60Q>4P3meSx_aP+7{!Ax5807{KAMnVXx#<+LLdDuf}hixIoh?-~<;qCM({UJxEkJqz()0lqv?sl?u)7zEAagkPXuPX_MCi`G{kz#aE)=;W>VU9cD9AJ z-hpl0UBX2&ZSf`O(8na#8ro zyJYS>1|pS{{Fa5!<2Bg z@UVJi1)Qg>0K;q-oxFOMUej;w8#+1FDj)i*$l*|4=u`m0nqZD>Qd97Q02}+~#<#za zu->W_Ns|bFi3kx{{l^UuU~!X^Z6H!I)Q7{7aV>XQ6%@xa55gL)6>CiW-)b!VrK=v& zJe=y>zH}H~-g6Ge5p#%&h1wODy9c-_k~-0g2S+#NPp|rM{nF-d&uwUE$jjRU0_LWZ z8SUO5UZV+gvH+*;dZ!Dw}FK1If)O$@c2+16fP>*HI*l28u92!#E-?!P7q-FdB-_S6+w$YjL8U(S(#nMqw6l{QSuc#$@>t}P)KxJ`klSdM=h!WlgwQW=ktIsS)6B)J>`0$u<`E;Fe=>d&6RCeK= z#B$iyw)u}A_)Ok@e5eX|%?$;{CyqYfQWFj^XxV`{2jmqZPO~(LlHE0V7N0U>DIEXY zJ(cI=AlxKwro9(Pzsv$R^!)t%bm_)HNRlNhs_WI<;c9DUV4&yK5eT9PKe92-=^f(s#pq%a0-Ke# z1k$l3#7Vd{y*?T4v)Uj$5I4%@HVh5R$A^m4f9h^E2<$EZu>M8=hK3|CEwmbbHM54- zq9FY;wDj-F5iJ>3z~LSuIO8RTaaZA7O2gh@gv!bhfLqh+EwQHAbf*;H;AXE0ghV7JY?? zx67n~xCiENQupzuLO!-p6GO%+wF>TMQ^B*duD09m0mQ@q32+|KLpZJk!PF-diVOe1 ziLK0i-kY7Wb)}oxhSpz%mT`Gho@3*t@Q^@!)M1EQH$z!JP86KBA=z}=OFFtj_Dbj| zzgEEZY`J-QhWGYfJ{-wM4fBr9cNH)3plS619J6*&QO6a^!bKfL$O%_Neq4z9zfciZ z?oU-EpjeQ*#~2n{X7UxBcUhDQ3lDF1?8)r`m$w1sAXrgL;wMhj74aQC3EGk$np&$0 z8yW;D`>p&3l=<~?O}^9VfrqP0wpSuU<=L&sXlpI`TDQd*5=;>ctoh3r3pgY>-)t~1 zldLi%InjWP#F+rof>ox^v)~6X(wxhY#>9>XR&&idD7T2{8W6+_0{E8RCeLWgVnxp1yB z^W>&M@2x*PUl{uSqPx4qfy%>!NNiTI3&|HK=rX8P0hy0jBw4Vy2k{HXlJ{js@T zc?gq%)&}VQYP9V5ZWO`1|LW0LVc% zzC2r6TKSXtzYPvNdnEw4$**#2j2>3EP`+52@?VfX_<_)W=;eyCoS~R*`WQ>E53@B>!_dk=75$v!# z-XOm~y7!S1nqGbR$7XqZl*gIZckd3JFd?w8Fw^Z{=^|UWdXcvsAyop>!k5rk+qU&G z9&cewjfI8MxwBGU1`xKpciTTswxK9>7ib_PM7f_4BlIyvja|A#_V1rcE*?LwdW5A2 zp$Eg8K44QPP{%Y}Z)}hOS_ZzyVgbd`8PT4We8bprwwA^XJurwJ)PY&VGV0*Oa8bGr zqHN~Ok@R%y)-*npa>tHJGQ(cFq~#1LQPdA?0gLE({dL9eX27U^gd_E30UX1##_qFb zNv3ONJ^QR;N@TtcZU-9HK}c)Xs8(Jr^70hX=H*$(xrmuFm0h@TL$xzlpU62e&dpQ6 z4~IIW#yjHohXxskeIjWq(`HFh?O2{H2dNA->bakQwO(0A8XyZ#yeiU zIw>Jx%|{YnlTU7zmF48*w4{_MlZzLfCe;(zg`6S6M^BBmuF)1%Qsan`BX@4wMricZ7?In4 zeu*Wy+Lw>(<4`$1h#FRJqdczLTONWl(O1^dLOjcGf z4B<5<{YBO+S6})Kmc9RyCw%RvT|am*^sTo7@88e7eH+nN{knbn$i#^%f%xL(8F~+I zsBz#x*}K=~Sy})+E;rXYj&`QSyQQY0#;#o>!@^RZe_o}dDH{#YOgC>SuZF=buBy>XM1aE67qqN3DW=)}wxad9?X zY3fvY=N)vQ`d9Uybg!Po9Y-lR#H+_UoZd_4I8FvUdJo12pRtJ%N}=s z;RV%$C?XRG#DoMncFbiOm&Mx=gO@mRMCQ$t>}=&-#Bm+S2=0!?K}r)tc(?=xx@4CL zZjEEF3)CSsf|Ms>|Lng`Zb`dNl6_EGwy14b{bGC@oFe zx3B4LaZ{#jNJ+VL=~Am!t!f*w14&6r)#=uXroD2dO5JJ!Z=r+?Am1-tax$?eAX}j~ z1D!gl{#-RUPfpbUca14@#mJE(4;?y`o15FBMGdd*5mO_DS5hL$$;!P|i|3wGOu$SE z%mXzZgfB$WcrOvS1tLsJO7hXV-s#h)!@|OP_UxIJm8C8l9nDf`rTzQm#EGhQr-zOh zk+5%Haz@6P6DNX$gR2kt0kV%vbL>Rg%aVaRmu+PuE>0-tn8)G(8%-WQ{B^m1jTUR zoOEEYux`6{DaES8)&_ygm(_gwi-jKO(a?jIMG7zt4lF<4Wze=4kU3ZZi)VGW0?<-M zhBc(1AjJd&23W^+U^Swntws~_;6W3pX4>nOD=u@mFIvn~PpR(<7s~9})|HWrXsUd>$MDRc5@$d9!9V?@kdReT>Uen-!}IMW*O**D+oo zE-r4vh7D)Wo^4-qTCIfbWs%`tswFHhuVa7)jvK-MRRQ`Q6=a}eM`N{zq%Dn0tj#j_&6 z$={M?st2)ky{t@8qmNG}x*NHKBdG#So2pc6-CDYLuQU*Kh}u!(^5rU7aX%JJpDrJK z;AyLp{QQ@C_l_(qOtt)jRs#P;L|7lNwLx@YOeDt69ZQzTj2YHJ94f>%cdm8Zy%*5a zDeu**rFnCg@jZJ~OU3P(z$DQN%z)9zJjL$r;50ass^M;PEnJS0m@vU=MEw0_^JZn# z#6iqMhpJB5@=rgNr=PCz zULH`l)OcTf1~k}r(5J85kje3~a%s(iDK0KXqenzUOr+7l4TA=`2ro{C8`PO*<3@>% zwaUI})0BIw3F?3vs})|=;<2R08#kqKqv|4m#MFqcq`^^v-+o*D-e~#Wy%i~nc%sGw zB7Ge{@BZ<>+?RV$R7V!Z4-T`!`iv-13xrsxxG`E{P+VW&8Y*RYN2mq0#8-S3YIMXk zf*RC_piyQ=+xJyv5N)Z7`nZ#w|B2a`X7TB-7<1n}Hof+m~ zS=q1n>>+7pZO;u-Qm6euSg+?fOJMBOccB1irq|1f!7t_?#<%q7j7!YRQxoejVHqco`IAawCnVUaK8jSviA9ghu27bZMxUjRga+ zi@`91(1e{mOVSj8(r4{jDk|c~rFei)jv)I;NrS!+{SzlREl7-{ZxGkBqVPvjKoh_T z-~=qCt*x=}D{QJ#iSUna1Q&gL^smSor~`7vc1N{=n!_O6 zW^62-IU|~eA^_j=ENW~KYE5j7Kcs$dZ@s&I`z_;QLxX50P6;^(rKjWMSTsVPD3>gC z`50ZJ$8h?tn1&ASt|bp2K8%WrDgk4N_UzfS9)(wRHHCz*WM~jVSfgRyZjjWwAk;~R z4w=je64{GJL`_tby_Z#3NN>KWf9?(%0o^%s^v}-$jlcd%=@}FiMq@1I?-z_ldg>PD zKi(j8Q34mqG8$>&!j8j-|FUsodRm%?heu9M&V&gQ^7HePl9Fm`Yhz+!j&qUl_%SQf z%wWdN4Nj@rutA-XQC3!FEgJ7s(xgCYtS3@X{t1c zFb+UYU#WR}XRB(}9Xhd(cbSZ7#%+LS+dkPPyAAS%G z;)p;R__1^sSvVn6qwN;1+z6o}R|))hxTAxG|Qi zD`~Y99c?Zhn6-U49Ak|i&nz^s9E+pRK>cJa;1)*#_`$Hni&@iYETl6e9T;G| zJL&*b1E>j%g=`jWM*bwx^yWoFK_GCt=kY*KmW|=$YoL#I-53iy`DSAtg_>{>_Ve#I9PjG zz$(H&DM|lo5se5{xG3r;L5@aa{l6)00V#XZ>CeeoVafOxk4D=hL1S2S^qG?FHTrU9C_k5)%_qySV{%)=Q;Qsnu#5(8w%WOvethhuLq_ znG-V9)1%SLOQ+M>V4H(w6&kCmSWjb&3f%sYBP_N}37iWJMNy5%E;NFsfFIm_u(&M8 z%4q&Tsb78(jl-7^t8ijYU`9fM44lS|qvT|n77#1P$Md9Fwv66=mqv}^C#%)8W{qeB zu8343Bp`U*?%h;T!T$<<>du|)#7qf%I(U$Ba#+8`2}D5;XDEiGV1_nqptLmhaO)P^ z2;XijbZn@{XU*ywsi|Q(1^Jf~x>7J6x9MKhyYxLhRn$zA z|3sJ1k+agmlI7*)k&%&gb#)+OXlQ6_YioRbJSuYJkhJu4CwOL97+t%Du-6=n6{0XqO@8IJHijG{ z&gs$EuU~FfmJCg0b3GIj!#YbNAJ7ZX-MW>riW8z8O_EZa(om?bT15o~)ZQ){H;{(Y zu3hxrd!iBaYGBFBFSA^LrNFR`4$%bsYyNz;nv;{vf`Y+Fh$m0-MY#|8o7VLj9W8UG4$|{L z<3FAJruQsr>CsowgLLO9aH_e)qT~F5ACf#%%z<<;!`_A>AP3OW`CfR0YApq$C;R z0Y#wESktGo6L58v&jk?DqDDg_N6M^5Pie7lAK|(=g9V|{L}tg&u|8B+N7>nwlEP2h zyO-9jV-M)SR<7j1jQoNv#*f#(q726?W^%$we)JJ7Tqtj+L&Tv`rK0ro=d{)HKqI** zLq^>`dv64_(Bf6}iPq%AqM{=7i_Xr@r%s)kI#qQ>`y;+K_JJ* za^b+3S6WKLhwESARPa)+jsZJCP&l?}*@8xC;e|AdD$^>sCML?S)yrO&Ka=oV~V~HWx8&p%nf`qHV z>8abGs~bIePf6<)}GUw+BL!Gx?v5<(ST%5e@LK&^c6piG;KFG9h}eLE7`oH?Y`QmbEW?WV!Lbw!lzABb#|&tOUrKF{DZf*WrHPp{2!VWL{$}N zV<|m@RN}PR)X?BJaiW(}se`*07IME8_|V09_|23l^v*l1FX_|A24gJ9v1mk2hX*6f zaOTGH@&Y94M~@ymbm)xf({l~CfL!p_Tg+iN!6Y=19v=KSW`>0Dl{J)_%KF72LqvnH z0WAx-3;G%hg(?c${{8tyz;fV+3t$xc$BxmpYs^mgFejWC`pef}=f~l<1qJlshg4F+ z|4R_Q0#Jk{f^ej0DS!}Z)FD^q0cWgS$fjx_(kxs$XQWQB#l;w z>$i@^-aVfbmj|pHapww)#=UA(D4|^-P6>DgBT8 zY5|JsI>W!f+8`AL6)aY7YIb|Ga=Q(`7^T*RFX!|Gax+ zV}4T;bD01bhXSrPX$p~(u@`<5{5yL#_3L+kFJlRvD~-rHMMbP7b#<~%gzJYNGDVsL zHf7En*^MB9^YU16*RLnB(v^U)gondV6u|lH-OHt}wah*;V5)zV~ot>1Fv|JJGxPrrn`TzQQ(rOVuSF5UKZ`@d1UHxfp zZh*f(oj%QaaQCiZKKvFcLIrm2q{WMsRJm#uZQiWR-AZd0 zehj4X`gMwqW=n-sL9RA8x4AG3GVWL^J1k1$X(C^u-)Xf2+}!f>^EDbxR8&-KZ0v6p z)yA1KR9Q*M$#m+J*xf|5kVqoD9zNPbrW5$f(suA>rOTo_(6(a2HST<1KNL(-C#0efU*EuK(at|7&fVs|* zK7m)SrqQES&H*0+{Sdvat1F)dcm(?zxy%S;)UI8!Ag5MwLRo+)H3yvatXZ4|I1>q9 ze8FLf$(Jsv%*RLV+sBuJXpe|s#uNd=1P2)$OpcEHc>FlaEx1pCL4)YP0ZyV{3{Sc= zBB&}$KP?+NGk{ujQwe6$_)X=1ru=bwMMWq%{Edu9@;~bCOs5M- zJHqOf9}8)G^;Pz>$Z$xP;?_mLE*VEHN+Vv-8}(UGQ0~5cd-m)>l3coU$;HJbIyxE} z*|TR)o)7zm2(GALN|L*2u=ph55la<9m-TIyMxtUOlcL$%Q$_|yhIP2}6Z!s$&i$K> z%*u6?__}GRJ}=KRBEno6vFTRfaV2}9RzQ%TVb4CRQcFD`Iz<}cC80woutaDy%=;jt zUcICagEad4XJJRk!>wDg`QZAGK2j;dfYMSfk8qVDpfs78>WK~AoiIVBb7aw|LU$)m zmc=B_`RGxWIlq(=63AZF+g>>Tvr)X1?xb_in zzVHYqe;k~nZ>vZn>A4#|-!|6;Rx%AQZ*4Ea4{<-IxHSSlhDx@)n z=q>r%i5-4;NXAWb8N59b6PHjLHo<(FkMHTUL&L^!A>!22FfK`B-@bhdK`cOJ zB_{%utPNbWvGKOG4WBk`+v3F>Byc>ik`gRPBk7}S(IWcb16e0>3N+3HPXrjy}$$;F%p+`Kgh{q=bYw)~spfh$WbLkhh(kor8lzOG``Fu3a@6&2?lyMZW#=%Dn1P%@%LtwZY4Ncsyte3+%e3S7TI&rPJN%Un3V zlSWIz^}TINBOEG}q*~t|NR$IXG>$o0bJt z(+Womf`Al>MVJB#ww*m&>82IH?*9IUHhellf9h0A^T8dnW*OS9uBUKHBmgDs-o2EX%Au|b=qso&G?ZxwK%oFqM(~4nRe?B1h(d`S9H_323sBU!moF=) zz4jVKMX_7IdzbYdYl@`+(5)MP3pl8|-sU0l6;A&FffT@9SbtehtTbNvhCF*=ZSb6M#!Yx{39M1)M+6FAQ%g0=Ez2h18H@Tg0hT?A1=5 zly!Ens8E3*jR$(qTi7F`21KbKn4f6)So*SndN_7u7E^uGG|B zOP6+OX+ay5aDk|&lam(yr4>+08-3nFEGPT>$Ad~BjaUa(3tn4}dZPVASBNIVXAAu- zjn6z&oSdwMLIeiVk3X8mJUr;&K`XsaTs&>v%!T7~6R7a8!RpoL<#|R#xK~%_o6QFi zW)WdlFzO9)0HOu6>FrJZ`&+Yb2kPMWdRDdMeZRpEkKmUzJ1x( zn@6b#Q5qqN7cUy3SCmFb9X?aSjT;mkOeapLuQqlpix%`-A}@F~&=aWP$`$qbUS70p znPDTGJQ4)+zxz&QCT?o|dT!u&lalDd1(l_?Y~g#90P_ykM(TAg@TyR5F~LPVEz*c) zY8n@dQ>RcbXVbV$8f$83@L={ydVrN;iIQ7qdh0dv7SU*nGSgPTb zn=2)cWt3c?@ss|~e)#}JS*LOQ!384{g%p!Zh4V<#MjL5WOvfZUvm0%a$~kq^G|5ou za@wVgF>lHfM~gWS2~npSotjGN^u|u-7Huqnlp*od2DOYFX|PljgX$>n^V@e_-hKDo zU2tLSg6}x``tI+2-`}6-`F@^9zP~6T-DT%Cnc{3+8jc=Kdho%x=4J9qI@)f(@!#FZo2{lTRvg_)%NkR*y!yKu-VGTm6mKqmdIoc1*Qy;)zC% z8^_f4A8Viz_f~SU>gsTlFlXYjU98I&=Man1#v^!456ZrsKW{P;FQaN^;dn85WVahL zh-J>6Eo;`OdkeKt^Z!6dH)^7-nrt&}|*q~;*a6$X&TanQsr*(r%oi*;A zL81|XMgXZ7We_!bY;4AoC7U*HHbEnHmzn8tr}bq$)>K@qY{y_w)2B;TmPyBnR}i1? zy(f*09{1@KnFAgkq}IRMv}Bp>rrd(=i{z@=fM$ufrTO2xe!6#bMBCncgV6|AEEZKn zledJ$Rnx^?6It!KeUL zQXquw%{TM*>^WRfF;sJK+zl&qTR);ytg3PusBf{*$7V~hbLUfM$+j(O0~+eg5n8>`o_VX}C!@^osWy?d1bkzWQ49;_^nzcrCHY$=^( zhl;4c3VZf6-tWX{1PesKfomfz*p&!YJv4YUqV?r>`r`p2e z1?fNjD{_W87g3Y^;m%{Fd!zgI{axE$eS^_R5lt4lugUYF^(aa=@jV~-Qhu~&zj{rmyQ-N z<}9f2^y*Y-Tb`o|u?1Xwaszvg4JNEokHu zd3hcW`l@5R1?Hzsll}WWZW3L@7?I{}o*XxkdGMgeO^Wz=^W>FR)HN-HuL7}EYO1_^ z*WB!ZM=M3T`x*6f!X1At+dr>Q%%HZt{syCw>)KkCLIE)#PH*B|o0|iok$3GIJGNI* zkyY=rzC`#kE7*p!10-+pxtniRe+Cb>tzMm1Sa`UyvIUJiHF~tl8MpfNlj33-GsgdC zzdS9YCdm0(HcZ}nQ|8QMA`M9+N5=K+>3HomH6J{*TQ`#@*(;zJ9xg;Yq1GP7mx;R2 zD8ZqTg)m;z*|Q2}?1|pI+~PF(3Ki9e5w5{F9Sdhyz16p`>JlD##8spdY4nTVeB&{O zpKvkSQ++Fd1J0dm1oOy|t`!9-ruy~Q9(P#FjvX>!K+By@g~m&llmp;+*TlYWZ~~GY z`3rTvNHs-Hd!h02Wtl!*g||)_y?bx6SdN#MyUfLOYi>jrI%DvQ#CSSdPn@^I`vbN^tAo_NO!LghekH)$Poz(Q`h(2BVWFW#dNWK?c-m z!cbt_{P`*o5FcH&%49#OnV1FvsTZteGbt3D)LA6#Cbb9IvP4Y{q)mOQBkd2qaWF9w!FMN zBN`FMoSN!Uk&%<-<>I{wI+cDZDU;==@5K@>AMKHG$qjc5P6Ff(ha>o@2CFVKO8cR4 z!v@7ay)@3TK&@`W6PY}lqc<HG*D1Lp`xj&IQ-<6tbBVu*f`Qb{ii zBs*KIR&~u!Q>V&jpS5*yZXN12a$fiw$1HaV5v_62NBKc#5!pSxZ`3~ylpcwp3~iHs zMQ9{}Z@=9i8ujvv#&QwPeDrjKYHNGawFDmY`GUG^chu-wzhm{}bg(bcCqH*w=HDb5>Tln>QuCxIH8uW37eWUN#f(Hj~%nx?P(JxZbt+U#s? z8YLwq>(;G1ckWzhXy}|dbCxYzmXMI(dXhp0XD=_8UAyGcCG*d**+lb#1-30)^78W! zS5}&!Q4`0EdFcfm_wMzzjw@H>-UsAAhg7k%)YkBk!+L92v}5rSWiHj#K9_H?C@j%= z3>jh)rtP9GG)l*ykqsdWw7TcjS53M%3Pk9F(F_`7{AtAs)p6=Y7%yHd&pvB1c}#iC z7&(2q7l*NRJG%;0|p^BvxqtZ$nmb6S65>ee@QMHwI>5N+# zmyH=`sfb zS+Syk2Gj%Sd&;=INnG47^6sjNF>*(0)sgmX^8T^PD=$~4R`8Smq)P0JJ{vbZsx%&^ zQbls?9VvV0M!w{qU&7J|abP#*&ed*@QepQdjTVl4(l}!D=!9Zd8tvoe&6_oWke~JI zB{5Nx-W6FQ=wwa!#C+AP*;t!x?%uuWyLNe$M!<2Pc(UIBGB#_Lj2Yu;dfeY-+-Q-q z5?C+i#?98R-#C$SD@Qfc73b`KYg%7nxcEn8FmA5I@k|<}^raC)ZB;dvqQ_!oXr(}W z@`-%(kzC>9|kB>BR1U$V7R=})VS8xM;MOc_)48xGC0d+LaHcRusRoa{b=2PK)YIAJi(eyta?GB(#_OuuA&%?XzwBqe|mC zksg*W$n#v0VQ%wFSQ-f-$^o;(6t{015%mZYvzvv{rR1QO~4SlvD*LUAI_K9LkeiJLYpY%>ps zKT;a6Txrs>Bs% z34GxN-2>chqR7hHx>Y)MbUsd)AhEH9&8NX&&jtbl5f(n*s1ZWwEs`dJfq5f1_`8)W^YX398-IWQazq6u#bMx@%uMTq%OX7~ z|=ksg?YpLMmbSeZQDxz+kQm+kl+)llXX9y;}Lr1W7)0XojzE z(6C|A-Mh!*oT!nPUoJ&yJa9m)S5ahTZQri8+eDGl2oHpWIL20KymU#13{mQ{g3?>N zR$8?x{;>+g#HkWHO~hBqdfi=dJtVy4@k^Wk+qSbDO%orRq;8Veo0XO{0+#D)Zy&1| z&m}C45Xa@ql91q%H=aV;MR&^eP9$Ji=?%wM@u8aGzegkB+8 z1JEC5H1Oq@GJLpWT(_>~2G>}jf-G1d@4e@EZ#;_^8u>zJlcT&0od zV@a9MG~&f0nam9j8swN@l}2j?86eGA3T7@}zThKJhQIx$$xQN-_N-4;y2cm$D@DTl z$=y5R^(UEnJ8sIIaq?$MskJyHa@1ywz)H?Fj5&3CF>M2c6Qmd$%$bhh_gp zyTy_DN^Wov6CR`yl7`Oi-GA&X!X(Y45|&2Bzx*P@hB;$a2ng`!pX*H(C5?)~gC#Ms zu+^wTh!S?d)w)XK7LiVtN2+(@7U>#d?U%mz?LD~1l0ufEbqyW(<| zwC*Iw4r$}qC41b4oH*;($s#jcAE%oSa1gwMG(ylNi_(xr2#9_QLHhb@#{l^HW(EZ{ zOG~RC96Y6ee+`_8&`|mCLnSi0tDk<-dINrb(y5awB0GU1-+$jZzjtp{Ab1>~&c8l) zPG454q$4VKAJFdE$hVB7QNX#oRL z1!=@zBSkC-B`sOvn9qr3&(;Cgh`BV%60b>_v~8<(juLPW+1ZZy$dbWsM~~`!+6!Yd zkPdbMjf5SjDK9VgOh6IIgr9%bF?80kqc(*s%l_#~^Mee>E0{p?F@@AD*PCGRct)@Q zC)&AFuNq)SNH&f}E^!3*mLDV!_h#4>=#ok#_aFo`kF(neHz_G8IXQXn;ls`G3NbO7 zjYf?#CoD|&!~Xd#Q8NU%n9_)oM!7wJs%X)mK|qZfTYmgepO-I}fdk#Xm!#M)i^7o18qXgjwhA_Jms@esUx4 zx#zqhde1#Edi9o|QDOfK4?CL|*!bfaGmd6tq^GC*li?f+w%@o>S2HRLCgCa|H2x#R z5*+LlU=Pw5infRhv4`6L0+B@dTCJ@IJfJjEF!XJ(C)SDLWWWyz7%aoRKx%{;`%k|` z-Ez^zltz-0MG67ZxOJ;Wh!n}pw0?oeCx|S-D~r5HrQ5H=z=KI6932(q%oqdQIJKS6 zF-@H*(S8vaO168=;u3a7W+5{#5CxKf+R-h?#7sWApM~gIW z5b1jV7d=GQilo@DH%%nZ{?Z>VtXp^9zI~PHbUow2rP1iy2rB%J<{=yK^0sXkND)m(OM5Dt80+OV*T*M)!-fX!+ZVRD3HC$YTk=&w zFsu&Fjw8pU_5Wxu`{11JERG*Zq)5Fc_5Qj-O;~SHib%%FXek}0jIy@dSf#;QG*d6t zwPV|1nJAgE-e1tLw z(zPI7u6cr7(%zD0^uLxhZE9L_=DD3aUHs8a!b-noD=b3~^V6I;Wi57)(W1_sYo?_= zd&;gbfiVTnm{C5DI*ontr~?PedvN+80>t2D%}+tb2nzr>9D8bHAQ(H=9KNfe_#`Tk zqA@3zbnGx)@P{9|`?qad7Dt?!?W|donq9EK-9I!~94`@{H_yeHr;Q$Mf<;F0@Yb!% zE>fz?mbv&_Y$F5NEbCW#y%-(cW5T z(Wch2<|2$~)IgUiL?gjs2C{2Yr-fDksIWXH8_SE!Rpsf)~qSNLW@ttKmORo znYhqr5CU2O%g9yApTu6W#Kj-aapNQ|&J-8&NSRRMBwl*DeEFq|Ka7AC@)~(H&HFJ53bySr^BatJgEi{5axb@CE z<`Ah;^moOIDn=uA?wox4tr4kRyCf@1^78zg(Y~alw33pHoSfWmzKKJ~p5I1{klnk> zzeYvL#*HRBpS7R8Cx2XT&^Ner|K3M~!~CCFx2~k7O84$Y;-O>cY5zd04m8q{B_?{R zC$*ZAB2%W6Ul}>l$ks<6x%<=azx9?(pI&~2Y>ADPg$v#NSuGo}@aU6ja@D1?fiE8cC&r-H`F2`40Rqp6l=n;wnK}CDv{fvMx}6WSFe@}7D)vs zAcuvqAVW%K&o+niFi^Ra`uzDOe6EIivJ#3bI@-vhWtZ|4onB)Tm?rL;hET*tf5HcQH z&1n3eNFNdBz;cxCLZnj_q7en-SFRRXS+rT40Eg^q90=Jpb7uJ!AV-1o6IY|MXuQZb z%jlM<5z5DIK808m7i4PfW>u{(04-k>{K~ zZB|vkzU1f2l`DSEpr~87wB^e)4jjlmaU#y^pk3|kSwjo>VH?WGa0B}twm(~jz9yyL zNn}Lnfzg`>bjz3G2+wB{Li#vPqjzt!{wlZXKqJqgv2~#Cn#e7Zlii3;b;!4~Acqf^ zo;}6U_#-ZNwdp1`O-1n{XXi_|L3_wR5U|VI)3q}FM#$USwKGG^m@!6A)gTNJf=fRV zZApXPPQ+;N9n4t+|&YEQo$3`RS4~*GSzzRfAm`1AR z2I|Nk&qowcE~|Ly;`!_vEUOqTG?E4pny9o6qej{OEdIVOroL;i$SxN1=9~5f4)c+2 zHi|Fc5Y(ZDNGE~A?%p-W8&FRxSe$G-D_Gz;Eluv+VM;Jj#;#vCybtsxCHnlQcCdIa zr~r+$<49pOqmj20S9@luCvqC0Mp%*)89}}%0lp%?w4S$aS^nQ? zu&@pyWS7M$6#VnKMQa`13Iq78{$ketpLJ^|?7Y6+XYuyfulwZqlWwsSV3`V!ucf^O8Qd46I<+C*KoEx4)=T@JEf3_D2Hb6+G&83e!?S2 z-*45AMuxRHB6n0x(vf;!G$Lxt)$P6}G(1W4MI*1|XB)JD>CNFumryi<88orLR2Kl5 zA@Ub3U>bZzv(}+#WUgZLQMD}uRU!vHL}EhGh@eo_x_wHWGRsz79-(`QqV20! z?-?{ASnNpctjHuPMs=SC>QgFz>Qs~V9%y6=nW6#_r5j0W-@b+~8ov;ksdQ{0@@tU^ zHX12gL^A}9&R~7U(B+Fpq;Q%3Jyf;IFk~BL!)D64$*Ww}lN{-=k zK{Njp;|2nt`+b2*oG`&mr5LXOjW=%CXgsBrby4TPUy{{LnuI&i$Rx(f89c_1-<+A5 zQn472(LIkHJ65Y!E!VphK_fJx4P<3hCc%Rftc(;SMVR3_bTDO4)p2BN)<}Z}lA3BZ zP_<~}1W%nZKV&7Gor6{7787Gu#niZTX-0Z_Zfz9W70W^m2V3gZ4%via%H6cLD!bM6%kqkMTw$%a|y#CE(A-cgDun@kZk#6&*WR?}_}r zbLVhxH0GK%2))$TYv>mas^F`fAQYFxcSZ&&5 z{5|YJQBnM)NlhCyIz*mu>@#M_#fwgdED>ngQe>PCj%a$XYCt3L9;FFp85mdT8V{vhhQPfV|>w=rR%qXRbPXZK(J;`zVxrK zL5mWvT(2WFWMHRjd;Sww`vI+*>8K`a(<9mnpi`ZF(YQ!tUSRP*=AfE1Y2ks!b(xuy zDi#COu4{I7c5RRTsUm0;9iF&C+F8uA3=VO{PL%L)bBj$P4VG0Q8f~Lae9)-Z)6&v1 zGBPTOMhsm>P&^F9O$_UiTjz+j{<5d&jiIGkm3fP8oZITvGI3&Mep}6GJT21A@wU2@ zP<(txZ#3>zwY81*_IN@>JjmEno9aZCO+uy!@vNsy>Y!^@;EDXtxjqT%&MrNJf zj)zCHw$7bnz0sJZYHM33k*^aH8hE1-d7b9)XAnHpzke-nG`a-K7mXCa28Vwt=ZVUL zBJQ?qDZP4`zC1Hi{EaiYbjc5m#5^vpX|raB4jwe$w{0^jRXUv+5w zTBM)jG9=E9k8k0P#xJzVv#l&x-e?R8)&P-%$;man(Kt`D-8S-LyDu8YYVq2}V3#p+ zSjpsR|EIqEW2>yrIQ|qU7Aepol>$O>v?Ri&m0nPw7{-qb%tlHOD+UzUioi57hYE&Z z0$~P$5-JqhAiolUFvenZEWrYWfS^LD8w0yJ5G+Q6C<7c-TG;12@4dJ0do-POSd;DB zfTbHL0Vg3H(v0pJEh(MS-JKGWqZ{e&25ISz(Nao-bT|B-_xO&F|F(k-wmrM=>%QVV z|B$a%cgo)=zW((4;{q^U1*a~b1udR7A75P?Kj@8*$9H}bIpk^0@wHP;+g`HyFjaMq z6aeIgv9~p`HuDSoE$JoP?Rm*LR(^9jn2jeM#4fNsPn|K~Y|24r%eo5;h<%PTz{ zm}pPlkVdq8@$Ek6^76`Su!#DO&Fp`pjiArbO*4HLG5kjN&!tIxu$R}tizT&Iu-$A( z@J{Stixj%;7RCV_1ZoZ3a$Am)lu~MC#DTKYGZWrV^s~#Ku;?l;M2+tT)tiMWOp4G6 zJ?cWhUrZb91hFO)Un~*dn#_~rFDGUTXIzHK@o*V$sMuG#{-c&pnbT1BEjQ6fT#i2% za+B3x-TTv0layRkScpl&Tg&Bv$I68Z#q4wB^WG=UeezA`95O9w`u3^@j@00dUutcD zHRL;hS*NIel*Si^h=2l=d6;Gmx^gnCvM(1+raixqrofkKmIR9Y{$^?Vo3r%O zz>-W>x6Mb;Yn}&@ZTL}+3>8ztzgUPmGr;I9lo5z8aXa+@p7)iJU~jsbs*CPIHAlGW z8Ad;-J zj2!yMX4iJ{?S>KV#{jUwyzuUtOl`RHsVI2C+7V6T(*QB;i`ZvaT0p8{H?=BVL$SYZ z8@s-+2;FTc%v0w;t19`Ldi9;`xir;V;c3&{Z!+)hi};l?SIDY{(}wq8I@e_Aq1y$q zL3>u{pv1(T3p2I4LTv8yan6L41y3#lq`i?uS}F#ej^HB;A8Ng^{P@Vtx72xE~Dvt zRbK~u1WC1C^TgYGXU~+xBIe`zRqx$X6{W6XLp5q&7@TvOIe_2xsrCQrM2`bad11V$ zvL|el2Oj0uEVsr-QYi}7>DfHfJ$#y67qrKH-phIGdHM~~f3HSG_c@J9j>DLToVRms zE@QStg0)Kv?CZ%+_d73`4=Q8Lg#t~n9GJwVnLvpht*WA`TP}?bV{Sa|jz-Q0@lz~~M z69M^VNl;Z8%f);eb!s^kdGto34Y!M9(gkNjz+ccayEr#~%Fx%?I{RYx3I!{njo*a} zFJpAKnaShv`jXIO1K=bxq9CmL zoo+UwuP?Xet4(_cLy9H8<0=m}s5%@*2@?OFQt%_RD7H}xLS_^2?@FqjXMZysX>575q$_;>o^d~ zCZH)hvNS#28uEDyS!I@S5CGMw`=BkoLjmM52vF>SZ;-G}(E^FLLev;U{L`L0k!UAH z^6Oi5yIz+hmQNS*_%Zah84M3;&<}mKm+_&409yQXrDf_IzB|9W#GRML23eSzE{9l?KJOm5Hc`cMO z2I<8t5#MNK1NcIW7%{514Dw1n%qPC=mgA!dW@8;gM1&awk}w&#E#V4Ho?coAQ?Vwi zb|d{0D_W~t#d%bxPv_87hME00>T(*n(BxWbB_1VN_7)r#{43FvGpg}WOYb(mFK;9n zLYQ@XmB{?m7b@8WE=Z>M965;NNTI70;uNO?v-AW+nHLmgj#?F-9;e)7#|#xpG($_M z3nqC^L)b3E+0H0YN0dMPtKJM3+SC=qH^qN|eWrx`;5>)VQ30z;N}Bc|pPrvbX(R~J zDZO#Yt=4Z($;`}*k9XQ0#*JM(LUPU#`e2`|swUFqd7c*(^uED7dD@w;MhqC!MhM!e zX5ujJM@X%8X-m$cEk|$`Cb~fRF(OAHwdZTxAoRj^}MV^!5jh$mzutB z4Qk@{S~wDr3q`0%56mr&+ZWD!?g|Dz%ugX-O&W@dcCS`@u>(mK zkpe9uo&>$;?l26UFkGE9mzpZr`GG9yH-y27*0DCJ3kf6xKyg`_`&aJG2ylg3)Q`BG_i+^Kog$nj{n1myY{#Du7j`LzDG6U0J{lv$*>jcgLpkWXZrzjXY^?)7^L zvpfEpDsIH ziqgNOe384k@juA9$B8p^Pev(y&w^J9Gg&lh&$QqP=0DY>$(EY&=vR*R$~$4o-=X!< z6DnQdReq_6-uS!yR8$noT2pOA>X6J+u0OC-2ySIjdb+x->K4v$EfC|k2-%WvjTf=6 zQ)3eo-*h1K9XkzUMc~KhY8H_ii5xDxKkUb6Fke;`pVYW#vBR*F!N`yQ zM3tG>o`+IbMyND6PxbnuvF+Ab7ZExbLt62cWIr|2CvzmTx*|ClfmUg<&5;5bDqz(> zFZdj7^89T@{faa!&|_9~gDU&sOoD;lks4g~HYXgrzt@c4EuklPrw66T&u54T{~HAK zrFe(Te8PM&AiQQ}sj`9-^KXf=KG*O^`Lj?NEmcwI-A0r zXR4X8d(eIB^0N2iv8(2=?HJ{{pt($Kg`6_{J?B^5u4@f5!Ln(inbZ*$c}Mh ziSFcSjL&Ao(0uzUY6d69vvgbEi(BHRbGc!?$BW9-EL&$tB)dvMms!A93K?UKO^nl) zjy%TkeNNGBlUbO~Q)y#Npix|{U4HxBH3s}PGl(Gc@GsyMf;_G(f$^AK9j<4mzRa-^1PPcKN*^Te+4C?Kw+Cgw$ zF)fph`fqJ~I*1U}2y3VM`<#TL;0prOw}Lny^18aB^ou4p|5@6>TNVB^3?Q{{XKvO) zQmuD{2i;~$?4(IBXg}O;#k&0c;Sz9r_ST;jzq9&dx^Jcwf$JTXZg&?)8aV$0NnR*hJz@hhD1yE> zUA~~2o5}xNs*+go%dbx)?i*9&VMe7#h7Tk~b!`uQikaWvTY3}HeI~K7DzmXHT?4vV zL3CEFy*b?sFS2=G*fa({uJugpgF6>V9rZx)(_h`(?l*qNL1gnjwY!JL3ZsAENg2yH z>^Ny#GHR6eBIqm!O}n*W_~g4ez;P#rURZ}v2LG=3o*;1Z?k%5k`dSOCu;~XHo2NTZ zFxHQfUr{WPJXC|5h~r_@o&-oah-U4k5&^ScCPn)1`E!j30AU0mLOIAnf+jjsxKbqL@#1(rvcoQ(Bh;Siz)!(wO%)h@M5JJsm=*xcI zZT_rx?-N*}3vOHKC?6i#Jcs&Oj~_(Zu1w=dOT32#B8f+1l*6gpi&Q6NCG=nl&>f>- zCna(ji3*mPqG^~{YYMicij^c!_XHo0sPd_P%)V+fAa#AYXSi8$=zaz&RskA6xVWB` z9Z{vdG7LIX1h40|6ZpyaoVTmnPps|jtE;O|W=p1Sf%^XPvW}V>KrLo{l7mY+d%B#8 zDyynmt2LG&Z976&R8))=ych<0u@|d!c^v=#x+|3~R6!U`(p79Q`qQ=Z8K!;ld7UF1 zAJ@(fs&R3>IJQ0A9njI4#H3jGg|k>Gmi7qPl>X^k;Spcv7%5KZbe=ml;YSF3@PT!&{b)$C{0t{(O(05C2Mw z(VBY1gap^04N~&3wJjGX#cppY*Krk>t9of+Ccn z$WjI+3FW+wmpy|I1kv`tB*sC~Q!Z2rHrcm1HpYu!Y>X&!hEA?YkiAFk5pG(xkPq@( zIx;h!lYAFmsr!xXuOC7jcF(TY z=#}dE)%b&NvMRN_XdY<~{$mfvU>PD&5$#&UbyYF*yDBar57EaV(kHQ$nK>QG&N+un z&6FiYghwNvv?!KchQypR{>7l=Bf}1}fjG#yKXp`9WgH|S7(~$RnHrH?{gaaA>$7sg zUQh5R8Tu_NFL|Ovr6e*W{-Ehwqc? zmmHT+Bm-cs^t$jEdncu11eLkYQujJf8Zu8V zv1=m69DLal^`b>nRUs)|pG#7&&be+mjhbTQq74}>&YG?6E78@{+&*>qO5dlNXhgdJ z{{)mq{drr`?%BR*vUtcrzvVc=E_0wTEA~dLo++w?T#idia#3B;PNf1glV@?w!*H+c zTm}_N5m#J}^G`wxr}zFo0B=Mx$KP7vSFblhYfF#fdvK%qeWok6ZrR*iwS@#|g!pYC zdlFoEf*R6Cv-&dC&gF;Ek5pW>Y?T?&<#NjJ2u=TK(?$`z9;F+OfOk89kkrlbTJOC_~O}~(?g_be$rEZ6Vt$3mz)Z6Joro11h~T#p!SK~1=M;^nD7=>Mvg3Yh zq?Pr0?Wh!9K0t?~Ti@}gevBApTG7yZ{TqC)2dW(_Ph{ncye93pIBchBq1%!oPb`8l zIIGTN%bHLk^8LfS=UO_@=1C;@{H`pFLSnOu(2K1Hc}~|^lW%?0^EdPT>i0rlW@cud zKfW&hcYt#2Kcx5Wc={#K#VZoakj9JzoyEeijxN_reJ4KqHMG z-`TDVk1(heGZ}XM7_HW8y?{4hEI=v3P2(29)Yz2hC~p{l!xtfCg(wWc_>@dA#X8fx znWUXGsLY5)Lnka7RqWWOsJQd0A!9-x-|#YV{?YKn@Jq!prGegkjgv=Am6z2TUpF?L zc=u(DLrz_R{eIr`-lntf-cwLn(zT!`n}!a(n-BtZ_L2u$3x$DfxGUX4KSFcW0LLH{ z1L#@2UTl|qD_=m|{sYY5?~cdN@$x`_U1>9!k4*nL5zT@H${+G<+%g}hF=c4mCq{zT zOCv>EUfuQDi$O#xaI=x=fX!Kb4UTDQoLlp8I8HURA48f$-g_0G!tKr7R5Mo`nUUHB zUFX;zn8XLpvDCBlSY^sFMu{!TS4cxz!pLJD;&;C{&_U4%dtm8ljgcHQ6nX}bx^GCc zfqD;{J#So(n~u-Z?ci9`;utquY|(hu)Uk(W(nMR-bs}3Tw%>BwhD#`jrwk${7oe)y zSe-UbjwTGP-$FfV9gQt*nNZXlQwBQoDgD8O4U!q42%zEe&z&~x_*m%DxdQB0}aiHPi(T&r3WcuR^QBc zDIw5QtutQufLzo6#1M0uk1sdbOazFq9?k0U`aj(j7Z(FZ5Z6{m6O#glT5)9w^`}MY z7?R)1%W|Y;yB>f1c#L~PxNH_y{U48~gdc6Osz2ph6tTOG*Zk3nNj~}vk{B^+ogt{f zOOw~nQK5k5nfT9lf8MsjAGWrW^KJr&6MsPpQc;nLxo&RpQa)2wMas|m^WRVG8A}StPsbC{dIh& zvZq%`+)q!$gE^70dcAK09uD$TQr>!;l9_7?K}~#a=GGh~jsxKUhQZBoUA+G8qDi#>`8*P;yp9n1L8oagdks7p*s*m<37cJ{HFBO$JdVDODg475}b+f`Z7A zc})rZtV^F$Z4jt7i|M*n>{lHOn@I^PBndtzc_ z0_s;OL3J=qPly6t8(}hV!v}VN*~zSo@joVcBl5Dc8=@@04?VpMCm3%mngfT2l{J>w zP&`yTFS~GdQ)b0dod=kEDir=0F9$aGDVm)9i_NVjmGkKbV1_vP)nws(^TdqcEA~=m2l#Zxu!LQhdkbOaK$rgv% zcq-MeonP=V#1qUJ({PE0KLbRqrQ;aVMzg3ZK2nfu*x*a-AP@|E4-M7U)kSb4BqSsT zP^id6HrCdZR8&-yl%9W7V<4q%ZT!5vo})<&8yg$?{w>Y0aD^XWS^o--24z{L9G31c z5rff~yLfn3k&ss-erigPIOOV~eX!NVj-jw};Jo_t%I{Ij zXYpN0M4>PeC_T;9=3g6sb2=a2xUL!5kcted%L=T(YFEMiaF0%j?Eha~P!K#hIr){> z<=zxIk39)QPF~&x4lO@B7nhNV2@FhL@$j5pv^PNq9lFi584^dw<>V+04ezVuz+}9l zaBwp=&}psnq?bE7#&!vCnt8KA_#KK3%n0tJTgcE-r^Rhk+j2VM5kV2g`m(kZMKozj{QyB~B~6EZTksl>#X zG`vD7$z-vHEbUWbN@E8XxGxMozY0(4KoW0=(reZN{@mcZysWfvNl?XLhSlrR+xlMr zWA*n}qf`3a?s~9LrOP#yy)hfn+#KNObbfW^>g??7=*Y&#_BTC07KXa@YjSX?p$p40 z$?8bUeA;oPOl@TFdmgUehZj-FhzE8MGxMx5VQ2NI+yYk&anBQhV0(a}9EXojuY(xv z--XdgQb(hYr2KOm`o7+GZ0$gnAZ*Yd3Mp-Fz8OiRdw6*GxiC9BHav`qjlJG%w~`7_ z+a5bKruPpq<+nJ8`_SWY}{ot#3>!BQH?-pkE+aDspj|uKnRXEEuJ<5_Jc!8J8vSJ6?1MLJ;JK zGoCacA9_r3wVcbV6Dc?k)dI@-k--3g@Z#oXz0J)AU`4sPaV5+F2g>trSRc6i2M2Em zptT1c>&8~}xE!DO(rE}bEw*K__IzJ{n0+-M^YGn*EY}71ADw;-!OzDP8&ff*mXbUh z&&h~v3p`odiaA{lRis$gh*nDf-DpJ7^lc5&xY?2}O+KFJpGyl`Sy@R;OavB#lhsav zfzf}bgoHqwrRAH=*D!Lef>05#PAOm9ouVSEVP{)GO+Z!treQ?H*(G2|Kklu6H$P-4 zDFpvVG6Jqgmu8J3MC|>x>5Rc*__4%PBth~-4gGioI_fw#50ULUQzUer5~Q!k#LP6q zYG4WnB|awU>K6|TUE3U}{I0CIWPSFgiTbk`2j9%wl^FWQy1XKJ?QdnJ^A!hw#R#Tm zbV)&OmPg%|n3$M?&fBO=c+gza?TJjDuC6Y&RUji278b^8(7`JpARsL4&%hy7+1A!3 z6-B7u>3t0-g)!>-GSGZ5aH_SwohHV7B!?YqYuBxcWl%9hL+3N`eLVlZiGq_d77qw` z*u==*^nyQ>pN%SD6yrJM0ayDv0j~fY&=+x0 zRh_&5m(WmG9u2LMYx@}cU8u|TrAcnDNoVNbgLiY`xB|aViatGTsg3}|NY4y3wP>g- z=e`4TbMq_Z*#BP7j!7FHj!TW}5Qj$0*zU+Rxgfto{`bcA@AD(m;Vgobtlp4eClZ}n|q$E@Lm3h%K%fF25@dDTmdM()N6N1)vj)Nt}eQg4Ctb69; zM%q@^qogR}IAM>sE<-TPTxE#nFI-y^lsT^+a{u9apZ)0*7FDq-yClaHe-Bmgp*THP zvy8M8Vo?zz%o8|30IJ5Mq$CQ7?;%o>l};`nLW2w<(oI591;OzX6UV{GUXoHfLf)>g zC;BJn*X$rC{VxOmsAL;T^_Cdo!*z7Lv7o4#xeKzXNZS!%vPPb3pTb~Oma z4vvo}!0qu<4TF$^9$7(opERojW2SOMQlY$jd|A9sy>KNA8sg&c-lOJo=MKPrfy9EX zK}IZx_!^3FY5nU>O0Z?G|8ugF)4Je1^IY;LfT6Xs<3`-C8{Rl2Y!beM`u_Z&B)Th7 zya^gJy^=|{9KVPfSX_)9tflKK=y!qk9#pQCjW=Ll0$q`TeczibY6R1~2b@#11n6&p z5}T1xt^HbCTwEL)KJ9b@P4qr`8ziKoUKH7gj#|RNpz_epu8N5@r^6iD9y@hVNPTi7 zV_N8PJO60udlMZLl;%VEMbtSR>P|RmwJB*8d4mp8VcFT9PGMHpuj0iFHpJE>Fx)EL z3fJgrenAw$jn zQ#o2(Ru7L#+)Prc>?8e07D+I}fEgm^j;J!VR2*t#eQVu>*X!(BcL0wf0=ruJraIBn z?d#)d_s+V@s<8y#EBzkfxT3qxJG!j4C@3(4sgDG+#aMCYBJ3_KEWkA9bd zg$24r!OWEiBj$>D!zUR)AHrzoB`Q2S17tbH?U*Z!XJ&sZgrsppn;n2}R50Pn`^GW7 zxym#M-9g0jpH>$OU*D(M5~b(o=f1u^fewsv1s@;dye51&ss0b?JCcTZxgS5v4Mz98 zZYe6BCMO>^*FVU#&wbNb>;40!=^(J`KhGsZM3XDp^do}D&=5NA1XBhoa|F%C=ijxx zu?Sy9RD7=@3?JQYJ|m+pWBTWJtx@&9rQe@<&VouNZRNOT@MeJkqT-J$c~k6&kgk^u zjmqigtAAfIxT3O!4lQqF3sC)LN>KvvtezykU0~a2654%{bZ`n#{HbHXXfKwGOI_17 znqafao53M<%S#M5K;Em1NbPJ%&meEWmFt2*!~stLryfl%Of7CkBX50t7ag8krHiFy zu_x+>M>NDMjf#4|(Z}yn)z#$>I6~!5CkOJ}V zV$XI1;zY7!gd|Tm?X@))i9tU2WL>d9`Y;hf;mry~#ZHRuejZd+Z%r2L+A0UXQ_k0? z+6+-VpL1W9IRo&FyL@^`GMR zhOsddBEOm80wvIOt`evzK5Jr9DuRPD9MA9~5OFt76x5@VE1hIXOUplaf1_I*R4%#2 z?o2J;SElB66-H2HP$jHJmgThA>ErtMjom7JmxGA}-RIhFA!J%iIWd*T{rCrS3p18) zM%lD2+%8>V&AUBrJ1;Ep{T z<;_=VkP~qnKfeJyQBF9%wuCx zGe2V!CEgjiyexF)VIfD;6^;$d3{lyt7=5Inv09bn_P@kx59}l6EVi*ax=^0}Gx>S# zNEPH1)PTrRD{mzUJ=}mf*@c76&EiWehMJP!t#ww^aKnFZVfdAF1DsK0?1#! z%l&HbWO|>Z6tD>8@~r0$4#t?r7U5PjH=iU9roz_(v6+77XiFTO4c|jbj~Q-yq9`an z(9Ujqm$td;-8;aHq>wMkkFtVJgpoi1w^`@Tyt5sCO2vu;H5rOL4c1gK?0rQ4RvH5> zG8@yvyCx1-xRd#*M1hQzf91;UrP$cgQc_Y9Kt}qkW}KH*b-Y(yEo@+r@bX;NmH~l& z)j?%|LlRSrla}kX+6_5Na*o55Of`O!G=WaJKV+M~C1e=4-g7SP`T;C8-HBlu1X4ZYGZpvdo$ z4V7>U%W0$V95^&s0H_WtD|XdU%q~vPGb6)**Z88M+K*Lwj&G@*=aU8j5i9`Xrzb$~ z0Cwm+H0Rc>=f{7Qv!9@M{T}J*B1MJ78zf$spgBSU@ZjX6W}c|6h}Q)*7uS4G&jzzt zdgcdwH6q-Ni4SEF_@}_8cyVPlg@gg2@IkwBgAe$#apY)Vu--?+N|>6IYb|-;L4KG# zV!*BdI>j;mtf--Z$0qO7UQn+dg|@~3WPaPUm1%IEf_sZ(F<3gjjMVi%72H8f-~97j=El{Dxh z`O*9~4w$L|8UAb|qk6!=ZQ$G3XtY}as)fKIRhM^)F}e0RPDF6046w|A9)Q|h zOI0=Kzt0>SpjF&o>;TSl^=k)PTYv%$l!*p^oKtM8h>HIDBtAHc%!C~-6X=`|D<`=< zH-3Qohq7j)2?gW_<)5D3!L_|3EZ)*ZeY3yM+HJYoPb(@J96XTKQ}q6QrN|WoRpBFh zJZ=yRH7)Jep<3T8pD2VZu+_}Nw6Y0izOu4)`QX;)NOVl%=K-SdF&Gs@lA8-jrsz4I zH`+G*JUa?wB6BDW2jBk&6HSVXtK6eb-E+j|$5i-tY6@ZzWC83SHq+I za+IMaJ^h%^b_D%EujyK?!sBmYh4?|(SLXbDyy};|3C}%}N5r~3RsG2jdAjK4-yU9N zOT}NoT)0>`>m2ObuMdZ4SXiM*NH@*RpicIrRHDlR#$T{p_$|+AQLw4m2s{F_uFm9U zV5s=m23iSAaj!0K_fOv4eG2_My<0d~vVZ`Q@rBMCDSiQgOkJ(CjP&P~Wp6Ei;Q;bU zsn6<5HRPzhgERH@^)ri$M40rxJkui#8>@VTZw3Z@NJ)fMzd+#wN5UKXQG^r9#D z&1Nh^P3B#64l9u6=H`AIf>Pd}{7Ewx0vHt&>6Du5>&0i`7qamStz7nAKC#xM4TJ1j zpU6+U+9^1k0bV6Q{0EE+z*UhO4g90KyXJbD+}tVtXFW?oKD3S+TOu6kO>i?>X9p$n zts#p`k;f|%5)$`^K|w*l(NFKEqu4cpY<)6=Nf=n1IX^$Ymbo0e_CJI3&CQLGi=Kp= zt3Qc1cWfI4)Y#ZKjTo~(fks|SmjQa_6)^NeLR>s~#&c-ijYN}CUo6gH{m0hkMOO(O zQ>rz+%-uHxl$1P$jX9h}FPX{or3Ze!$(~}>S4Za zsN`*KkyumX-o?4}8#t7TdB=+xbvSKVjuKp}d4_MB2Cd+Kee%?4iSg3I6^;I+sj8ZA zCv2@zoAZm`_03mIS>)h|Hz|)toEd`JKJ}>C%(~4V8(pha!vnc&+@~@Z17MWJri`L1 z9GqPAS^Gfwff#@2cKK}M5CI{$`*mH9NE>$&x5C}s{KEYx?S|u!PqSnJ@EkmBC;loe zEmSM19vJ)_bclESM(^m~{HvN3^<=}DkQ4Fo@%PDs+Zoir_w3|%NCcnG#1s`D`1@c? z+V#RbJR4UBy*7(SxJ{J5%tTQ`+aug4+>K)}CHmIdIa*hpkCqyqw%r&aLCXF2muP%e zW&_RnCG=%ate0j81ZG)7=Q&=McX;{HG0@87{QmG_j>-r(jUe5qsQm1bVzS~85R)sxwjtx#XoDhR{RNKTK4Th_nz%0^i*>oMc{ama(& z@Obp(FX>z~*M2Gbb zKt0(6sOFF`2yK@eW!1sL_;{)Ys=a5)*VBiy(Sv5PvcPE@27@WP1DVG{tTx1!LFk7t z*75V37=E7xr4U6I=l<|-cBEBGYO0tK5#Sh{bf{pJ;j5e7vEkNcI0LXEL`Oul`ako3 zAGB_Y!NAfd04>u9znr?cIaHJi+ke z8^8XJ)1m;3pTiwPm_2$b;E(HzW}k1M|{8-rnN>tr$38(jAK@ zCnlf`Z=#KF%D+^suB{!IIy-Y@{_u%Nm}Jw_qF4SS77(`_f;e!I?}kd14u@nh8)CS4IZ^9!m%YjJUq?r_dMb^-J3LSH94bY}Sbj$m zXZ(m((U`&JhEW_W6S?Myy#77k3YZ+;KizR-WIl#7?Z2@$k7)fmc+$K~4!zYl4uWv6 z9?XtHf5bIE70mopE4iy=x`?~(M`LpTWJjDkLT%{h_9!#U~iHp zO<1FCVBMK%Nwy_k2K2{;{bsl2m4?A;B~K!3v6@uGc{^hu%CJdCO)|x*Tj)x8k}8%- z(2t*=meyr!5TEYzM|KGW1d-v%8_7cejX$zSSs>*Nu%4ce8pyb~A}^cR4fF|;i2Nv= ztt@R9(pkH5g;xHUh7G3ZBg+7JH+;0w&6aH7K~?o?JXqD4*16N^5E9y z?jK*N_;tdHE$0bA$^4F@;u0T3fJl05%0b$gVvS5FgMyJ#o^1yc-x}8m3p%6@X3UhR zF(d`Gxei3~tb1-HYZ|or-q(MJEJ>8l_6|wLcT)CAy&Qu;Ero@Ww6vcI#SX|lPxx|s z2c92ql`_B#Oia;l9W8+(?bcANi0hqW*e6ZNlEk*QbV?+JVi>VaUB|<+jm^xg7#CxW z(B)oE0Ikj!4Gl6u&uJsJMqjJlk zzaQ0EUQ{&jlcipVC;OAn9?-ba*VjYwB;b8j+TGoK^9d4CW-bEcL+|eH1RswYI(=^c z%L(_r6{+O<6@&>m4x#5GbUBs>H!-1M5FssPJoo`Cbad6vJQgTB-$GGiXg!vizEluU zG_fX_xi>4MQ!-13EF``*4lPI8VkQiNi@vu!7T zI?lOdj}b4-945ida*x;!i>I;KIG%=AMG@&#A(t{@>_{>02zIuf$B=+#tKD zyxa|}`YR9%(do3Sx@;yGg{3ttbEU?B;5UP_3}X6Ipu}hjzU-oZCOcJ8US45s+$u8W z=WM}i{~uw6}Mg?o{?rD%1NeU$25aMu*rsmR@q~a3*42QaH0Bj^A9nB z;b2VijH=4)ajkLR{vc3E0`FnVq>=Ll7{F!^7yo>DFZjoDyg@5EFAwD{iIMY*45AgI zDp1@01iJ$G`zzhpiiTajd>sdj39KBl?cO=CQZqT#za3tewy~5y(iLcn<42MN3gQ>p zeOL64V<2f^VfzbJnN?x{>M|m(_P;KMtv)S79)Tq`AS}Y}_cfxl>#*(Q75EG15|O_9 z_Pyk$GAEV_{fE8%A#$@tmW0ZSL_aSth7QCc?CUiTRpnesdX%a#mp5KL=I6k zU%PxtK0DE>HTF!G1O%Arb@)wy_?-Li->j6nL#Rf>vnb5-G_tcJogCwrj>91;KN{*8 zvI^oiJU#pAs7>vcjGA;#fxB&EzYZ}n>iPMoJ!8Y}3YLppCO%vNI1GC0R|I$jI$}(z zSRqr88)q^*#F58mC`>8vF5 z>2uetu%u4H`FrIpWa(;?o}Q5^1Of~Xze|+J;VGjVxu)|I`h1uF0cEiz+8^300CV!q z-sA|+pW)5_JQYO0!_m#@fA&F3dii%X2oE#plMu|&D z4DZ%K{MRt=XSawbn}R*-iF8hj$D3pN?j9q@8BFklBOWl*(UOOI{l?_v#Mjgh@C5>O zHz44*|I;^)`JU2ZYMCV@g5bAMqR^~mcp5q+&)apf{X(H}ZS7gy?ie}BOkV{S00L14 z2ODyxlaUH)wYjQX&@^Xf-LFKEX=n;KIP+MV+8A^(X=|f@M1W6H8|mQxuCd6`j3R~>j=K{qiX=GADnh$tt3uzJ5}uz4>@Pn{jYSG_%Zq8 zlNgW=T0iv4`NLPMuO+exC$*ws_(!hn>gEuDWh2Se)rNr zb>y>OMacogG+@Z%JyQQ5HYWB(WE8poMZ|~rcQ8dHkco*&9TI+^7)zDq_~ayVr(GSkvhBOC}D(blwr)pV~f$Z$;u&}V| z$aonrzXH*R3o}!tkN0v!HomNz=vJ-_0X@N2&BJi)rIkZEI(dintJ_P7_du)x26&>} z6nDp#{f|2sh*R0Z&vY;}GxsS*ksOVhg^te}H4;>q#AL5@KtNALMa$^z{qXp3cH~sd z+ZHStZwcnk28w&h7(~0HhZI$oh#|hdzCb+P+qV6!F)AE-!#eTj!I0IskkgeTSVY!1 zw;v@PCuSCNokkL9fUAQ|PqeYV9uK?S^k7C84^1Pu?XNWHvD_W_;+TaA(vLO#p#)x!Lc6}AOFp-t+bGu za-`8jMi6&6{D_DcDa#4Sj;xnO0gL~N&CJvtVr%Ft?)swDQ=wU-QKjSd{NU#6u=*2s zSRE?*lTi6VYamFwQy#qDG2)1E4J~(kv6!5`IbNM~95}K?NBxM{T5dGJz|g-QR37-F zl1aR@!!vKe-c(YCcA?5=cEF&^_kBs^+d`tj!NDKh?j9ag0+nTDd~P>KEI68cUGUJe zFQ(%^&3=737BP1Hq``Jxuu3l@nG}{qQ5zw+q@kw9?;390-PKiDLZ?RgJ2m;s;*#a* zK5>%=tBtk-84i(jYwOQUp0zB(oo!2@Ivz2q*(_;WB~PJh4bHboAbqgA2dAut9WylP zfGsHfD?d>xplV5!j#+K>(WC=+1_wnx|5#dD)zeY!6)TXT#zPMUvTS|`2yXA*@fZd} zEG+gwUfCg|v|L>8$RBTyqwY5`e1CC8xk;GBDDNwpiZ^~8B@pts4Om=Ak)@VPePy;( zkeBa!{z^hE$}1Y*;jNaaEQ5k=sht;T5H?sKi7QFh*M|^GV(i1s#Wm5;)MROOAEB0) z&nBldG-5bBdU16z69{~Yka2i>?Xdw3D6s|;;eV--d1?W&uf%-#a`<{II6&5UGY}^M zL+b6v$QvLNkeQxdN0sZ_8u0qkE=I+~LLD-hMddYmU18*{qS9!E-y*_0v^P5twdzjd zZ%VDL?X>bu0#jA_mmP`|73G=jAKNB$;}TT2ZE`9NC|*QicXv*N(8u3nHl<&HQC&MD zqmN4)CnuB7Q@!Hi1-o)aV}uviQWST7UiV$mmAorl4H<70s?kfKg=&Y zH?3yVl&6_USikNZ)>!?UgXw=4{TL`;j!du1)H}Taf5>Ay{BR;*Za`gPdta2a%CEb; zTq*K|!&iU@?WVQ7kcX@LO9UirJ?TtBAPV2kd!+uNXTjjr2~twJI(&#$IZUKs-yx82 zbTeAsfEPlHD2IlII@>Q`_)8$WPfJS+Y|}ZXe*$O(9;>;*y=5DVcl1JT)>6vKIg^%^ zL=k$Sy|i=ek^vqrRCRavQAEHb$h&v%(9qD};NXCMF(4UB0^ZGU;0N((Oy=V%U)|go zoa}0-s)tBmYGe^R5TZODlw_n1#hZi4(6F&n4G9s4wFP*!6n@+#Dir^jE96GE1@qhn zssC%WPDTd*kEF8h z$uU@90GA*7+h*SU&fHvTMn=?<-laZKDY|4&vGmQ)1nA5!-ymbj?*wP`3-9fH6VnqH zcNUGAp3*E1-(qHt5+YiGp<^ie`H51t=jGAh$N$dYEQuN1u9eo80xKcDK(YFCFgVjS zFwjug6*M_@e;b(+%euQG*Y34mT18}Q_bV;q6BPOz2Yu1?bR*|m0+U!iNjG$mEo!E8mrJt%_h-+j%Y)@O3hjrJf29PRf?qU2{$cMXhor*H2JmDmLFe-g&90 zvabnL%;d(~Prl7y|MXgq;TJVpmvSm<80{8884+7=?^p^KFWI0iwjy06IR-zipM}e* zoSPfhxlV-Y*4HfiNL3JHtt_Br+uZcp$Vfet%MzK-*AIlTom$W>fa{~QwxL0m9R(Ue zeaX1$GTJ@yrPK2Sy20z=-j=Jy-;yo(4xqzdw6>PRDr;)ITwSFfdS`yuuo|RC4|?(C zL#{9jK66{6wspiMcwu9-7Vtt-E}Am$uWTBi2oPdz^gU9jyAg+xB~=$4jx6gcjW zPQ_4XO3T$5mc!8Vr0`H6R9YtKhnS=UgH7mLSZ5GYblO_gSxjckiVY-xYLqhO+|clr z;C$<+e`br7Se6+Orgg5d&b=>wSF3+M|9yB2s#_!@`I^NWd43)>{o^S_$;;F8m4?RN z6e$S_h=8q;DM0)kJ3P50Y{r2`Mu>^Bil3PW)n`dlp--ktPEKbxy(LL1%`GjJW}uGR z+)_?rUYhl2k+X8P6S&5n17$EFdU1^0XuzE}C1s7O>U#NZgS~xgJEuvfFCK7sdrwVH zzWcM*SxZs=&*B9I1%)20!~1Ltyo9~wGcz;I%>p4(Q?s+k=GRQ~TCOmlAm-D#8v+5l zzn2;!W$B{OJAgKes9s|(L`+Kx3#xNeo!D>`qO1yUgWzG*G~vm{|`S& z4<)*c3SgO;ns3?0b0mANNrCKbT;a2h)bzC0f-(-_;*OFM*qs1VR#FmVA<9^(`uyB& z2jL|m6dni9IJ;Rl&^vGy4;~sFwRds~y1uq9gxnDXpgx9B1=%=6eemKFVD!7g6m3^( z6+2sPT|e_aGBH8y>=Y8FaCEmOZN&^5;E_dH?XJ0LLPBk> z%(HwqX(??jk7C*;mzQTKe)-Fn);@KiYsKX%!I%{lP8Fc5Z74L?Cvz7bNqXYr)5qDx zRaPPT^!`p*|I*#+4Lw9HIQ#HLaY&e@bwNeP6wPe`gdaT(U1r7NVjq!=EKjDlNs`)) z?pDoQl2POqY5$eL=;-Lw3;a6;`N945Vg4O6F#u4uNEe2Eh7`!(((^%mb7(r%38C6;qmc$a&;Ry4Go4I<_&hwuW5*5LuBV_ zsad;dStWy{@D03AmS8`6-BSY_*a&kjN{4o1@)r74>7te#`*dwK;?5H{wFy9e>P z86%nuER?OyxWI?Ss7c7k+LMXi8@D(|NSib{=&7k;J5!L8gZ8<;p&|S8XI;9|b_LLf zNkObXe*EaBp|$U-kSGH3-2|Q+7DD_%-`d4KF(0U>vDwG=ARr%*}cJ{q+ixiVxAa^wiTkaJyMIG08olRz$ta(kUU8 z&;0aV&2Ip`zOj-3{c5d?UG-G}Sllnf#~=OtIi4#T^q4jBOg)6d#wX+YkX6Jb*jvo> z76%2sA2xT=Ky73-&o)GZ5HqFtCw}PaJvqg2;E;ExZ_y)ttb7uS1y5=s<1v4j0#aDA z`(APVt(SL!X&&C~-KW`khg=Ackiv<;GafBZ-T;w^h@>>4Iu08{L{tc+5(OMc{}ei< zFoN4ShJbm_KdwAxpkUwI2gTdR(o{r5q_3|}MMXt3J4IXDJ1xyLJzdbx*UXJ`aVbd- zk?;2UdZW<i=CXEC$`Thfvj(% z2f>@}IC^)Ld3g#wPFz~~Iqsj%93idS5hfoM1{_K1$j=+91^M~8?vtN|k>J>|7J~20 z5MmXIKSaxEO-$tBW7yk5$;i(1<>d#H=oE7+{l2A+xP^vMypGk+MS`l*(*BAa)G%xu z`!=JfxG+CgW!{1_ho&bXCPx133%?_AW}VHA+dDM0u(^sL@5g(**fjt|#BjUmJU^E- ze(m}GJ>p(0F?*oX?-**p^mS3KCz1)BL@Z7xhM;tp71!gG7&rrHTXBDOPI* z{Qjj?ladImb+I7I2!hG@*As#7&{9Km59x?gpwKg8##+TVx=!q{sQ35ehq!`SM+-G! z-L-6)AGFKD)uEDsVuw8UR9_^#PkTm3%S%eo6sG$65LI75p)ok^?@#)IoWnt@6%tF$ zdVTmmQD?Y(cr6fj_xu2;Rq0rZ<Hj9gyV^=3D+}w?YMMW>(coJzI zN)bLf%GuBd+%Q}UX4lG1Iq~zq~-E|_9@|SQQ5EE}2dllJ} zG|=ne?yjn)rW=jKLCvO~BLQdItQGS)AJC=FTw2Vmk=ZU(UPPc-_y7CnXM3Fu;$^vn zMQR4`?9>m(H%@RZ<}M9YM<*xGrh5DuLv8}}o`MSx6;;1~oHO`~b~H5IO7;|6op})Z zXUTY^WW;;|{M_kh2Rf*5PP0N_b&!2QERFTIJs-J20kPR_u={jP2T22s(Y@4G*L{;bX1o6Hg9qok!Fb~;bB6!7kE3UOtsO(ink7!K|%4S^-dp`qh4pEq8b|5Ka%BEvn^d16IoIVzE{(}arRiAcYCWDFIh#o|>P}80Fj1@zz6NjfCHD_Jd$vJ4&6NvjMS@aE7WYU!Uocd) z`Tj+LBh{uA>Ft5&etw7)N~ACY0}6xGoHuAcsJS#{c8b>K#^Ldl;-9aAuD**gmb*XS=H!7Y zmJM%(*3mlAspRK{#UR4xGoKa5H)<9BQ>fY{JIwyD6JwHU)cF_G~C$+(ui-G2#qZ+S!@?_VcIv>Be?z>%N@jC@=v@;fByYyZWZ_n(Lj}&4PK3 z)bn86?QcO1{ysB`R1bbD&0xCx1sJC!#C^^SV`F1aCr(!0?2h`No8{|Z4r&_13KJyf z8JNOYvrf^n#xR;%)g!A>n4+`Kb)-ZSHzW@Zc5Q7>;BdMqV%L^mF*0b?(%}+0#|)85{GJdzTPDR1I0W<*QoxQ*98x&zuZk=%9{?i_2R^98tZTxx ze226o6;ncbU02ta94^>YE|7d6R0yb_%rIz|?T-?rNDFPQyt8hYt&mM2Ga$)v@7B>i5~Ebgmv_TVjX;B+mCJ z=nuVUE|wVW3ZyT3HVzKnkltPyBL@b^hLLFqneEf`uvhyls3MDoQS>16(gaM8JIuLm z|8_SUq|{}3oiVkBv(R(LE{7heaG#Kjd$Io$0~-C;%L^Bm$s2ReBbRQTMv-DXudb@< zx$)_#)C!U;Y+%w-kGbp+hr%s3j!?*s2(GE)c$DM!@5-oN?pn;>+fO>=`$n%X=a5DZ zxh+rDV^Vrem#;ujmu5%;WmUWD_U87tFNRDD+O)U+ z%xR&apK++1-#PXR?ArZCC7vTDIVyhj_pS5q@3@}1T8pMS1Rq)0u$_q4kW_>(jn38?e5xI>lODop1E0!c;kg^f>awx`j6WG5l{A@<}~RW{KCS|ot)0sI^nW1 zI;P{l|2_O$Xc7!*Tj@VFc^1 znhy@W2FMMMbZf!=mZt9_Dc$>!{Ua*AeIGZE(#I#LwOzfGa<7f{_=PR6u+_;J215mA zypc?-5p;J)XMq>Ud(S>hX`bzTKm{fEWyURL0!iQFwJV}lAwj~>&dv@HmBw}%HNSlg zT(XBBz+&21s-Wgij=X&A_BQ7i(MY%F1|J+anVHe~=NId&M_*B`nMqgUFo5Fq_eF{>2}|NxE9S8Hm{qf6TUSaf_!54)$_J&_5Fo zssWUrxT~R>b8*yLJ{>iU2r0n>#VnIc|3@GZG2L zE8O#cX$D_{(1zMvHv2vcyE{1kC$iew-i~}uHk3d@Ost@&XrQNufC;8@#8Xje&z=X| z2FC&qh|GFcPL8?0e({`xj~Xf(8n`}Er9mG#{{6dbQ&Q^3HfBaUYJVQOFns*NpHV2U zJ}a>h#Tp+Syi>i(g)~?H;NC!r!KK0sW4@YB$$J&N5&*W~_=u>~g%0j49;_K&A2Kic|&Rub+ zHZeg+sh+}E=PvaeLdtw&g&d+5OVW@(V5qEoF~Vf(YqHbqzBlvuF#k&w3NM}N?+fp4 z4^@!+b-dKKE@VHDDStPw8a|X0Sf8Xi8Kyv}5M{=C`{0#M_fw%@{QF_EOPmUWp8iK5 z2pQXl&E~uaw+-$6NM&_m=>pdDV{_nfH3RH6^RR(+_7kmReGBxjrJRim)CH zo*CB3Zn|7V(*J2@#;^rVL?u+A1ML?|5Hi%#XWoyx<29p>txX{jVJacX-R5v%dUNY* z?!b-~^%8LIW+$U7(QX=tV@=rfA(K@pw_M)rv60EkP|}jMms0XwKn>1^NmMnw^-P^Hgp8QM}&0sVKj5n{>t3y}n(%;gvq zL?-vAnaz$a6kpG2VJ>WllJ7mE4Y{wh78#!dA@DN?jYphVVEy6~5BzL8{*JxajuT2e>V4w+JSN|I}K%bx6z3VgpQ6-R|238Y=OhgGoY);OApA@Hl~?VM*IT; zz(Nh)UFCCKyFOijM9fJnu_9AIorsvwGiPi>UJr}ydsSt|KKK0?WL?MV_#G5++=$)| z4k>KD!Ku(w;vltr}w9`gO7PU=Q+9 zp0IgH#=6Z+YzB=mN;L8^k@66}f@-cY#Rza}6xKY&zbsQ~Iq}@cS71GRzP{Z5& zv&VqZ3A+xoYl`w{0_CE7c4KVrtG=nI>a!7o@^Y)7MM5j|E98&KNK=daWxD0SZt*SO zbw>$A?Im}w;?S_s(nhh~Vt)=|et3UeYf?f>na;*t zC|nbcyxg%A5}PV#$gA&6IhmK|aZ3H1l$!Ee)`rd4E9_Pb^@}4W%5K*%ZJpPwFYzt1 z(&T?R-&=WZJToTcJc7-_VCxd^ozv3dO|_NK2M6o6pFeTl?IIG)WeuJoeXXL|pre(M zl8X&RrQ?vPs)ou}IG#pZyv6I4N1MW@nq@Yc7QnQH8)~t#C>_#OT9oK&zL|RV+#zW` z7*k1Jt*G&%E{YG4(c)7pNwt?*PY-i(R#05)-|=tRe?)86g`a-O(3DS3MeQ9rU}7D; zl}YCF<@-zCK(T)nfTl3cSi~U5L9sqPb>LnTk`P>B%Hd$4-YT7 zwNwS(D=P3or$l=Q)M$LsUHY@#|8ljvJKZ3JkIG-l z(~~7Od#dqWQQ9uLSW$AZ#zH;Sd&Bm0tZb7Faz+^qjmz-_svl)KjkZ`TnjGPdc8q8} zpUOC<(cP=e*X3{jnN3Wr>LL)+!sNz3D+>#sOa{aa;Y#iZ1rr^g2)kPp{A~B}f8F^} zzsf3uu85nniW5IxUZb0W%4J@ zxOjqB0N_$)+@h_CM_XG}#m>M`R9DAM%~eZPU*FLICeV%NZkn^<=-r!gjhOP6+X+71 z+1X~1fTkesx)uKE(+b$p4y1wcX-o`egv_-0d@j%p+S}TqAR}YTqFx%B0_O0u`@2JA z!|+JpD@fn>-JU`x?a82phVL78^AX>FkEu{u8$TkvG(kF_m_2{PBK~TrVTlAdgej`(5!{KU^5?f} z)~s5QRY!Pg5E)5>dvi70>9%v*YB0J;0x+M0xf1d!1V1Uh~aa54%E zeEiw&^$kwOYG5@O|y zI<21HOL4Sf!TL~{*Fv0Uscni7k`jdTwFxdb`*nq?z8*?ZFyPrY0JjvFru=hQtb)lXC?d!7!9tfb0h}3O{}bLvx4geHzK%`;)62QIpuq0pT4Vb` zfvqm5-PrfE7i|`wgT9+d3YF+!72Pjm(kQJ>bY3eoZJ+Imrdy`J^KLBoN@|v!S@Hjm zny>3rH8RmeHDU>(vn|10)z{z3`b{`#`&7L>j=qCs zUHp+e`{~ud%Y#}VJ6ZUcAvT)4PZP`Ea%M*gVeOh1H+WA~-OT`x$ii zkP(_UDN#^mtfzl!938#$r)IYaeY``=_wjS{TT8YPUD+KvIZ6z5dBk^#U18=6HK0Em z?v*~;_2VGq2`BkjR?6J;t{P)*r|$GEr$;OS-&4oG(1^*;n`=LU|0@QDew4h~RXHGV z*hR>Lq2f3B;beRiN-B9y$jPNGLpS3-6nC}vTZmQs!Sd)O&R@!0_$Gv$YZG6m0Sfrh=LVG&Zw|cK4-HR+_B&$-S zou>(iCgpk4V`HF#wh}Ei&Pq;62@4GcErzttn;;h#7b`2;M_`El+Q=&=CMF?aMgcG; zuGZ{7*z+4m6{3mpno7u7#@0GPgTpkq`WSWPSRG#S|1Bj;)7dVd;K z_JMg+wsB_bmKr}QIG^oxv{9^U3t^)abCIs0-0Qg4giR9C@`XH7Y^70E)p~VAyG3|8 ztWZ38d}J+S^4U;@LB%E%MNNyRbraIxt68o6r?r{cLFlc2e=c}+0}+R4kj>He3~c30 znkkrd!H+@@xdQ#pf0SwI^|TQ_xv|J~+`LV&w@$VZNN^1EMLRi5Sm|k<3*3H!>)PH{ z%eU>6zMOp8DSzpFzETI)gr|*zQ+aw>J@q1&Sj|!_)mV_hECt-!NNxYAHAVI(T`p(?j#KcI4AQX2+IK32k(bMxMkJIvD zVPUs=cAINIDqzScDk@qJ9zwDu0)WFx;c_?ES_uqWYv@CjnU{f)_69IF_aN2H&BT&m z<;;1y zGuDE9k?AOq5!A-S=I6o{i!2O%xW5NFDc#qv|C1e@rAOi})fWhF&qu=elk&w~6@SRv z#L($3FBtop2* zN+m%-97^*RvtC0ACwT>(?_+#CeM*x(-$!+%u&ahY$j~C~Mdn{w$RBwlyB>(=UJJ$J zA@ujs#8kTkwFpkNN zS~K-RhynC9_xJCIdZl*dW8rYq7U%3kb{Re^0x)Ij&W{#2=eS}J8KVFTT=$nz;h~7j zZA3_3I}>a)FJH3o)c-}zM4sg{wRKe8+$6-462k;QE@BcA)uI_`TB7qotY5a4={x02 zA5}auydJydc)u2;vA$lTDY59EilCP8jOM18j;ht{OyU{MZim?-?OQ)k@UrjUY_){ zwCDm?(P^tS_u5$2)`A3y{H2E12%N0jCX5nHnXn4EdjF;R2{KHqH$&JI5AIdy&#mqvW`7w;h1bF1Go}wO;LL2yjFC9gDNHKchAyXOY3{@N$R7@U`ylzY22H-q z{O11B!osUHPs29|XdnL`lmzPOO`3OCmsLxE?HAAurM}bwLY)6_pC=lvE}NkM)79GQ zBQGEEg7ilo(1_`t19l%!k%SyJQ$02hCoQ{nX-UWxX>9E@{I>Su<+UA zG-!4|O+ZBr48U^NTeVxBE{1L+@|d#DQ0nx6JJ&U6vuO6+Mg{F`k0kZ z#v5S+ndc2Yo6(z>6yoK)(7aH@bao4Da|b&7pR8ZlLSMKjtqy_PMj)nOdiDO~Rq=|i z;*_Dec}`}gp|P>w)jR&}@k4Zs!F0-Oji@?p1Y)(8N_G*H!mO`x5vErvP* z98ibAl>?fO!C1oWLsPo&Ny5qbM7+cpc9dlFG@m2=xSuq)Skr>|BQagl1qlh0gRvw< z+GXix2qU49)V=&8^XZ_@LaTC{LL#@49maH(8>5_}g2hi~>wWQ%5`q-;mDjb^ARsAkk_C>V3%4R^vIKECxZ zxl2^2Mz$40Hac59lZv|X&A+X|>;>~Kwd(4v6a##_P+2lFN15eBgk#;tam4Xfx6H?sh z9Uo_>rIi^M4xhg|p-*FZ9vaL1@smJVs|1kvo#eNBrJ-_jOUa~V;N_z8Atq9k)5(PR zsl&E$QIU}o$>D(Bm}vumjgi&BH~cKbI>fk7Uod~t-Nj{ic(}HuW#P6CSUbVQ;aoW& z%5A*p+1OSv0unwxe(=iZsEP;NJ0mS^4v^bj5!Un!446_zkoD_LT}+328|>3ilMtPq z385;okv#rZoWa1DZg@(d2NA|&$Hx7)XJBoCxH;_^qW!r@2V#V5I0W>rs;a6dlhRE~ zUy}|GQ&CTjNoP$>(5p}l7*46aQ3b^v=JnJ2QNj0xzKB~x!cZv0Ke{F+RN!y)-Z4PZ(U>;d-dK`I5nv^jpp*UTZXP0t@{131qT?# zI*~t4DdN89bJN!oKeqOe-~QP?T~|l8P({!#pKURyzBPyFwAk~{{iM&W_o?h8UB5Cn z9C>pi^=0ZO)hFw26N`M22BAPg51;2-DrwIuXwO1=LysyXrgS0GEVx+BMrtisx>fQ> zUEXZW3Gw=bYMP`*bjZvKsUihd&*gty=cYp)n~qf?G@DBG!i@QeT#_Dw+_S!Ci}HG8 zzOco6qPhL)-hDUzPiXxndwYkznNB{8$%1LlGhp?rlxTYdkV9@Rq{;kj28Tu>#%V)c zU5G76#o@`SRDL~9jYKN!W}~h?Q9D5(lm@P_Gj>Dtd7u!&B!~iDCBVVR*V55x0A~x( zczVd9-LKS`OGe8jfBGaEc<;>~M%xzzimYdWe9_@QBYxTG&-I{JQzskf^Vp*j1XBk5 zatL2tUF9(Odb%)?9ZB+=aB4ypGqZ=Gk1ls25AE+as(A?qT?2b72RKJs61HqH&YJE;)N>4U3&#SuCM!6+)NitNN5>&cz7rO=z7%HX5x5Dvx4F9ta$25UVp=A|!^?fv*bVk~xYf>0{Gy zt2-fqte&2O0#-N`Hnm4_7 zA|p9N>C=3eE8w$;^G$Lr=q}P0LF;@E54??@(=(xxa4tX)v3ldu@Tc1z=L5#ZjHsyK z?rxc@wBnq1G`cO6mZnjjOrWjQ(Wx zXh>p}+TLzfQ1*QmHp_xEg-%nN=TE52QuI}5+umbqwruO(UnsH5UMfmPqhunp&UaU3 zJLspH?GWs(&q>p+nHd8SQQyB5##b?Map6a9|GLZbt;_?vxbErxi2+tQC|4R2)>Wsj z2T6`$cszU&ef!%97zn<<)P{KTd}*N?ImH_m4sUgjLWcDzHuAXlP!)O+weSGBWg_)i*Cs?HWe&lm9qzdgsJA!vZ9(1r=p3OY)zy`T zvt#crQeG55WJ*ozLfOrjZQ2zk9aNp1cuewQ&l{S=xn1)g`A$%Myle6779TUYp;Si3 z8g(t*w=3^fw?PUCsAo6ZkX%B1fNar%*Jh>Byk-q;A}HN zjlY4gkJw2oFJIT4MAt6j^cVjc*AL|m)ytZnr^pHV`@Hj} z_*bd2Tv@q5xqyw?r_^{s7OqoHMmajp+@uN;?fD9im&-`{N)YfN6tnmRFW8CBTW-lg#oM;~o#nT| z@w_*Y9h-Y`aqt7cK~~$n5*pgUReF7`3kbo3=V_SUdlZ9vIoqpc9VYh#5D0xrQbfdJ z;3FW;XzWcypE%Cf(#_9i@q$gk?$_-tC6<%7@Lw+rQ5NsFwyqR4r>2vHF)-QW{0JkO zo3}KuW$4O>_@2|5ILDXR@3(mZD7lao0oz{d$ni8f&7k)m@!M@&f-$+Gj2CcCePY%8 z_HxQl1vIosAcLe;iMeB)j(U1RI4pbHNddpRs&m&mD4mI<*?DCUOyBqc$F?Nc4T1iX zaDz2k8xb6s=fUn^clqq>%-;`G;eY^+89|GFlcSBGt{#JcR9dXy-@P_mdi3=VmSbOrv1{BL|e|6nCak@rV3WQt+KK(>a(K=*M zq*MQUR5K^@N#c+OHo%@dVzU_@3Ge=@84e#s{ek$k&zM5QJ9GG^QXN7+BFMc`~-FUUoO2O7Y3sIq+a1l zBVPC0T@p~YIjs!5zpj;$=HRgMb7DVPqBG+cL)M*`uuIcVAgGNzE~(ePTdA{t$$&C! zB@Z^iE5qNZ`L@_vj<{diwDo^@{t1Yh0di+*KKcB8ibnzD%f(5{+mc(-am4NEs7e9G z57j2z?-^N?Ys@uDYxaPJ@Xvb7yLHe4X$ckz0N(Dsb#Ot!>W?4f(@}UYN0D`OM7Qd{ zfL|X>s_(%yvrE&_iHB&SIYl{3E|;L!ycVw@$1T^7hU+aW4EaS7r8F!aCZba^Q=n)U zF$4@2Z`V4q97_rdPftKmIW;u}aAlyKcO&M8LcSW**wxACl*V9U?q50aZjZ^y>M`XLecPHH<_^et}Cz1AId zR9H^nC@ppJf^-3#)W*QT$_1|EMuWun)NeWdhGP)wTq_uciC^M;T1Z7}6*Wj1aa>2_?TNy^S4<^Vb^*#@0 zQ2YJ+#Pqq0HQn?p2B_Bt^icd8*e3Cf{lK1m2{kuUF4F#tu%MtGb5v5X2i8yly4cJAPCCRmusVPPBtHuBl zHldq2gT5BP6tuOqt+CcJnj@P6Hg*DC(+rMi^VhE-^|FL$QDNib$IOZezr9zgU_gG) z%EIzdz-jsB?_LJGvA((aWRRfUSmyHb@>9rrm8JrS2QEh5&vs>6$P-?*lyWCV4Z&EaW)^({W@1+t# zh{xWNLVXb6WXK6Y4Gry=JIbs%0~J_$+n_^uZuoiR5);nGjzZyid8`b3`<7lqqb;Dl zKh#E8&|rOXoD`0peu;+bdv_<<5tI}3&e9SBfg}OJR8>c*AIKStPIw2wPRz{AOis>s zzh4VhaNZeJ5efKcQ;$RUtJ{r%rsx?7q!90HZ%k`@6id;%Ubju&lOYduf`CP1+U4I0 zF{?8E8yz3!KAhzr(9|(MDy*oO{Qb?XhpYY9`Ns!BidXria8qsOS(DC4l}-mXCL{G( zIl`I&dMpS3xhM*$<`AT&MjKPOYyn|Y?nd44dQhdV@6mjf(@HZ4Rooz6|LR&$RP?X~ zvNI&a#edR&=hv>8iW22{n97q?S6Bb|GjUl?UVe9H$0gwx$XzIn+Qd7HCz(QzmEf`G z6A^AQZEx1#cmlleZh1{$Vj&;!C^}3a&mt!ER=jio5Cb0{A6dL&&$5hMNI-c-old2~ z&hDNf^E9Baf+&qDcy>X1`?3KiIs6V2Q$PGE=b;>-Jl~}M-4|*?bi5Fk;KWMCL((;6 z=?0}dON&gKYpgytC59hr!#Ojla#-|XSN7Rzcg>&45EhK>;{X&av?d7V~T@oFwnKU(OB_FkL<5gF9uFxZm9~LT#TAcxj`A z4;S%p$1F|SnR%RpgI&7KW84PGySXh46qvRPH87;3l7nZX_BT2!q2aJ76 zG;)Z)^w@t>b{GK@3(#UL83SW681gh;z`{G#KPd>7bA5r=eo)z`=F*8I|o7+D<G z^!D+Nb8`c+dHVDK)c-X%H&;@J42Jy!hKx@@uvz=-FR+l}k_(;Udgt#V6?s|FDu1tQ zSb;EW6h+@r#hl8Qic7UBW;obWp{WRLjnuUHSgSqD)Ii;;u3?=mBJJ$l0HA%Ptr?UhSX% z{abYT8_()m!P>#$KSB4QEv;X_&&do*JgZtAE|~wyL>ie}4b2z6~fd?CoWP zHqj7au@lC87bPVVoB?CMrV7>-45619oPWh>SNj(hlmbp*tKB(${jY*{a^#Goro!`c z5BRJ#%>W5!Z4Jz6zKHp_b=&dxkakT+eU=UI#ZI?GRY;&T)z>E`BH~{xfO3(G$ko-+ zmvz0FAZK|w?K?;e?`MKWny{sTXTRBpLIVY;VGj`sGWM;{VP=y9Gaqy-l~aJtRN`8Y zA-&1^k6BFIPK~Jv*x~-}%s64Vh`rw1mZhPgKS5|LI2*vgd=7eM8_D|5%#(4zG=BqB zJ!7ARc{w;H0QeBhrk?&nHL=%W$iOWJQe0G3R36c+wGoyFfJtf`jLh+IGClKhgVQ-| z{j&Qn4k}$|gPYo`*rEIuZ>-)Z6d+36#m&7ZuhqP~4DXl?20zy{eKkFO&z}!b2$7N@ z-hvwC+NM@jz2`%G@uGOenrK?&k_JHh0cz&v_7+fTZm8qfar=Q||FdLJS8*{T5Qa1~ zxDP}@R{Pi1%s{FHy_JkiAF_8Me|{C5(mS}V?Rq5&U7y{dXZhzmF&tXUSI5Qr@7rH_cei28UpT$LDedXW2CaKkS0BBXD5xumEPMLtHDg~qEu>Q7P9-Y9!^0yi zy!7J-FBjM8dqe0+R$HOFf{neIG_Id*AcxR%zj5^Q7aMLJ&SN^#-TUXJ6L ztIUP(+0~rEn;(qq62~uv3_DEg!*7yM6B&5zP8a_DRJ5N=zT!xu^bQJgaGs}4IScvr zQa;t8pfu{&~@ry*}`LV2vIRhhqRf3})1kC5VHRBM+1&)~L zP4Ew_2EQ};M<{_bMZxnj!%Xy5bEFD=EuU5)R09K0IERNz%>4AN3zCe8{JVg6)Uv)` z-QIYuT{o0aQp|{gBcNtQTXE`a!7zRI0V|bDCrS(nF`a~Dy=KAhb#&Qs{@Dad2jC%; zwSVfCKf!)-bJ5)0?#aDqe-H1@mdI`b2JYG4KgW7efP*Q8tSJJq>pIlTTe>6S@^~?i zKd_PCeeZn1*?FvkmQHWvGkV-c4$TnCim-CuA7Lvd%S2n8++fbH6+SL6+Jqi zBm(ZagJMbhz3~zqguLSG8(!D+(=bP=sDcm#J&6u7ERHB5{5}>WN666(!*a*#N~AFe zmN@f#B+`J)_q#FPa(_Y}GJ&Y2IOYgLo#N8-%}Zh7e8WTLW zD&CBLMEKZ$0$$)MJQw0dENBEJ+xa5*ymDhRR6OXI+m8D*^$Vr&(-h6nw~Qk1dsjP4 zko~Y8L?+&S=UiR|0wUgz6=w3sMvM<9Dfq_`v>%NNW@SIzlt6+e$lvcApB&tJ79?!* zRU1m{=~?Nz7&b;xyou8v`o=e{O)k!2j`n$OOpW+iXQx7nymRlrS1SYm)~qe#5WZiR zXy~}{+?k_>vo4GdUtgd9o&0h0SHUJbK8v3R)#k<1Fr@h!{n<;tf!jyFt9G8ct*z4B zU~-B`smcs`4f>0XvFl(A~iydz4p)-7Q^vKWA;dzPrkV@iil;`9i}Wc1?`U9$_IMT}M&xf%ak+O3lJafeXU z(IL$XPEK?$cJuZ(=qZGBEHS<;h>t!)VWdEc#q!eEr}7bO(|)HqVMNdld{s9!`4SfN zRmBJ{AyfkOZ5&vz3Ja=~muo;RGe{N|UN|~^FiP%QdgnDgQTG!CK^S2?X{lqWZ(y(S z2#IxBQu3YSjS@BFooUBcDYm0VM%A94D&}<2)~g0#5>}!r_#-1_x&^oIx;Zhgz9aCz zhi#n9CC4GQW3N3t{b?xr&Wi%Z?LN1Awx`Q+s_NMvJ5&0)a6O4@$VyEkM8Z0n`y;*e zSH+t;!?x>11uqLf2A`JbG2aK>y?;XcPYcU%F6il{tc*N65lr zdu~Uq5OX;^o$XGOg`@#WSV+6@djwbYTb5fpa4LbqoKnTtUKK_U#J=q<839Hn%gfzf z&w@Jahv}4h80LQ6BT zo`Fmz?3yvTn;Wt+_{Yy!2)@+0(7ReRZBBetL=XKBAe}xvk?JVjnh{>-f7H9 zIT1Wv{z^JR)IP5}L&+e4DeOfXN>R4!uZhTnc#9!d3a8nL@{z2fs&a^U{#->R-flk* zQ)LByAY%9t!vf=N@(A_Mvt6WY?6eh;(Ywz*BMwi|Ubk`5t`yErY)sYNjh)OmIo!_N zpIqLY)w+34P>(5*wWzfU2~MCPoGN#kZA0pRYRHsfm!*Y`OW)$jyqA?Anr(+Dy^s z(+GN%bi5k;UL*~`SJH`z42Q{eioGNIN&$!sVXVAGxn1}ywoI?@F`IUYhInUCw|Dpf zKM!Duau0K=I&LIaFw0vZ+0bIrlBEvKO4xE3h|i+({R=r1&t;p1zpzDFuu8$>oJYGP ztl+hJi4pY6!B&RcQj^g5yDFWMm^X4e-<>M;PW^flWqPe$G;?wBR3b?e`E7>sch^Ts zVKNPt!$@}1C^It=3R6~NEdj^_iO0Pbr(*?WY=!#rk1q`)!UK1A&AnNe_@5_~Ad!h0 z?(fH}%SE)d8d@@Fm#lhv%FKLfcdjS9%cN|)+--SXMF6Q1l_kf8mu4JmFs(wyzFGg% zTgZ=kA)J=Xva(_uta=!phq0|MNm+ICAxtWnsilfk)VDb^B!=gprUh%7%5T#fVVBb;}cm1wxvk`fSALp zv`oV&A;J*=AZhM^!c~`lv`6v(XBAm$aSC`I6gD(8R8+7ZdJd0U{v4q~BGtt>R(-%t zQ?<<$7Z1-#e|<0`{FBR!&QnG_$ty1xGM=?gcsYd{DEgK*@N=*BMnONY<$^2{49JnZaZNRg3SflZ^)f!ugVy|)DLj4<{3^(dCi z_Q8=`r9gG$Ku@7}2$40$mhs6+x8WL?P(Ru5HLyCXOr&dk_^02KGxr6l-LMRlIC?`` z)uGqG;DMx3gm&-V#HpD%rd6ip6X*N6=?V{L&9V%JLSohoNxd=`8_li=45DM=wv7$@CQB`pP(Du(AWy*L}3Iov#{l-+^&01Nnv5aPpQEv z2a6@+gZ*{DBGl;-@rsa)R@)vbwsuvbeId z*g2T7f}v0-D~OGijg1+AV0Lu3bux5gwsoZXLF6BF#9)rb4i<1H3p-niE4qe8cFs=x zl$2K${rvmUL=)qm^}wAStgmY^F=mBX!)#!-PL8ZVBUyhE2XOgJjCoA$9Bd4o1PtMD zYYSt;t6ErHY)x3MyUGGLw{x;{G`EBEvHk-6qhdbRtJeJ1y6diAQTtObOx=7d7=9}T4tRbiu$Gc>lay=pk$ z6^%cE{~9&EpG7b+LnoL38wdnF5)O7Y*BlNvbZ~@S zb&#L(A3gkitzC8SdWd)|{hMtWtvxWVeNdiuL%JEzPtKk z1z)9q;Qn6zS6r=sgZq2=UvYn-s@YmN39$W9-Cwf*iX{(p%mpT9VFq&qa5%Y`*f=4; z!wo!8CN?fMCN>BM@Bn$-988?-Am9N5??65`I};m}i-{csW?~0(0}qsmlMQ&-*?>HN z4g>@|04(I{Hz!aIJnS6oY`h=|F%dQ~VK5j91ZfiG5EB;X0EUU+zb*MIrl_5@or4N+oq%0&-!;l_S$~E8)1a|)aRZK!IY3Y@&~*w-6a>unBW1hJ z`H`{%`JCV%FdR%*Sdi-!m{efGfE*wNayYm-LDwlTp};#Zoj?jO0E8W2kE;}b2U6%& z$_+pQ=~Z3q0JB`BSGBMMOmvk3xIlW13$PFem;)%iN`ck_>5m-9^*i@f3I*B;q}MP| zPT(EFc9jnS*bPVlrsM!a0h(9o6)u$f3KviqyH2lQpwMd=DERvQiW>Bq8Weg}Bfxvt zDS!(c$y`hT<1zuv&cww8Vd7?jG66#a1_K@&nZWE!U=AjLkpUnugbCn!HUJh_3&2tU zRtYDtU;usxmIlD_yli3|62J&?L&Z5E5~5I$Fc>7v&Ls|(fO3O{g~0%uUr*+rX6(P4 z&41pe{{DRZS^Uoo|Fekan%jVT1WbUPkM-}ef8)Vl#nPgpiVk+B7S=EUM`cM73Tbgs zE-;jfi{oJuGOMVat<%*V zmx7J+`nR$ja7e%?K&&FxFqp}|q$2F#^e@ZgU?vuZ|FTro!NTTW7G7=hS63WIKw9~= zKV1D#0a1WCuDYl!AiydCG#bcMRRuCRf3#aw6$}9U=##1{8wD5yx$cjuDmw-A$Ezrt z{uMmiA4GtOHCBN+J!VxDlVDYaxjE^win}>Us$BISATD`b;}7DJDp$n0uL(*5_hc{# z`hy72mg}pTqM;c~;CfQ7MXoEXA0pQuVis_eu(L{7SUbTSSS7513dCT>SG@RB){+Xz z(%7(_;wJJzcz?^6Crl!p?&V7&|C^6Pi0QL!vUiQj%tQSx8OyTYE=RpGWm9+`Ot9^O zZ%hS=$I2npiU0=bDX!TgK~4x5Ielec;qZ}01#p%dJ%8?Xky(_{jL4lLYMC6ZCp=R*${ z4>n@DxS)yEMwCV5Iy0mXfxpB7j9kZ`cT`LW4pp>oXKu8wj$Ot{d!a>}7`#j)s26o_ z!|R~IzmIyK*!9%G)!iMed!Vpvs&qb~-&eBY7;Z1{TK3aszECFkWw>AyRfuv5`qGHG zSH;GOLxnIzJckLd5^XPW?}anMkpPW5K|v-f_#w0-+(34vRe`U9J}IF||7ZZ=qNCMQ zc7*3Fedptxzux0JXddB=cZ~MwRLsnioisZug!L9Ju$0M5S7i+Y_<9M{^GgYz_9z&H zxQ5)SZ!6bXT%Wc+x}&GMD_xjW!_sRnKkRT8M^bPz6?h*?Ofm7z9+D^FDOS%8s?pS78XC;&WUAZm^0#KwPj2pSpxNYiV#U}XiPY(B z#^p})+cJzZc~;sLz`O<5^Lx=5Ap48*6bDv$6P!KUYO~@f$x$Zt+%mg0!UeYv*1z7H zwCjci^)<45ejf$nGge9gX<6#CsG*pRCL`(yJ%1C5cF2?ra#_oY#wv8dRY8Nhc@qVd<_~=3ry4Kmm`4m4WXgUL45F zj1?s^X6vUP;LO85bI1-`ujJY6pZHSi&*Q9GyKlmpj?T6k+lIy~#iGErD$pE`5 z9wsNzrxu%+P2cZmWAt{nc4CK!c2Q>C46Q-=byu>4Y;px!g8(#Lt#nvNifzYGn^#OO z&pZH6=F_XC;aW~pL3(D0;=8P4!->YH_8$$)M+h$DaANhxzpz#JMm6cV)StUAcNNty zITiIOLh3qRpl&0E<%>B!jvb>6X?J}5S=h^mGz_90^o&0UDxr_R=um4tsfI~B(sO5J zarKqwLwVV_n}QEjyy6+VS+YabPns>54DZUlkOn|!EeLK?9TE zhM@N%1}ms;nlCNxi}Xik(2XL4lZml9SCJ(o=ALAdEP%&SJZ5N!WSK*8<%t zQi*gt=f_~>Z3xJ~*`Zk3&pER1^Ad_{s)fZO4Tcf60$I#3%FHM)XUiQt8#Nza zduxb0-^I<-i7q%Q_)xWI7%(*=L=%9CT3_@vmoQ~uBzJO9%6hGKBt1iFP#9!tvDidu zg5pw@MM-JBGU*ctGknqFlDdepQcJZ1@@gb1feCv;=Q}fhW0x5B5rj|@i>Kl+9zVN;rB?# z88&(tHfnC~?qsgMFkl}Q{>s1HgNSkXZn&#`cwloG50NB^9chGdpe-HHoJ?XA8m;?E z)d8Ondoo2U@KT=#m}o!yfuY*L%bndta<{?1=Q~iRJEMQee_m6gb#}-pj^abz@mL?9FjZjs z!l9w&KsDO<_7k6!rPr!5Ypkx^l843)z6S}}T*D&~d1qXA1U~Vo9+-QiH05-o^)6^= znfK^|9Ap<4Z1umgu(D?N+!x3v8c980KJ&nuMOWebaac)CFSKCII+F{1RZIPpB!op< zwk!QeVdrVivb!iZpjIZfN+5Uyvg?R!p-9H()^m)|9~scmE%IY*+sZw~e&#`9hu?1T zsw3%fhO`TsiU#u+e+@HQ<$a;gSqV+Z+8=X^P(>7^Zzx2}1t7W+imL1j$)|NowSm7w z-A%P|Ius1oEnvv#Ewb$&QD<6_Kk0F}s;T^9wlqWXwntM0 z)l2&t=xvWU5?aJbiE!}pPLE%dIn~ZbgJcBJ1gs4>rja(?#2N+dY^{en55-fh45y%! z!A?iUoWnV;IJZ672at-pbYur_&Z=JGChg5b{mK3LTd)q7YT2!#DJ?-V`oS zY`)@_ooFswW*a`cRi-u)h42KFe1kX7c_6;GVyrlF~RPJ8=gIP_Czc8TKqr{$|- zgm|IDYD^H_TNzVdBx#Ti(x%Y&O=)NC1bt6F-DEh?Q}a|6_2!RbUESPRI45(`B5Kcn zdw{gF`R1d9I zw*~WwMiYYXdt0Tx+c!wAG#&dcQnMLnrR7DE+=(k3RxqGR;i|ADT3h&CSTUeA#-+P` zQ}tWiuC9uYq=M(-pjfTy3q7v7#_7?z@)8~cnmeqpUYYJ5xm!R?is0;`Z~>K*kw}Nx zN@e`XC*SuL$TRJSL}Zn=k=Ccs9ZrKnPG>7uZx>xkdQ5zOh=OQ%M0`Zfx6muTLj`{L zXd~Ss`d~PW7_IUp1BdzK#aUw=Z!1<{hJ{@@Z=cUjV?PF%jSMOziyE6(KCHLZcSzfx zX|S*?p5VPm-&7k~c~-vCGK!aEn|e=RGZrbg^pqyuRGw~tOACd=*+As6R7B$FpbOwB zUOfR-mO1deV+LB%F^kzAWZgd!<62wDe`b*SP*>8HwG&aPa=EEv%-%oK#(O$R#M49L;uc48gZ+o%jHYdD*{Nl^a?`_BTv{&p_rm>%(lv5)JqT_S- z?_&G9m(}j4-EA_yG@yH&mD^N%B)e`@*!WUT!_|A_MOn$CRmPQtR1~+nN&BO<L{cdv4MH`zBGS3-Tr9zQ0FA z^zET6AMV|!FO25S$1xF0wh`DH_zAX_m)rC2riOaRG)BaYZI_hr_xHs0&=i(%%`wF< zpOV#MPIGkS_3)add$wf9_3eC+>+$W1rVg7Hb90#D4~Kgeb~q^9zSXUgTOQafy&!aZ zrN_uK)+5Xq`PMQCH!=age?D|L>%o?ki((b%D{A2>!uF`{z_vl8?{o7Nge0WTMs>8a zcQ!4APRjzytvVYE(#N+v*f0Q7>zP|#7jEoL6Xa9+rd}BAke323Lz`yy^_urS(I4&j z2W{XRFWPOtwmTe#IH3yg-LMEdP1yWgHumMDn>VNFMI4RC)2{;Gbz7NMpUhdQB`p}? z)TTJ?zV_8vvQopVq2Z*rUsx|=wwz}$t>xJSk8n;4VirFmAJ&Chq>~y*Vr9(`ZlNe3 zW09@fwj~-3JJ>%-)hm9*_6BQhLN!ogJycF?sP!S~2kt$c0WI@b*!bt0dGONUgT6Iw z1YPX2-~^XV!p<^y|08f;mB-62sJb&IOb$fM`{+4Kv%Nw##Vg&}p=ax5c=*pL>XgM|3)DH8>#I(2L zDqrfL<=AUUg$t9^xf8tBX?bKXowQIM^&v>)L(3Bx5xPhBCFF!r3NIO^HB%R`l31m0yjrCHE{_Z2+#P?^>r z`Pf&D7=yp;5wR2*tPPA=7=%CRk+3uxysCT99(Z?Hv*a5T*;^&)VwYB%fYV#y#rgSNS0f%U&%XUJ3?DjP#M(WkX9-c! z;VK7bEl;U4tm6U0^XL2}ApfPzJV9K<(%^_&R?w0XNrc(U{PKC_QA(lK_8YP4@{URaEA@kTqDQ^m_ZR60n8G9ZF9jFU z;$@NB5i^oH1a?c$4GPEYPY=c-&4izjv!3i8BIQN~&w?`DKh2>l2*x)CK5~$iNl2jc zy-kP+&8C-@RRsliJIFq^W`X1OrM1vyT7=80as-6dhi7wgDDB>5=rT{Zg&*@VZGj@w z;!sYN2SP04ykuOPef(;@SLb^t4vo{Ps@IRgP?RaA(7fmYhbT2!6>n`)DC zbEa6iY<37Grv7Zl4|mS*DlX3=>h<@1pvF0>J#RBug38;UWmrfQoyuGV!nnx}b^rhEGJ z7bNm_B5{WewdW)46`5mIm3yqNzvIPX85(cjN;d~WhNv$esSZEhv#GE5@%7$v*K5>a zG4!sw*%|PyDZ;>WnaQtcG`4RQkhSFiyI;5hT_ad$hYD zaW^J>rNSV+C_TiT6kqjxwY#g?iq;q|NSj2P`nha{FqL&#$Er`J(<>;`QIV<2*tq$- z9=xJemMddNHBtH7|!)SBo1P?-0teJcH z*!pfQKc_-{`lF@@x<0Bm>{td_6JkvdvkrIndWi@ZpGLuiO;HT&i}$O>5EtjWCVOi1 z0%ui;hcPqbb02#pEhkz@t$xwk-Dk=ot8xN9DjcqTOr{W1j8Q;H1U*pidZ>Lw6@#ZP zuqL}+Wlw}P;NnJ?LB8K34|o`@bQn9wUkeixf78vXo*{Db`eAdGX+hBVKK)2tvT#*MO$1tW%_FNam1 z`e&p<_{VdhzUp4(Ipa^f#J!yCVi9_L!bKOv?LHm8>P63Zd&v6u({wDMc_EtW;UTB~ znOF#?QRiz=8@@NwAq}p1-TG(hDlX@@xf?5}iTTEjr0}@CrQO7Q=g1QQBL4J!5ROZq z4=D|hI9uZS z(S)q`J=Ocfv>}OR!X_qT+!qa$lWoK~f=rA|WWxq3d<&m<{CL~>8PEk4qTAQ~^s{9v zG&OZ)<{Hf}jnwwj?{!fL4;ke?DvJgQ4>q92$fOp$B~2H4&YQy!R<^EPrnQwnU!r2k z_y~5WKaH=AaHtDexP2sc2v%no4~Xfnr_+2euicSRJn+=BiVC}3g@3T+eL8xLN24|F_di@l>2da;wg?@e zx&_~TO^;0Y>EOda0P<9(jyc8$jE5L6Cp))oMWkL#f`+U}TB~~wS1#ke$|dwNlpzc@ z(AYKfm$3^DPh4oTGGWaK2aq4|M4cz|UR5=wLtI2*r)G9mBe(-IS}7tN`u z>bioqrQ2#qa+Vv{D3(?DZ7-z*gV|DdO}aBFmAbixH!h5}Rkdz6``nB}PNjeIfBG94;J*3qzlB|m$DiaWFgqCZZ@z_n)Ub(M;KI2;I>!Eno5h?M&&@i5 zhFUYT?!hx7Lp2kenJ>E#RQUofZy@gL-T7QDiHX1?Cf^eFvZ|AP@wHiseIt~m2FDGt zCEjnYkC-mWj{6RhfZG7KAGy)l0Q%uKeH|%A_z1bGh5t(^_hIVs(~)EU{vJ8{J?0Ye zlqVmOPgCKo`3|Nbj;YivZZG&2W2xJ>y26H|ROf2ksCl@~`xv^r<6)KpvK$bF^ z3{y8zHqqb3SjS`2`xZp?*1dTimYnG~sVTrn!`{4yU`m63XZ4m$$;uLB%Vmt6l@xbv zVhowMH7H<#f?G%9{K#?ajla*KePC}I-HK#y!reXHK2JR=tH`ofsEOypLp)wZRKsli zb&pEQ^7aed2UiuhmHR&y%F(s6B2adis#S9JB@J7#YTPkpnnt0CqO-_&e4e?}kz2;5-%IU9M&zz>eVP$RLEfMm- zGEbgdG}&}-ZOq*oMVZ>-au0RZC6bFWVAgsH+l?um4*TL}7nV>`DiBxLJ;d9DrXxyE zB;VML{?^|{Ysr~jRjx%+sTgs}wl>#*q$Xf(3PRDn#r$CBmagk93{$Pug*fftS6JDr zY#Ib*qTUk$9nQv_~${>ZW8b%f4z;}nR4@! z?9+vCyVV;HzsMJvz{)5BrP_v@u%EqtLS+iScXO2comvVD4O{{;?%J6E1`7}B^u%!C zeijM9<3Dc61Y`5&`M;a z?JGpgH6d}mw$}OUT#HY&r;B)aWrXy5)U#;Ew}{XO#nyUe3#0BivHekR`u8@7jNYWr zs(uw}DP++oz=TBbOrcBbJ<+I_7!>kBip{RiUn(T%KYE~0ySB^JqgbiUy&_bAroV6z zgle(Ev!z4|A>@@BE7Y{N*?qkQnK?!Dpp|~x`6jMO;2=x>&U_vr^U#wbmz)%$m$IIj zc9SRtwX_9Qy|(75{%Bm%Omw!y1oPWN{ zIVeB&AhT1)mnnH~dbrKer`DriudBGNAY@?4!?d9(16(wX{_YU>j!?mH{SF?^1=fuO zAJw_k>1~<%%X=Z05NSxrYweI@ecI32#WHtXrCW8`<{Mud@;y%_)YoswJKA1nh+E{LGt7 z(K>Qs<->%}*^!2keO3Z;v3F{aIIGS_z4xuB_1mo-iYAUY)Qi4+Twyq1xXs(FFjj$q zWnB?>jH>v6eFTgf9&c7D z|M|#b2edr?SB@<9AOCOr|IU%6sbFLYGrrRBkhTF){7_T@PM3eB5CSNNT&ZXLhsq)U z?t}yC1e7^M0Yw==iw5T4WO1#GA}0z0n)44Gc?$MFDg#>eALxwytF!TX;Qw?sLb#y+ z=4i}Pv$0DA0Y~Fm@M*jh^AQqRe7=-_V_|guK9U7_wuO-pSvGa$i?@S5=Y1W1L)kI~ zNOxM2x6h^>BYOix{rs{po;(v);h8nq;^Pi}1tu3+yM#}YS@?ZFW3p#>SqpXN}*l3R8&BY}_c*sr@;Jn|Fq2zy}he15H>JL;`ah{uIe z_HCSq?g;D-9eU{-Ony$wvI|G}G(+F39bfWjT!sZUBBO4-Q!zbbvmZ*T1lJ}=Lj0#S?~~c?GdEt_Gsx{=>@c&6_&%PAD_mPb)_BFs!C*% z8>%2B22Dro5TE$ZOUhx0cHF^$n&22(H#*dRBlKS+b?2!SLeX%`dxw88RB2#xCm<+% z`Wd?1H{1t&6m60Afr$DjxJN7`Sf3kCbCUZbc~aj>vrEpUCF`G$?G`3Zt&}^m5A=TX zzAH`4JFaCmb`iIs7~K2DJ^On6Q-l2iBzjt^{ChI%9CK&_}^X|&Sj=nPSy~3fDQLJg(_c#HS?-fG#xIA@i zDHubut*0!#k@uN(d>hOgWSoONFcZa5RUSP2c6tg!U0Hcig#IkCP+L@I@iY8pHb+uc zSUG)qiw)AqyWEug1@L2$g2UdMq;zqp|6}&jU^mXAJmaT^8aac||{zkuOkr-NF2>{pp;BDzeqXk#Y3v zYm$wj+9&paca7z#b9c39ZSZbqp4?4(7mi6w0G)|cI<6%(Unz{v z)k}WzOFauhi229_Om$pTMuw zQvV+Os^k~=UyUdDDX*<3L^*}oxW%|cLE>Vf*VYqYE-_IKaS2fny9A&t&UHNmKX88; zJNy|}?BC)3FtE9{jp3*KhZzkUSd|UT!@sb)JEO|3|D2#Dzsf z0Lv7BG1)joImI|R#X(RJVJ@&J7bh3HC>!^6>#jAj|Dxs(j5g@6rN1=!fq~cqh22ozZq2hdE*lKFE=iVAIQJVpZ-(iYwh>HvwitjdhdU8*#9zS zmA=+nXH|v)>ePVk&o#>eHZ4vL7REmfU9Ye5KTTZ$TaCZFU9-v?+5k4Ozg_OHHN;s( zESww_VGg2pHgG%JE0uZf-z1QK$Q!I07AAlhEwHv&f2ec=>h(5%Xso_wuUkprg=QUkmc>AhY8JjanOq%I%o^0nce6q(~0cn&B_?@_A&T6+G=NCmj zQ(Fj^t6WT@i54v73)CHl>AULZysxtwgB{NhYFXdDNWwa>bSWj;R4h-lS) z(Y5L*USsu@))Es}2JYGb*Ah=<9Q=BR%MDMacymL#k~>_&?Ysfow;*+C7gKLoO$w^oAk|0oLa<|ZdWIg zMoYsk~Oa@I{py^8R;$g7Bspr8XI1!Z(fI9!PbySi>)`0-1Uxc%Vu7~E16{ZO zCOD_a(Cp^`P3M~9AhUEo!TektoY5V}NL!!LQ`D~_uX++em(&c@l(Eg6l)Pmtt`c!v zaY%0_xqZhG@1Ac1%Lbfpu+ry%M)m!c_^nkyda5>#iHd#7`z z|Js_G%WzV{`mTtQ-$tJclwTvMK%48`jPJangYb#gv z>&*!y)zOMqyiCOdFDT;cSI)3J>3Ge@JUp*^7@#gQ!Lv8RIekA7?+&j`q01-YL^-iH zL?2I@ZWMMtaSw9F9enr-nixa=0mY=xmR7M%WFYtv65ia1m+|0?_!`B13Y!;ha3x`W zCD!P(M|_rAOnf|es3Ybt%62pvys+3xl?X ziX%=HrL|SBY2DZqEoco;ZSSpG^PF3$7(xPzxHcn0VaD|8M2vxBOBrY~)Yo==BTxxE*?ywbz3W{6*t`u%#vt>9OirDx3 zdE;w#UlY)Gz74M|<+Y;6v(z-bB!t|Ct($^gpT0|X9Zi95wagEj8=SYsNd)+dJf@5j zd>++055!?9zr3$_aza-rDmsstLGtvDI5+k^o>wt3gzzmFDWdHRW9V}aK3Q$;K}D;0 zct>Ygy+BaSc4OW8QWwwoM0Zb5@be(O>bl2CFNCQ4@#!q>>|8}J`85x}R_WIr5I0<4 z+t}DNkC}apFSDK~hdP$8^c}y}4sdOVYC7IY2XpQ(-!uoO>hABK6c*Nhn7=zqW-5e| zq*3y@t6$$B@a0{8ATBRDG0PdX^MQHu#HUZCCS5Q6tSXe^qRowW%dn7xRAS|WYg&Wx zz4y9f7!{JZ0q4WdfgqZh5GR+HDD$tnQff+hrU3_{3+-VZ4Hq2|Wd0jFXPcXw)6+Vd zrOL|6&+KAMw74N?m7u7qDv8Y3i#y3fmj?h5z(pbNkp~d?T~&1n-mx+5&58UmwGr|h z^3e~68)7}Kt+rpMB1U=_!&_L2M;3}NWmt9JRT?xuncF}`MV*H9%JmRhjoEU0RE8df8UW1rfMtnLJ_e&zvb3`D`^EX`j`7;yoA&nhHe{0Fz}bED zeBk5h1~nRO_Iy(B3{RMb4O9T2FBkdSbv)jaDFjo}3CF5sUR%3*90-kLY$exJ_`r{4Rx z3UH;f$`wXoLI%OQ2SjHdS$~y9Z9xrpNZJQnx<^Dr08Ub|QiL9LM;cy!?CMJ4v?6K^ z{F=IWOJ2&|*Ewb_`X#YtD~8nYEcwYyA|&oEe|Ia?_3PQU714R8aa1Z=Azbr;jPR1i z6W%jA7M7Wc;NYQoKXyFTspwO5^te=hPc)yU<@1bpC)ApXM7c@wQp|#PW2VIG35bwh zz9IlDPx1?RtKD|-xzly{?vG3Clo{0Ol$uZw-Pnfjj8G6MsryggfT5AA={3SGFCuqy zf=AXz+Eaa$#dj>JU3diOUBS@K)1-57@?~(L{habzyrNgzEy!ekRQet8T27%~_KHh7RO*kbg9ixR;#KVhqC8`n4+W#N8?fYlOT3nqSm?>TTD`S zSCDMqpC9N0Taaj{$k1cG#+)$$*r&_)N7|CxcOMv_JW&)vM+;r> z*l9;=$sl6FrlAX!7=9FnLZGOrDRh5NdAQ|mib0T?D<|c6sA3*vS{7*38?$Q-{g z#Y&F4#9UItFJF~UTt+td!j&av5t3fvW%Kk_k z6NMmbP4>$ms&X6%I!_RG9268ZSbhb+?%h`On%A}X>C@Zvk1_{{n9CuYBV?FKlu8Of zFthJDH$k^WTb2cY|DY%;J1V{67f zwA4%Vz`rRZHAy8~w$^^JBm53K9dh^Lt|<^2?nWEMv**wI0+6wXM@FRKXov`aL)=Ye z4s|$-RypF!nDX8a9i|nnt*z4X(0L40dl>}<-+;(s?WzZ?tX3Rw39&#RUQ=Up&RB_S zUsqQKMg(dS;0#%jrVP=K&BVwEVHq_T|EyGQhGG*3v3t4FVhHDWzHo80gu^RWYeCg39+3bsDM_O={Tq@L_hft(wvSp9lBU zRBFp}V;C`e6Dti(`{d-!IRdnet4N_%1`pKKMgW(}35ki3kR<%EQ>*RgwD;p{yeZ&& zZpi|l$ynkDj3b+9gIksEzpHF%95m+#*2*&zquX^=j%G|R@(K%YxMsb0fs-W5{gtBD z0*yR^Fo~E|&&k1|C38I4F?f50A{~ehRA)CUuuvx;&`7J`Ac1WrA|j%xNdiVI=VD+; z>3#0(;_@`{0oZBjLsU~!Q`r;8%Zqa$#zvdDW5v=^muOU!q2f(+G_+?A{Mx3bJkREq zc2i0<8K0Rf94yBPd|OGBkVHP*-^V>CN$uz`T|}h48(*nQf0FnCn3Eeh2TT5phb3fQ zZ(k0KMV{K-iO7GkfCx1id^Mq9I zrwH=7lujOkbyB@K8lxC7@f=A6>;dVr){#U!^I&T8K5tI+3n zXn800!tfbKQsl^|B;zRwo;y=2jh`n%K(BDcX}>LvKnmF&f_x z9KR9Y@qH}-UaWVH*LUILWaYXkG#~yf&F@>?Hg{vADm*mm>jQ53Xj7qZEV5vBx@Q<> zUS||ZAQhw4qg`QcbaYq?YF%*#CBD2h)il2%cV-6N9G|@rPG3@zAw1nU4^Xdg3zS4z&?LB#f-wcRW0=W#S$c7TJVcXjM2RB$6qlHa3}*n>2?o z?~AF4eXo)KkRU!IHM#1vrLV}%%S$*sOgCq}X6e|sgX>gn5LrH_s;qcoxHylb5|QQU z_vUF^z%U{9vxdH{LY(RLv+_AaUIVg5+j)lg=TaOfw6IBh$O2qzZ#SVL-WNyykyhA- z-LuiLG5l@pqM}vQ%gGju~qj|`T$`8 za13_?V|T_rrmDFaEcx84)r1Wl9X%wyn!*a26c`_mLPnMdga9`(*8v>0!HR;A(98fw z{~Sr)S)l2RlRM1aJB_br-!mEiTKCUn-c2B-1 zI$LxbaX(WcN-{{5ee5=RZa0`1)H6Aqu;AzG9dIh?=g217u-U(MiywdaRn>Gh?P%A1 z?r?`@dK>C)I{u?oOdNg`$f?@dAsx7c0KFyF+m=3lw)L z?k>gMix+oy`1AhrWhOhbnaxddZ}#YO&XZ>XLr*IKXtVF%_q&4-FBrN2FYUXHU~Oyb z3gE5*^vv*)aJR}ilgrBhn>IfP1p53rezpP%dAzUwMaH7rz{19MMnh%(=jYF#dt0Oj*3UKg%LxNDlFXvt zRd|6d!4=%&Eqv5G_v?S5=|Xm0{5)+}*basGdOet`NFtp283HeBxKZuW2EgxvNv0rf zV&~?tyzC|*EfXM#n7`XssApmX51`8wO@7h`#7iM~>x_SuE?Y$bHQPT*+An*D2 z&IpLPDQWW|`Nzb7rKAAf&jEmx*3^`imI0)gIqc%*20R(y;_h#4!NdX<1^_YsZCI$R zOs4zJP6N@@t@mRSb#6>IdIA*_pl28|-pkTLU{F3_qiqs9P=ET2MQLZ`U$j9YVH*Ey zW51I< z!Zaw<|Avc;3(k4-;T>}@D$wkdBne<@>Fy4wY+$Ns&%F92Z{Btoe*%k}H{X&@*Tw8Se-n>hqLSGD=CGX(o_Uq6r*y*1PU$`$K zB4TOlySCmi%&^eVnkAcrgXf1UbMrDFEEv#*Tq*2&oYvULYfI_p=O-iH=Y~kv-7O$4 z?p9hXGn4@9U)!8+VcEQ~*$Z>dqoLqmQ&>2#umCvqgl7n{OgudE)6+GjrNhI+D3Rnw z+-y}!3bxmS!JHNQrEfci;lLALr*D&eYY*T;MzBzKnwxGCE}9g9X2)hZjBZx{fPm2( zsSVClHU)k7S66-TU2@-l_4aNHdf|l)!;Npd?mPDf-g{bCB_*Xl!^45!yA1T2D7pY@ zZG)Cs1L-hx^QFyTp1xe5nXD2+U&Yt2U+Ka5t;r!o7)tqWJZ7g&6Ihc-PWW1P>Qia}x_21H&(!Mw=y`F^I+_ zW(VMeO9;gwOTOM;sDjA;ne?PzvRkW~gOn4K;wnat3UI%ypO%H#4x===tlET~@Vw^B zvV)ixlQk}m!XK;4SY}!fo}G?r`21q#`b74kIRrQHKB7X!!#=3?R6KlmU+Ko?apKeY z17Y0XKTbT%x6q|ev~O(ec$7PhvmZI9JFcwRa> zc};qz8<5-UGdcrRU$eMcjJ<}2Kko9@?HdTPbPr1G@wvkSG! z0TeTf(}BJq5VZg@oK5l7MFK_ekm*2w|L628DmkPO1u-$PB5-Dpyve|iW|yOsfPnYe zvA(7tCnglV2}E3OyK;neFP3s2pXsJ-0tIRvw@*5I-0R8zt*@8c$Z@X^lfgCu*nQWh zpTeJsORb$uUgGks!Q9@cW6-hn2k+i=9)+Tg;g%Q-u(QN(|= zTFyOGJ+gS82=Se1e(GFnP+*d?fMh=qOlofSy8%|3_jjOg*Ukn& z7g*l6h4@v^$H(W7w7xz=S4jmcv97Xm!0H|lt|B2JnY_P;INoP+VuFzRzvNE070%@s z7FeY%!v}53tmh3uVmU~7qk#t~s;T*Vsdcth_%%0T=(NUjezG*z+cor)qH@;vnM-o*{TD%#4#US7+^xStA+8X#0xn$-Ns0= zD|BCq^BM}@JX>fJZz*LtobLajRT0T>(F$SgEj>r+R#GOIF}Zx46Y-f~K;bqEPE>yz zJ?!&LP><=wPE>g^(+JB_1HyeQBNni> zyu^5c?~0`s@Yo`v=|+8tBBQvfK=aZ{66yIH$ymnW#tY*MLppSRLS{m{tERW7feeHN zUe7a}n#t(FV2}~x^aq4jMGqOamXVb7ojtjY>l^gN zMmCryPA$04S%QLkGt;z?kPg%0+%e%$KXJ%Sf9990j)ttU*^dN|s1YUDQWp;i6~iMr`To}bs@Vj=ow}-v zqt@BK_&kSbYw>3)LK$wOzvBhW7MFev#VswF-I7~kyFBDmXb}%%C9>ZF&47b)qz8-44$o9VDpEu%alDPl;K+G3COp~ zNlLL#*xhsIAeH>4mg-$){-dw5CVX}2G=-H=JMKGN8WqYv&fl)d7kL*0tM~Nb{A7Zg zs8v}DCWqRy;O$}Cr>nyR7stZt>-G8EYFJtPm#K?zWp`+l3gIkq>mo19@sGB)atC8F zm2`9@1)NT=o`h4yC5n-r{&i1fF;43VtJ@wTwJBB)dAe=0S%%@7yqd|aE)0=oJe;%( zY(Atx7= z`O1BB8yVJ+o~{iBV{pO1!qR-8g{X|^XlgF>^-28mW3o23-CX!agNh?7w7_BOx&&}9 z!O_`jXF%R!{q#j-mNya3~*7vqPskCHPa&9(_Ol;S=IH22V>)w|3 za5Cn{%*d!6mLB$1tO+%=6UodVI8FwK{f!Wyed()3mEiGnZ0R8CeDk^HQ1F zYq)%x)^yFVKWBHLvnn>L&|+E3#P=v?h~}ENPI+-XitclkVzQn&ykGg+igm!@*Q7EE zU2Appw;x|$l955ZED>~!HwxypSc_4`GJgk1_WC+AJ)e7buiLE0ak`<~s6&B-;jpwA z3S(=eY;k;I5#QHU%|eZuK0z7Vk+SHaR+M!a6{0g2>6v(#2ZtY@I}BwAXy^%zwi+yd zhXuCLf>v~tiAQvfalw$if}jY+$~(K0Gqk;n);)21=^58G(;B)z)1+_tJs!1!cNzb% zmVb}XkHtEyM%P{cL!GuHY3+mZj0KLW`kSuibL%7g(5SyZPWtq0iA^YQ z|Cps0G|qgrGu>S;7f3^dkRUECk+48AS{*O{T(~!OqR`V}8YIyQw9%SkYOxq0kF=*vY97+di-xK2 zE#tLbPtv9!8apx($XR*aZ(%3s+lX(vD7Q<2!!wMmC{hMa12%Jmq~v}bL$8i#(l<%x z@dE~_NEQmUII6GOeT#t%HF)_nNKBx>8ip^**kXD(sJ}tu-5(gtuqVG_N29UB;e6q^ zd!P_AG{~Y@QB8d$$JiTrIlVh=z4PhxdUJb9Fj`V1gYNK-*D~Sa@APnrOjulUga%y= zwCiR`i{tIQhKv`ZGDS9D{=S(VjZ z&9OUCA2R6qzHU-$3@z{X*I}YNDp9y1QP8)n20d4i_{RP-?Y9vDCwy1Pf{<9@5Z;bzR@KT6W@LV>A)!|l#uDgMUdO69?+NJ<_6%p&CAQ13>%5B@7(C$94%pv z*`}a9jvyIXW}dc%J>1@CTU6Q8YzU%tizr#8-nA2jvye7yl#3COtwh$(Ce-=y2m);u zIA}~;&^Axng=OcIed~`5nqeL3Ea=RjZCqws`MZdA;{dS}CN`Y+OsC~tNjxz=hy>2M zbGS_K@StsuzwKZlG@SMO2)T_A`|G2`m(vP6*Ue+{W5WD5N@A5T7niBUUe7k8UVsQZ zG?qe^nF-~~7aj~uOp(=bBlae}%7AV%VyI#wvMmt%vrZ#5M25~|z7`4ayUxj2hllF7 ztl5F)`f&!IU|~y>JjE5XPp#pLM5#6|+?8Ap4iA?X7Xex{T=}l0_4SnarlQXM@MGj^ z(GltQI<}liU90r)t!Xf5jNz*MC>!TMnD^sHGE&mOD}s7n9B_bWp!MNds$LTW;49Bm z%DQmB;pWCqeE6E^u9(5Y%Fd4F>w=HZ4lvt8p;R~#lYrwO^Y!cFemKXEX*J|^xF0{d z>VxJ&Uj$YB1OucIEGFs88k^v9g@OKI2(!OQ-a#8$ZtxBBUKjrIGz$Fr7^euI_K{vr6AHajzhZ~}U zMiNO6FY2(=m)cdM?8_ZUtrn-cK&!M?tQ&Y3QRVb!EbaOSuQvsRJp$`>s=@kVHUK3L z`fL1qGTmTmoQDH+QmFFEhxx=FXVs*+{(a-|!Rrn%KZX6|B=r8;ef)^>_uTDd`Dc9m zr#CY4cL_vBzX@da-#1TSAPtq5ZtWkAPfsbk=MWLS)6uEc!|u%g*Hp#kbTt1*zm*;2 zKnY_ZF8;?`T@C3=qa8P!meIk+hMuZ8uzGz=v>(vKkwpqK)9?T5NwmdwA^_|IU(m|o$nA?=0YoZ86oezgp88^W>%i}E- zWdHuvd4itx`?qK#p%>tbE(9v~_2r3hVxvy3vYi|a zZbKnfSfObJujE0d5DMKC+W~eOG#?)ai#dG^=Ui(6!R6E8Wtvq&J#H!2Orh)=LZ6MV zXhXDAWAOuRKCy6%w8mg-P;~?*9NGsgVNGA1_lr$8NuMF#p6r_ zgwPtg9HpeV>b%@7ug`d&a0^RI4|jHO2?#231Bc)lgsJl*LFA<3YGLw4Q9{02SuG+UZr1VNlWC8j`n!SU@nT|_roOAB|9q4-7G*9eXMOnK)gVk~ zozoUfKt>WBE-oA=`4Hh+IRT$JbUL7|Pk z)KIP8!tPXaGeNERDW@qr>(hz|7WIY=&7WNzFCSF+$xr>YPInV8%qnDj7y>!}QwFBX1|WyP;BmcA(!waH2JC^Rmez8>d}>~Syb$*UKyM%r zDap@@au&QBG|brW$ghzR+S!STG}e0Z@1M-Eu9{0o)nceIlE1PtFhHF39x%p5kjC}a zBDBCffGzW-LQ}fQ*bPhuP1SMlFthnZG(Mqlg2Ci}HQw7g9`-CVkeOqtG}vRxiUGd3 zZ?a4vmHkwga*h&>tQ{3}Ra@@A@}f`MJm-rz8vPkK!dcGMac#i?!em+hJqwdq4+3$5 z9%S2SXt$-7oU&n@yKKu1tn9qJ3yBEASuj9zr`xw$ z!(B1uH4C!0lkOT+M^;DH*{3dlcr&%65fu`_T%tl`DhB0Zf30MGBeRIy%xwXJZO6e7DU4#rXr_V-6fs34^gi#yoL$)Un6!UPzNL;18a zy2V&y2MJJceZ0MkX*PJ)*Vid=ac7p7BQ<4d6I$KQ9GmqpF)`cXhD>3eNqKDCKZ1aa zp{|C8RCz%_ZL_w+>$Bn0V;?~13xJfkxVa1T8C>YDr8Wf(eSB8xVe42wpIk&lUL*B% z$UA*1QFx-zp*##*`LnpZ#8(Ht&i3q zO{1Elbi9&r`*3PIi;$k44$yR)lw&QVu<8P2ro}r=W11QoFxcC~|8Z8UtE+E^spd^p zGiWoSLm3%~ziiHLS|RjKOxQ2gm;v;(z*|p-u~*Ukpn~c8nZ@Llmi{;cuE++`_3^}{ zStkc`{CWpD;3|chA2c;0NHk@ly4fd0Q~`;Nj)2=+q_C-pP*_S4B(uSRYWlU|yBx5| zU_x!^T3uK8RM)CasJkCjt=r!s7<{gBrzoDdHySM^nNka_PZlOp3oWn&LgERGniZ{!tZa`3p4_eVLZM8a;<>HP_VV;0=|I4N z{u1v`H&p*O*<&fvT?#r3j;F>v2)I(QRX$F}TlVO_Nw(oXIPdXM-YmEEJy&*Ru{E50 zs1GBMcj$gg*f@?0Z;P!s(j2mUqPSkaC|UXX%!WKJmfZ7}5sltnQ3n}l@=4gI3?fX} zfV2Ixsy(YED^Rum4_-T`cAk8WRpNlQYwiMGV#cbhBewRZ( zbozK{6423+7Y5m4dPW8(`>(snlamuz7#JXzpUR>Q!xq;Ol5kxLalMNQs@e8EwWO^N zEiqnCQfeyx%l3dsR8%qA_D_Mk1!fKJ ze<$tE-GP#5p~$IGXlVPoJ^iA|In+KC@}V31O>I+Usd6#N*~86#9-`dgp=1kZ7H@WC z3j?SR>js^^`1XYwy_J)br&4g%c3#f0>+C%Z2@z?hSp$XMUr4JXa)ONFQde2*%8oqw z?|WjkA!FnfMY=@k2`LpyUG?#I(~S5+`!;bHw75Ixl*$GU1puxr<_5l7>cKaQ6n;e--YDSHHQ- z3l0uXq_35Me0cF@T0*ewn39e)e+NZJk1grV0>u-PR|IkL@kPj{)(4JfG3rrLVBy#E z31uRTcL?`wAB=84oiPgu5mx9mAO3B|27SblsPpijnQ|ZzRo2#qMW|BRkLh33lC!>T z8iR!n475;(3v#sZ4?&oc&|qO%FY-UCSgNZ_dU(j{koZ)Gjm*rPHFmsGWUY;lSCXOR z@n;zIRLtC|m$-D=5GW+;aWBrUmQGo9NkxPBz4yG07M32okNcku?G-GTNLX#1-zT{u z>CZ*IVH^x#nmYc;i+9iN^CH=!G-5g@pA6Aal3d1hq9Iz?BD3U zqXUnNmMQU{OR6MtQLQ}$ALFT|-{nyuv`fUt03=z}W->q?u`GEcJ_O!p{UW%27MjQa zRYXJxG(NS&GVcJur<4Y;kk5I4U15t4T=kg1b{RFBjS>&44CePwejlOJj*w5 zcZv6W@hSGU&nA2K?q)I^h=3OiX?fEs*3Sb*5A_|AE&5 z19fbM4sIK-dw6(IQ&YR+qp4_W0=iy9%l+kWF6tajspt)UGijtg!A~Sf^5Of(ylJ(K znakN|gBVy(`%Tju*U5W%la8t13CNY>k1z{v#9(IT(3%U`sCBMo9<|RP=+GVFx&tV> zK*47!ptO+5rxgG{&Z}hXJl$oqww~ z76?+BC}>9N8p{&PBOrp;o#fD@O?x>Dh4VHKc*e^AE+UpLP`UmBo3 zby8|Fw-v*z+hXU!uOwowIpxBC{2ia^S8g$OU;EOuHN5izl4ESTsBspnu%dh$*YQCQii&VA2e;bP(kE64@?XV$S=#v@GYB$)0m z=h<3879EK9uawtWPUidXDQt~%3}G|pXNmLNjDNoM`=(Ci^&)Ey1OIg(E!x)B*4_Ep z<&bS=+MNDlJCc);qmdL12EE|b1>;haA<5RkA_0f95B=rU;KYJdH*zQp?74;nZ0I`? z3Z8?84i#Fwjf!eUcdyXu*WqTw=;C>0>|kB3W~2v(Qf$mmChhd>(Nf9Ueku?h0c0zP5L z6fn>@Eykh3J^<&231(8>)I0jEZKoem3UrP=L(}11#JIRlmt!8GtCS8cIB;N;SL+b1 zPmP@%pA{4Gi|t9xuVm83c)(tBV_-95F>P&2MMX?kI%m#6_lF%WNtH{~hzMonDf#93 z0%<#(G=z@iZqW=q2VO*pDt@1yF2Ub{zu!Uc&e|AgB?@N_xGGLmL>O~5$ z-;F=rk>cThw70QQE17i#8B=c{A_{8|psqH@Vy>aAehHQ-__Lfpoi7LnotT}Zm)0f4 z#_AFRTD9ILvlz(tJ{%O4J*cGBu#Kz_yMGiA*sGmjhxDYpzk+vtHy#`e`h$*1PU4@4 zd-bkJkAh<7m)MT z$AAu1nZdmCcA4}P^kd)TrzlL8pe>{cf|IB@_e=>Zw0iIcE@w(^D}?|ZG%wosl=!A(K9m_6(hmYZ;zr9 zju+(ji9J(-&ZG0@Ds^uNi`t1usYM4V77gMy9-8fj+k*#THR%V^-|aOya6xS-K3m%6 zI6j4yt(h2XZ*SwH0QH_d0M-?-Fw8Ry|+ze_@Ay6ZuJo7@7HR zF%Z|Z&9|(gIIhJtKr~+|yhN0G*j(}p_C!k5z6}0_4z+sTqjyY|s19QQlFhRQ^#^xX zuLPWMn!@|NDx9zo0i8?;2MG^I!KMD^sJ22&N*`TcsA^n2x6zV{&7uewREHJ*{RAOI zkr-;uIkyH*jnZd$dnXV7t1J%|9)8oSVTqxF0HGIkFH^v%;Qrmr_hSEd!K-+p{Ny`F zQF?mr1i6`kQ2_V*8h<{71g$Vndc?}gYQqKaPU#Ydkm(=R6K(kzllqr!q+lT^ar?bh zAw!*a7dcP}|K{<+WOuXQn40xynELc(fKsm$ofwRa`B5vU2t!KfGeOdajD~KjUVyu8! zNG$R6X425P=OEZgRQ!FBP6Y-!di|2k$B$;(+E>RRy-s_26;cIsb#s*MOgjxEVjx@d z+DaqKiN@B&X@0XyECWmP&@Q?1c@xOUg47NZjsaY;0^?T62Xkl!xsN zf6-ST8Z0mK)pN**%IKXan+5`GV1TFlMuikj+&k=$E}8=R4zZeA%8DSnQxP;?UW7g#E3G@PSw)lw9{k34YegD@4%6{H^Qa z440brf6 zF)IP6DBZJ>Vt1qg5>3L^^N;T!YOVMBrX2-V>VUw(wNk*E)?uYiH14U%&o|xtLNbm{ z`VQ<(Uw}9ah#Rw^1Tq#V*1HXKq~ltPiT=UC0=kas8TX*+Mo6hgXXo7AY5}i(DIFLs zHX@>=vU2LEoAi3w%R^bqp7XPPeL`jB_19VtTAee{%JUyn9%79J^NvyjBvxrj=tFJQS@mniFS zl?8_l7ShdCZS~O56lr9YH^ic#JhY8n+k70l*tP^M34WVi{<~AwXt&a}mn5wLn4UR~ zMSwK1wkfDrDXFWiO%&!6YLgbM@Hs$eu@i5FQU1DdUQnNWwD5Ymt!N%Oxt9HV)NXB> zT-qB4_t>5(nTdtPruY=PH@>RXc8@v9-DyFglSr>9?0&uxd@%(EEs^L#zKn|f^SN7n z*xxt&!2+cXp))x1GuV+Xw>=5@L!kl^5-K_E#LHT`RerPu#0eHi_Hxg$iqVm`@ii-q z09=vM;e+mo9SBF_id~MswYZx34T1kWy<JdqVyCLHcRobnHh$m^m`Q<6G z--yHUxZs!p0;p%ZZ%;5XLQu{xqp{_B=8rhYBrqWRzo4g~;p9ioqH34pz_^MJlnn1N z2?_mv+i0hl>@%7i#_e}DvzLmzy{eySINU!wHgcZQS0!;!9OH|mz^%(Bm{m<^&Tg5u-O z-6+n+lK$b<84Ji~3z*L>Ia ziFLj>NEMM@xr$(XDi6`i(0%NIzw3dPt3ai9HDuJ zj=0yHnPE}wu}AK}`Eu>nRSSu$+LShs751CicbPR*ZH%&C%~(tk62qw~Atd+j-#_FB zhQ!^_%&d!}L+0n^fGW$fjbNo-O8E>mA0L_NXqG=)KM=m4&xEdiU0$R@B0_+gc(hkz z+1hd~B66bxZ&X0HY&}+yA|VVEkW11rWC!xHI2OlL9sR=*iK^~JM-i#1no3Go6kr)l z8B3PgcSU)5+dyGjSy>tNrWe8n7q>c0IU~#7d+@+JHFpY2nD-Z6R@P@Bp$K*L$XS$0 z#t}oNnL@f;J}q}qB$IP4^3q||g$NsU?#d>ITrdy!Aa1T%b@i8F^OcU`&pTu2(|6=` z_2xuG24`O2w{*_I?QNO{n+Gl)SNi0!xv~&u%}=lFHYXG``3%BR$FAqxG7mq^`%5Yx zji&?*-oF>)W{E~q_P=Okdg|R44}>BVSodjAzLBcOE1+tOEWu4ggEibC32WKth$W@gM)Gf8WRCd zr+?kPe1TDid@Yy;+$2WT!fLJZj;FgmL-LXXjej?Qt9cW*(~Vlbai>OuwE-3MaFmxv z!5dw0V4jpUv;W#KWcnbJhisg)gliV7e}#(KM`cCq=FgO-eqB5H zFxe*t26U9m`8wQf71X1-`7A7~$}AV|CNX96ctrT3UN}Sie2!&@eQtKPsikE+OK5L* zjPz%FZ(!oTnYysVi9JdoPaqkS47um$=Z8sAGWeO6=Iq=>3tU{DA9OK|FmAgd9LV(f zFVV?nf(|x3WNq11kcH*P2>JS7Jw>z7ZbsZFj@@?rhhBXcXlU)1LGLWdur#av`p~QK zOo6U{Ly7drDHIeGK-WACNk23JUbG<`;Ck0P03Nup_hzkSLo!`>q9EBe$m9+o0B{_#~ zjGn7Hb?P9=2-fI48^s2xUY@5e+`+-2TCxKIqLH6;)m356eK&roUj*c63O_=7Dl*0? z6r&!{H#hSU?RIHDe*Qs}-%*7&K2N}xBU|}*AKwT=PEF(XCDpJ{uT?{)I$cPBis{hA zaJ|{{&@${H!K$x4Iy2Vypg461AA`VzUW-3)EMsO68BPI@=HR-ff4g2=TcHFxG3$vjRO1zsUmN)YIe-s(1JZeG}OloJ&b?l{pKWnAs&I{Vw?&C$v0 zYI*KWS=)GN4wvh9byjRxB1L>5B?V{A=<|bEbar+|;~g|=9WRl;)K{rlBpd0cyi~9% zLvYX+*PFu~Ai$QCl7iz2q!9=xDfdoJ#Q60r=#NXPKPGmfVGx6Ub@{770=qx;n3i$_ z5XQ5X1kc)L6zdD4&fjigdbm}uwO;S?KhuaaekI%y>V$m?@<_QrUIh{d!rlj=G%p1$^3C(+;n;e%WoK^Y1 zOBL6utc>@ke2!l^OrWF+LWbRh246uM)+_f54?C;V26Hsd;KuZSNBiBs%1(}igsM|} zOUiav{w{e|ROYwuA8!7I9sfD_Z{#VsGzxvdxd}_(&c&(AwgTHCp=jm}$6`7+^G(Rw&TAYN$ z_xf7RT(gDS(~GP5qd_!^k6#DcHvl1oPulu(7_Ahun93+QL+}KZ#J7KgYdvES1vv!D z%d1I8U-GLm02M<*S5?p;y0tZ5_eoRO78gozK;Fauvo8f&QpG`M{^o z8RW*6#vy%^QMc<&mna35Ww9%ryDHD?#D-p!3=Xl;_gj^@56b(dxJ=u8mTdTLk4+E&5KzB@2V zQgRZ<#-AWs3a`<(fa&9Y8eI29&yJ)0dL^mITKF(d>pYL=;^t;u>s;={=Jymw_jW1n zy@BY6Fid)7pMT-?cB?HfvWDL=>E(~BmZsip+oi3JZOr}5P8<+?AXPKLoWD1+o7>*v z9&3o`8t1bc$jm~4f;I!s*F@3-HAjR;z$$GgTa+5e-)U5LAXxo?TtX*>IOCsi^Wy@ z!SiSHyAL3Em;lM^>6TkMO9M8O!8nf$rBzCBm8!128llXUFJI3-+V(rwh#*du7l{0n zwxxjrU-Fku)Eax98W3m#zu=)rTIQ;!>B!5jI1-`M0YV_`x1UfK(U(zU(|NGZSUk|Z z)McC@(HiegbHdZA;39hSZu7XrSA$bpBnTFxM(iVFt`3q`LCpO^-N?uYXZoKaO#1S) ztu4~}`nll#)p@#LX#u9>d)JebFHPKMZ^U_0(KYq;w%b&&VVn=Ab7KoM0D_acxwo%d z`~3Mem(~yGEa74v`_l@5-b5!$k-h$g!=@)&={cC&A_CpM<99x9nr`C=mseNfZ$R1H z(DU}*KJna|fg|y-#&zMA`b7euQ0M+^V^$@&D>jE}llTT$kwK?#r?rluC%x>3!N4~@ ze0Ykz#sqgwa5^Qw;WHZohDBz*uyOWlz~uLq*jA&8SHrG{aW<6gIp(QuO>hhdb=_qH zLeZW~=6HoW?U)I?bZ{zYAR?2ZdqM{n{!(u!TqfuKeO`! z4Vci&d+&A#DGu9@8h_@m&XQ`|8+Clw*_XRkIQEajy|`hdz_J#tKTIY?vf!L;!msQR zYv%wDp>`Y5{y;C&rprP^YW`U%I_OGAcDIFDK(_5uXf0+FLY^lUSkJo+l7-w%EVqb@ zjsxNxwy%&!rn^Y!lJz}@&4=8cdpvG7&>FBK?HK|!Wx8z^(hxb%pQe$l@3>)%s9+yc zKYw{2iGhj@2hu^Y-Vl- zTa*R#FHlnV&TWDtH;Rf;y{AufN_zMvSNG8O??SxWD}eJuyR(iDY-v(855@=N+!MON`Wk^QjtV;Tw+R=+hdhq9v zYhE3Uo_0xR!UV{4R+bd&a4O9Ian$Sk$YuTO1MZW(BrCu7OeZ>hdHdX6AhWLFuT&ZF zpm!DZ^B-sAikP#G;o#dsqn6~5-Z+2(4f+qyHoa#{V*1O zu!74~%;;0vPF6#xAscP2beiO1)JCvsqA1lP5gc~z?Ov4?K|x$MpPty$aLvrt-tDrP zX&)|G>_mp^D8`)jV2$azmeKOC6G0VWkKP0`3Kx7GId7WWxTm_~pAC6OenIfaySb)K zln(5l8ky+*YexmUF6e1Hh;n%&CR{m4+0CoVMm#xpdp*HHn143(>_@fC4jErlI>cXA zD7D3Shc=uiGx4o(<@fvHdC~%xttwk7K4tuY5zy&-^hbcGc_sdu#;a*=q6~-%&YeMw zjLjwK89GC7i0+a^{}GSdi@dTI^Rsz|j)=O{W@tTWW%}ymYP|7`WrH&@50746{lNxd zzF4-XkCcgVhzbG?`4S%;h=e?1a4FsPk6kn0ckb_4ca4l9CIy&>w79hA0D8_T=EMm{ zu2j;uG_TQQ0~`UZ%+qo7U<6N1ee#rpcUTR)fBrWq$N};xYC#;u?j73c@gQVij#5om zw5w>TgGG6=IJRBF{5%57%Dw)OEoNM1?P?l<1m3r@#7569FITF#^V8&3pWxe-ywq!Q z+%5M*r6>EOpx}T~2@MsA+#D5}--G+!_|3eie4jq)zB^gY>{ zdhdJ4!o2ELTQ``}+OHp0ghLFcQPIMJ|K1fu^Z^!&#I_F>8_GhETOj#v?}e~nT$Z$2 z4vD@YF)*MT*(5eOyEVe(O~8nI;t*%~WqkAM$*nu=MCou%7NvTlSx?!8kr@>L_mz1x zHLxjMxAuCoq`&kv)=zJEW3K2}LSAS7`}Ad5(P3rHFM8C@tVaR|VCmVuUs?K9(HPW> zwwQ|!t`@Uu+*d(-uiWb&L85TyK*(Z3hdVVz-Bcm{MRYvs+i?OMnDv3W(R<>L>V-9` z##QTxK%!NPtgr@$%2;y!ebRe7YtHPy=6d%CspP>7Mir&MAw10PvrnwOHejzB=V3dWG^}mD;-oSMjXRTm%e2soh2pM>Ytskc1 zK`IMkMI{)_kc#j$1SleH^59pGc}r|3?vF-^>wVWweMR-*J+kkvGZ$! z(5*E$vC>3V(~|`3{)n#a-wqGrtg3+=EKyOBkB5ZT=ITj?O}|1qzWZAG{t5cmysa+1 zs^v!psJBg{!?2YLxZEWJ5~yP1^VdZDY&-`DKe@hJ)$hQ6Q`%+QgI2Lp+!*7(X3z7d zoq^%D$JZy)*~xADx`{K~=(xgcD9{?NZ?jHg0JTeO=E2N%N_Fum<2zvoJJK9%Ky69M z=$xgPwi&(+akRgXctARivD+jKTo_4r#~LeJv{Y1-z}Z3byM;YH<)40@!KNei!7TU( z5Km%((9xCPR%D&4g3RX~_>+VavEKol6lDFM&g9RLZlVW1Ni(S=&@*Dffh8q>k(#s0 ze#p!By;-HF{PaKVk8>+QW4^{CZDI{5=`t zfeu4$EUot*zTPfxJV&iW6j2XLs(fyWiPKCpDR=9Ov>7B)rb0fJMVeWMsbMja^V?Jq zX)aLA-b%B9o&3JEv!n{T7 zvTY$DF}5#oEFa)B7_(Sc$EEcRmXgH{PH&fUdHzTW^NMpf9H`2Vz%5#9c7nags{CY- zo-I*lO40ccQ`dXWY7yWu;yqXXDja@5^htbFM8)`u*;K;oeyR?>eQ7&b$Au z`roU1RLxa$b@v#pv)5?m_{Nti5Gpg36Z62-)K6K-)O2mSe1Vj7k9@(>z~$LMg4)Nkb&}+P$x}?udL8MaI{mzlK2yoApRq0DaCb3V zYX^|lAwTR7A+WU|OOvUXN`!wKT+;sK(vBfb(X2>DeT7OH=f-mFB8vag@c~O3NJH2= z7~YLK^s&%Vc*>#D^F`X10T}X1L0lV8RKt{|cR8CoShtpmWKBOml?lYmpb7gJ<(ou> zH;5i-+tu3CNu2fqifS%wgIrr-QkU`!5uTgqqg}Gi?HdGNOxo3}o8!0K##~WR_Ji6f z91|uGwxWyvJTCQ2Yfdb6`USd`k0^7 zzIJ*TG)wlf7z=UfTB?FJMaf{!2SN;{tLDQpovP6z*0ijvRL>=wcbJSwS4+i-A6m1* zF@Iqu_7?H3mJ@M8&rF9|>an@7bIVw>#$0M2a(YwP*aH$?ou{2vOk#WFb&NL0p z27e}ffgoRi^Hf`Qp=O2A1wA6wh7A?(K%g zTv^h)`cECd$gJ!}9OzWE2>Nww!!Dk*!JjNA7}<-}%UM`{`o`TuO3>rNw?_IZTA`gF zv)n~%KqwoU^1U-L6t?VoAFpo+sOB+`**3C`1|IvMIku5xz(e+zZ6ivh#5zLANR-Vv z_H8ysOyFT_>HDk;3vo#i875EWCR}!f?2BtrAd`dAwO<5%M)PG=77qg_f0&Nfc%zmyo}h=ju&dY;1ef+)Cr|Dn54?qbJ}M0i z6hV30l9L>3N{Co_+Ca5v=m`BudOmy7*S>&svX9($U!$eG-#o9iX3asG7q9AMU}Y4X z6O8pIpLE0_GuhzCe##(#n)HEFf@qnEwt4xbkb0yf-iO>>)gFU+%MpIJ*vKlP8(!DU z7?%=ByxJKp`o-w4WO_Tx;vHn1UxkhB`@bc9*yMmX-ZgUa-gdShs7$r5C=;xDR!TmU zu}#L{to-t@Atz`fSJqbB=W41QeGrshzOZ9b?i6pJ5Pn`+EtV?%k-`Iy78@a76$meO zZB9Kg0$wW6yrk%9lHQz#IP}1hk&k^T&%t5=0|ENQQP~s7S_SCoTBTo?Rf+@ilf2KTt<$U7#rl|ZczAt_=>rfq?Bu~vJ(JC&PmCKz zY`H!wGD)!}Nxx`LaVa$D1Bn|WA^E-eqD=2R8%RCzN%m@ra@Bt|jU-1_1NQ}NO#rlW zAE?kETzk~UU%82LC6_}o*RKa|@u;wI7}^y<95;6nr4_Ec9QZ{5dCL+jAvROWcHp{g+m-0W zm{Q^KNXPOfb=$*`b4cvlIlajyS33`_Fd2p^Aq*%8OGXo|SYiZ6p=>_rn|k*K(fA4$_qwn(mm%sKqxCr_*ETyhVcZee)0 zc`UGlTcuu2e({q*e(5)qf2y2UT^lb=)IKzsICCi|Wrcj<8ux{m{nM-WhgCfEUs42T z>{jCo${8XlJNfd)OeWs4;Hz573G%M2Op@~JZxLA=YK3VpLXsZLWxx_ewLE!&DPQ)8 zS;(ClzMSCOb404AP10OqWa)93<}uZJ&lR6uAN$tISX#BXx`Gal_8nXuynK`QRE==8 z#;0;pBplKc@Ql*5cwBR--pY&%GCiicQJA1bysmF{;u5lZ=v{r|;(k4#FPQd(m^pbR ztoSQA)^jbfVjq4GO4Gt1+3JAZ62({c<{o2Z*K1`%J?}MDD_N$Ij#Ff#M_#ZQn1eTk z$WUDM_0IbV(5IB$6-ok2mnxMMI1L_L0}IIZ3z=DgKG2!h3UNv(M4N48a)*i3;cZzm7}gpszk#K-2Y zoDMu+yBfL6KI`K6z_+w{vl7z3u(0O7(aGYr&AU!QZ8 zT@b~p{1+!AWLiuMme_HNk$`X=amk+QehT@w&W1Xc!u8A}=2UT#c@(T>J@zgiHhDA) zCX8n~YDHUXcP<5n%*&cJJR#nyKLZtTKCg@c2qu!3JRmz zm#R5Z&t1wy76$)v_{<=fT!auMD5oIUet34)%8FNCMdfW?UI@#i5=W}&2d@C^p!K+` zta|CSr;%x)et!FlEnaL|TJ{Hm>FHEy@0cbYJ<2C1gP>BSh(ko|Yf*71a^2qN_4)Xs zHVh7XK+L7mOu0{6Qg!(B>xF3%x&#cD&n0pR`nx&cHJxz?HrC9H2M}gT6vfMn!r7U0%hJS$EknUw+tuOg z)1t(~)&TM1VtOE4&*2Gjw3E5@vl-sxBhhz~aB0W6xq=MZYY3cGa9K99SD~Sl0jx@p z=ays%Wlmos&A+iTk8Eyl^Lc$}A9Ezn64db`!I%=HgopQJWkIoHbdy?-kvUl4>VPS8$iUFh(ZhkeK zry7~Oe36~YsZom+R|lhluC6W!c(Z$O@JW=5y0yv$aCZTM3KkX?E-mR_jaU?iy;*Lu zog+DZr6nvP0=zA@?=6UJM-*f=F0?Jm)2Lg<5({nJ6ZYMFPHnF)l--w^$L@|#I)_!TWzj#QnUkig+21px9^!fU}4oLcHhj+ z%|)Zp^%*if01MPaZAni{!^g+3v5U$XVG#pK%h;7hkIt^uK56nslDB>_X^Z8B*=NM9 ztFr*Ff$NIM+WLWyp@wGV(J5I_7iW%%NEhP#y!wL&%kN@a*WsayD%l!2A3vp!t?7j` z+iT0SOhQFd(n}qQC^?9(hq#b+lob<}jwFcu?4{UgeQ+K2DW;ks_J)w*()8M7lM4dF za#ESsggr_oBYI7DzemT=IKVOEVH(L$O8se&ouG=n)UhWIsrQ>PX{B@YxH?MOi;4Z< zS&aHG@uHg_g3$(FzXtK}BO3HHTxE-Wqgiu+ezPZTE$Qs+oSh@1t2;kFj%9`|rJ^zh zNAYfN2`fT4RAJ=6!pP=&F+Y=ne0F+zd~z}{Ai&IosEr+%WKSTjsBZnDr#S)_DWfyn zsQ2&$eV_`3jE~T~@bQ54N}jxC!jp%U!zudZt@My=cCon2P^6>-e9~qN4GvEO0t+VTf(yZhI0~U$5-kTwNt4Aab(&1NWj-OcZOb zn*5CoAn3QUJPf2#h|r|0`iBF!-IPCV(-xuJy5 zgkR5hgy9n4;Wa+&78NkkQlx>kVV%6J*(^^x{5D`=U;qxjc|7Lpds8c}t*s4&*qHb)6S1g9#oRY9BFSwT9 zg7k}uIG4okXZM3m34eh-9C**0(U2y)RyX+6{wbVStr^r?I2XX2>n9I#iil#b8ojGiC*ci+&s zL=mL~)Rfp~rh2OP=K~?~S4w<+h3e|4R#sMWj82c?%=(|Gv;ljOqKXQ+UuF7BLan?F za!QTkVTeZ%*S;L_f_^%yN;OLaWWlSCP_O%ii+EA#;1^2XydhWaMKJT)2^-+JZ#@cp z@zHg%7+&T=dQHYb39r3vMYH>|_{7K?i8`H<9E(B1>)_y~txde5LiqEm7O%@wz#}S5 z7VrR;l9B=fEvjsvpMgF?0#+FT5G9P4hv!3my%4--j4WkTO&^BEvu!akG0Z^L-t`mj zm0O6*;zzuh(WqreJLJgp?hLM^q}7m9tp{xPe(*VWU?x;$6DV;c;g6V z$$xHj-0+A`hDge!!qGo-9wu$Q>T}w)SL+hGEGh`Y_OkHo%9HE1nbS;5OG`(GkdJGr zfsMIkk7My@tom&qclRqlhltJ#rcSDNGEPo>5s3)W1@%C5NC4r^%gYN12^o{W4e}Ow zbFTdq4i-i%?_A)cE+pjfyuKR`ceCA29MxkNqfg%sy5aPb)TXP#pLq&DJ$GKo_F)xf zVd42WkKDmP5crmLvOoAw>kyfMR5QS=m&FaY41$&n^_oYlxUGCXaEge_(nmAFDf z#n~YQq82v9!wd0YerU4dr52|!O(w5{lr;K2PkQUt3Uqpc04kdIB-?ZXpz%RbKGa=p zJ%*%U;e08^#V)$uXS?*&+jDs7vEeN}hU5s)N)*a(h9DY&SOi&%OG^oHDp1%hzKUEr z0QHM1rgj}46tB2Y#x%lg0OONTggDLft8pu?{Cqt^wn;8W0@?ve^F@Of=e*UdFk|_1Xj`8bv1qI(~Y+y}HCWig+ z@vg3*L&;GU`dH26Pfz3&q(zP8oskOxN3;Ia_mtF3<~^g(+}u)6fCo;V08jWO1a|?W zex0$E6`1k%yyxh2Hx}6?s;gpstyU(tBjMZlcdv)fXYIJgO{NvLC@~!Jfi`d^oSPeH6F!c~+h}7!d*z|o_oa^? z6L51skwWlEF}u3J=676M6K2hih`1h1-*|cbWvOZPX z3=!yyO@r9cDgI6ywl_^k$e{AYt2pQ!u*ctS(!n2;ZF3o-B#XST@WX7xgMe;&k+mP> ziz$Nx=KHxx2%)x)*-4k@mJ}z3`&G40K$4O1pbwIM6Vv`7^E2otPoKTm_cbZiYcw>4 z=}l9}XyEs{Fn;zLH@eaIVDiNi1@Z7$bWM}ZjRFCW0dFxmf+%xy+GX0!7xd82;_E*=h0tZO7(HGw7F|xeJh&zLZK`O^or>fg%|rc)NB#z@3Rs0z zRqsB`Ncjm*vkuR5qApLxH{2Ycv|itAT+hD3t%X#Dk~1MCqm!i@<0IHnqc5|RkUvTW zV;yK~IkRithDBhLAH03S4j6cG3E1EcUS5!PqtUzL`Gs^%ulAlF2^JX5-~Biko0gcQSp#h zHS(}B;x;A|6hPqnZ*zu{ko-@uhLQk(|DHMYuGHP%Pdd;4m_hWr+z-kB9+RlRJ;mI; zCceM2YYO~FOQl5YOc`J3Fo=oI}$lSkrUY{~;NaBwtHbqAU7 zlil$^Iy)Gde5cj>F-#e=6`H5jE#QI1<2XKn!(P&jM3PL(Zm`EmTv3h2+RjF=W@<@7V_7sspsE8j1P-;#fu zv|m!c=w}6fu+RQ3{ag0mivK}Pd(U!t_gMUo&EtPUeEee??&|$3E3N=DAMkHOQ&XPb zm~#K6{BLZz0>6{x{vrB%_HVtvSNj`_?%#?2p8Z$RKeOu!u>4WmUy}bS^*iyd!2cP% zyMHwNPc8eS+5cnf;Esa#?;nc0oPT{D?kRi!LIW(o%EZOT__sN~jq)XT@Fu5H7+xl;ed-k8ky@-PyNZ8Izn~8ymfV7=^7(OpO0gi;#oUKjz5+1il9Un5*hwVe^lf zKMcn|NP+DfRDffL2_GM$hzipW7GZ$+R~%?Gkf^E(B(mT2TN$`#CShjc{NtX5g!{)W zD^dwmir zEP!tA?@9nQcSbHSj%FqT_wxXpsF3eR@B7h8*2LBfU^!-C298tW7S>KC4vgXe?Xt;t z%H!Y3i5oPm9A|5>uc5C{zs1LxVx&O9z1TKp!Q{1%OP{eYG_4@=6dcAdaeN%B6|2R0 zebNXPf?M1R%3t=}zg*@t9rAuda7jQ-a#8_B6Ia=y-j@)Lr{rURtbXq4FZa*7bpdm%Kc&1gH-}h zkH%t#AY6EZggu48Eqx*n)GIjaZ?D4x;JXN0eB6n2808giF&N?X+xtEr>ib2x?@v%* z<-H*C%)<(chm%NC7OjXDQ#1h>XF_?n;}8uQBh9Y6c%#y&xQPH4uoA*91-RcjY*r98Y$B-v-N4k%@D9z)UtWeD`9Rt{BrNmn)WW*Ir3i$@|B3RuGNeoj=-sFGJjma>KlTRHR zDS+Op3Xk9+oi+bxDnD40QW`8D~2Bgvx0+l#mx=veJdkGv=g4)MEf|v7Cq7_V|>6+P5^8)13 z;|~cQp#>}9!YOb#HqG=7kyt!NHo2sESGTVDfiit3Zy-BlI%o9a8*Ge%V@v%0W%MEDlhc5|?7b2*F#pM}(ERGg5Y@6vpIn zhi@8#k@y)OJB*gc#3%T&c*9uja^vh3EEyYs!lPPzzeE^oiBa#Q(oe{Hu#&%dJ%iXc zd{lu!MMTh3K#^)u7{Pu<80HG4yG5N^SR7$A?>%=Zw{71fmFpP#tPBGU10f>F!tZk6 z%i(3mW|v*x)fovqD?Z|pbJ@4!n_1X$7l-P{Devt7_*0-)`9Pl!vfYqiMy3RMB=CwV zNM0~4>zS|rY8T?c$=9y+tL?a}^!m+0q%ZM7Y3;94JI>GgDzA=``iwIC7@vlRY@MH6 zUiMu%-k^42)@K+^pu@XM=cqjI#~)-0)eLu&6^{L4EsJKWR$wDNE~wh}^`SesCVJ4N z;gh%7LT_s!KZ`rHuYVtX$F9ATgrR0Olichb7L@&X*f8dSd*1nL zPxtsb2U)xT*CaaW9OBayjM+9>_>-;Jow=%)DMJp(M+;Tvm3Awi2qDK>S6&cJJa|eg zNA5PU@+LAyBSfJbi-J^505?B6XJCm_uR_?sxV#ljf_!Z~YvOnxa*542Ez0q@Glqi; zQUS?rQS1Zw;W!3VWkz>t`ySYm_v^!2y_IP1O&pcIOYo4mM*0!@V`&r)B!YJEO%akl zW$94-tD+A`BN%3ztw}5PhP2;CkS;D=nsmdyCFT{t69y5o9AG-HUkTcct70%G zLAXSZvNKS^l8F!wzn89B+Jt=-V#yjn^!xZxRv^7yi!Z*sV$MsC2zM2qn4LJ zxoXLIN41nX%_@D00oLd9%2o_+QX@TdYF1XRy?i$ofr(+5z`^ldTvmfMq%C(N(N}7W zm$a|nYeP3}&ft3mFKWU14lWT_FX6_69Q~srH>l66K63k=RDFCjtR4G|a$xiE8aX_C z8kd?trvFC+??4)nvx)T2#g7fx`mtJVMy@cK=wgoi$}p#l-ihs+H$BCts)XK=1v(I20>H|;e9Bc}c`4B_-^t1o? z=~0+voMR{jLb77**UiV(vGQ|j(iF4_IS=h3GL(n}2x8*>15;ddJ*TjpkwYu@Is&v@ z7Cz$oO}4e{`coF4%`26I;g7^q3_Spg%jX9tL#+iRwGAjMZlA7GxY7#BwAnIs@;j8& zW>CCvO@yR)79{xuHLjUazJH*cIM~Q4jZatebAqledxv5x{d2x;2cqn^W_;C{ZWAL^ z&1RdBJ#=3zQqK9|(ki_!gr2+}kP_ca?tVKi(JP4&RCstkyLlv*hK7`M0Ke#iWe?jX z(+=NBs`600ePVSIk&MzHha|(Dfbz9v(HJA+7pQT$%uh1GT;MIk^Bj&Hr~`HvRl$x; zb!7YT6R^jc?VIH2X1A#5u7Ml5qI2+HslGr}$;T}|5+#K52!`4u#pqYt=O&9BlO?{a zhN}w4<-vI#1lpg9J+ircJwfOaiNJG0$lT8w1}%Y=&aW_%{?Y|IJ)D6#Mqs~%x%Gjb zK?Pf?lW9*}M|V{@;rm2$h-PxIZdwt0@vK+mFNSHVtS&7J{4s1$m~eTfljHX=~^a%ni*D4a%a z3fC;9v1UlTcUg4+Wn*FcH+Ex{#!loc2aXp+6Qs{fb7vN{GI1DLb2#VID!`GrGwen(wAIMUbguec zp^0ma`$Z!KV~;1c8GXJs5+6s8V@n`s5_^oH*E(QMkP z?xF@XM$2zOVT`zYIhtp}9|T8A&q&I#L=_kwJSGV<_%^!y4qamQD%Gknjn|5 zGUphm7?WgZSjrnv9k4AdXK+v>iZnvxcQ*N^EJ=|&9MZ>toSf3*nRor z*ea@WSuw0bv*A+5J);eZp-}sk>YKNWD?)T{q{p^WUevJE^@4iu&xQah-ugC zx=b4GM%Xo-V4sr+E&c1>MxhXA3YIg3{S@nQxS75 zvG>N##x8fuV7QI)I29BWH7GyiuYrBuv_*ZmJ=YPr-w|kOnwPe)eIfr&!_$5$SmpEd z4jiNXR}It%XM3-&QA9XZh`TI>if?$;aQ0dY4{Z;2C@_aWw88J0ZlLu(2cHQ_m7|~X z^=!KvZVkPy^E-2iH94PaKd?PSN!$@t7h$ApMm@qf-J0Tt#Iod8d?FeThm_sIwIhnX zs@O&z3&Ro%v;HJY3zZEGogISQ0wm8nLQC*r3B3o!m;!kaJmLesISH916wJu8G#A5O zzsKKBa$bDPc_!V4#+By@-SaL5x9SmBqz$Jta#Z-Zi{=oFTm-`O>zqY&DKh2?A(fZn z=4c}zxNLO1Pp=Dw$l0hZ+(btu!>xzMU!&uT&Vk?zC5(+<=7}R)D~;|41Mmd^MJ3c$ zolaZpoQ-yXXXPpEKl@_48q^nCMG@{kM!}p$IxQ=+osju}A>=7miT#8o?;R823M zb!V#B)KgHOuud<6k2S9F)Fd9kh=WR<#8Yu%DYFN!XanP~AjEo}OO7N_ClD(4LnH9H z2gZMa5L@?6h2@`pRT8H;+`SZjTZ&1}yXX=Rs0g z$sHBvC+HOdL6$B03=O$6go*?3Xv*;o&uQU4kfp*84#xM5VdJ98xEM!{l5`=to4Mx< zXvm_X5zQp{;V%_XiWaBhAil&w2$+&XbUBpZPOBD9Hd?`~6C=kP2fHq4=)cCLh5zoti{|<{JlYB#3rU2S3wr)s9$>BIxEaFMC6sBqeO$jnh4wr>>+4##~xk3{=8IfKOg8gQ$& z>Q0bz(`<84@1+UTOkJBMoZ0!f=>-nj#9S$w;Fk@%IlGyz`ZD~a)vx*FI;#>rA9pK3 z%qy9VVX7*JCv{!%yv=FsswRLz&*gdAN5tx+e%!~`$-HrWHMX1=)>+lOm$KOaoE+$h;(Qyo=-IlwP{6?4w&QKQ$@ z)WYmQuh5jpBJ#0czlFZ2*IiA!D>1dl6GV-w#wf|Y;qRC)tG1E45(tE-ks&WZz%P{r zpXnW3zrogV<}Fkjdp(H!DWR?5!*kwNW~HuK`<>l`{IxP3TV79@IxN)pSl1~2d!2Rd zsID{Q{nNbmc@>*cl?b+bQE#tL$1ku@1mQ`%U-~uC(61l5QkGgCHa1#C4#`#Gvfgi4 z`xECER%hCenAFrm-(^AUoBF?_RNmjo=n zxiz!#ky((4ptgFA?t}YT-fbX(5B)E5mdIfoflY^~d-x?tflUW4>wX>I3r#P!VqJMC zKWPBU`8PAqTP-D8)S@nryXZFiYIN4%dZ6uw2wS6}o=K500aa2(jFUXv4S`|#c^@bK zY(uD40l!d)Zw`|;8c>>H-i~ts%4CDMZwLrjwch+RBzi!rfKBDe7%0;UlH0cms_Vz1 z#oVEQ6TloG13rpLfRFdm=+-a;WLs}qz4XQ>b-l!D&EoBsGL$+4JjL;FckxVg{zwoH zxFoz0p<|!&zue;5U|9;k6_h{32v=zZnQpl>ytc45}qD8 zJ0H|@YEU&T^N65J{=E2h{hL~=ZPXOG;LsXMcS`Dv)B3zUBCthRrkr}k^pRDaE!j$Z zV5j1It$6y%SNl+~U~q=7&r+{owzW6W^eK)hXM^|lqQ+$1exWQhm9z(8fW0g!ZK(x< z(*DWYPs^dywLzF_KYnDEd^_(K!rkWNwxV>uIZ5KDVLlA)|G8|EAvh%GdXudoyKqA^;2Un)o-d=0EvaW*f(Qf z5ySzDKmk|;0>C2pBSAbsA=klNT1gjEpmh0lpTDEYw<|K*58i6I=9g-O$Jd4-W^HEb z>l`AgK77x2Kde{w&5kgSC#od7dV-)VCz{R_a#$?l$OeUF!WOdPrK4&bG8J{-4?8nql|gAF#|9fInfyo?bipG^f@u7a+h4YjV9 zQar1CFw10#)#ydzEjn7JEmsd`81p;|gQo9!jNs=`BDZVFt*YD;u2{y%W9~f|#PZqF40iQtfsWtbiM$=xq$)2L%p zT9y6RvDfl949}1~`7^&+-&)IUdDXwWjNpyt(4wmIG(pyAL@i57K4+bV>{8Fbo-lBJ zgJLvEcv7N2yCr5jcbTx~H{`iv%XcLPS{=BiY+vM=C#eN9eAstnL8Z0tnNYvK`+DZ0 zW)@e)RUr0qy^j%1=QZl**F0&ctGZqtunsU&Ti{S@v#3M!eK(G-JbNu*?FyF)u7WQ5 zOBRpU0;ej2^a;5Ko%n=l-4;LW9A6zzdvOySP{sCc$8*FklUeEc-H>~?x+@ABt8Ewc55C!jXBJ{*m z%CZX+CWaz7^80ijYT`Li1=1p?3rSmDPm<)adYeU$K4@a?9*Ovjw*s29LsrFKwi&hD z!cMHvEyR10D$n|YBf%8R27dr-1}g=;YLgYxT-j{cbwgN_Y!FANpaP~sF@;`QTSOZvcPPMX78VjN=KFK8 z>c5_t|J#S;|NKSE{aF6_z|6$L^=}W%v$o6P7}pTjB7)LS0(T!v(IY`7b}poo#2Fib zw~%2%Rf*2ZiYk#79&qVr7JZC6)nthdAdRzDPZw}5`cVIE-5*0*xl@W`J%^r3Fm8TD z;X%KOlqQ4np)Jboc39;q@}sRt#cPQVCn$7}S-39?=Wx7E>U20}^NPP#*u7=9c-!-- zsb%S7DxG><w}EK8r0)ufHB>kU>6VdIc~eiBCww;{l+e_$HLLPM|Neb@7h~C7M0kvspO;B z3Ct%rKamVp0fpqujjpwFYbi%gqff_?e57+93eSQbire+o=g}LqmfA}NQ&!-!Zl@{K zk(bY0wMOTx3~TSLDeO~H=ck-In7*)b_!GKli+iYB|hU<+<>!v&B;7Ba|-t z@KD5rRz4m4IkRcUun^7eRhCvqj?F$tNlx2y!0a#*=6x~8uS*GtCTb_&IGpfx&V@o5KUQ#%vqjr+YyBn0rTzXvU zwBn~VO!6*47d6)Pc9PB#y}I^@VJ8FyGdqb`K_3g3ax~izyvb6FF4>a&e&m?{;Y zT)cXfDKD!E#~?M>`Kagf?8=65q2e?Pt=|E}xfbbH=Aceb9_+Un`LqVx0boE)9-=>-jK)H}xq#Eu^2jLZ!( zbcT=ebxxgiZ?Z_{^>rS)Y|Rg>=X#TRmH4k9dWL#Oa!WkgkoK`=Y}Nx+Cf%|g=o4B| zl!4oKWeQ{sbh1pN#R~Brj-uyNM9dVUy1C$NJ&Za7d0gD=@N&(db~JKtMiLK)t|?(M zb8(zdzPDXS&@U?CR2fjc7-dVhcv>d%7(SyyS4HHVn(hhoVf(;I?{@l<@eNyxR3vM> zqpxXg)FIwvjyuYx@DWXH2x{M zcv=W#h}Nk~Y8+OJbvC zH^V4HW6Y#Q$&o&H1BXGvoiGQ7 zf!k|=MPwoo_3c1pBFh@M%YdR+Tlp^h2KoU|EuY>Colpv5pv``&I<3A~Ieuq`@BG`C zl%Vf=O@_*>*h>1XnGDAqO;Xd5S-q9iypYTl=rWKK-`1xA zlS94LAh`hI1v?yC3@icl658`;=!i_gK;1yjece`QXk@4y(X`3m>yCH>f)pxJZ3#V5 zF4wVah`|`|LWksnL|x*Ckla@3@*SBbiT*y`Iid6Ez7luiExjstKi)zp*=3M#Buy6a z@Y;u*Wu*nyX+%h3z^mM_v>QsRGTd}9v(}#N@vIQfnj+8VUz##EZp5G@3T-?`YOiEp zJ||FwdNV{?_WlN;J@)pLC9qu-KipAz(@)u5uJFr#y}udw5P~;G2A)o7f3ozF0B6kw z!Vqoc87Q|eOheG0B2DWPB3T171niM8+Bfaiz0tW_R$nFsQg$q5h%TEFWpSu3VdXk_ z=q6^{64Sl$W8SO$y+nXpFYeRvJV_nn3*ln3PdXR{7Sj#$U1a_uJ>I;j3aG4|dGpLz z36IEB95*sp;=7c`GP$qALoA>rPh{nn>O;K2q`HmkuZRS4%@4C>&B6;0POl)D$}r4$18Kynazt8Yvj3hi%%YJqmZo@ z6VsFF6Tes_Pn zQEjJ^mD!Ip|vTa%_&JiieH(uaEF!db*$!`2ej)Qn+bhevkMUG`1&0d*e z-4~Gp<_1QKOIn1-h8~#~cxx@IL+!|C9}f=Q=={Fqh+`~ib!m3no|{{8!$A(W`{&Q6 z&7P_RS%BQ8vn%Kr-ad&`#A(e3GvuMc4>t=VCqX{&)_o+6EZzR@^xXzm&3;+TQp&!d2}hJ$)SEhTl9SnjGBH)c{V1x)wM~m zkQf7w{h}E{X~s1tbnJF@AVU#idbmLq{MOfDDc|y}sFdhExz*`YT-7!zH`n1`emxJm zkT-n$1a%Uwj&maqZFg@kVb$Lb2?@zIe1LAto`E0RNm(jwqU>Q_i(-Y6}&(0Y+ zeseh^ZwAwpl12L0LmJ!NspNm>koMir>!<%4+x-hZ#{Y8;X_^X#mL^7ber{4WOeAdg z?s|ap*)QMFKfA>NE^>DsYd?zpgNxkvv)kXf!U44a9&I9kgCO8NXyV{xVRGk$Cnv&m z_xXnpKMC9Ypa}qf|B2(=eYwAJmb)M7KcC^4+5gQEE=Q?PzKsd(3gWhna4Fh&r`8)J ztEEOrt5nv`jo=IQ$cqA7@;Uz&8wM_lq&fZG%)+a5-AriTXE}Z+2u9=?_Go4?lDTYY zBhd_;l6-c3M(P6SU*XvZ@-CXOh(u4Pk0)|3&3lb}2Mv4LC1&B_*#QkYsgPOQxWvBC^czEGhK@zeMefjoS`L7mwACUjwjs+ zErxxHM(UV(ZDI(|ahM^KS)}CY%jnVk&g<8SM|+aCnw^30AqNbP4ku%R^j@)Ph)Tbj z)-f(y(0*H5wbJN02gn_>PlTy(x1?`vo|d7>Ym7-#jUIFS)-DzlyV=)15P; zrkpI}AAXC>+#Kxx2m|}+l==fL#ZPvRHsG;!M4w z{+r+(;Nb6N?sER6_?_$d-xt5j`Bfa~lbjrnsGX6s4d6*ECCX2Bk5dz85)l{Y6yjtR z;pAp!7Go0T=H?U?5o2TK;$&tP66YqnYuR_%U$C-2WJQEIgqfL`*jYtHzRR+4i;1%^ z2{Q|G35fvL582;vP5fj41d5rSiG`k-Q9^8cs!Uuw zEKEGi?0+r&8&c^%DgPUKiJwg94s=7JXm00Z=V)#RA`t<6hUE;5ENt(--#6$d3J7>+ zKp<-iBZE7T7^91=@gIntpA&gs{O7k{NF3mq`b*X?m<>Ofqq2lBiIkWK2k-=Q(6cZw z|E267keffSX!l^0-!LxxWZ%o*r~d|Exf=*3CKh%kMqqya7~1#Ohu!%96T1O$s4$B$i*j*svNLhBGck#ai--vULGhWm#YC97g~h%@{eB>Fe<5A( zch|qdQUAOFa{QMYAjx;(U!c?fRQMh$_jmB9e?pD?%@6-C{I}FS>Vr|)1VAQOxR~6p zSYWj~IanAm{xAye4Z@#h0UIa4!2CBx8l${{4PZe0W+Q(0p%b=na#S>N5V5lX+1cJ9 zPPl$EU4Iy=j2afk09JyVlZo*=JOV(c*!%(haJI2^yw6c|001RMPIeA}7arq3sRaNm zfKe)GVqs?PM8d(!_T7Z}!-oDHbg`Y}E{my)8$=^aKiX~Dt{|!?TE+lpi8c3#p_asu z%^Dx6-JGI?+90lAf+RF>QMkR`D}gucuqd^Iwr9*92 z3jpz9FwxQNzmC(CV3G#kW@TjwQD9)>;G6=SyIVSdLb&WWlEz8cg$DOZgEp8A=l8br zwSxg9H%kB^`5TES@8b>hO?Dx)58}?wCqRImo$c+0#>P_J`qSf06)UT)=H@2?nM2N& zqDeu=TErxclunqVR(>Q-aR613nXxexEUZlK=*)~(oz5;0<;lvb&sonuUeRyd3t*4P&e1x`*pQ13E%~J34?rbbIlvob-ATmMk#q7j7~n|VQFOrkO0TT zj7*mssj1Z#6(MxpTwg95wr@|B5@!xc)R&ck#tW2g-zx*p_pU0q#QS69?j zTRZ*f6CPwaiSpSrM`hKA3@u>}xU>78i3<9Z|zT&I`n zND<&^@;qGW@rTDu4uUAvZ|WQw9E?mbPo^}6_Gpo2bH>8PZi%qAv8m=ksNWciGdN3C zf~6&*bLowzc_(^#xGDp%J1HtgXJwHZ<0E$DNX5}UemtUCZYZX!n;z&HBO)&^KRfj8 zo7>z2LP8xyMKFTXvoqYVj_9}3)0)8!Zf;!oZ~*@tHWd|Bp(;Y#>HhvO8u9IhOcKL& zenM4M)ydWrVn8dvkt_Pqc`Gp>0Q#}Qi(%RyL+m75NvEVTw?@O#!&RXK5C zIn36(?tS#SRBTmRe5Ekf)dg##>Pk5#YRHuVFkWp<7MI~Pz)^-+JV`hLc#cS80Ipsn z{RknewRwQuN1|Ij(5I7gBSk^Hjr!elR{Um5Fn--y5UG0L&g^?et*YR2Op#`Qpes2w zwXGP416G%`PWl>6ZW4yvj>c=X|*f6%!M)ss&UQSMqOF3%~Yy8*#9pdrB9Mu6ZUH!D4uYO?_4bayl< z5lVox`|0+lnoMtRZ`o~Pb_|bV%P>*0l}=-#$xjv^J7{z_1g1EUlAM5UhlNkoajC7c3 zt!d!_5m9=2IuN&Kcrp$kFU!mnH0h0ht5GUkkuR58Xvz%kFQT5yULSv(xNH3>Ef$jFG1k&&Q| zZJ`L&`)a*6oS0QHIVA<=3JK6X=S&&T5W*}IHtq_ro39cV7Z-?Zf;EvZzBJ_#)6%xO z?L7%=$wT_KwUz6%IS@-NWXX>mYBQd7SA#w2`=an_r~j{?KM}Bn*~G-@-}S4oNSlD= zr@;-qJw3)k_Ju|65mguF&GcVk*B2~7lt-l~|NYAv+nV=G;?}KO?Ck9R?Q0tCVX9`R zH8wNsY?mkBXBzG1;s4V#?20ro5?AJ$*xE+jBL0KcV#ol?!V#-El0IQlf^q4aH=*NQ zTXVA*FYizI@A4;=G*W&Na|45e$}jd2STFwjp*&(Esp!;W>N7ojSxiDgQp5jZshRi% zhpe=8*G7MIZ*T9^kU|k!maBC2eaC1F&77d%;E8O>`__|8+fxTl9p0zA(AYF8mzNwz zCr*+h$#oBfGGxQt!a`h3jQ=$S71aX7`enO)pnF{I0yWr-b<}N2&Jp zjKxRg+{T?3$#Y^b^!{23*SLPyj&O5u_}LYR+IV*u7UaSC!60N>|R~{cX7B`V>0LjbG&?gePJV=cTJW7+_);4z+jGN`udFu0eWdEDKca2 zZEazA)Iqd|!|_AApUP*Zr(x}3t683|kVt zID+T$BUpFojx}*YQF2~Ae285b;w8M#2-hUPpdce0#k7_R9q|w zmARzs?ChMJUpqSslat0)R_~3wQQm38`5ep4qce7*0!-<8?y z@@;&3+fq#U2}=bmwXM?E*S|o4-Q~ISV!tmgl-WoO!z^wI3MTILVHY`8&GQ*`wE12h z!6SpOYByKWEpX>fq!)*Ku@~f?Qr!<0BNW?t(uRg_l9F_!rIC&`_tkai^&X?3qC)(F zH=eGv_vUK@*8^(&nu{@b3Q|np)?kgH`>z#xa-vU;<8Q<#t*eq)7DE2(uYBNt{TGJ6 zK`40kVMLTaCz2<|a_oA-Gah{QduhgrFrThrE9A@A?YX*!^5?y{rDIF%iPlLOllfxBPEKl8&D^Gf4?K|$Fv zydqQ6>1%Erq+{t{PP%TKWKjbHihxSS$5V{Sr|sP05%pN#8}BJsV+V05SDzxk z{j~S{_iss`GZ>@Ck)rbWbwUF1lfP$1^v z#5VNfW8V*)0I~r+77IdGx6t;Pp5DRb z-_P|{(fu2c0Bzk2WrhCtpN7Bt{OBlX8`e46`BeP<>#Hmp0!!q+z%IrTM9DKa9fya9 zu=Q+_;q3+2|MC$_yCQ{8N_An7-L=>5KrC&&<=A4Im(a7&e?~{}F^~Xy!wLVTT?rBv z{a)S_^E*4;xl7{0^33dhQ&@>ENzq{9{aMw7ca{$CBEM)38BXDSS`y~Wv~5tQ&EK%C zrAVT}NpPL6RUKSg5RdU$-CPpi-1xHO^Jb=2qFSos7&dRcNdvS5`fV2P; z6VuMt_Ig~Ls4oJcBX?pDK-69ov?CJu5&}bCf4`0J;@XA5 zrcA+BPmk$#NqE==OYMhXRp#LCL5rD63@ z`Xm!4e;y@dM}%Cy8rwH`l%O<}qSNPmd|+T3aycZ+@$vEC;NVW{Mu>|r;&SB%h}!pb zbacRW&$+p|R`(zGT(wWOm zn^D4XcsFHz(GkA}*f>wZE(uT)AXhR<%46GH0JhUarY98C)EV*djsUY@wJ2DC?^U`_t>`QNN@Uu-A_?Da7wTFBiU$bYO#I(-{a%IR|c~#mxO=^Jscgost&)7P?kvsF>zctVhPtaHSzNCQAW70xk$bG zeX=uE;POjFHTU!L8vo<&U`)M z@NuT`1lYK5++YUl5N+cidd?tse#MlD)_h>CWz+!B62n9$o>w20V~0) zWZpEXRmd0U(6j67>-_8ymhk`FK6I@A99dE{Wq<$94Y?`_JQ}KF=vQ=YWa`2nZqu^ckrb8U2s8BHP;9va+7NdL@}Prg)1*9|B~VMxR7J zjgP#X9I88!zW(Q!7`&G+U&7#A=BsG*|LY2O1Nc@`!>1q=Yz+%jeEIU%+FEW%NJwVp zA_y0?uB9|A=2UnXsdUxsA|m98HEw%z5Tt;X_2dy79lVs3Y!3`XUc$k`GOpEwUvMB$ zsHF=ld{rJkJoN4oBEnukABIt>yu7@F{Tyay;p3IhTPZ9WfNlaZ0ZTuAJX(Gd|{ z(jXI9M_k(oXTpS4BBRgt@N7Wm2;*7ja(8#Pw6IuL4SszizL*oYxoS-~n7}^^W(zEN z^zfk!meaiC>&w#l2$NB22E*siUznQthe_)9{8N8K7Be59Ah@gfDJXzhxdW2_Bv?Ao(MB zzxw9pQq_xb{myVP|C0bInB=Q(LdseetVCa0GNg$Oi#Rwv?Qbk8QC`UL6p$&MSAGNo z#9?wbglxd|3oIZ)tkZF@%gWH1ww3YSgy0cox@>2hKZjguL}#e3|ChZG0QiA-oi8OL-yES_9~x0 zFos|rOM4d{?bvUmro^5eS^Hah4G`uxHa6<7Wf%aT>g`5^J}!S!``Nxv!NTGzTt#Ba z%gf8=N95MP3<1`qV^?EDld`hVP4*28V2DJ>yOvi}#9YtJ%+$`T^P|4)SyXgk`<}6! z&$At%6WG+`4yl2ia7yX;&-pKyvYby+d-FEa>+0*}s0AXP07Nu=M@2<-adF7ngR{Q9 zzRoO49n&@`cd;ozr+MfMM;nM_t%5QB8k*n>n*Y2G9_jyzeO;K!diePGbOcHo8iXx` z8Kw}cmG2EcX#TXgsPc)3x!0J#X3J9qqK_xT=fHkbnSb%D@eed#Z*hobEV{b7=K2!J zZgIz0+t`$rl!#S6qhg=tnr0CtHE)0aay4ILiyoAypS?@lNQikDNWK)#p(vT!o|;M_ zi)R`s*n?a;41>%|iB=-?^BDgs!LAFFHbs?o#Sfw4^y1E2GsPO+-Q6{MdMGPmwvKm2 zMW3js;4I>};Nasw*7y!lFsLDcyoM zCB?Ex#-eK3zJ6)iFhWy^OW5TO`iS5x_cKjAt~%X@BWK)S?ZrPajXX1i#$BD?d|&8a zW$Yb&xpMyg!NmC=uWJS(A|xD7PtQR*SSy&71#y@hls8>npwE=V#DUJCAt5y;Nc5~8 zPEPBK(my70qO?k)`}DQlI=o12FVP-1&~+u5W01)TX>Bz3ws z8xOk30H9(Z6X?1}`MYh#pZ{F;*%A_`Fym8d#W6^CcI z63$)=VZb1ogw|6-LsfHfd4Y;k zRKzZDb!l$on|;qMN;hca>rV%wYVt;zwkIaEeRc{jh^jHONC1Ox{=Gb2AXrYM z$jdOJ`ni{vcvQRBu_a8D|84@@9tV-c?Z}!sc45riHr`{bzEfLYpEU2p)p2ogAzeJp z4##}B%^X=`s5cqM)pX4Cb!+Q=qVgj%-H7?-JLT}c?q5U~9M=~XqWQlI_s>JNr}}k! z36SdHQ^&&ca@?ADhAl`ruCnh9@#s_uv4Xl?^eika^jd*e{HxRO+uhxj{q&kqs;REd zpw1jywYPAV;thHdAWeQ^E18zwp(vfT^Ce;PJ06s4r-KQsUT?nyF|T1DxsIj_*hl3n zO|AG>4KSE+2PJaGyJ(V~bJ~#A2}&;EYRNPaO)_QT$6GZs6JS=cC;S8%kH!M>^J0{X)2|b=ho4L*O_lIW3@*PV z!JArHiIbn5pD&mHg{2-Rz{HgMPi_j(z=eFoZ>eP4_d`5VK4;t#5^eCmK_iO{0m=H@ zpTi`JZAt?zA=tB0p0;oAUopnWhGEcKeLcMZ3W!aFuN~4Qz;TiM_A(VI-(g<3vci5kLDSFOIDFasz;jR z4*{|!!MrBoH(>+n=xq^^PXhyCF5%dHcRFI{={`UifU>u6iNntFmaDC;we2;F$*+G` z^OTX?0@tds{2PcWL_6o-t&;-<(UQ0pVPTO5*MDa@{@2&1Ba9SXm;OI&a?@SKOw_kb z)YZqk`t1G=bafejDtr8Q|1Ek5&YqHC#NCdA`qn?IB$caQ2k>qeRF2FTBv#Xy#&pm4 zc7i1P{5k#B?<-Gy$$Eb0T}YcD_imL(%*O-^n_F3VxVsy^-vzYu{^DmM4|=FLz>0=U%ko$pCz$Dz~tEe~te@^ZI2<`V0NOtL*(29a< z2bDkymd9_i^i34z=a094-e674mwzYuEl?{tQ&2DE!t&Gqvds@>mShi@4H5x{LP)`WOt@?o7L#Bo^B#^>&5`A^pI3qW-XXVfr!2_Cl9IF?2mtzbC&JlZy4j`5x$eJ^xUS%4zui=P?A!->zJ z&At(8XlztK{Ju9b{`UT*gx67_s{gO@JWMrON$&>1ENXikntNs@CV^sWcbpj`UcV0i ztn5{*MB|b`c0~4hYgd5PyXH15vUn4hl_}=LqQ}9X4YWv17FgKa=GGP(QEO`}s*iP1 z?n^j@VYjC=@}uY1uWyKWQ4z}O^l?*TBQwGJ`ntKWkZCorO9`eEfCIi42PQuj8#$$T zLp@`qJ3S9gKgQAfeq4KKzk@Gs{GF6t_2B$GJnLzdVWdZX7M8cUIa^e~VFYXZLV!qg zTx{&u`|&c(I=4K%yacc^x*|0rn9LW%Pcd0gDs&1CW}pQ7zH3S!RgaO5&iC(y6GmX- z;b}ksk`smH)`O1rAy7?!Dw2N`k*2K~6t5p+6u%RtN+9jFM3YciUjF3|we2uEE(M-+ zo8loc)?^O9&YnC6ium8+3F-9>jVQfl#&W3L5@bvmrSU$Oowc{OFX1O<_e|@PDIQc} zLh_V!+6XxbfR#)94Ir~bM?XR!7*ym_6Ka4?2Lum2SV4E~GL>)xqyrLGOxNI+;Iy16 zI~&_U4Mc8qZK!9Dz5;@df_F!{M^kZIAuk=bQdmgH>|V>WJyOKe$B%1e9*77F@BjFr zA0U08BHR#B&>N;jJ~w0urFKIg zow@Vw?0>E=TeNg`u50Fp-ff3th{sg7rKs^$pL(14FZv-Nbl$Hyt(n2jAW+MIVnl{R zuxJ`MO{jeJR)H5`_OY-Sgz%vVbh8(Ox-VY*0Gg@E2^6_wJRhri$U`#{U0R~q7I4+; zTBi+6fnR&vBC*qZPb&pc{T7%3@eiFx=-+e?0`=|fcOooQ?uaU1*ipE z-1hM)Dd;9F7bu9{zJppZl(gs<31r515g-G7{a0(W&HVV)GrIYeM=rV9p+%DiLL!1X zI?Vlbb=@2&;T$sj1$EP!+;?b8az|BUdhG)QIUfoLj5u>}&DfJ956{9n^Vyiu+G+2j z2NS4ie~u8ROEP~h4gC$r#n0cgpD+4Uo?da2h3|$$^d|LuE9A_1wApBmFgax7)9`>Ce3RwmuXT2)PL$Vxh%a2=y2#t&TtJuM@;D9O3^&*=XJjwO5{cdlmMBeD@pMga%irYe?RSfn4Mb$!aC4FUB<$=Etbk1lbG08;1*hU zI8n?f$jS5ePESt<$&El0(aNKWoskJckKKxylsJk&W%;Ent&-K+>f`PGJMq?|kZ&_Z zYH~kzg!RnfWN50Ffg>&{C4}@BXb)P8RHBfY>x)XDxb;vI`$6u0W>(h7i8>hq6CEAG z3Er?f01{W*x08YnM!9&g$cWDigat>4wFR?zsHhzrgks> z{uWb@(pgr+JmygL2LNp2>KPna&?SN!3bqGb{zK-akmxO)>`tX?PX?Wf|-SNNg91^GTKEK64y6hePO@iB=$0WXTJ!r~t>>8cB&sK`sHl6xd_Ia?qKr9mb*Y+)X)1IKBXAXa zd%tT6Og#$Ne!n7@EFvn(@~v3?Z9YrOhY$IC0Ll`}c;-sxf#q_)b`P>Hu6tA-p_kPB zeS2H+WkF#UFj!X11iHs9kpXJi64ZB1*h48X&cA*AI;Bw3HzuRH>^XLU`6sp@tu6KK z+pGFj<$LJyy6lMX@NhZo6Vb%mZ_s&I98tY`J4~$-BIieCr2<}0zsz4Ia)(N17oF(~ zCd6G~VWie+4Yc4&WK#nyN2Psk)WhF<^VKUWD>gPZ`-vx6XsAkZI&AJyPQ9>`WomH| zr#Lk=6%0~ zX)R8z%np?_BsnPDUj2A0MOv-(D*bgCvX8!jLEM@1K;4~y`5@4ZNkAUF%U>&uBl$`wCmNlnqQYgHejcus@ zGn3z_?!c9`p`_ad?WqC|`3IQ} z-vB0I0f=CK@9I!;ZFI%%z5*10oQ$l^^Dw`-I6S-l{d>wEIYL$h#L>DD^s!90Epv$! zgP*#(xlw-v$P0Ddz|eN@Q`p7j||9*?kh(61kq7Y@2DoDbj_YRt4F>|GZjCv1Zzgtd{Sva}!fYK^=0;GGdNNQfnpJ!(#( zioXKje-Mu3f-Ck<=B#wI3SHp~kSK&${i7SOCO#N63W6z+}9 z(kpl(UDb!GH1H74G+_(WppYolR8>vQ%*5q07@a#n!h*6~;_VZ0{_{XoOzBW4Tx*@M zu&`h~$~z8Rgw>o=rl25$`3TC2;4aA`YJ(!pNewJ09vBz^|K&a33;xDT0qVf`FZEW0 ztUx5*Qj~+hQUS`C`0ozOF&aKTzI)oR#?bBO43TKuyuS}CK9rhx3`tc(>ONRb&!(Fn zOk_9t+nrpU)4aVt-Amg_b7Z7?WKJfdK|^=nUG;f6iA@D2HS8he3%+Au}Z2rh;<%b0|}Qqyic>032j}Hl>VEHxG|TOKe^cu6+KS{Q&zO?n?+V`7GRc zhw*o5a%ybAyXiU1vwO?wMr7l~oC>)MJ3}+lC@~_vZ0>56Lha_^Qk%ywhDLOlL({kVXQFPDV{J@}*ov`|`-O+);S9a3s#|}pa-b=c6;4YeF2~s+e zkG}wjQHL6k8cx0T60#R8yW7In*MI&TOa`D@NFyJfo`!mRF;Gwp@bRm?&3ob~bD-G) zWKi5uZx~CB+v4Nr&l%YVV1b1ewPe$Ud&0uAgM$%KI7O>1F5!)}7)WoU!P-FnD_R+@ zQfE6zfKYfLJ!wb@sel}r$v*uixAdyoU-`gW?1`bF@5zqVLgq$!(c?(LW?Rr>;K^+r zzY%v)^bt2(m0xe{E6D~0bykJ*PHnr{M*@pEB=4Yha_H#j2=Vg9$!gGpS4aGP zvL7;CxbPB$0&$O@bn&|z8$F?dxV>sM^&WI{yx5`9QM7aT!o(@- z(hL@K^MiujW0-^tzVI$^Mhg1oQ*1kX7en_pbUA06A zz8M7t1-E^AANvn>Ij7v-OO@nOis~WgIdU3BoYmZ zTl`P0TNK2^CN?(M8zpWOi{Sd9n7udB-2IVCw^CFVD~4UUSpdPAo6q^IzaQPk-}IG%oxhNn*<1uza#R`H}L2!?lIM7OdhF z1rgRW+wnSfLIPXy=FIeTxGpMOvUVy7Z#awT=1jzk7$%Ore@>h&U+M02BuTVXOZ|NH zYvTO)%5eVMNe6;5|0zgVp-=;J{ka6527QLrk&%(4#6(RSbGlEr?m(3l>Fb~tPul~y z5xZ&e@d4YcNBCOf>>}id_h_u^EzQmGi4Xy@?x+3}s5^rCGxI(K!n+>a|HitvgsN&g zJO2Sa%ew!jvxlsI?`bsx9w%_;^0KmFNOKTrm5PRjMiO-?y6XN^K{)u8odbt7lDdGs7TSPHKsR2*@^wSlH_FHWNW#HEO+J;085e(=@ zJe`2CpI--@90|fH5Mq!KgN|5On~jfxClF~GSoP^lT|mpPyG^$ez|fDoeAN+%_B^O6cC zw=M?y`h-208u5KI_)U5kgXWA{8{w!y3x>tZ8(1_5?GKm=HVH;XdnTP!}XJ=WpZm z;CM2aDeQ$<85tOjcY<1QLK!&p`uKG3DN!33ErF7#I!@&)K=~d#@F18nRm{e&9UmP@ zCjo*xqWG{2Cn_{Fl#GpZiwC)8Ew?^9dj)cl@>65R8FS&wQ$Ai^Kky?%bq#>79`Xb8 zWxY4Qcu;ivgc&6~yBGwx>o2+;1fBfs)O48b@DP|77>SXz(@Fh#4Vn`7`r9_l9LvXU)f+k@ExOO zWR!B>*F!@iK07>I4-^1nQ;Sa4-It11_aNp`Qhp4OFJXUl4<3!hg6NAy;qRPRv$~$k zB>T`A078wJ^OkabPO-t+x3yEnjJ%%YwgdTNjN@~?c2E4#A1m#&W1++!W2jM;qRya# z#JmKyRHYYzc%VGOFIpTK9yXRw*KqYY+(3UNAt52Zh;gx?=~-1+*f%|$_}=_02zo{z zTqB)+f}|NUo+Fjhhg4rSWK6)IaDK51!1G@s^&PY}xur|GpC9SfWn2(}Yr=x#kV;nD zeTv_`6EPdW15J*2v{a|~_fU-0q&V14Lfo94N#djzXfhI%jn%?fV!$ySSTijQ3tgGe zG2G>2y)uxerIwn$?m2e=tC>OA2Ji8`DFVg^hMDZRH~kJ^2#ZX}k?$qwuabw=og17|(@Gm~u|1t*d-jqh5R&o=f8P0A;c6HZoE zvyHw;X;I@bHw?!FCLF0*jl8@EuYQNfGdXYsLy(yyqM71qsDih|LZ6nJ%F@|zvj3Ts zT2({i<$M)=nQSx|2tdm!#tM}6n-YGY)OV4pft8Zr1w}G4hRa9yq<{Kd)^XT!wmD!I z_3SayuFO|H!^{L?B5FqEpZ6(xev(rY>#>~Mj)=ti*RTCQvEurS1=R_BV>td?Tp-Ok zGW^9f>ZzvWHFWgw2v1kA8pOL&cs6BmO+iVC8Ua#jVk@9!u=AlaV?6T;mHzngqnxMj z(dDt#beJ$%B0jEc0|ZCl79e{7DgcL4Af*B(R-`#l!TxY48sGQ$2@amHei>h94P#>_ zq#xhDDWPa4y(7{l)`!*vvbb>lha`P`?~n!5O6bD^VvsdGlSg_gyhd!n{1PuJ{|&S= z2r-(0@#Uc6LA=4F18}a~c8g(LbdtMhh$LYky&p*75CT%IV;flK7SQ#?Z{1Qz=7NK2 zrI$*8lDd#=qbM)m^${-`*R&u(WOQQUHs>@07dt0oOgfO6aWu$pm(hH-DY>~!HdkMZ zhdOHJP37RhBb%zDZ0cBuD7NZ)^6YD%8j&OZ8PTR?+TlKPVS=NPn357I%K1v{pRCTh z+arE*#Jn96e#<{Nbnrgu14+a=HYB2ux z+ki<4vkqKTz>ng2GideNpj{^{Y+y7)C{NLVgp~A9eW9Vj_7f)*4w;w&2x1h2?r?GS zjsQl7+WDH+fq`1sFCtMtaNSGmU4BPxoh4)|1Up`L!t^tn%+ZLc=1#}k4D%KGLS9Ga zT9yG#o^S4Z@CF{H-P)ZkO=@X@Zj#sf+85~rK-5hov16vdFwYFP@&VLbSXohGPtP_}8+CjZKX+V+-0$t|p-J@dG(x zGpfsLAu`F|6hSoSP?fkn(X&CNB>N9h54%7&mdJ)cYyCo+yd<%DI zuCZ_FFG02+d#v{8kz8!&c^tBtMYt*7WS5Y(4L=i8@{QXEh=GO7Y)pr(C0jB15Nx~bdbH@Oq4PZ5*N$yr z;cH>5-zoE>A|k{b*O1auJG;3TtM{Y(L#XW*VD}`Z8j7^o z^6HBqW+Ne4$(0Fqq@kl5m4`ks(D{{xrlBSvbSOJIEvye4owoz#+ujXuaC6Usw|qw~ zQuc!Z7#p;;NpMF7{{qtk_q(QQ;1~Juhe_;*qv1>3J4+)Ydp>`7iypERGB~=sr{=If zlDDTrib{ffEAaM1YiS9DV*ulX^!M0uro?l|MCsTr72_DunU!=~u=c!7a6!XiLW*Ma z;^S7Zwl0A<{a8gzMP-N>6-DvQ!^=%I)^Ija(bS-`G|z6ac&rNF^FT6>UHNWjq^^C@gb`?Y%8y zpIyj3g)_q5pX=ZfDY{c^Bb-6;x$xpOLTE?;w_)_otm-TqCO0>Z5N<_H;;fv3k^Z)H zm@b8FsD5T9hEvYOtIu@f#;aBz*S*hwz3zQr_p9;jPgVQeuUB)WI&`&;s7tE6X@CCw z0Yx$R7u2JYPWN%S392_)r-`k4hkzE9ZVea<^Txhh%Ya(}jY+Qm%41{4YPnqakiEDJmhs^iN$Wc^-MPQu@7SD@rNq3}0^~g{Z7L+I{D)K^m z2-7NTcrs{HT;ND?2ER7o`z}V^kJO{km3e1l4dY~vL^!ouTu`xtO)QoKY~U5WZP|~bG=|zi9p#j(0pbe*TMthiFAooTTp$C= zW~^|}45UXS$y@QCHLo&_NpoH&KP2TYpolW6C;Uy{_JrIhYMsk-#>?*SF{=g%^w^Sn0bl(VZam@}Ou@j-Lb^H8r$@XK$t_}|l z<3{LslvaZenKJ5USA@Z%lu$x&Sba7|JwrS!(~h1O6R8TfFq?Z5gj9h|%8nIqqg#NT zog?*D?9(!Wg{7rY15;s7b?ZQVC#$fYVX&|3+rt?lMS5RT6R*}SHZ?V+vKgSshiD7n zBd-lkA*H2#W@tG2+k|eTZ#c9oKz4Fc19_`3fH6As&wjcpM#PomPM3-_d({`JXxrIxo$kbX9q8l1sikV zahGY;=OcTxb(&zXl8Y!7Lvg&RfD>_C3;m@jDde5@+EAFPc}`dmUI*4OZ)eL(NU6<-%x>rji=ZJVACEO9p$&K z#ohY`R=P=^Q(80#)z52;kqfyh-0@uDc^GeiItsE)3X1XN^A2DAlHnkJJN^XRuBuk0 zbU}Hn)1aQdfiF@ggW$Wf5iW`T22`t$B1#R?$Md!4rp81BZfKvwg|YD#NVqciGl|mU zw*j&N*hRZSol^29d$x_y5ahpg&b&gGyHX!hAat__V+ zsD>6@ZN3lQ!aisF8A4XW-sxHL>2l4`HTG47qVUYlnTlyfA)3O(5Kmzp44cVUVQg)4 zY}nPeY_yt};Oohl+(My9NlZL~cmST+TJ-dRpubmFpPzt00HA{JI=a#?F(F+=K{{4{H31>>e#`^l+?q5qS1zcYPbWscrgf&FI57EX7jWGTO?UR zo4d*JITTfxZd$imF!rFc1UoSk(-C*->{_JMABs*e(kNNmdF%YO)HP9uibtb)jY0KQKFO_-bD1h6t3_-OQnr!4`WowE6 zkMI~a6HQc9Rc&YPPWrn{N3*pt5YP;J!ar3rPWCe8;^X^B4MN@m#{LHZvw;Jo+v;b} z3=A>>R9R%XH*J9Jr3D?e7G^!ZfzJ3A^PxmQ$aUB<86Z^!&_D=w4GApKzU#0Qu#SX| z0e;xLQ9}2X#Op+Zwt)iZ8@lWRKjy~f(XvS$7&wrZb!&q^B6Wk8+F24fFa_!=JzrS8 z&CvKb@0~l;BDQ3fvFI+8<)Qtd9c7+8uImEO81&BCBmqP?IGHt1^lM{EsnS)SyIH+5 zJqM3ibw!0C^vRo=vJm53{Lz?6J|${;|K0;~D=`92?57pHAR~;{)MFD!GQe;YSZ~KIV29fTY zLMMq+33BRxfCqIodMy`H8oZCc36k-Ni9N_^q@1(?bE?5s|i95!c0hj!X`JP#g(0Smv#KGQD3m@Dpk_XAJ48sGPN4 zUI-EX0ca1~3i^qsVBB?2iNNW>Gi^QjsMf)Eq`Ra=-WSZR=1qlSS9i%+495gkCS?CAJdd@(g8 zML|)quA1LkPbR=@fu;vTY-xE}!4{rFUI-={n&a5|r-g+DIDByV<|_0JC$XLh(Ly6k za9UO2KNTLtzq)9OS~*h0xtc-6&qXzz|NNKyJLF(x?CMV{a5NGz9{u-U0X!0*4_GNG zOG`7Lb2vO4bE;<&gu0r#n{Kt}M_|ST+6#KReA`bjhYOgZIkKmX0Bmx4%n%V3wUHVy z&+<5$62(i0{#F|U&VPOHx%~f*+Yf2D{}Vk=4l@lbK*zDRPESmn{`}eN$D#<+!pe%@ zm!=m)Fri}xMr(kiyu7qTM@Q%E;&OU&vO~_uNQf{iC5r?VVD*C=uJC-weEJ^<*bro( zouC_1+Oo{JzP8cfZ=>k6>q}ib&sSI8E9<=vI;F^tuFs7=Nv>D8AN-cuPxH}?U1s9_B#vPhlHc{GwiY<7-{HE;BN1bpmnFY1mf4Sd(rajc`xdP|+Er;0P zQRTe46cv$Mah0?`W(B_aEDDWfG@O4vtIxKT`gVS~nek}`(b?$NX(eg*iXqI#ve2r{ zk0&;2WkixJVyWKauP5d|BvJ1%el-jJ`Mp&?^0yk_o>%`V5k%(tcXt1m_n6~YNx(X; zGLf&GHxC*{2co#B2(TrQcdWaaSph@@b#(%X=8_UD)7Y$jXiBxQffjOad^b^1(J;oW z%*>*~LTGo+ePL}4EfpmN1>h78UR9EpU&~BSx3;s3=Cy{@+P}Qq-qFF}QKXTx(s@aO zBRsjMk#jBX(%s;{B|$9^6zHzoUf!1a%57wza!C$(%Ba^V_6y^LB-s~XK9%e1qQ7H5 zfA}OI`10lRzoGMqCAnHP9cug24^&+)jalYE(^2L$fvvp~M=@xT(p>(AcG`tGgDrA>VfoMEZuNCO_z_ zg?{+!5bcR{0n~rcFH1WU%A)J$CQ@~As|rd)aKs@>S>N>gaDz8q=WjF>mUYp*&~~fT z@EBzqLP+(v=PTZhTVI^=&pheTZjO>^XDR=mc?(6QcxT@2O3BVg+^gQpcT@CRZ9WC= zh;^K1u4if?!^9Sacm!@>VD)_8zuPoBA|F&UB))z=w%Ya3Lhkr{eZeXuAra%lQ6s`e zHs4pE)EsV_wS9T~eR_U+C!OPGURQR6a`+(u*Ap~H!hTUTi<(b%_QjoH|5CHNf4MJO zrC=Wz9r{RQ4a2L3-_}bR&vX%XVt@rhVo*uPPJUapmLPe*&B&mJik>7H7XH=5cfTzx z+IGuyODH$%a>hoP7R-Yf2e=-LC&}f|RQx1F^q13MyAq z%X(Mh!GN0`KIQ6Am`NkR5B=#F-$mqGDydhlkuIroKO>wS7w727dRr&B5!ACNO!JjKO-Lp`h#h0x(~gCkCiDABR~uFPl4YLW2s1lIcw5*}|3<(2OM>JXq=L3xQ7!Kz#f5 z&Bx2ji4Z+8G0_np2WNvJ>P040_Pbh#R2;N*bfAeA5ME38v|=P%`yA5ES3-tAeJATU zx3Tfl*q9n{Kj!GxRl(NB6@WQTU(FL0b76GBca7 zo{)W-K5%U~$$ENJ=bFLk*+1=PKo?F^c?T)*zkW_z`OBT}jX@sIN3$hqp*PtyLsb;| z9?p(QDS#fEeb|{|pM^OHSXkdBm_lHD(*Jqmng#|q@2hnFuuszEo9pJmzdjmcA2R}~CYtt#&BO)V`got>Sk>`;*~sJB$}pHR2E zIaspy2(g%9UILuVuy_Pl@QHy8Tx$o1s^a3?Rq*G6u1v{?I5|8N5ER@n$wWbJ>`@wQ z^Ew9k22BexZIAO}vy++s#H0V`)2s^+(8c^|XsEQgIsIktvli#CP=tiaz8C#1u#NSE zU;~p(>)xb=)}Y%%}=gUJin1@t;t&_hN77Y@Jc zj%I%jU`9*=Iw}!cT{*d4Xsakz&6r+Th+wo|fKepz%;2#DpZLcsD0l(Z0(%MF3utv^ z?1eLQ6ThdxOkxSRX8^2IFsK3`@L>n^UPB2+ii>LxYzUsVPznUUi>QFWWofcFOWFvu z^F#Bsq=dx1`}d`MFEq8ZreE40D4+Cp-Maq-xir&Ex!9(~Na+4@ec5;R8R* z`oQ4j8cS%q+W7kQw7_IDt!4$RZcreA62%<{Qz3EJ9imifS5o&5_a6pJA>Z;=_c?NTzvr~LN5M(gx4$R^=>J|MaU zYTRaLj{~#X;YPpb&Q55KQ`M?QfOfB;fLFvms81Gx)VilomV~J6Xru~w>_W*cWHX;~ zx;strT^2&)&}oGT?D?l)6oh{ zaVsjqSfL{$6L#9@gA4efrR6l@66#MdnCUNx^XjYm(o$?$akySUxB<>c%hjfvtd~Ha z{p}(epo=s#Fa_mz68a1s+pPp;%@UdCMl^#2TomLu%8uDmg`QXNdNBafezkqZRD=z2Y-~hUN z>1hADX(6`>NlAe#1D<$%a&ib)oW>0liJTb+V}Mls6xEAaJ9!`INC3=pt~ng9@{T1z zd5QoR_ZU7R=XtIx_*wKstqaySHw)A$(I8$c_`sY1Y;0@*QA_MAFAD-d>0`Tny8xL~ z?cqZ$7qaJ@%m;7c=KQZNYX3jB-UA%#{(m3N-g{&vJ6Xw2*&`WcWp{E`xw!M$ z*?!sL-5n=?+%(@?lrpSO+@ zA^d>t6I?F~XJ?T`gUnO#%AEctxoelL9lZI-r)lc<%E=vuYsOKUK1>@dc&E%>8ygvEm*C>JG)IDPH3}p~#~=>Y zh}6@;$>VGXW%wso4zF&vk3B|b(+yrF8s+4ld$$Ty=#=_ZyY}ynovGaxeiPi~gwR8E zE-eerCttkJeSzbO*@1KH&D%yEA)cU_6$FxUGm9VwQ+hd+pE@+~0iyO#QC?3v&_TDk z+tc&wG5AcRlQDFx1p%7kWGktXmkmJSg2AkYj11#Zfz6Dxv@{Tt zxf7!U4%^ru4n0J<5*HRgD;h<(L+PrJLprKqGs-$j!oj@^aK6#kU3Sn7Fm%(&#b}<+ zfVrHm&ePX7#n8wPKALhTy}jG(w%0@HK6&fH&kwB=E}#8uP`AK3LD$jc1B}f`YK9CP zh>5&F^&Q1=CJi};cbJ%&(SlJ83MCHAFz$_QfJs4g4_A%^`hGV*nDvbxwj zNL1xUGH?5wsE}uLA={w*uI!yJ*&P-*T$#dQ_OS-eE|%E%iL~6?%kvV>KifSRcLjww zJGY?7_>jsk-v(6=j{4xB1#*AMzOGUtF?BWKR(37yk_2GCz-kspfJVVO9tR*j?Izo< zi45reO_p=N>HNE*b}J!W@1KPcxw7-q+g;xE46PvwTog zr>jfPS&D#`Pl(bDI{AvN2s51s<>BDP=n$jFAy>Z8svLyx^psj0%;NpmZfHG;ud1Rsz~`f+z_v$?KmoGm^q=$OcB(emo@y6x9_Y6 zT=1ejfuDk#*Pw+yq>66m{`2MVwgeY#U>RXf1brTW-`Z8$P;nf59r>QCQ%9Sf7=={apRCjcTbpiPg7Z&i zCMNfXV<1yZU)ctahv@&lXkl~U+?RkjwY5dy{HLV!!L{|skyOOMmn$IitiD?s?+2+= z_zBL7&CW_Z&JCn9v^%Mml#8^20J8!NtSF z#?B5HTjAZiR670r{m|{bUw-yQSP%(K_h#Nvz2)302lL}?*PKlf5)w@0T*m%${A!@l zAW1tY52oa@iY0Ibc$~mJ#Ml4NmCiMw0AlC_3jSL0!kFBThJjj>)a8GW6xlKug)pqU|DT3F;7~N z7qGv(o()74mCf-p&JV|swks=B-hv_%Df;XJY`fqqh}pNj%o|kBaA?GD@G=^L1`+l4 z*|XTQo_I?-qYVBcGzw~6W^DSsyxb80Qi}S;Ut*8LTwoPcTVH>IBP{yU z<;lYx-|$rIWakFvaH`&pjsmoj+P(YqfFxZZ<+LtL$s9;tYIHrk5#0}}s?g=dE-v~L zRJEVLCJnH_BiXds_4VM@A7C0L8{*SNp2aa?W?|{??bXQ`j93Acd9Si^GzW}GU=G;1 z*TlpGJO!vC;11>tNzyd#y;rOJtDzx`T1)ct7XZ!9&YooKhqY>1?~6@Up2Cr+*AJMR zBtBBm#r~rFpYO0uC#JnyxVOp*ni0_QA>b{esTD*zwqY3bZgVI&Kn9l;{3Dr&@IF1E zI2vS}`_VJXE*0jt{^u2C?Lb_OdX1P`Wg~ec3=T1vaDdW9E5;Yu+LGSm_G>4cYjO|Y ztFNtvH2c?1Gqx*sK%BHeDmb-g_<#8UJYl#1`Q`UX;gv7B>q-gieuLP34#6d7 z%S+@%SEIyJSImBpwNNUy%|!>iQOyBG39f`$Aklqjp`~mKh$Ea~jl2TUV*1sq4gsa8 znLwsMsUX7*^J!XHD4o;TrD7hj*P5PfdNfNYRfPV2b)InLz}Z_%H_zVczxD6H*Tz1rEpr72*pgHNK#O4Mbgf2; zhl?kkp4_Szd!pB6iP-i-CMGB3dB7@fyOcPZ)8gjp0r(3o%S_;7biu5&TH4DF`p(Hn z0Kprp)s>Y$x`TbT&|W^X@?ivF!lA}19s2KUJ<#Z(r@h}$xPzl`gz|Fw14>#3hITHA zU908*YY>sqcp4iUN2E$r$IW|!vJHyHHN!h}E3#AWuGb#9v{TRTiHV76V@T2#N{X4O zm7JNK?dk4bU7o#`oNVUjw*aMkrBe^Dp`MgL`XjxJ%6D)rWRr{p6EnnH zf-Y*375R8{=_zTE+cxJaNkD8XPX z8k55Yy}x-v!Lsyt-#|uid8%K69E<#_=jE+0QX>RntVSVvQ}b6@ak=Fle^kTqgDtmT&t zun{i+gBT?5^XJYDdexvXxN{le3Q!y{*Tew?mj(=M#4g;$sg!@maf4P3p0lGkYlej+ zj1(yc7jU#1Xgc`T^zIEoGL4f5UvzXPojb;VQVx0-AccV;kw|q|N2j2%(Fq>u-;$P( zT3OA_&s$qq#HXf0sc|ne^EJRCkh3uh84wp1p2Ykc4|wo)^EwASQo`LiT`6?K9 z4y4@E;PVWBoYo@*PKf@d(J5V{_S;5Uq>oXpl25}JM`KBiMq zztF6h#jIWQfr#?@9#B0*c zX`h5~UM~iNZjpG9P!rrqyKy5?29;XpMS!!s2MrhX4>03}Y>2qiAzI>#SiwCm!b?(C zHkt{Dtc|s`_5(EcvNAGq#~xFj3qSqm&!4R#BE%Gk&q4Kg{qg}?TFoe>(8%hX17@6` z2UxCU2r!VW{R2u0%+7Sm@tuL0LcXT>VZujczl20rZ|`Z~IB?$%n+9-+R}4bq1YjjK z_QuQq_=wu0l2&TM9Fa4%e!M(9EsyAJn9g*`J|LsnQ`Ft9f@TYV*Jthl#;rJ19KMf^ zU8V|L>zC&_j@sng&c!SL+Ytbt8&*arzF}|H{?O$uhWU1ALy`?qB#lA5hI7$1A9oP- z6DqMr3>`Wol$1CHlQNf@+gsw$+s57l3xVXOe)w=oS(#L1>%^OKhgxF;1NY^L0Wtkf zHw9FBn3m-aVpK}2AR?j*m$~q@_4O`Ld2jkfd(nCNuA)@)gO=u9t#_8!hv-jy%fSdd zMlv^r>e2K&J8Ms_{x5Gs?fg8+!C5F4+=+%Z$uy(?1kpJFH>_SfOW8X>O+xnw&gTgN zla0w}9U3d#7}!{V-W3WwBdKS|8?)d>alEPOYPK%&Giw)vF;5>K7+_U^5EO7TRp`&z z*|7)iCSZA-KmQwL(IBbN8>h?R!I5Iv`~}}z*n$f5MXeV)wpWe$5IkVCCi)hLRmoMK zo)K>WM_xv1%?VhS{aYv@63-wCsSQu10-=|Nyf_rgLcf%}H zrx9*L{dxQAD=UEsoP0@rXGI-EEZgp7K&Mb8R8fiYKT>XJD+61m;3xdujD7-&LzXAG zX@4$$>85~!Wj0Wqo8U7BLJ6D%2D}fb2QSY6tOI}{wC{$>=>UKKwe=Nm6x<=lN|qK9 zQNU;5K%#a|y5OMhH=zx7q$%?*t-+4Sc6XHB!NKbF@Hb_HvAeb4&r7T4#4sx3=SNcm zr^W5?=M)uH{DsMQC(yvQ_ICdd8t1-c5Q#)201QU=38X-eMC+c zb5vs#~e0sVdS+_PZV69)s764t0iK zlgGoc)o@5eRwp6y#dx#vAUZx=4m&;|^Fn*}+{RVU%}tL59iTXY1=Uk0=Jt~cR7nc{F5(tRr%k06C5gPkH zi;Ix2p(1*=3M>J-M!+dD+zh?uslO3D0Rw2oS3vC7lawqdf;mu}Do%y(cn#fkn9eg! zw#r6Z3DcrXo`UT)`YEGCWC#$GQ+$OCo4$mLf`U76yxpM+{Rv&F=w$Hww{M>WOg~5C zqmx%Hpbt~b;Y14RWJ6NnLraUY6SMEgqxTpiBdYX7jB@nZo4#x>9N|Q@CB!GB;^zd5 zK3p=8h;b%$PoSoSKv#d^yqj8*la3DAdB_qThO7l3X|OeBp&(+QztMZx#N-Ax9bgt_ zIT?HJYW~Q?&*m*d9$FAyFJa=t!LQ%8vX15o%t!>=GrqntN1-@w$3xUSjkXTG+vH?8 zA5auvp=}j=g$L$vdW_bxzlxBH|KFcbK}hAlmtp~2R3BU+9%fi4UvMI2SSs?^1E^~G zlCNL8_Gx+=ee{+d1>KtxUhA{dM3k#!566y)=o%91e5ttEvE%GhQ`Z@hx zgpPmspsA$P;igb9f^$OZJSv_1{rn(r?rJWEh1u}vrdyGzNIYQ)MJzosKPwX}zg=x{ zu?MK#=X*%TW_5K)Oqb)uC5Pi}q?_FSkWFwrRi zBBfD@vIGBTx2Ml@FX|lz8skZ-nkqjayt$E`oo|NL8MGtNWKh~_(qk5B{56am4_+Uo zJJw);cWz1ZP35r;OcQ*3%Ee}sODZetzzVxq1C!*gB;JklS#C|#NSSYjhCW@d7D1uB z1iVx?NA$$j+ z$=kj!?Zq^vt8g5kmC+VbBMo2D^4cfGBqY#D2{dxTUhNIM+3-+C0or0TM5a)R8J_Ze zU@G$=C?pZ!P{gCW(>~QfasC-(x_wFO|8aEQXtww2H(eW$Rmg6_u-EHAU4qPBJ1J!Ou^AV%yt4V8Bf=+qyMY!lp7QiBiex%Io3b zh5ZK(Y@FR0A|uBCjlY0m6FT>;O-_<|Da%exM#HVQ*$bT~DUaw6iA5Skj7%j=)KVHO zLg3M2hHlov_6B80Zr53Id6BiGKI#pi2 zs-l8PleFrAi~uG^zOuA5Ljxp!3?yexB?SdtRp_eIH$hXvfDaEK_GRKN6wg2wP*n<@ z%dZ3XJ;UZin@EtE?U^$~z)F}^MTOh(5HmNom+a;w=t=$p!LvE^uMGy%fGOVCMR(3U zeX5DN6j{&zqmfhi2+pmU#-~aPTia)gUCPz2o%E%Bf4`o(sw39w?T;rLfj?c0zbW)@ zT~pHzI_6;p&KRn+$R?2ZBSUt{5hB%rXK&MW4oo|WA5di#HUgHAqQ}c^10%0r0|h0q z#Yt+hF;5h-9x%Gcg+#25`}#an8sQU#F+PbJ8{#jfl`}%U;yH1&gdr+U>M*$18-4cP zp%JVEr@I@LItzTke}3cvgTB#Ay`HFS?y|`Df?+f{HT58ubd$Yg=Jo634=`@psSzBN z*}>2@^Z7GeuMa-qjLVSNq0ipPZA(cMmy8(E|Hb9S&p!*;6o*MZm=S$}xjsnJX;tnKx;jzYAQB|2!`3KqH95@>-AgnLiV?K&2Qc`?BIQ}& z&d8#G0Y5QWTUn9Z;5X@If?(jjytD-FO&O7hw!-t`#WmEkP%8gjU*9H-kuUJ5AlN>) zG@_;_BO`NWarqi{9VUlBy3x3RHi^n$XB3p@X^`%y-K}Q6VnIoqW&cC}7X=~yI+CX@ z{@|9CY2#B!l~PlWK-vo)qo4G*HuR>r_g6sfMQdTl^jz*>BXu*NR|iMOuV22Pz|Z(% zMhKE+Rokzuyn<^RM`@A}ooT{DUvh{#os!te)#^=`K#D_A2VS^Vr}^nL0g*+9F?wRu z%Hj9=!-u}XpC7z69b z$cQc9i$=`#6S-xtb~~Cl{r9VU@>An^fW|kyA|ZYR(}c5MUIK@K?Y^@@PL0iQahSos zy%mHe2YdUR2QS!&7%9gXv9f%#Mxvykh`jAMoidUUbU?6@dRQ!~iYnKQ_0WQY6I4t6NR5ZK$rc5F>2a<5FhX+1edC)I)le zeK+YQ&YnNd?L!;R$w6EkMDV3&U_e>{L&DVCz@09EiG}5imzTEL?DVw3*mT?oZR!2{ z-Y~8J?Bt_zqs)oQlC?1gj6$5JWG|ATb7bT+f0BsT|1S(!1Rb-nLHwaE`m(f!zr&v& z2mv_T(EY&sUxbES7Um{{_Rcyg;JX8tL$9T*pb&8vR>#f5X92ng)Wg{tmG?&f4b{{K z4Bl>TMR0-0(7HA4Sue7m_MpP8>1Wy5rV>UJzW#9&37xLJw=^8f-NpXAJE~Qu~%&uVoV85Ri9e2R=pH6 zL9Zbden#j>;-@+=mHRN+ZGVkXgpQCX9Wlbr+3GDaCW-w|AWU|3N$lCv|NJ@q{M^LE zw!Vu9*FRc7&)jsKhhd1CK+41!U0w3jXKx$)MV(Jfwnd|wC%?39fA~%>u#7I8I|sY# z2ER#iHJ(lIA+cTTq~@MukB2{g#7GHSdaOv-D2%F`VSu!t1`04%+01{t>lbdLZndep z4COc&2rx=x#U8Ow=y>)Pp>F{~j}C*wUO{ zZD#a|BN(iJN`YQ)^j%xU=xOx7AXi{>6|n_@#W0lQHinX|fTyaq_HL~d@tem#?M*oy@9qCGyHjqV)Wv z7QXBr7}*=GF0uKFhK5zddxV07n32&u=-YKNV@}JyLnV_y0iWviBMSryy??Xy z#Py5+PM|}n*(7n!e!{%|V-EzoUaCU3)#B%do{0?G40d`PF-R


t4(i|p27Bk8VgYh1#fjJxVDf-w05)K_eI zXW6552Ny4IJk2}yS2qkig10tdDu4d$**jx&q(~8V(tNk2EW4c1wgX|wlq&x)NXx(8 z|22|HpSfeNjFc4l60OYWC4?{R=h-IaY;A6Cjs(qIzKw|71UkI2i3yNq`7BHXPG7ZliY z{=QeL`EA3)n5uHzCHc8ebc~PlNejJEU~ep*+ip7wno4HZw}&79aHGzv7#JG5;}w(~ zET^gY6rRU7{;I}qxVm?>GmpvZql`&OIc#k`jCDPCRTJ%|0v=&A)zo4TsswzLU(5%6 zMS?VLxz#Da8U%QoXSJPWUbImJ-=0B9O~Q%Ch=#}-K;$-W{0m4eUEBJ!I!ie0mwr;Z{dK43?WN7eJ;eUME5XgjQ?nkkb-@6u184?r9VrKL8f!k)mAHmV z={#D812e5E7R`G^2FAwLaEg(Qw7#2j5Jg2qR-=QFa6tk$Od;_>{0pZh;HrdvSn+O) z7)#nM5 zKTGBxftHl|n1QuMnIO?h}p1&sIV962Vepsbu}?hVTcNK#;SM5)KfO{}JWiyUH@B4GbQ zc>UQNuxLDyT#-x;OKWTWM4b71S;u>QW8y~y&e71&JfMyJeQ!rx3x*3E2_c73GXi~H z@hK1#o2pfb50W*$!MBI-th3YI(-SlRrk$F|cWN0kq+qgoqG|_ zu`B!Z%s|0Q5}Q*`QE|g80Q?QAPWE00CT{t2 zFWm{0J^yu8a`^h|=bkq26pc;MUa*T`Copk1LPB2Ne86f~93(k_-D8ufnFU61eskye zBnTWGA)kO3(h|uisD}eGHW$}X#G>$q_era})0>8$09vCoS#sYqau5e`tQ+x1FC*uD-{H^0xCY)`8N);9vb8TA6r?+ zz3b(U{pUFu_nI~qhtyalT#Xd9eqpix%sUVcuOWe`5_BS|(}jgB)Ev{KaMrNQMJ&_! z&@R6^6m}D`V_rZem;KM3bI;n0i)NB#Bi0`3@U|EqHd=%q9d-tFQab(zLl{Ry#`%F0 z4TCz$sQ#Xwcufq8P@p?~LN5Tv7n05j_YQMSFb(*|4_K(v5fYM;fS`&kMeK!lEl~8% z_+f)9<28nNf?MXmY`9lcWIi>KimZpnuFD99!-T{cGW*LXW#r_FFH<(P#lMBuPBVy^UkiUPEm<{T5m7yC6B&DV zft-916G8guHt@vdvd-%L8pyAUe{~dv3ciB4H(~;xn@A*u#jtMC36XCoAI7OcJcMn> zwKS{xu=C5F?0(Fa^(fXAg_}`+Ihi4{L788E_pW%nuBjM6c_NOwAbxE3N z`ka42od|`kw2%cC580hntip-;TEBzPih$c*jAexCkSZZ_7mNZ4zMuFWGl!u9 zi08CwnKGKMY;EG-!5{Xc`vIIR%p;E9D`s27D5V~F36DfUsVIw@B@peFwmyi01enU~Iu}c{qD^n3e@xgOpBOTQBQh#kc7Z6&PmzRrTNKxlf z+{ejtIy=cz&r@`GM=g@Hf0yY^TJK|O1Q3{KySc#C6Ps}t|Ht9yS#&6D{Qi0kAeaE- zfGJFB@3zF0O@k5z_QNPOOO4lHVF44z-TP31-_i_C@D5Y;V$!ER3GCXS{+0|ud%f>qBPoqe#D053%RfaFVU<$=UiNR?S%nl8v3 zd(7EpdiXFZ?RVIiP)BwRcFK=0{gDg%;P=GB^ECzG;hvj>^Gr0DX`8pTokjs$MlXSa zw#p8*KYY*f)s4BC1#5Ja@9d*{FJ^3W8H6K*4w5~K;^ZfAKSLM=(Dn||2vKLjO!A&! z_sbx@;;qut6Utw6Gy!p$8ihcmc7o-L1$Fp1kb(%|n;Q_l!fdD(lPsh*dXqOo zJs0nmaSM*NKsQOAGG?bM{~31!6_PboOyo$Ia!NMHWWaE}6+Z4Mk|sRluYu6?nkRD% znn2m@mS>3i7u_G83rcpLn3+1bAwugg)eF|)CzPUuzx{)$SR~0z0Ip&!l}S&iUJKzA zzm0S+l_vJwB9Vfl*wjvOt`=g)*MrASnZ6L#WzcZ4=(%wALF&` zshY?eJ&{!_s7tcbxW`ZOqM}7UF$(eH6Cyx5E~WgH=4JlwAizWKk*}W#F7Ra^GBr&~ zOjHzj`pQ~kLU|X-j1HY3rj&Rnbf6}QVP1|7LTYf-DM6SI4I`a5>`N>jRvO&gLRvs> zy`d|HpbMogN)8(rZ&1<`6G^}~EMH#TImkl$1PA6@cypIGvm!${db_~ZJK9O#uwu>F zb@ONH!-`djwVf~iZQDPv1qL`eC$n6o!FK{yRu7l2$lb{1f24lVddsn5AEjWKrE!(I z?KXiU8ZGraaesGnz;tGBlKO+tzrWR!Uvm-t?eV?*rTbU?p}Sxg*WCOV{j$QWOd7ZL z_nlqa_wq(qj3(XLowj;^Ea%@ns3G8f;sex8Jv3eLGsf}f9%APw206JOeT%K#-S4h* z{W0nLG=J#YuC+DlnfIgXS*Qq6uSlLg6&O@uE@|`}6K~YoO!W!+IRn!HYb4f#UD=ja z%FfSM9R~yWjpgEW4hPUVjer0zKg$nm#Zt^QqTA9j}t83x7)++cx%Q z!2!e}2}OB%?b`K`<5$Q&0`BlXa*e`oprtNF{pwTq-YJ`Z?oKkve0BC)@(uM(n}c>WjNKm9H*^68!nZ;uS^ zh+L2hx~cKmemKbPw6K|u9qlpe_>wX6T{8aN2!$4IQ`&T|t25ca9FA}EHfA{adQw?V z4Mg4S>}>Qz^k=y1&?7U^Vj&Zyu%8$IWZtb?PnR8UvF{bW>30JdaH#N2%=z7`?Mx-E z4Yld)--w80OU4Wxv$P5A8jM(>!NYnJtaSASOJJD`OALQ~K7^3u`w)~aK=UXV(B(UO z3s5>Im6q;i)yLJX7VT?U`1B6*~cB6YO#GKYy}lGSkyPsH>w^ zCBX+V4arrlw6Pp-s&?8JVwf+>p%|4B6i z466@>8X6i796sELj=KFT3nQb9#x^KLp`O8F#ES*$bqa+G!NL|nW+#Qy>52R7NmBT3 zlPX2koJ4sFAQZ>3GPgOXcxV<19MjJAlO}o)QWZCqM1-i0t=axbTzBioB0h= z01zIW`bm2;vkKS{UvZ(WGsC{EZOP|J1{8pyN>S>l=jZBx*6|6tJ){Cy3e4j-&-Q=V zCq0@oqWXKJRs5E#7hAkE0ip)}+0<5NA>Qf>;m6tRco~5)o%HpU_`L=;&ituwsFX2< zMSWEAj`hHS_eO~$aoDG2( zxhn3s#36E@6V-OzTJmwW_<_qV3OR^_;LZdYG8EQ;V=pe?0NEL82dq-pdF8`^U;u~} zWCfv1<1(y;EK^k&zhP+)62EEOmFQ-v5R+{|W~O##zKvbn2_4Cg*i9O#?;9LENU_42 z9+k}6KIg8WkDlG#UEG)U00j})$kz`XB1e9J#b|)>YTuo@NwLH$oY5miT+y&-*Z$n< zJ?`@c{&$vWO|Vb5H)6ZcCA7KkLXED5H;1gbo;pRp3QiYBiD+3w0zHm0jQl{YpwopE z+HiJ|{KNN|89#r2`|vS~VN8#5_=&c&HVKTxL(+@wb2tQu0+^RS!l)279#P-TgL;YD zuR$dSLIp+i+^he*0pUKZ8^y+-tTPFuC~>GND0E>$ry~r6^Sz#G_S*wNEw0GVpTLw` zTKdKN*aN()C_0h7CVa|;pE}g^m7m9)rO*aV3jQu9DG6I9!rg3XDT|<9X_tu={IGp@ zM-#9!tpqY+qhpwZv9hx6+=;5KRv=QObq*x95b}*@OXy&D7-Q<{RF3TYC3eAg(hV-2 zg|{&BoAMUIGdpT$HwxofaEyPM{pSwSspJJ;x+JO!Se@-GwfzXh34d(C&@_61aj@@B zOLu1{UNlku{{HAMrqT^;2{?@aW-z4BKc${0w`*7I)bn@mLR?+jMn>wJo39jvZ}VS1 zVq`Q?18@P`KXL9iBDB$o<4g>|>hnLiokD11UCD2h2bshtdj#v(`FgtvhyqGkC`HGGNP$j~S+(#J% zCmx=vni`DfqWL={I{i=i`ofH?Me!-^8^8IB>l1z$IA0Tcbe1$3{d{@)c_zt5L)rZ1 zLhu@yw%}w0nddfQ`j~~X><}OM+qO1vc-?&uFxUXe05>JqF~d@%f)X&l17quViV$J$ zn(oJ_n!wJ(BL*or=zw80@mKwub*ZjywuGcL?=?jVd=_d-N{H>ZtwbGbO}OnxqY=8!X)DW4 zds*Bg`u)Kjpk;w_th;tVL4n=r!@xkx$wS7*y5o%W^uY@gWQT1K+)>h)=AqZz!rD#j z=*37iGb;-yOZW5VAnz^d?-rsz#7?n~2*4BC3=e53DM)=TCTRSMBb`ESA_jM;fxI5> zGYUH_C*mVnXoXok*DdFqn3m@)yw**K{UK%@$s=2mGO(_b6wo6r5NjXNZ{x^@7dABm zpd|mx&k{HY91PnY(Cwur4ekzO@IfHeI%hv+upPc7ucoB*`TO^OSnh*rU{c)WT?%@0 zS9u z80b4&?VkIlYUCxoLHSff%%Q;UZ@AU+WQiFAII?Msn@Sw~8^Y#&8vA;B{6T4=cTON#@mIL!QsM| z6TkNIFwT?cmT%umbJEl1;3&AV%4O}Z6y_m&{!Q612>ch$LqC8z0?_1tYK<;VvzI4W zDEKsOl38X~3!qc;S3ssz3y$QN3e5@b3vdg7-Km6(45Nt*0|Nuo!BX__jWWh|OT=dbR%3)t2sK7XiIWJthoj@|A z=T4*|Zv{q{KOvcdfQj-4Wd$A!=unlVrS?xl_KiEsaCR&l}McKPSkK!P2At`f78>B8=P?f{1lwZ0T4J-CiO3BGF_i!$8 z9m$Ck&{7ZO^UiENA)Ci`FT6FefJ~L>qhG;kNfM#5$-GMu+x2P zOJM4Yq7ry>7TlJ!6E^{BwtgmQSV5PRU&4SP_8P0Z&vd{=RE1eD-eXI}7XgoZwYAFg zyr*GNoH`q)i7qDjU3fO`f2K(nN_?bdqYrA&CXd7sw$9+ja?3e&yH+p6KRcnQPh`UK z_>Ab86|Vv#>dkAAbxm~E`;1+^al_=^Q0(cmz|O!I)tNwuK6~~dXeHMp#Y}Df`&og* zo8hA4q~O5nruc(8X(*M653zGMor(Z#C;Vo?FAk@sq&1jQicEd znac?jf~mF+4pQv#tdmtt3kAsgiHi`^IbOQ-1eSB>Da1^nFS)PC#!hF__wuFwZyXyk zW-9VR9I?d9fommV*rjkdc@ecEh{3R;6B8LnD>Y= z6qv`ob@^Nt{BL1Wui6e=;_~q7)iaWnBOw2Q$}#fgEQ;8OPL_^!^fPLxM>|9kdOcDf zIu?$^fL{MxcR9Gm+@6R*Nos_2y3tJFdKD8o$?u;&MXv6(L6d_%$sr|8-d;GkqEFw* z4afQ2c2&3`k!)tubn(r`O$HCA#)aT~{NO;{ds++=fVJ zbYvtp4|Uok1*q8GMgPAKZ=nJ5T?(JFC&hEOZR>PyEau{|t7=3|F2}o|Zr-$w;>5JfMsX1X; zcycn+DY4wpt<4>~88=U(C8&rh#qEpNkGMSQqoP2S3x%vH1;rvw#_Kuk`u=R5#C|IN zjbMUjforP+h9lpg|8Bh%Pv9p9iJ4(=Zcx{~>C1nHrx>vC&U(L^4NSUf8Reyv`yh_A zqnV28q_TNi5yly+4%QYHL=rDE?EgANj+#5+=YWR97@VZ zFm~NeOUWKj$yYIFM;k*m=zXq4CjD`AQqp-+JchDCMxXdv@RWx~m$N(oWPj2w2(7o~ z$BC#TF_1pDBT;j3ZyZKa$%gHdAcuXY9z5r?4zyhPm%nuPm{ z>*%{s$zY1eVT-GXa1P}qRFwX9&@`V0qo5A1$Q`f2yF*sF-!RhAQ3S3U5ep6?*0Ikx z))u9koRn0XD30yGD@dfkvcU=Xv`2S&oE5q!`#1uNFeg1Y@(PNIb8~Z`JaE!SqoM|p z$w5(SPC4}%nBrXV`f=tX)iX@^$*WV@imUIyJx0SC>6X~(ie?nb@?!_@sj@cN#7?o7 z>MgsvrELe@j}0UIwlJsuNk5W5^0gjx=BmK*Go@|UQL*GaV8ni|EoD1hb29|m>MN*~ z(eOcJj1eh>%CwXbn7aO3>eoUm=cO2!h5Ku4JdUy)^aJHh`u`IYSkagrA5I1s_Y>FPRr48Op@1efN3AhE8F`%%m2sG5ojcOQw~p?Ui_fp8g%;IaB#PYHbR zzur~kSQ&*3cLDe#2x55dYP-1;k>*_F|WjYMKQ8`Z1HKb1#KV|Gn_wgWtP1vlS;kZT=g$> zX;{hhX%YP>AOw3E8~kB_hG9&0^sTuK5!v@CrXtw0+fhDew@eqV9Y)ta=~vZ2lD(|x zAHtzValE=m^UCIdSIHQZEh1Ki@T)`O8?`U8vb>@Kr?Wb;EMZBKI0~3k1xJ1EpDOmeb&)2A(tI!Xc%MZnVzz> z`Bw`L5vILcJD;7CI45Oo80|W%D^>hREbCEkz~Apahl*TFPu66L>pzgpeQOwSBYF9t zqy4kcA$k2CBa0+b)hZWQaJKB{&%L)p`^O7D)-Sy-z5F%g)|C*T#(iIb8u$NQdVJu+ z(8aIyK^1&dDc2^0tfVQMMA(QcIwAxXyIFRE6*cIy7*zwpZcYpbgeGy?*SDC5TpGs` zluPqVG!Me>Q}Yp)KH{l%9E594f$yI`0h_m%k;0JlekU`D`5-i(EJk>T77jE)eTmbH z^%UqEtd1V-d?Cdk-1=om1CIwfk!Pcr0->@*64B9OIb(efQ%=@GL^rVbXr9eBqK1@W zL0Q{)9;+}6{a@Y5$66KK{jZ-t&yUwKWdE3%fk$4OLg35*ycy7jRbtD*%f3Dj&V%HZ z`5+223SEWPX`63}wjF;nVn_IF;6-KQ+r-~~@u}L!)SCLgv#2-w!ito_0HQOb ziltgh9edz*2)kU(|Fn|ytwSe;KH+>)QBn@@lx!C(Ljis|bFxLXqOhPqN8%bTXL@p* z-E*>_V*~=$GUXyfsPEec;DV2bXL-Gubbw?*k>=qD6aarq@Jl>FBGjYhkpvlBm?7;Cj0j!sZWvChJIw}r;`e|zB+ ze{=fDeNFWYY=|{hBO9pc{>WUkMqZ144mPxznS)9gww?k9qMypGn&O>Pk3z{h$Uy=h zVD*Iw4*mPGwWy@ES|73gY+I~*ZkKIH5r@a`jSmrYJgw2*8WKHOG4b8CU`H)tlg9GM2wbr5;f z)L55V)9ckN@4=LeW>%0e6azrW-PgB|iK!nr(s!y?vG3T}@72})MzMo=^vLhB&FDZn zqDuse=?XM1cFCGmc?rheD;)W?`^oRVJgd zy!qA!f1g$QcEvzh&k+8a*y8 zjlY3MOX?rE*FeNTj!ZeCpJy#4?y`8|)Tv;2dlxVK{(Zg24VKaZ?A;Py+~?ua3s)&# z3uu@G1P;vXA}s9$$7$vlhO<@s^HjmSfN_{@okfTDIi`@f`91Obhg30#-&u4i=>6zu zw?3G9pizt>!k@H2ifcO}U<1nFOga!YB?Fm8KN8=rMhX4n={=@avy23mb-JgZ+}dO8 z3qo;08i6qLaq2IoJU_-B#@g2?MHlm7i^4}X=iI#~46hIkE)-cyq2>TSOXd9UXj=V$ zY${WplmFCh658_zAq+wmEi5wp0|4)H@Rygoe)|*^&tlR>+MLPG#RV=?C7Y;W;5o-* z$9hQ*k^ChH*-FP@8ipg+la4*e6)z(2B9 zt8^RB`}Vxu_>auDO5@VD(|xXD#@l=aUl)_w{Z zVOm6DvI)-m=cP=IiGtp!X zxN^6VTb_umswgjK7Cc~g^e9>kO2d!val%-*u#kMeZL}^MH}{*du|pnUlBXLxYs~Sg z`U76s_Q$a!NJH0p+&~J9xCK20?F9DJ zV6l~v7`yuQ@bFfUUS|B1bRYXbDz^h+jzpOT;yO;aVfaeC5iu4^o3$XqgO^e8#mr+$ zehZJG*s6@E3a_Y4+huG8kAfG|0T?{x|HeCH-Nou;Z{PF#)VF)sSKH@v<_xAR+*7Qg zA4=$8-HgFiSKB9C(QY*yjEFjz3Op7Lg6~^~wUm3DWvSa<)+<(4Lzw?YAIIog6=Vzsu2+9g^8AM%>0p#({jB*?qX6_SI>P0#1^aqOsMuAIPeZM z_P5?cMj{07GO~yf^OYoC#ZmE;(?H6lG&$~;0_g<}PmrCx&|DJT3Pxb@A`4kJpsYFF ze^?D`jP7gRhHv?6y|>p+>4^~Tn?!a05c^^J(Wt{aH0{UaIcTpiF?=zNfl3DXC}-dg zb(v9@IE8cY@)L=-5l~$C|~_@hzrDnBB-g&jSRG z#C;{6Ni0TGIwqojewV-Jx}gYRE;5jXIEX6Us|Oq+*cbjBccmH>UF+%Uiur9yMFcbzW4reY_-G-g^mf(S_OOsjE$cII{6#K^!Oeg1vJmfXcd%{ z%r&5Ug8U&VuMwm681L4ZkIYd;@Oj7h!TLH}EaMa&&-_;_iS% zXwTU^1^$S^*mvI{EwIbZ#j}p(b>z+RgG|TrYHwrlcW_HGZvYSRyUWX9eh8T_xM+l1zBKd7%C`5@Dr&>ddWF!_>H zF!@ckJ-vA>_9zfj3k#hx-Rd-@(m#;Soua+1hILSUKN6acQBfke!`bt`%!INaxWhJ3 zgg_OPkr4=a@Io*k_YLFg7J0C`ZE?bEC-O4+W7Kqf1fpa8VY#eNo`^mnB(DpgJ*^bX zrMuvMig}en4r3MU(Vl=QDt-K+NAmgl+1t27kM-l`4^QG-Lxc7v8bcg7 zyFd9#b;ezmhkp05a#MMtbWHjCfd?H4b;H9|!vVs|hg3~^Ukv@de9~o6qwa6R+?d9? z=F-|HzrL3@bKu}}c`4^naPr9w1aZVEfhZvU5C98x7-h|_;Q0+069^b4uy&~b$2ryQ zd>%^n1rM0>EJw9ZP8>Ix0}^8R10+nSunUF9=tV#SuPbIL z=;o$G@jW=XByj0VFS6hiY;3=RnLc{0$Q}Yo+z7-%-&3c+%?_+JT0Mxe*+C?ILRvmC z-~XF873TR$s;ZxgA%gR!OvPk!3`=HJ9A2LCX{o6JU`&w2P+OQNl8i8#7@8C_6erN>EQW~7Q6PXgvRG2=pS=qvLagx_G`zgM z+D`~rkPxq52o|@iYJ{n+wY88u+Eig_EKW_AgWP-38wU^LS0)E~0&AZUbM`?*1n_Y0Ga>s)Ps^FeVBF#yN zi|Z&0f5b^f1Ev-a08sdrvxnEc+QQfWw+U>^|t4-#IKu&8Wua&s*oRL#2XdJ87{?>efwLUKS{h#>pnZ+7Ge z3pK|L)$?znt&Nr)ln1KO8&58;0KllK!j@Ilo9AIV3Ze_9dFDbeB(UusLp>?ZPG^h; ztE;oK;0&vbL@l55>#!wYI2xZQ02Fy8+tZg@kINk>*PJ$^wFqU zI|!Fhg`q&sye|^m#ELO9c*rc3R33`T|qMox0BS<>2DTIEJcOO_q21WLZbfD07LV z{}K|t!|OEyh8_GLqhz_s=71SPO*8rdgOlo})*MV(2Sk3%o=TD5dHp6K5*$3dC;(>0 z>#hUdy8&~8PZ~BU%9v$FJ~{9?jCRj|9|x6x5gvd@4T7mzd3nh)-PJWFcyef8 zanWIr2<`&x#7GG2J2)LS;|#zW5<52Gssz>@hNp-#ueP%lgQoK3uok(CKa}Y7=|&gR z(gzu9=9I&v;jqig#|N+&JB6OVf(8N*NK!_8JRRVJ`Di5-WAhf=FtlL6UiWx3QKPWx zgWx9zrle)RU+WW(QmY8Cv87|B^@{2$mD)G$6wkTZ44~@xlqUjUBlZJc82~5XvoIA_ zbNOFzhe#3%q5Xy29@}ACWC>FTE-K-q7tYg76Sp@}P z8HFZbWEi5@+P0^{y(z7*q9QLlyJ5aQ0*=#e?(VqK@0+je+~)xoQaJX z$tccrBg&l0vNB!mmPGk;;n*&EYyUsms7|QmrRa5e-uy-Z<1CyMnB-p--$p$_DZ`z3 z6*XP#eUx+@|16`hIX);zt1WPEWm0Q*#rY z!>Q8-g7h{VP0*XRotThbx)R;_hVc8(gPfk@cA8t)`doEJzWDMQg&^cW+X*relSVOx z*BE%G=m^1v$Oz5CmxkchxfnBThxP1Rw^C5FzCvEX!(H4j|J|h@B|9bz6xY{KP@xsY zZ3b+%V1c&^IFYo2L+``_$~GWj$=h+>;7$QW1@J}X1ujZ*S!Aw4p(wZ0aw#g z_V!`sh1?Bu{Mhyax5?pfk{da`I_0Lv#f$q2AAy206q>U~>07P72y~pa0Gz94-b_s+v^W&x0ZG_U4MqYPymt@ zlKDy5`D-42C4Ohm&dtt-7X>_Wy8A&qxIzKPtN{!b3qh>#njA`FnyCdz%(BDPAF?N` z^s4z>|FN48vN#1ik->Li3fIcNvi;+*_7)u_A6yCyqFI0MA(NZJ)>b$S5s627kbE0{ zt%XRe-=$rh#!NCHF)?R+_t7xJrmhqyVKPvJWn|PWj4QRH?W^;BpL_GB=?hv(A#w4} zo}S&3l13F3-FmI33bQsgLPG9X23N@VNnk?Q{2N8uN^PMGpl%F=)r6gu!O!X2GJs1T#iemMap?$ka4|u^yN_h zXe{@(ZMSwv2SZAb(b4OIaupB znw%cvz;&WuLWdC+20v_v;I{-R%9j6pKYgM~D1AU2Glq2;HKFpy>mt}ONM^MVl8Ur| zuf^ld4g12`vaT&6-uZ+i2d+5jk1Sno)i$pK;?nK`Zis8uy?<)$_L|bo@P{Wx)gLKd zV2YAc;oIpXRn|4;GeP@EdnTb9~e#x1czJw<+JN-=vj@zMn*UJ&gFfst4wq)c z1Yo1~Qi-9@poK;j;#Jcc{vQs1#pcuPN)_NwiUBxIX~zjMTNtq7Pn>zqi&@kVdUMCG z6=}b=5kMLJ4V&nemieHU0Qu1A`EL%v2b4@Qn+x#vW)Xh>`SX=S#!fyytg1|nTQng+ zst-cliD}Z)4j!Onmx^q=<^YBD2J%3qI`UN-NuOSQy(A^`Oil-(mEe%&*@3vBQ z2KF3`PGHlB(Kg&l^>%Mk+Y;mRb9@SemaP7k*48gSFSKbU#; zdBP?&)Sw_);^@(yz$uO?&ZakTAam@+HpAyRx@B{sDYn zdbzJGDT%|L{}wbEUQ#k_#ES>AV@c@E`|Kb~XW?p4QP$7^6dUqta{#oOEUdDZs{|`@_%>^KC=^keckTkTOtr0O`}gbdZQ^`nOu3VdSVbiU?{Y}5C59Mp z+sInORtp7dZmu|qMVsb@GM^dGr8N$Phd{`f?#eu8swLRiY$|ME4XaS+Wo*TUM9=*r zKB;?Xy=sv(Fnz*l<4xbP*kn#EXN&_v$kde-6%#J1)@FcNvup)+xsg%(qrLS3K!)01 zzYhByd=6h1<9dK1oA;t+pzJR-7*=VA2U~<-GXrd-sOd&(972PMQLfF0%Yzh+eS8#? zO~&?Z|IdprT*WWt&>(IR39)s$9pAUJcKzw{(xI|_dAFq&^!EMTD{Vce0XWs9GDHnI z0BkKyQ)M+Rp|nsm-#D7#aFIHup<1$T!iQF|a8FZ4`&;EEr#WmHUBBGrSJ-`N$U;*S4Z$zV8NQB&Z&g)YKHk2^wervZNT*O0*E+UC_rD zCWm3BivH|wP`SerNQc1ka5#+$^#=JZwu-6k+O=itPpy0hEA;B{#^vUQf&Oq*pSV59 z0JwN+a{$1|%E%lBYBGZ=^6LhthaUDa@Gr^^oa-3KpqFf5!YR_*;ufgVd9LvyPQDfi zSMGiEQKXvf7Og;}{8O87T(Sh;TcCNtcz6Bt%vu}B?&sb6%tbF}iV}aR5Jm}5eB*d= z+F#Fu413HNHnV|##P}VmSsP1B9JrLBKm^Ot6PbGEUXWC{mX$REJlG<*b~17+#bE^X~F=qCWz6y5>?Wl?1Hi!qX!iD`3x zS1#tg^b z>+q>O0b6Sh^BsJAt+&^OK?nrTf8m0;H?zCjw%V&3>qszqo{?dXNHiR0h>$vXc*sU% z6wvg_-@l{Fn8;!LUiR$SQIH3oozpDpdoj=VvR)ZjA`4D5vUS4JQn(6xJY^G3s+IYbupZ8NZ=S?}OymB7hk8D#cCWX%@mL_S z~@0j1jDqb+d}y zs!E-xLr1=TwK{R)?N+uDnhuu2G+1(QnG*< zcgv@%xJTN*fBR-OtTNv0g*rF$U|V(TO0%WG{-#w(G*ScK4p9l?&f<6>HgBsiz(&B8 zbm8Jf&_?m5P$GC&C-!YL&a|``;JeoH{%ZKXr#^s!hP~HxmFgh~)eVuC-ipuh9jq=b z-E`7`GKFaZp~+3)34;cXL6@eiAD~-E`e)mh-Us6At%uX=TxRFy{xLfX=_#&`uL7K> zYYoTH*4$4xDLQxQC06OFQ(sX*{Z=Brc@i|Qxp%MN!H{Qh$pE+8Zfv;;(W1{tBbBTs zPJKA=pz{VSL@To0vPP0CW@-Elzr-y%&oF-PI5Aq22u^VON<*(r;aGxO_sYs8zPRz3 znV6pX9(3lCbU5er&GRr<>~kC0WYz%VXty(qTkr3ZzP?Phd#)MPo&93&rR@R3VocSX z#xbnGEDp@bbBBhu-20!e?S=n5#Z~B?k3s?T9EN1W0-ptl28SsLTi`C11C0y|j!~>6 zQNv&o*7w|Lq|xD&#UBO&4t@CJIQ|6xH!rS{3b*4_%w@8|AYoj9^H?o46HXK00S*k& zDvc9IJ}Sh0{-D(|gJ$iMjF?z9B=ijq=m+CsV*}S$d1pw2gFAu1=wR7<@B?L49CT)1x5 zu-&_b)XSq}=rl{Vys~R}H>YI6V1hW`p7jMur@Bv@|L-2sdxs z$X*F<$KJ$ixRCz46{g;(lQs!19*^fuf7G{g5r*Akd(-OOuwdbV4O%5;9kp?=(Z=yE zX6(LW@cEU8_wT>^@FAQAEjKegJv2@OuIn#7@v;B?p+e;VsogH>scY^T ze^C{EnVnIhr>XOjxZ@Kgo7dS*Ez0$GF-lXa1VuXBKXW?R$z@Px`_9Nm@(Gt3WKz>- z>b|W#zsnu;@lj1?T~+qN%1MpOof&Co$6vo*;PqF1D#gux8;E3gwO0UqgRRPOtsuL@d)p+D zEqjO-uqtP3;lG!eZj1Nk5{$FBcbZNuVMeY?68KG!XSq;l_j_7_)buAaCz? zpFRnwjYXQ&1u(7DyJQsSY2gDN=SoA=%itwjroa z`D)v~tkCxRGg>CWUtt9h(Ez4B8?gkAqgAD7^82^0Sr(b%O1iJ%FQmo(OTc-%Y(MhC zYQ^(N>deDdrB~TM>;H(aO*56yglP)py{0RDLXCzyq253(j(;y`KBpZQ=Xz{P9dZeo4N+T! zIuGEaDct=0`G~c#NTJ}rYXCP)umc7a2k2N2BVpV&pwSc1UF1q-#PP86TO*liGBB$JFyED2D`ojrZ}+vl32^8>lKvu>PZ%t&3A8K{mO z3Yu1_@3Rb2e53!EI-ghIJDD_f%AU=*j2?`Qjm?TgZ-8+yEHQCrBV>1$O2+xzxo#Y(AE{Io$q%#T z--q!!sD{xts9R8KO>qG9hMbBAT>Kk1xDLr#4cy8l8ChUgxU0gyfb{88MuUT-XaJpq z6=3ssEK3*ByJN7}G!l6`cad*}EgTH8Tu?i@+Oe75zQt9sfAf134Fa&XB&&YPAI&}% z`)BpGXPNvYTV9~T>u^k4Y*7A)9mLf&14~*!vU*kI_9!!RtPt!#b^KZAS@sAq|22j^ zJ_v$yho}C=nxF}ug3IP_u-qC;QKyN(_50RI5f)W|ufg)JzYj{yn%2QPZ0_XFokS>Z z!@j{&!csfV<&dXj-9a<6@W6eSeo|h<#{`=m?CwYN$1y0Kotla&Kv%tNSn82aEov^BIJQlzobJP0P5kG*IK<@c zO}kGfNBVkt9z=9ug9CtTbh%5L8`Q#&0N@gBLp?phH-@|Llt<)b#p6Eu0MLi8$eu4e z;^Hy|S`BBB>zye-6rt6jp?d}%+3=cZZr0ZfPf&-d1?#WM9=&Kudk;=-o(H*4)RdI4 zUQfdJ_7pEGYr`p}WR^9<`kRPGbMVI|hvRK~GnNe4jn10V(k5Sf%mdmS%1xPr#T@8rm2@;ZGzuJ=u}PZ^#S z9|Rd3Corh^cQw3!L;_R<5aX-@&*Qdrn!*vl0!C+WzET8h2RNcwi}csg2}Z9Dy@|s^ zu|xPvx^6?eQJA|thSA;F*>p(O#qQHx;Yf2e@7mpt|w;$6^(I175huBpVo0&fGvp)V5?H2hbQ)z;ey zZr4`^7sRB(+W@H>pr(Lm)p&Eg`fVZAEHmuvbSC~@BGU1T+&kL$jDX5bEs*?MF*`x zF}>d8SY}g+(h&J677G4SbN;n(&4eveth7772Udh*CCUkdfxN{(kOrbRJN6=q75xZy zm-drMZcPS@dbJi}N=h%PnhnE^gDc-gJ6= z7-HXwJFz>#V0-o8{KrWZYo^XJ+|%!eU=M71S#xLfk6kvtHYI)A;rux-W4}!N8*5u1 z5gO~@;Bd_)D)ZRq5ta*L6uz`X`>GJPSq(%;>UM_8$KX^Z8;O6H`NWEg zPX6}8B-RxEY*Hd#aPYhOCsqT|3aJL>bxZ~EA@2NjgyY8%*p}6+wNxduUwrZ%C?oUDxByoL{Gp?C<{u2dK>?E3O~5S&o@@{M-75%h zM9J7v6@jDoiWY8|zRH)S8E+ za5Ko1eo$wF0dlZ95tJ z-`~4E_3LBj470}Wp@p?S8qeNd61)~l6O{GfU4Pt04JSfr#S)zHF#{MLfMtKOwEkQG z92vH_;6e&uP!iQX<^3oGZLWJ~f_7Sb>*vpNfi#XM zx1){1JPGz*NOt`q9r@O25Yj)`j;ZFH7|116rlaD)#RJauL-kh%&iRB;)C^oP00=RR z$y()b`2~s*0JC;+Fvu{j>in+L#y)f*AXl^m{^nt+67v^Si9 zNw8i!u+YI5K{r!Mp8(cELYt?KyFPJ)RX`FTYg|lB({VUMy%`$XKxI~VeFRawPP@n= zhJA{s$$ca^>#tbIMG_!A$m{q|V1BuZ^9KYU=(3*oA}}I8o=nYOr0|4L4rmipY+d&; z5AiB`%7AABn1o9dgS`5^)-4wDx+fPHQ=-$-`p*ULMGo9=WdxyuIa~b1Oi(OjE6(Lo zsF>L61}P-#4C~eBu%FD4lR-8rGPPuKIEgJ4qI^F#H~^1|&k)+s7t#l@*EZBwxN!hJ z3v4Zbmqy1*!b}wJ+vyyBgU^WoIJ;mmpB}{ao}0xmTjCb#apvP>HS*1G8vNztw2yig!aOzdEbS`K`^C%9c_Z*P{i zi1rF3g|C=s!{VT?`}g+~gw;nyZ2;WqX1&8qVH{@Js0=QC8_4^V+UyuH00XVZJ~ zWHrmt{qnEbF1yqoJT1uN_|!SP&FO|Y9%lO^2}H&uH3<85g?|G~08`MRk00*<;(}Sm z(WBQ5Y*6|N2|b5Ex+TC20BQsYOIfi!^@J>sx^e`cn}mqSro*dXOm3ms>YxaL5BJSE z*uFz}4iM1N11Bkwlj-HI10knEl9aTxZqRZHL5WjYRR!cM=bBadDNQk!UMBKFYY3)! z;o{-3J)rK-(ca)+sKq9K{1`%IL6#AvP1R#X zvk}E@AjW#TBV0*$^qr-2a-$#yg3s=61HY~DMGZQ|)_r-&0dZ2eM={)h6#+h3Q*KiP z9N4cd*`GLZGv*ySCB@Sy(aB372vO)?N^l5)axZc)sZ8}9BCa_4sgp6ZZtEp$uf#E6 z{`lcT1#&`PA+*%MNR_Y?A+_OV6?Gy3b=Jz_Z)NFfd7r6ZUU*3293@2ql%xPetHFRh zwZl(;|GP7hgc(Vtv|_B}g3r=7S)7?V%fWxs@kvUKoK)$W;jHIn_6Rw2879z|F6lIS z_Z_vih8xvDW20`CVjhW>$FW1E?3YL+N(n53QpH7v9bW(ki8wAVdk+6Pk#@@S>IL@l zG{CEXoFD;>*mi03M2|^btE#f9Dum7r&;R~ek_os@VYQ4qk_Fl42TZSEIEgkw-U#Ci zTE?jMuC9bPXRzNc`};fCU@VT|IiL=Pv~_qv0k}q0S5!2GPvj5{z!lveuI1A6tNR13 z$Jk6414^8Y?jB3TMzH?|>kI@5g?z(}gg?VU1I7PIpI7&?S=iY}CngGR_|pxbQxU|L zLrCNpM2h$!4+E(p;sn0__;Dzwi$jRDP9mUANjGZ_?tZX8voTnXI>2}D?e*x9xx>y? zxr9TeruEe*zsl_Asa{Jwd5tmbpL8qf%z`(aCKGB$h?^-Q78H46kWVjzTbOx_mRgW=q89z1Lr`OJIo z0z6@Ot(o2$(*Imq`YgVNC2Os`PdK7EYFH)Fl7A4Q(~lbAkpH1-rZ4 zvPm+bq9J4s*xT2ywTV9O@d7d#B%h#(TY`pxY~Kq>D6m#+@|c#8n9&y8yqHR078j-8 z{rU5^si_sOJ?c>eH^}eC9G;z1&%kEnhyT$^Ab5(mUIt#df{_xpT2IEF9L78nMCkZ# z(>KEJ+p*O3T0|0d(sa2k=}!5<#1qQm_be*NNrUOyLW~kMq$+(MKkkNDgDN)A(^DP` zp1f}W-M|9|0m=gyY2pg=?!rjO%>tNkLC0?<`wBmIfEOb)ozaSj2-Lj% z%N^DYi?)t!E=E{IxXbtL6JBXU|M7W(=?GoHyB>T`}!7S#{Ia)-$z; zUkw&K^bC?}`HPy{w~hE?AAlSit>w4={V{P&AxB@o zd4oo;ynI13WW-w?egWlWWmQ2N&yB?C0SMC4n)C4D%AglHj&T@(QvCM-;EHw&gmfaI zb3Z6%0{TR?P|zfB?!l^c$Bu&DUTfcX$Q#6%p=$eo&c==PwV0Lg^wCs!OtrbcI;Hd; zYQ5Jx=Y&tLRi&-0RF%whQM1OhfCz!fgme|wjBc!)-&KIhNtT{Lg4 zQ-;|qEMZi3%FjyFtyFE)Y>qPw&C1p;=qV^DDEnI|_^h%{UkucjN>~`1JWerTp{2xB z;p@CVNO|a@tuCrl9)~#o1_aCa$X?V?B4WgZAukF!7+cWO(k`$4y@>qB&<68=jxsZ| zs_N?Xn`=tUQ9ozCRCdlNjjA3~mrn~%{~E&Jm|~}ql(;=9g;g#mm5U?6(k5C|EZ>=a zKv=@WC4@7@#n~w>J%wnTVkwqb`*wQ&&tB=&L>5ETH?H+&|nDsWXCIl?J;{IH8xLiU^{zE#Z{Ak0Mt z9!3EFt{na-bi=d1AaV-84m7&>6i})#*_IFd(`N+fau}g&sJw>e zRsA8N7&*SE+(rHLxT1pG+E!eOAsIHhaj2f{%+M)<0LNO&PAa>C{VY(OqR)D!!{@3N z`Sipmum}q9kMRrx5vLS$uHpC9)s^$u5Q!Q8yzdz9aIyjY+!?t12Vm?6TZnLWyj;9% zOxJPg2XFQE0r!q?F|Ag>V^1vxlMx*_quznD5W?;w1IQcw5!uL}!%`*VVRkm4Q;MTb z6nyCaAFF!Ji}}}Cl_aS5^!9=;qN1os7Fd_>&)Kf8Pftl4vqs8?%asv7uuFiXBc2wM zNxTEh<0dCJ%q|1h#n%S3iqpvIEmoqsKqvh^9nHv z&pRR!wh1H{y!Em;1loZ>I(9--`qU6^Fz}QhDc@8T{}Z$X5HHLb;Z783mOsb$bq%U9 z5xx&;(CL`M324)!&7&}@1E`NX2o@d+pIciEF{i6m?XWFW1I7wRMtB#!8hXk#$M*-n z9L7vH1g7g&a)4L)dk)s}$~T#E9Ar3{bDaEq^h7X8&3C`_Or{Dq_lEv;PuB$>pO59q6&4NERtF77a=eh1dple2Gy@XpF+_GoF5HRoUV zoO-@Ogr+U5zkc^Dn@w+1(>5sH(k#2XJGjmTT395YrbuN=)`oHiWl%5)-4vz>?n>dL zLK<^U+TEMM)IEb^z5NTO3?k$RszuQMXZy;)38V~f{zHGd{@w8KFz$PQkNFLXo7oa+ zLx*+~5LJ4-*53!#3{=bloA1Jz~ z$)o@6vykDPbNbnI4?45qcUDL48fQF{Ws&nfZGlWcnOK(umRd4#$TWB!Z^bAM_bc8!#3>8U ze8yZoJ+J;*l9l7dqIh+f#y7)Wm}eiP1Z}gy&Zvyy z`S3o|R^j#HzRu!f4L@;4;NT+9!G*cl!{)h{xj#lWL7Xn9YO<4%6OAHZYIYVUTJmRU zdNK8uGvxs8qW1cLDGrv~;pm4fwS))Wyf|F|mowA6^yE|==@nVTO^FmJMCzDd7~^8? z`lHp^!)r+8Le9D9ys zng-8}s}R^anpvFFQJuMSaPSk^yZ3lslu3P8R~eGRU!F4gtZEBZ7M&~x-umIC%R$&% zfLAceh+gW6Az?>}T*b8W{h7yh;cQ!_dAyb>`9|Bb~<* zxof{83dqF;aF*JgH`b{!T{yUm`g}hc+`%^O4rnI3h;Bj?@W5sRhohRufH)obOvX`! z`=p@;cT&CEBDkg|42PS0*`D(jGaBv*Tvp(sBE+Pbji~UYz8yQqIWCXf7pQzeglcdA zmz821Pnqla)zzfBP<(f-p>gOoN?}ym0Q!$3mbRf`Gl3;D^kjsS3*OfK!LgFZ?juH@ zYA!Oj@DD&7fT4uUPI1J`u(Foep9_ZN%LjBS!!8(JHyUnLfPv|a{SN@CXMNNRzz0d} z6(oe(Z|233N!~D%Km1D+p6vq*o~)IFj8+r@CwOCEIhcGlDpUKtxOo2)eoW@RTa7c2 znvg+a5f;hUtU}?W$`&Xv;mhdHUu;nD{+qo@2}5n`ywSmftxUS}NQ4e&p)bd0Y;oo1 zo40lRE*tz8jXwG?oMf!@kL;@+CvvmZ67CMuzIW(*f_f&*3G*|YrtoP3pLzW2SBFM& zI9qdGYZ@Mx2y;CQQ_;J0`ydD;gq%y~;9+ge)!B_ib_f9iaqkBkLTRGgj}!9L8mGQ~ zccT5UN_RO};B33PHz}d*_wu%Y323RuN7YY()g?Lm|GiI^-o1NAo@<^t6CY1Dw=wKu z9$sc35Opm41tDMH-``Jnje(Z7{wOyG$DIEjTiK-OUMgg(>_)X?bv*0x7Zs3pQHpL%SSj4h9 zT@C!>&ZE-58Ihkqo+4-WPP-*4F{!};Q}ZwB!A@OwQ&Y9|4fPR>?c`NIP0O2lZ3Q15 zX^fbhtohU3#f6Cl?R@_*KnF*8o8fS*`9`(a8#lx%a}Fma@PP4Jn)~1Z{q26hH5jR9 zZmbFa9TPgdu+cU@ecN(zyM&^yXVv!Ca%ty^i1v-2+ETg<9F-E}o<$+L?R-4knY z56>Jr;`Ul=OPNNu+6Y+#RWZ7R*1pYrn|lDdpy&&vQ4niNxP@_UdXIu4+gy5*X+?d? zIqzBNho$A^&=7;g3%Xt7CF5?l-r|*>R#&2R7zvZiz%mexLE>+A#dv4?9XndW%$oQt z9lJ!lr&Y>Xv!pPR6Qv#CQgT`)j!8-}EJt$S=9^l!gOs-_AK~iH=9(UQu>k=%#8NbL zbH9MVpoO=q^cGzg5*9ubh-jyY3v^p9862lcQhTc_Zpe6GVwdFIaY9P<(GI7H~XNLVFMYdtP6cePW zuD*#LiwD99${G|Y0*PKP&#FV$1;ZSnhn+^TX?rhU0M~bU5eF>BQge>ZIS(F81F?a= zzAIp*#FmZl@bF9{0M8SLWpE{5fbhirTww4Q_Cw7b-qF~P^zBHCc+l+D`o%X>(+_k{ zP{Aanr0`N2sw6#E{(fm;pznf0e&m|ix8kCrOJG}u?Z3~X6{vm!Ao@?-%Nr{b!dkgJ zEQ{;cNws2kz}hdbhPv>}cs0o9b8oD1XdrVPqq?$({QRsXD^QAYDG~=5{A3NuR;HIFiJ&D~tLv zFfkA=)lRjuM2n@F;x$UJ)qx3xT#YKSI89xBZ7$?2CW-GUw$l@%PGCl? zKL`dJT4X3sZ)k$ROO6&krSJL;rh8hMv61)K%b~}F?c3K~h)NFjBqN$d_Xi!D zA`mWc)Z1u~ZFo+ZkYN2%K>szCskTX112{%^_XPn&u}$U*`60qxwTcg{zN=4XFSrw( zR!^JUkFWc61$-+xKFfIjJp6&w4OiVE;~ECHU;3)_H%cu_b)VZw16kF$y0!?L-7{(G zEVRq_R6EQ7GoDxh4YmOZ7Yk+GY~9^yjQ-)Ob2Y6wDCq$^7{>D8ke?sx;0_G4qS5V2 zGuzhmx$69NT@rp;?j>=fe2Pevq(+Z-oK7^4qN8MaK!L-#rIUhyEA5`9pUGf*HhMD+ zGb8!!+o{SOwGyn?j=A`prOiTQT6Aozd!X1RCRTqq_QmZN)bw=*xqolK;=9gHEUEJq zVC!gXH4*&Iv)?f6=#OfRpll&=O-Z5G(Hz19C^-S=sv@Nx@va;$S$s0Agm0-%$dIfbO*E&3(=RlQc8N(HP zZM%IIQcf(&v5JQ~;U|Iz-SHEf4MP#{XHtU*S<>?!*1CM@k|0J?n7T9Wx%5-~&`gwOG{d5r+s#8nCZoY3IoSE|#p`bQ*GOi^7{rxfo&cZ@$DPELFTZ16c_ThS zT;#5J<_SKTk&51IrLR)NvtNVg^oLda(yx)Qw}(6DZV%}mx(cQ1JNeZyTFOw~$O3P_ zs`@t$FT{;ClO$^;{89XW5jB@(-6JD2g9~xF8+N1f50PV$AZ2{(YYS{r-q9!_YdiSq z*e>l6I5ZiVm?ZAbz1D@IY+P;g4Q0j)J+zKJTi>xab1o?EC5sa|D*_j>8PVZj-`eh6 zAaZnhEYFR&Zw-%I?uV^R{Vru?t5vH3x;Y>zn<0$_utM$ zC)Cv7riy7eJn{oDHVqTs6JQ@%3g(uEhSaKuUs0T$lUXSSe_b~0D4HBz1&2+}V41Ix zvw(W;_DUls@LyT%3!p*FZ@?~FIBt5xiE1-(eiC;iDv_+Nw{LHDqB+ywl92L*#pU@K zbBmMJ-6Btu%Jb*kR!vSb<=CMp_tBFuOZQ!x{xI}A`2?B0^TeeGCV^-G;P2JF7|cdE zkSK0kt3TogW@i!3=n$*THjoV>jE!u+jxqrvvHK96c_FSF!cVX&r0fo5sFz`irC~g? z_FB>RMr>@-L@I!YyNq97+5jH1l#L_T2fJ-?j-wJE+XdUXYb1v!n%e+>VDt#D6z7uL zD@RRYj7oH-f9jM+T~_fPu1DgJbcI`jl*(-E)}FhM!e}5#0r4SDa5tCzW#o$@-K<{9 zA8BZ4pmdKvDcvW1eKf!qI)WB65F&zIsC7TQ?gfN~ozo~;$?s(_@RAD`NT*`4qj)~ZXnx^Q8VS?LBxapM&Uf?=Qjd9{7>n}M zJ)0y(i-gW;$}s(P+xq<-cA5MmD0sviden%9@R!7U~_8c+lAyu?Z(e zpHUFdy<@~^p?&7;*)3myo&DccQIXp#Lr45jx3FY%_GDJir$Z8|YXGwrp0)eUTIm z{Cre8Yfj3;W8U)|o2zmQHH_qvY$xsB?(-ZJ(k()afRyi5Vbz-QUF8U_c)fl%2zGM^ zvdr7Z&VAB#gb6 z56Nk136+nbHpCR^WN($9nH<@q{8ZZjCK9n}kg$vA`Y5_hz2xt4a$PUqLKLb6mH1gqSy?}JCM9YSt!Y7udyn^9Sd83>X1 z1J)qLv99Mt13ZM|ZJgFmrol~7QO4msE!(VIFW6A zB0K6J(F(6k^!eln8Vt#dU1zuYa&dCW*?tAGXcj-owMI!v36w!M$%3LzNI>BA+qe5J zeiw*o;X9Vr?UrS3bNF!Rwj+^?!lBhOT7 zbIOp=?fm2)W5%ufT2DjK25Z{u*J=E?=^1w6Sn~y2*XJn8OPgw6DS_&O0-C+|#nsh$ zZew07d7TC{Rk-VBPgqdzA^2(@N6x~XH?0TY1sNrc!g>T{9p+nm9w_CJ%(Gz-rYB0E ze86-V`O@!1zOuFQlTz(?TWP9jzP?Of_JBdg-*|}4U!JhEbdok${W->vPZQek&b1=d zb}%F;Bhh&M`0slwAu9;l(erefxONsSC6;`u|E@CMx~oVzLkC1j0k}=AQ#fer`g`V$ z48_K>sTW{CT{p}bnfLdmu(y0Ryw{xOZ~&amK!;#{xD1bwSb>Hd1iJb`;*FD8PjAcO z05sz81d7-ymk;jw-P^Zr=^fkZTLp!rvNGU0KPxj6BCA59Do107Xe3gj`N9s-oe=J) zyu%Z^&{k?oB*hKBQIJJdd&tJxL@E3%}1X=7un*pnzeuJ=?0f_0|^@> zRi)u0MKs}y;yn9pRse5J9mGwN%Yz8D6OPj9?SEqX}enE)jV-o%)H zHl;iykpt|6+1YzOeTtorjgHn8{h0#s$(Juq?mI8g#%h;h+x>fB_|~n*Nw3M_=y$#h z3)5+~eN|+P(;ExNXAGMswG9CySe?p9_gm#djxwT}Z?NP;^6Ia8@Tq%0#oMRjafN4f zzF-c6Wf5!#cRdaR7nq*S z*Qio&-RglZ5lo-5mO+Y<*4U89lR;1Q94JH9L<7F%vec=z;0teJ!eIA$gzjx<$VYA~ z#40WYv=ZlC+}!NSoWc!rx?C$DNmAzXKsR5yT^SFKGexp&_4GPLrk)SrgrWoCy1`{3 zZTzI%MRKH8U-}%EI;wNvaj?hj37KH4dum9We;NNEQ{BhO!C{jIPyQ`!k;s@B{v&S^ zmiT(e;wxaU9b@VJlrg$u35a1o)c*R}HGH`KoEv%s8OR1X5)t>SL zzSo%2G1hk<QoiKTIR8T*a-| zzUBf`QF>a5Y@f13iqr#=ZOH|+gRog8`)Np6|8w>GEKMH~x_NQz73b^i%{QZ>-{+ww zE-ybU%LN0)T$l@~tM~PmvC{s+9=wI^!_lKn1Z9S?w0{;&=^>3eum>7y(dN58~tYU+i63Eo-HPIqwGwHb=^3IFFHHr-Jd@f$Z0ZjK@=*DGGX zMlgb8QOPEODBztBnH)ANXzVcc?f__jK#ADm(b9n9VDf{$2j~k=wqVCv_cRv15L)+M zTv|AjadHuQVCWe99)c5+1LN`WwJ*R-0C4D59V`IkJy7ZxT5@t5%PjMMZ?`nUwp=pr zQ$oUa=*|^?^qu-*4K&FwPxbyD7Y~TzUB{Ne**$89<_;4>&{0D*Mgy`G|uLQL=!o9lrN)2aS^c@f#0r z{=`7F*zVbPZ1wSdE&0PQo+5S)ro;`ka6zjaV5<|_naXBUqLlXf!cB$x5pOt@gOPw2 zQY`V*%-iPw`#F3s>L5tNv{p0I^NQDnlQ3vO-3VB{_u`m%z-JQa_n)O1#f3tY&yQ>? z=#QnW)O8(uaxZAALSyNJtBQcUnAjH-lH?!p6&4U9P#OSM#!Y|G-Xn@|lRM86A@FFq zpTY=EmcYN4s+={GENEOPfdxHIG|8~Dn0^w`m%#+Txtwk*C`$iUE4K*j8T!N^GTRf} z@yf-3T9Fu_`$pIA?z!YtQ;>Sd!h*HgR-6qHsSN4tcwmL&^0)6KB#0)Pc>GREkmR5b zv@fv+p*nOkw7@*hTe=Ti1mapXBMPq|?RR(y*Gy*dy@##qa9hf8X5h^cqp`{Qh_0 z&*;(~TGgYO^T&VBScb-t>3~wj4<4igsI!v30;`3aZRe3aGJ+L`=`wCPsDZ+C zMYa5#1&H+}*^Sn;xg@yL+5!tc5ww;U-+Pr|))kGBbT%o~2Ov(FO{{MwC^@vYB6aI> z@MYp*|JrRHbb9d*44r_V<^*=oUUNlQ?G(tQJi?gV*4EZ4zPS*xtY<{jpr5MP)AxW> zi5QsZ8#h#U?E=Z|80;P&rM-28?DwjHn1!qBG=${y#)c!tex^B>%(jV_>#F*1t8Fyh=frC){f(P1Jy|NW%}B*x`m4rN5! ziUE zhrcvO#S*q87?JaPF$D)D3{HOq>x z-O~J z4B?8st*vIh%OREx;cmAZy6}&&p7z7J1M)u_aOeVIl}gThfG7G}NAMiLH-Bv-%LP1u zY@|v86o~#1lVR`Eh{=Xb(kRbTlEYpgm6@(KFV50jbUp0CCqBv1JH7h3T|z%_|LMiDI)O*Yuyv(=ZEZy zb~Nk={Ov{%c+ZR7fQMYZX(S%Pi+4;oabn-TeM2zA)Pj{|Fk7nfp4P@jih#Jetpe&S z92{WN)qXG=kT1y4%Xx%Io8UPIP*+&Y!@ zmlaz8e9*h3s01+0Ks@AhArU^Gn3=4uObE$ban3TA46{4AgV#zv!AR9>FqR2Y$r0v{ z!fvGuq6-OZ5G$>DoS=0o#)^~7CH-{BBQzDvqvGoL1Z^Vwy$mLP)lV2Sgdo>#093o# zSw`bVn=EduWdK|C`&yiQVD1~iExqMsL`+R(B@Z9pRWJjXb(?R?9)soNZ*b`Gf2g2A z@1%;@8(>6CzE3KZK~*CDt*=g32+grN33!iGsafx&%8k9Snck?Wc>LJ%=+TW^G%CL9 zA9k$Oy!ictZe!6>=qB)QwfDT{%YT;KX4yc%59)r-hAt`;_5~EJ?oKE8et6T$>ju2R zw;5|NsT-HgC7HZ)yEaPZ7k9d!{F4$q+(C8B_uM&4|Bm7=w=`3Pqj3reLH`ALhX4!9 z{bietzhbXjFji$_XK$P2+=e;)UUhYKF)=ZyzVGfG9AU`_{m({QOgD=s$m}QfQ@Smt zH^BblOO5x4u0h1|Aut+LJqDDI^tcWG_;K@6l94z7P9fWm&?C(D@5-P9j|)tOBw?Wj z&;KkUBm5~-72S*Qu9gBJk{eqOu86QBFq9ZgIhI^#@PdMZf`!o&X1HB&*0t|AMYcEt z7e?#eP7ZpQ7sBBjQ1FclpmaHqogJLYDsyrGB|OGvE-rRQCvG!gySH} zCAo(z+PVb1*>rFB)m49I=Scu;K%cW-fI}So3N}Wymw)42{tn^N@<$X-81#Y?=gs5= zDO4p~<51wlqGq58-LlcTjb#!yI&7#=#zj_CSO38Qmuu;Qz8mKcR(RRme3Da5y;2>L ztueQ4iZW=h*s6VciLXleWGkbyZD8KT_6JM-boE4*-;tkgS>*Xdc zfnih*4e^;kXl?`TVOIvWp4CTWdPiMBiHdnV{z{BlQ9^;6erP%G8d{c!F@R@HVIVG` zm;tW&1bRct4b&w7CbJoM9)fLI@$6a78>r3p_#@S*Qsn_;u-!8w*^dL5;w@0=UNhd- zm{oCaUr?*RD{?HoOqk;wH2&ACObdXRunrgr_+qH=Ap=C_5a@*JzTnwH8vrpJPDNl2 z)qBi|ymK2+(dd&Sg~)`xB7C8H>OK^%@Tbhq&qulM23p6!z@O1JWBfV~4$yKVI-JKgZI_rO88VHnr+a(2k;I`@mafh!_y8Y)Q5UD%Eo=bZ5o~)R} znTrU8c@)&hc?hlm`1SqcGv)xM9k{ZQeF=u-zd5tbi=V={5SE8{>5+etZ;nCUyjaVxki~$?!smnodD!b}h4cmL zxDxUl9UK(=rr-O}-TZ%+U|K-j>Gp{Q2=vpyD*pi`3Anq1)qZXm62XJh<|Ya}0IHYZ zy#bSZ3XIA@o?6~<4h1^c23n8~w=()c81`*?dH}iDLS7yoEs=s+fjs#(xbj$7HtE1r zz3stK|D8s}>oL+ZOVOM%;0$2K9MLIpFaYPfxd`r&jQ&*J)=04X;Nn^|YeRQI=idVe z|4#zPT@i^Kw4$P<(EexAlKsl#rOC1n<7J$ zFL-+DX?yklpTB?h8u_(8CIC4^j0|3`z{}R%Tp@Pxg!3HTZkOT-<;d>BlGP2^n}qcT zMh4Wu&Wo_ELSR$d;UqxxMXB? zg1_y~rL(Q3yV?{w;zS<5&f_qV8F;;X0FMCzX6)f*H2!WaJ>0!tE80o*unv=?M!Q}Ua(dSe;$ zVFvRw+^CJE(3Oj`(DrS;{-1|S$J=lVSxjHFJ!(YUFo9cBF`wr*DAtagDhmLV0*G_l zt(UNa?Zf*J_~(73)AcU#bv*?!wxEE2kV7ZHETBZjI-dl-1L}r%C-ih7B$tMvd`t`& zXOMN1q+ylEN*~TuNJ6X9Rx*rjh`r^A&vO3X&mv(%nt=}J6YPd1BwFY4D?{(xvD4PB zzqgW0$|Y4h;cu`k!l%N`#FUmh{+} z(yMl3-i8*S`5 z26IgFf>46;w|%eHgO0pd&s+>@i#YV~@|JJ7aGXCJl}^SY?+e?`_Hud1$rAJjWW!${ zLkdtGn&78RqLs8$D*Hw2&V=~YNi5zkEOf@ql1$HdwWCh;4guQ?_ra(Egf@U1KxV|b zG%c2F0;M31KR5_+fERb<*wLe;{(cSETY%?+mKsOIh0*cmSBnFT+LelvI1S&teCfD5 zWrI~%m|Ri9_XhtiN?+`M{{4#qVvXVnxui2a$bDlK5|Y2&;kXMB1Opvif3@GkYG-e6 zbLnJEsB2(7gkoGLSJ44^8^G%Q^CZm z@r0RZ12PblqAvzc%P*YlZxp`Kg`Z0{AUEp?!a}*-u_c>Z+;QUCc@@sskf{R*uDU&+fOMKSw&kOzOHuF2y26Fu^Q&T z01AP1r%po+Nz2H1N`@`O{NED@+wg8=JYkokYTb9h$P5hR3p~y8#0k{OGvB{II<`3= zZV}RL1f#&d7e7CQuwP#v8GC7(s+l5ny_^+KJDZ z6?sBly&w&t5OwzS#O70}tpLq6*RtsST>j!VH8s-5kGo+iCG!GGYQzSeU0J@vmvc5% ztEV#4cv1)2Zo?sHH)J-@5uy%0@;BPR@k#(whl{&=n2W~l-RLdE&ieb?ls5v?OMoIS zT0gs?p#d$Xehboq_H-VtzqldbltX^YJicW%fy4E|z;W{|U8YHn_T-_=Aj)B6UhKaP zLDv=3C8-C|^F=nl-^|kNzZ^q&aryVwquQ^JT2qLE*^R4~wdGi@ZYP)%p^I)K#k_MEZ%R#wywVZY`C!T&ri4y2;;%)Tt7&k!W5Br z?cf1C3MxWcy3regeR~4dkny!t9vX`JQWtt9^6ArYPsHZy&Vabxl8pR^QA zjI$8-6i;_F*`Wfa=!Pb~=}z}esDwcwSc-aR44ms9J_p;uH6K+K!?y}o@_lySdKZeE znbZ`BDXg_x1xa@?yDw*bZ)0-{E-3kj?rnW@{E2hI)S%MTGr$$=_Pfrah{bVWlZfx% z8o+>UIGm3AY{%`6k={!6v_F3FTZ}|eX$~|S0>tFmp~@Jb^)gECk6Qi`BpilV$MUR z^xw54OtViu8pEVfFW1<+j(yW^aGo<5N8f)I1;BZQ}WBM^}3L+y9+e*i56 z1`wEyXuvaSkvy}qF+-gQkq<)MW(_Q9c)4dnXuGZ{T=A!RRtJ<6}8J zve$RE>;|uRccrOpowal_#u+%F6{hfQ(9gcHJf*pNHyOyt&u=Yt@XH6fpDl8`15@_k zduS7&ymBh*i&r%!E^VP84Gc)L(1M{k;e|ubo4c_I`@v!}^K?4!36z(G5^Qh>Ivy?X zzS)dUQyno*sG#A*L5>V<2%&0kTYg~mpewzZ2OrC;uXOXm3!MKb(NKfs81Q6bY?zUl z`tcpvj|Wo~F#J&XBp4~U%0_sU{O2w-BJL2wnaY!QR^*+6I9$5{Qes|8Nkik7{5X%4 zgRj*jC&Gf8!<#`bU97H`xq`qDuB(4HEe-R%7bvZ9830{DNY#B^&><`f&ja}b`wmAh zzZ`~6ZIUfsQ{*8z}>hpU->vy4aB$Jgd>0kK4nOtrsZ{uif z-AkpyjiVNniODrEoyy9N!JiJ89N6`Bx-JgTDIZ!ja(==b>mKV~kPq_3M{+(ytF%0n+Y=o)W#63YWhK$gpGOhiQo! z5qZIHwcbDX+<5hsk&SSPWQmJ=A5VOS+Uj!B{tO$djE>$^8#B$*w>7O6&q?TTWt=6X z%ii+jHYv|Zv+ky8oY!vioEo|RfG2#ko z58bo5c>rV4!Gk4Ob%I|47`pH39gdq?64n8Mfe(R%l!~&1-8#s8Ux|T-LM((hY9A9> zz=nxjG5`uXR%&}qOn}xUowZ4St7pmwl%XNF+YRuhs2@^yKsabP4@kJsx5M{_Q^g>1 z53_9!9h#Y(RDV0hM4q~f5v|JM?tdBDz`Z~3x<>>;oa^ha8PRA!t2)?cp{+CejOl}* zy;1^=AcSaX`RIc{&L3rmDff27e>icE)l56t+cTdtqFq&_eMg#__!?)8A+{TbQh>*JE~tu5 z3D8sfsjDmQ*#uH)0@)5_Dr)dB@B zFrEp_03kDcX9$DhXv98!`i;B<504KS>!nFng$^ib{lF(vVD)3SXU_!r(l#lnh;C1* z`|!7A^D%hq--(nFNRroH{j)7wP>9&P#Z$mbsDhOk%h%{*3<1 z^!*}X97}%z0xoDNn_MfcBkr8Q=1wBZyr}0I*Xet$;Z)Qy^qw^8&AD?oY86j!{=dJd zV>S*Z&MYpzBDJ@v$%iSP)kB~go8s>0=Ds-$(KX~)u%0-2_;Bn1bhVe4XdlEHMckiL zHl+;I(mv@HAAVeUu%w+DQVBDd!>kUVd4I{irJp}xeIHT#aftcXfMnAwz#6nofTIt+ zl@$7a?@jA5@pC38=QBG$fGvi$11qe5POjtY^;V||S89>-rIkjY$R_WBZV{>Ew9rzd zC#rV{lApcm>jUt@FbHoPW0M=#MHUM1Z7tfqI`~@ygg&(4|Nk?p6B@3o04?$94Ejb- zBn@H|{Li0_E)1+d>>~gBc2)*L%WyrZz^(^_zUiIpQ4PpF0)Zs~j=>cPUyzPsCHcQ1 zZ?amAxlVvs~9A?8opT8oU=;h^I-Z?BmS9mSjt z=)#Fte>^l;2>>gcH1s_{7B%&T5X_U;RhAhY&Lj^bYL_(-ii{p+_5y`3`?z zA#Yxx+2n&++-gPl&yc9pVLXG%LjaI)83ES-x1cTo7VEAPcuO0GykSMJBqtZ0kkGbk zlYl_0YvsP1dcUS$O64xL9K%Kd>R_M>-!U2CXu_mjOh34;xR`k{bYOP_hWeH{zyY90 zw@g#3n&s$`&RsEG0PyinBevS`_y`$}&@DDT1fScf151zU`K; zdV8HAleZQT657&-!gtm1xv8lsbb2^9l2)wTrrT(z;s7{(6H5Uax7Ox=PkFrLI3&Xn zPBq#uzh#RT-pv%{t1uBm>Vd+R^(009M7XcQt^+qt=NrL{nHQw^-iPQ0ATY*8DIyM6 z_($zx5Q4?FOAY`Qr|Tze6c^W2QE6C1k}x4%_R4m#5Hquw>(>uJ&hZi5m(CSd-Qh<8 z90e%Hbi;D6YrvD_A6Zyj1lX*_ZYTe0Xegt@y~(bjCb||)akJGvvDce#OHN3Br7f~b zxj0nwDq?Qm`+lLrZ*{a({k~13QJ!_3lTz+>6%}hME8nX1UFKT3IA#T-U%w`Br6tE# z6U5N2an5@Hl&CX`r-J+@uXPjD9XQfh-q7yui9TF>c1MagcQ_+zAhe|tk{xTS%`S5g zklrPe_l}J)ntT+ZDT9ZnySv0*){vEq=-YWlhYZKg7>yM^e!OAxK4W7KSDE5< zud&mY{L^sj0}~lfJ4p`p1nm;uP!HdP6Y9E@)#Z7qoP9q<_QTiWcqp}<%Wz;73>3T! zuoe8ffvEkAx0fdh;m6>TY3e*gJ%su)w_5wLJ3tATe-h`>Pdm)#jYVPj18Y{bH+ z2;SCKgDcnGUCm|1Ln5a-Q@?uhcJIdG77NVfA)LfGI0q5fw8gleqXWQ9$9I^GXki0J z7BWvm&}Z9rOxhDPo!33vOklkc_u+Ei+KTS4qX)|@wyuPYp!Y`rO~&~YHlniJ*f()e zQ8Xo4zX+omuj6)UNg7W2)8dLcx~`aplNfA&|6Zb%IVK}uHYhR8T!M+RK4;u>cTH%} z1p(~9E}8H&{45&(czg7gm>`|9tVwYFc61bdG?p1YF@kj_?qK@yi8JG$2K)LL;(q-6 zSr5{|*|Xolr9GxDnn`G)GdZETp*>-28mTrS%n_#m1z?jfFM_zYP%UA=Os|iY!Fa8NEJxxx0`gCi;J_b*xAIieo}sA>h9Hpbyw#dFtH!-9 z$8GpFupPh*l34!1&noou%I%f)@rNu z#?8Iy?}xomJYcg@~PRIW^+l>2X@b_H=o1_K8=KPo>XnRf{pL zj>)(}kV>{4YWFDJZmZzVdZf_N<9PLO#<1(=E9Y9Y#Qy9I$>~O%&1kmHvtN2^T7R;! zFbxcO|7~h^es#IBu&@PP&#f~cWC_x;D{+nGVsGS6vwzEZ)nlDsTFT0C|G@(!oV-A& zfj0{5COFP%+yWUMRT{CJ?@s*%uD$@l2b1J%V9RY}65JZiUWw^?NBWh21 zm739;iNk-_MNi%7em+PMGwWD z`P?wuV3ycJ5`8T%8SJ?|=E%wM{0g_-`$?nQC|AVwNTU$UefxGRGxPUbX6y%9FTrvE z?0nokcMqVM$DoDT^YZzy^&lXNa58u8b#huExAd&TJvDERb)f;VMmnW~w9`{tMbv`v z?O$Ir_!dwMkZ1d(LTvemgYJs2k551DhgrJk7?q)W1pm4^Qv)&rA%|XQY|>GqW^(n zLzjo77|m-$A}&> z$Y^cYno`R(G9G}=^Bxl}u+GldMWRYPoO`7&q4?drd_R-+XkY;QvcLZfoQK}=2gg#a zfx)80OioHmTsS0<4^;yfE9>;9PokSPl~~JJ9Kfe;@JS6>TYcBsT7-DQZ>3nn2!8wW zMJCDgb1`G@@m1bM<%mW=qixn`NtW4hl;9o-Z;%SLQGIsUFu)Hcj0FH{dMonVeC@e8 zhSQRga81zKe|n@_dVej?KhaP8f()LdUvObw~Irb z&JtrEjkCeXkc9fq!OlMU;e!pfUGx%degOfsAUX&Vq=8)_DE^_kLT^1X*>8OV5gpA4 z+FBD5&`j2jp`nMc;n&s{kpWWRot>1F2MEc{P0Dbzw)bj-&pF9CM?!7JoX(alQ+QUo z=RS`ojG z-o-XJpFQ*&(I;r`^Ko{TnA(VyHIRgi7hs+B0mHHiy~CCvUf;#pEnoNxTX?KvFu|?; zEoCh~prwrc3<%65Nbtc-SyALa>9i6@HSG>P;$%->x6LQxM^)beL@HM&X4Iv@4aEmD zErz+v3ETEEP9S3p>DMw!N(qO;uQzUmq^KnnGa>k2Ao!pKwjh1^`jtC@Wqd+phS3h5 zKy_V;s_ht_0NlZ(_4sjll{ekp$j03QH$pQOQoP}C0o@4e0-$#&D{#5+Zk}`WHb$Xh zQzA|{halw#qmj3FJ9vyS8ZJ7hk8{!RJp=Ip!#9w|_Kpr%5;V(#1+ePUHQeXft6@i&qFy z;q$I6uxK0A#+aa~`Qw3g-KqKQ|MMy`5+CvkpHUw^tkvSv6)ScSfhVzCy<*ad>FHoF z`~p>WR6{Kn_5(L7L$$uCi=y(t&G%kiUHTGptdB3;X`#OdHDh<5*3D@K_wxY(Pf!#9 znSwD_%zUok6aZGoH(&-6NQyO*bD23zLgb8_42;K5oM1Y@&(CiPA6WO(r+G{Mq61c8 zU=o2ZPC?iV7KuPilgx`4YS&y|JMo~HN=K67I}35u+`9*f=9U;EM;}_!2NKI5LP8-U zM*iG8|L_IDF$F+m<8l0Ylq^Hioalp8kc__}NPQy_i$HBXz3jjuo?qAhV6BPy2^4;a zj*?hl(1NKKw%l>afTQA=wXklP#AeP0S(9GJj$!yqm@0CyL1I88Zv_c!Iml$dPQfV8 z!)d$4U#y*b{8?81DVXMgZvunZ=)_T2aWEY@c?v))}$L1v7!_aP04J;fS6%-U2J? zBOkz;1!7aQ7-Y9dY?k5bg5T=iv$nkDVK3Hlvrr3Q5ZH2XCEy*3h+wvT_5^YEskK*c z&Fer`sG}pAj#E-U|B~jWm_pxK&U7#u?A;D`*!Plns@;QvL{HE$GO^$hOZFc+e3(Y2 zmEg93jZTB>xFx(SRE0Ug0)V<8w+SQkp)-=szZ=3BL3~(F1$sy49a;;f5!z;N@k0VL z0`IUY?-kwy*UN$@cr+ScML<4sAcIN(@%88u5H1M&D%sa`YC>dbmk8geMnVwaoqnE% z!O#;VrG`xNqPCWn25(S&{0ZLbqF*!SSh3Fz5!O6NyZ+e(h-e&fU7^B5#B}K$nZn&a zdhfqy@%ePW$fJbsFB z%kY!B?Qn+mRqWZj@Z=(QJ|9kM+KSI+=0C543@A%kmbGhtPhTtgy*5GmC7IUjG3UfiMnptnyYHF-AqFh{>^+CIyNg~c6Lhv8Ls zCZ~I4Md!;i?ckpIKj?rj-1_ zPIZCqd*Ms(wVgDhaO{_{qj42sevUO;M+f?9Q~VhN16X&6Sn;#3yT#I+vW9;~fKPRh1@*WxsQ)pqmwTUdmL76v;L>F|64Jh80y`ciFuI7sX%j#)PUwi3YCC4 z2y#;nn;9~!cAo<5JT-+ueynw_7H}GX%X_{b94X-Ytvd|uzn6yx&l4ernm`KnsBL~m zMn*udAg9OE!Zj-_dQB%?3o$+R>lQ$2EP~-&aynvN5iGZ{;_*3Ne|(3R=u_}Im)gmH zWU?)Qbo7v!8CzZU&=<55>CaK=F~(HWKW%MkNw)&?60FeCKO-kkySN}Qass1Pbb9nE zi4m>7_1f~h8(s`)#tl!%8<9(MDCYdUsPNyhW|oQt;0siZuwA1O82B+(;&(FjhA-r( z#_UScd1E|0-}S@^{^(NtaEBi}ccj+{x^SUU4EK3?S=sJ*0Cis%!QJ|ND-}UhV*Kxm zq2>gxQmwt7lb4e#YcHwfrMFKOiWs4z@wC%r>g#J!QO~fi1i1j>Ie)o?DSxiJX#|qtX9;n)=)V=&|NdUl9 zw3$y%SIP0i{c#4~oaLqzPZ{x68eg&LnscAZHDvyvp9$M`dTV0y>!w9|C6jWcanz zv=QH3rIRoblWLQE9bQ+*LI%Eqot-s$bDgu( zgqLqO8)Q9$K+K3x4YQu7K_Maaa05bJ)Q6*`1|OLxFZ4cWgT_%9nTD08&k4k4Jn!y? zi#`Ub@cFzmMB+^(ao~YAPCL7TSk9z1dA$8K-nRMJck*&_V!JK{Ys_Kn!{mm$3GUkn zZc$-!4ttV!b4_{mo#7hVBn2z-=Qn@f=FP5qYkZsLpbh5q+A+HGu`NFKJ3-i>LLyVI+?%q-%k3>BY-KB;WM6(JcYsk2hC~u2-7)C3;V(} z_WxU7uqZ%ot)|N8ts^V>!J{(){tQ0+yu2{RqI-4sOTx{$$6!N1N+u!lbF}u!lZ$vW z-pk^-<^ME{)4WCneHX+=V99cLM?qn9g|w1HdJSTn26`}%voP}FS4BlAo&Wh0@eoBX zz3*(t*KPbPCMMQkLR%R5bg|i+{DZ|YByyOfJpU0c#psXisOiSZBaV)+x$8Iqr%Q-0 z+%8klqyv9~odM>E4fWYehF!)t>2y6q8z1K9_)|Fq|bN20h0jvVI8!%~1q`?Bc zG-KDu$d-i#wa;+RLQklrtBXzUb`V*9^?uR|S^JA%)dw%*U+E|HfmMPjWlLgU)e!-1roy1IYB{NSIna*0CK#3dTtb?4(%sfoiN;pB5#F!QN&cGUwhYu%14 zb#cthqH)IEKzFSpqL`C5xxI}1jgda?^4@}gZQO>)zW)VAel%#)LvSu8V`I>uB`YfnooQo#bdR^|xP}6=vg)cU^T7VHfmeQ-uu6N|bT#C)yr5y+`>v&x z64oeFZbZ4+kBd`GPBb}UEr^~Lhrxk?TTM?7K~EL;wkVHlRIQtQa#m-fQd|}Gp}POQ z4sq1zF2IB;s;Xh{kbH_nAS!kWXxLeuVZ~DV`D_!h*Q%*)yrp zh7afiW8QIAqW=0Bf+pn{O9dQ(&R^`P$E=Z-(P?Yi>HGI05QB_3+vCV*Q@UD-ehK!Acg#oz*+_Cos zMkBOT>L|fS;1Q*&Y6ED$danfS&55}%mnkv_8+Kf-Bso#Pr27Koz$3ado`flYXC??uL1kZ<__VxZ;+40%sR44{l*(7VKpq$Sf&?A#Z`j8gLRBn zCN@_{%F*S(_d=BMTmPkm=WGh4OnLk z--L$G>zcv8hkJ|^ANK&=0XDKoG2Xww8qR+1vqz+fex~?-=;r2=5f=BnqoZ=yhOr&h z`{zZ+s$k!O79MV9u+%Wj12&BVRowXX#o(1eDHs?lf@I(ym?W`n!wZUU3mnJT z$jE;KPEA8DW+2a$>gw&eUVAPu3IK_3PPA^zwzP9B*)_3*eYC$HhMnkkz&;xsFXlkc z09#;aI$vkF>()xmi;nR7Ae<2UV^9dN)kEe`g9_|0T}Uc!4rSQpL8PlgL1+{32ZJf- zsL>FNe;XdIA5#9ASX{p&LAU--nMJ&MpW6P8V>^_0M~*#m`^j&ca(t#LHq{~h?5l^l z0*6TSv8ILj{M)3Jm#+|oAgcKcXKuyz&1fnOh-4hbg3G@9VT9}cDNQb*x{9|^kr9Q&dDybVd zH6XF|GbcBVt{AMp3uW{r)jtAL z>oPWO>cgp*nobjX(C!R;;;Eo72AKFJ%a9+dySsPpKuG=Q&C$#o+WUkU*L4E!MeD&F zOIuPkYA7gthO!N7GYD?Qk6kH%0W-FPKZE>YFxVw+h$`7G` ziX!q8&Bkaz+0Wv3H=lthlJM{$n#46wV?~9-2eMbNvq)GOWu{z4e<9* zkL2OmizRB(G)>u~EUJM`$BT?sSF5J!kCNe3op#rAAHhWhpCRu#>j`It$(*3WFd}3= zmRA9AZO><6HTo9ETt#8SFZvUI!IzbgFNnV}II0EU3&t?ASh&>Ms4MQ@FSIjq=5T+x z_n`W#-ui*u==o7L+!Vnuz@K;kwmv-DS$6bo)6iIIy37&M;#1KHF=%Vz6E<6+QLo;-5#6})@AsMgh0$6k zhf~m27e1~_Awl_(Ag(Or5UNKo8wKuwHp8nco!(@VnArD)1=xpyp^uzhqu*DhaW)@- zfCy3?Lzu+o%`TFI#&enNltMds(7#7szEsVKK(J;Dx85sA^Njcy2Hn8uU^&#)Bk_@* z-0G_OeiCe9KpoHWul+D2=pe;}T~GW4K{tpaGO8}Yz`}SoDG2N2>*la3!7o-DmpaYB z00$3cdHK`l&WZokQCB>Zt@Jy_B+6_XJNX%VEMltOPy)|D!ec5Uiyh&^`FYXzgo_w6 zK)~YO8>j8|%s?e*VhOzv;UiK5@6spHY_0kD3I?w<&BEbh{EK(sj@4__zxDIKzr`O@ zxd2n#Y&Ctny{eM-w`Uz?kK)8)1X$i^<_B2KUx$;KaXL=L^1%2w#<{Vkv**r9`n5!~ z-f4W&+DyNLv3Bp>F+Mx1>!;$&>cO#crjMt=i@WbgkgVL#9ryNbi1>YUGM!|j%KbXD zA#6@nbDCfyNh*&}IOc`gb?~kO;%e($nwgu+aTkmspSa(D|8KV7htMuVYEm1Ncodf> zqOX1w6WYg?tCZ674@Mm5uOwYyhU-sE=2gB*uJ$dYb3FDnxY zwttK19iVX^zkK2P{nAggg1H#chg}#6K%))9?BMQm+*gi*93E&A>TPQH&(U>Z*P)tM zAZ&vNaRc-e44GGAM{BvO3UlZK6puWDMtD%JY%28|rZUcIp!ncG(iiuDE9Tcewef_F<8 zu!e5gy8#X5^0@(tyCf0`v}d^1Afcv{N)_V5Zi(lj^`6@7CYh`{m{Cetyb+lQ`m}XK z#G-W;F92=(d~qE^Bdk49)&c{6fzZp^MU4{`Jtj%V>-#}-+~Wzj0M2gGn!fPO6Em?ypmg8zl_w6fbs>P z90%;YzrPmf;52Nt$rIp-FwMZkQuYjJl?^^;^-hf&85kH?T6Xc1hwT2X8ht$k%AhpN zYM<8!|7Lx4cIg>knBC_~}g*(>}?L#edSx%uD*82j|}-24+@=8&nPZVE6_$MbPHExm@f(XG*itBecbDKxEr#NbrKeE)<7MT@wg{wK8u^ z=ma`oZzS8ESy`ShBi773*CPFe*$dX>n>$rM4p{yJwFrjb_LbrwNfQFjg_;s^1lCl@ z1nBIv=zN*C_WJOeo7+3(O~ro&J)xxQa#oT12#2PY62~;;V$@m07%l9jfPX@hEMY-~ z>IH|Xnp-hrwC{G~Dm8kfoe^6(lY`@JP;MaC1zg5qcXiyprAFWLj>~$Tbo}?XG?(Ky zFS4ba|5ROHzXrezOK@0=<4yzi+Zwya)U|r(tPTd&4boh+IOY!^YoHRZJ88ld+iG~! zh;JbGGX|8?*iAl~_$>f$`Cv7DWK;V~HJV9|xjkZD}X4j&yOd zJqg6$#>dAV^FC>rS`5^?X_&VxMTBd&+Ziy{j3i%%3@P`g7iVfDaQJopkaT1X4>doo zVjUBHCEqYLJU@Dqffb*d_2zrh1dVaQ4~%uWyk`DFs`4iBx%uAGrO|}21{R}=(JSnc zOs26Ghu#K#+x37gb8zDF{gQRRSM;;9d%7|LKc4N`UN6!`X$$+F8KYW8eSI4}0Cx&N z#QHRNGfRYmC|hQinVufag>&I@O&K6D&77?CT7nc)pHH~N_h%|W7eQ*mW$wC7!`+)H zi>AhLc%s>pMYB~yeNF%V8}pE!xH3e?-H0`Ch&N*F^O0>UB<{feVx)c-Vr%dZ)GN0F z@xW=q6uTWAo1)?uIQ5BfZ`r!lOfm@$G+!X&0f1=2>IcCOA5-2gD6H7Qb9b{OACNzQ z12Xaj?CxS4a`fMW*0kTab(6tU%N`ALFf0ko2q zyRe_YnO0EfVy)!b`0w|M`u@feYBJZjnc8Et|ND6czEpnLmg8=Q_zXQtGHm9ssY*^( zy99}{IycF-tfsKgNI~#uoqry_1kBlbj=*C=M1)PsOP7+jC&-Erxtd7K+WN0{YXgDy z6mAj`5dySG307rFY2ToE&1!;I3>JxqlChLMT;UuB7#FLsiSh9{ZRe4p`jB1s5IYg( z|4*iR%$K<<+%p=x3BUIQ`4;2B?+)@cfFexzLeP<;N56ggbo=H$ER_HaVoCGpHny;} zfan1bLBj`*1(wv@TwF9f>G)JvM)SE7&5$HYu>Mt*dIEcWY}{xoC&N6v3E-FQ$#YNu z{&FAACs+eR`2+Q8=rFt0*y)Gu9-xS!vY|p;L&9u_yLTvM5Z5slal*n5PELAA1!f08s85prC|gl zfifN#XqBbui=zp^62Q;v2vjs&5u<~ME~J6q7!WEHaT(FN50D=t4N8iNz6?L?hpBxn zj3(xnmLN{t?FqY`-4E~r<8G3&I=RY#SEP{2+%g%2I{*UnaC!T^)Gz?d-=M?~WZZiB zRT!Wn7~-|u-s%c(HoZyOWUw;uem`e8;%ck#&s7@ck-!teD{dXV8w>_4e6iF6LvS~< zj!(qB zMqVlY5gcJ4mXOcqGX=i>*Y%+KjgK?5!nD%hSxD_Y3ZE!EHH)G?kj+}!+7Oe0aSc9m zZ&6faWj|s_$C(Wo@JU6L0L#NNL#+tbhv4f07cq(gI*g4?`vN+065FR61q^swO;Tyg zUXkbF0p5Oo*EU#KbiyC>3;vj85G^(tiyDAPIr#X_17*qPMTXoh79ZzHq6d0uu_(r| zf;$5jT9(6hlL50`T_W4b!-9!ef2n-qScSxY&(V|&xLaadqMQCLKQAvKF|pxSudXL1 z($MwGhDoWZOm6opE6uE|HvZgobhl#RFWgq2-0`Z9^!9?>6(a_r8oJB)ZdrA8ezqe8 zA4)?}){_dTgiK%uP281g2o8g4Mg!)681s%lu$$Ew)D*nW%~;8TV^Uyoy@Ee0GqZvl z5j@U)qP4}Zd^C7t3iI<_p|Um1Q`xo+M!Qy1_e{xb;k}!-_xXf&K*ow%a4=^F$m%ZA zcw%UP4mc?Z(V(O{{3(IUrVx*YHji=s2Ki+JNZAQ;>H9-Yg2F)bCB zCZ?RI?eOrhj8i%Q9p%9?JG9xDf)b*mNBy3V@xc*}wSHe>0&|KP=yWgdO(BjBxY4a)IPVq-`D`{v1(vdzaE7x>sO14b2v zXxM%m@B z@X@RMRPp1=l7wazw#C^p%fb4B*V`_raAR6%fo!c?^aB=#BXIae;xp!t`2saj(iDQ- z@oyD#M@oDT|NdJDdfivAGytB$#^-;&XWL8MuuA;wc?y`L3$Felf7oSzi8jv(p?K{4+z!MSZ%B!ChuK`O|@QxfscwieGD#}DZEdP+AMV|WpsJgoU#S2=(53|i% zl+MXX_s>t$KjM zI|6?Ub;4|n^bY-}wRI>*`lQ9?IjkG(VI~B4tm9zHRck7?d0>WMUB@$rm7Ju0yR!=6 zUa2=WCb%(Gwr@`leL2kz7K32`yBbqa$!`1 zY0dPZY0z>Ur2u%X%E_TBT3Bb@ThfIKQ2wm~b3+5#*V~(TV|}5Wl{9e;pRZn#mt3^W zdJ7wv(0bF~co$0P4Yh?&Bte8U@#EI&95G1ez}gkr_W-u}#P^?2Q6PsZvLl{cuvjQmEt84$l~m2IE2xsn3D1KqPTg>qyBa72XujbC zg%!CAycV#iS%lg1sXZXW=!oA`iw%SZH%a}_*3}v6QeJVL0q_=fF5%&aY97rOPLBQaV zYTfLt(m)?BhVaeo#Kd0UU$~@r9&FuY6-qs!`3Ki8N+Vq4=`Mn`Vn#F?udTCPM==R{ zP+1Af!*$y@Zf2ru%0GdEBAXJUUH6pt$B3zDpFj+?s@ccx7c84hOiYlk2H4TT(Q*6| z4uy`2ivM))W<>4SfUJ1ZzWoW>bi`*||MxeN52J!@1K?5XAcd5ZloWOjSELii@&+OM z>_>o`wl!G%y4o^3U#Lgos&u#u6?If(WGfU(blxM_bYNhpQ@qhbSI6aiLu)bH26gCE9v)E7ZZaD@o649t|s3WOGND{ zkudW|=Ct^PlrLx>Vzjg_IQU{;Or0t{}lgd38CV^3J1&0bcQwhaQ zre&FSp!dovDRF-(+h0<51B4DN#qfxsES2j~){dcl0Xq^b%&_|&`}|oCd?h!x{@&g> z>d_Fmv--Wg-wo1dXD7!QsER5Y;SD#y2BfIb*cmR{yNCSGo^7cnEddj$J9iergMKb9 z^LN%alX0)YW~gD$cl=_YXj#_)vHF(HHYa)+eSr^39|Xp}AWEXqZV3oINc;A(DH&;L zLIMIZzigk!Q9=5^MOhcfE*_5yOhO}k4Ko2^SOGi#_Z$S@!t3w(^mHlMei4lgx9)(0 zqMJ!G%|ic-(PmvR_O8H48RC1BZZHKMOhQD>MbjW2QFw$Q2F%qhWE4syR-(Gm_ zJrs|4m=O8jwh(5%e=j~GApLxYG)X3&=onNn+A%*NXuNqG@_37$tc=Rj38gAj~xvN0z*&2Q(cY6mtOc@`uiK+ zX8d9kQ&S}>4_E!W6T3@%f96sa&(5A_bA2!Gi6|W#W@BHZL zlA*75V87MH+i%(%(%-Dl6K;qWj5gqBK0%u)3~awjG3{Y(^~qiTG&CZD@i*q*+%LFW zzzPa|4P}n*6*Oca$Y(BPH3XMC$*>=Jz0=I3;lql}ycH$?8j;_g@VylCj*BS+#@2we@Q ztwGdzD*SS-dLC)*SgT?rM_->LzU;+b`rA*};&HuD`|H|M#^bg84`#l8C87*`{3vU$ zpA?ap34dQ~g^8}fNFTf5UT!9k!@oY@aXBTbk#iyJLb+e@WC(w?+&J{ldmfp5racJ4H#%4 z7vVXd<$A1dthVVo<_H{pxD*Ns%8vcNdV;e0+~go%bc@f{lb{AvgB0QHY%s(?r!fnI zj#6)<)3QrbW&!h}0Yc?C!dSK(xp3hR2AB^YQdiVP=7SjQ%q=YuFv!Kt{jr*H56%YQ z09bn1@rj<@?J1{C)WUP5x+&C#I5tgKnIgK$X14hd0M3sw@?>ui$#I_?_N;&ZCi zT@BM3dUvCYxWvvEFV^55-y|y`5en0#1bLkAAB0Fi(b2)ie9FDZXV>nHq6#RO;*Zg#u5{=54zwU6{4*F*Z z+jg7jE5b@UrQqmkURvk)YhIMW?3V8Ndk|e-!~}5|vbxBtY+*)qk&_8A1bKVG>tWYRZ89uGO)@lG_UEOfUfpnytdsdi>&K-K z?&ZvbTQ)v>xIL&ogwyM*_D^_|{ZY8ZQa|TM?}G&j4B-8Tt zsOF>^Uc<54mg81i7(?&fxq|}A=#Gf**Kgh^Wn8zUFh_!uLASp*HrcmR6#uRK(Ufja zD3vzP;0Kuja(MeTFV$3vD3#)-iKD|5eukBkQ@YjAe0E^4br!lJczxrxr1zoo!he7+ z%C*}31Wp*HQ1~2!fHON1-{f=pUs^bL%}qY+gR`?s zzP+Il-86gP=|{9mP|KADxfnP}#gn*TdWf613`iZOc>?h~QjT(iiSqIELr{2TN30^v!4*nKD4ug< zc=hJZ)jpW2Jk4xH4~wk2U@_IPJNdprzZQAzv5LgKYw_{E$B$za zIU+~~8~Pg>qtCBZQw>v%C)u6YxVZ&;7Elf`?CRt^1);Ad7n2?y6KYWB$>_VR?uMLA z#xd2X2hcNV(PMA^y(YG#ki)R|UhV~G?4;ikcxwbu0>_~u^5()&)9bC2frabn3@EN~ zFJto08x3@H{egSj!g7x2WRi1@>E)YXKu7FKxO&z6pMQ={UEwFD#8QEb;Od$k@rvMw z&>%InChuDATu`~;7tFhKmV^@iR}cPveBKo|FIdn8sLKfCd!1DxnIX+G zkIHm_g^4Nm$LIG?-oh4ypJRtzX&Fv7hAvYVlz+PZfRmDaBA{eA{k(Td>8(_}tyyqdQvSfh9<@ z^RZ@`6qJX+F@y?wpxDMF*Rcn1#o4U^NeuP_t@Qas9HmgM$V*OGq`*xDoyrf8*>84_t>a^^muS%a_E>!I{8A#wk~ zgDfs!AAw^80ibV1p!l=QE2j+?ZI=A|5~VVf6yXKQK6>B{{6 z*9(e$pc@8E{6(z288*C<)X{;utNZn9(w=e|3mkn=?%I|Aw{-T+i$0Mgo8E3-0U z8SQLs7vN4)lcXoUz7p;VCHeW`*CD>cwK*-0Zw((3@ay1Va7ve*v&~xzFbWOIy#()M zZEg4(o(~QlZfKy3HFo`Ef=9zEV?*MqFzH2wqW5XPZ)|Eq`b!;-RzSo`L}6s<-dExq zHyUp~bN>96d;Fo)(q%Nk|JqVu){=e%`tTfM;t}tOw9gXG&Gq9;=-&|6!adfNvEA_P zo=0+&)jfR))M&m2`iRD5Fp4uB*RQ|kkp^NM>{fU#Zf02D32qj28&R_;iqjrrzp;HD zS3lgvtN7lAWTit~T$gMT5`B9D-p19Buu*_|q)(lFy*E0@jXpre#wOvLA!mWn1!NN7 zK&Ahnx}f`>w!l0b8%9-Idx6yBDn)a@*PH1Q zJG(+`mW$9Ag2ZUPNkd-#6NYWpea_I0-G*R~GK^ZccQ4CJ*3YsxK6Oju`b)N((74@> zPlM`)jpXA;k3gZK{a_naj#yjgB2nuU*l*WbJ zgxLgHTqeGQkJB;cAH79kbN*bWkr@NAdgwD)>{wwyt_74tG~VQf%q*hi?{vhYefjq? zdMXU8B&Wk2QnYMRZ0a~n`J4H5SfJ*be=BQ<-#)5vBblBaJu-;{v-tHv&{!k35KiOQ zk+_2K4j=iHs2P4(qM24 zl}aVz{Q`R_Gda0Rm^QPj>gbq{X~^U+lfaU3u(8>^TGE^{?-Z)h);^^mXn_WI#WUKP zpGcP70X_~e@l$Z&Kxc>!4|c!wYBx~}l08CNX|C|nCLmJAfn^1%HU`qj2*=b7cr76L z1Rw;LVo6`uJxXaD=Cb-nRESc=a21*-8m4cy$;Z#g##5^G7;uL{xttZBJ!GC(yP?RV z32(2?B27)FpVoh|G}bA=uN`+FZpW45f}PfH6C&QPqNd z%swDk8;m{5y^Y5-Vi?#PK5&ccVKjDIks0KF$zI7RbeSw!6mdI@TIUTrKQei}m+bG* zfn%`~8n%g0yjG=*_QjozDtHYi9sNhZgQJ?Y5tw}{n)xIL@n z_w_(Kd}??1p=sR2D``#JWjz8wWb8eZS#vQeJMON+`M53H{(Rd2rLW|oD;7{rl(TLb6A>Gn^O`ul6 zfXh$fLZ9mT2C+@yQKMX`!!T#394F|ts)4ymfOo9KU?sYt6NC@HLrN;PF9|rBgv>`3 z+bevf+ZLallLKjm(FUf**%4{u{CqOP&8_zBd){Ar*>um*jTd-4H?B%5CXuG=@E)-C z3)FiyLTQRM6zbu&PP6O0jXq|Mk4TDdZs01gUP@%ZGSy&{v0yIo0y6eaGToi~wGzUz zFFj9&&dGWNRtHH`^w;t|N=)SIa!jb!?@<&8D(s}}iga`)qrypLKr%z46@lm0}Ri_E+`RLTkf3$g$*aHs#Aw8vHM+>@$b^eXmkDtCdy5wieDbKU@AT7;&W_;{kx-((%xLH_wZ9%pr9FBY|lA zeZ)$FmHGSQRdK4p=fm-n6dDT#QVdVKR$bW~c+!DHb^!M*z;M=RfY_Lw z@ZdoVKu72CN5+eXazh%vYVbHbV3h0S{|^RKbkZkJ-b+iPg6D|)5!VZ}_X_F;#>QZi z1YoLxXb3zR{4d-4F>|4$AXy3xtouo<`q7QzEWt)q6*dERaQR`PeExnsKX!B}__$O! zM1;&5G#Z=f89YWr3A|*l;GYlj^!y0K2#a}Ni_gV&)=Xrex|z3uzHSf^$=m7f;ep?b zl_?xb6wqC0AG<^78{F++W$<#v{pfLS9(c&%YuuJFKw^X@D{_`TVQP*3f}R=li6Igf z=vTDp3=IwXMjLfRt0ewLGdQ{cz-w~dQ>lhGa1X0J4}(w;H|r1!aoE8hn`85L`I1I+ zLHe~kwSR%HS<3R+u-tDWhQ7oSj=x&wDizNak%oQ$_Y=#H;zZIcPicO^d-e>S_<<%4 zkE-f#a&>PF9JJRkCgZ^m9o*w%v`KO6)})JN+D3Dkrpc_tJK-cfA}GUH)L}-)%nK0Q zU&L7pZ}B|0;zK_~Rm+rtCWq?R#% zVNMgI(vps_zu>vItnM%dI@Pr9980XOmKIh7^-hSVP+?xhOk8K_!?N@6Pis zmHp~yZ7C~pzf8+4qQE4eBkR4gf|1JZ{?P?cZbcux0ffCtkAwxEv77U5i_N}>JV4qQ z+T&iE$AZP5!h zJcm{r#){Bk7|k|@VR%4Aq+@*stVix4&HpbI^a=c|sn@S#%BBTcXlhmW-l#V!sv_;g zSH)ngY+Ug2V+hqyW3OMI=5CRL6YKYlIbg^+a72O->JhTqSD)i3x0wi*nw#|W%nVN~ z6}3U)*!Lh*+!aM7rM7mk4kEQudjcta(6aH1k<_3eJXHx-qn$f9UdweL0@PQA2y6s1 z8b3LSLn}tH>wW5*qsM=9ihkSF_|NT!dbmqkC+6qm1qYt9{a4PS70<47*OF&_9fU>o z^B>+ek%kX3h1KjFIwriX6$|6$F?gs01yUq_kRe$~gGwB8Bi>GsOkYN_MjZ2sUy|YJ z&sGGLjeKt!8balW1yo#R5P^7~JjwtYKflbuZxGBXmg zGBYbH*%^^lMrCD>LMW1~tb~LlWn{~YNXcp#4MfxU9oPN7@9+8JdH%ScyRPedeLtV` zJdg7jSl<_2;{K#Z1byI3FcKSVE3>lRAi_}umz9E<`0yiuO%B}b?4nbTSd9TX9~FmP z&C4Cu$ngT}nE!~)0Jvrcp$PoaqHK)IGe0~05vC1bX}%P}SV7;1$)?)b43R%`QxBcG zP!s{7A^z~oeLXZZgu`G|d#1|`^I$%Rm=@gU$P9C2=H@22qJTv` zG!(uUf=d`|Cv?rX;)uldyCUxb)II&rDz_jD5WzMLq!5DL*@XjRa9_zk27YtH}BqE-VS7JVjYud_e?5B*9F@l^q*E@Qv#OxFj}ID zUzd`STG`mpPQYX(G##g>yD`d`<&s5M0; z(j;$1rs=l)7D)w)@^6TF-PqodlPp>bk zs0|MM4BnE?z4Iey@Q2c1uw>QQ*WwqMKapMBkR-%NqavJL$_LNMXaT`Q)d(xwX*krT z3UwruGqG&3gk)X<|JU>V6aSKvMx-IMH!k}7Ulp72l~V=+gw8%}E6R86KNy}A*T#== zb#>AZK$Gwgy>=#t)zQg`W8Vv!5zqQ+bprGBbd59B``thK@t#?wV03tSq@82$-VP3y zE`*p}1Xmbs!SR>e@Nj=`G!+$CfY;V!A@LeUMGD zo^3<3P-h{A-U-~Lh!^J|ILKxeeCE1)_b8@EkC)2m*Y25dz%*rMriCxgRA7`Flj_=2mY4ezq zcfoR;#;;Nh*8|ChU_EuC-9;J zo029K4Pmz2r!`udkKVkm?3rjK1N9anjBsbD&55 z_j<_Gt5@^*MrY5))|i!jSzcyN?06{?D?4L_?TJt`46^f0N>AR$x*x3HLYp_?cGFM% zcO8p{h56}cA@Xol{MIVY>x#^lX!4~CSFRxZq>G^|!1|#h`Me^?S`b-Slv&&g!lu7L zX3rS~1w4w@O1t?~478xQJ-BcdL>=s7u!Qmr2#}MJZX*I$3nGcee~z#K{JR5UY>u-w z15LHxvqOGfINdEg&z!pG(t%g4f8BtXsWhhYwnbHb8tfeLV8D?1eQEi%MBMLG!DF{v z-s2ofT0aZ9pr_Y^dYeCv76|M#c-u8*AfapnArP+`H=v0?s}6ANNkao7dt?k^V2^&z zfj=a<%o4sUh5IVNhe9;oURi%!abPG~skgf$oacR#bcBm zVF5CO02xi-g@nVvIa2g_*^4p5(aiSn)p*6-Ao`oht)maXO+@^(~ zJ;c0`U`^aZP0sRqYU=cT8IAtm?k~~pbYC`m7NeBMjKD5rZxOVb&FFam-sn8P^Q})6 z9JD_MLQJ*WD4DqN@IXOtUOK7jJ4dD*hOW!9!M?WnJ^C5M6`=#f3w?LhUw`{oGMVg` zooxf@mBHq*=4boG; zKjB$d31k!#DN+{i+^7iZ#V7aWZ0|`~)>E^D_(z4vvrOj16JsTGagdH9EFBz*Wdi%4>2Ru&=jFDX-x8TM`2>U`l{IOI1o zGV(8Bsx6UOdpN^Kmw823?Rh#hlejvH;A?UPGIUI5Q%^PW8D4Oa{Af0il3%D>KI5bu zLOb*V4Gf~>l4S3KSt}uqh;noOXRmi9hS0)pf%iyAR0a#jcF5l4Pf*CB;>}|YadwO% zmb7aUP1O|{BjCj#;Q6QRF;=?rmtfxeu*2_8_Xl_`+1uejYED6vU*~#+jzZB5YHR((6r9Hv6g=**zy2TA3UtAofQwxD21$xej-_{vx0Qs9^zrHo_?kZ zaT*>IQe;-IGtdk@Zs1~<1rAE)8rX9w|Az_oImDPBt~1KEW$ z=W(%Jzite>AZYWTPHnuf41GL=hG-KS2GS9qRy1C$5#kl|zn=w3FoH$n;*JA^P$Y=c zv;|Tv#WQ=HJ?fMX9jedIKg!22Q)wdFe)^snm1lsz|Gcsl{?!|Hx2YHFIPmBE_i)#< zuj3@eDJ|E6+%Ca)h$^c3DxSg{Dlw!RHsj8t+at63Y8^ZPkB3`R_9gyq2#GsUk zi(ZiLbOVnQN!I5#Xa7VMDN+1VL>n|Nno)hYZhDVM!AT3+46;0!Yt7tpT~=UWVvmv@rGm9*`q{NPkz(6J-DK7L8v<29fs5lU4HN zLO1)+n?D)&r~qvA4o>sVN#PMsR6jh`|NoW{ei@Z9Pw{31N+4}h(@Ka5fI!-EQm9ma z2;7Zg!HdE$7JTUvT!CPt1drLpMK}sqoVZa^9(5C$Sw})Q8#MB?xMSCcJk~{5rhGIO2KW|2}+42<
Of>0MssfZy)FqfPkHbe8ID zB?r5^EvxMbZtuVgn3B3z#jl;DhSw6+~-LM{AtHGZ~1Fj|V5`*)u}l?Bub%qxe4FyZ3x=POt92 zi^JMl{t6!(1EhQIiQkV;>Fcy*$};Nl`g8ROYhit+P4cj9o0jnXlu@xv%hVHo25irp zV^uGPehyUrtayhm|Ht3LD<0@79q^^@fMz8^KnDBivYtnV)RJwX!$-*5)rP+L! zPZ$J?Va#A=ZVoV~tQB_MTG8-b$oq_j5W7$SXJ!vvia(wjC4gR6DQG>syo5JF_tta> zpA@#>WMpjJ#P-Th;bkd&=9UiJ2W)jT%5dX0!yyGt?b+6qzLP}-rz|W?_6Famt_5ui zts*8rLxs!erHCK)XbYzufg%3ex3@ntYo~G*3uI!G85=wQjqd9%HE2Wklt@u!+@3sx z1@+I=dPCgZ!mrM#3%i|%+|X|y8C&T>p4#mXl)ZN)mlkO*iHM(2n?Ij84j>cK4Z)Jv zm8b6)aVhALqz$O_%t-Mx0%id#D?HUFBGL%g;IE{2`#8GxY3g09-<6FCqPWm^gkxDG zD7iFEwLPgIA}y)qdJ)%lO?`dLZL8C!FY{_Ic!; zaN$<=-pKYFFa3x$JQEDHn_^N>`gb+(P1llYu2An$#_M)3h6i&$vCH4Q;RW&JIK@Ws zBm3l%G|B4-^U24E?G;<>6GB4%LdGBR^GlC0Akky}Xm37vvoFR9T7^K^ZNaCX4X2i< zWRs1do!7Gl{^Po@@n$>F-KpO?5UHJPNq;4E;IR`}Let*!fXlHxw7s+9MqKBf&e1lN znV#N+tIPW$kemFUzdL$+mw-8<))tvze;xY8?T|Eeef^_H^S4h8VMr6*IS1?LXj+pH zm%kt<(;kn`)JbCJ43P_B$UPleEL?B?$6i z4S}mC(Sx%;$ybBwnf^}t=`G!Ff~rDnyl4S2f8~J4_pYwxBle6k z`g7(&_n{O*R9}>+gC@nWhDx<$bL6C3BD6?P5I*@rB>p~OBhE7NifICCoE%P_F8PYc zBGNhW03=00&^UHpv$~nPstja{N(=+mmZ>=02Wlj{2%bo|yg=nHZE$$77{E{kipDq6y#VJRmB4SdumEPtfri2vi=|-! z7WX}?2Bz@5(_k3>1(Z@XKYDJSL-6y?_)o0`h_i>SMvw}7n5 zAriY;tVIqPDurQxeqA6fkv=`Y@b3<&sn`op7qtFMSjiJ3-6{fwf?TaXJ8=AP51e@6AsTH$CEoa_5Sss%zV zTu*T0)4D3B0+9|jAb_Vsetml|_eA;p6j@c)SBOcf&;8?%RM_#_y35;hWp=C3P7`SQjMKw3er(^8H zl47eEc~zucz)!=!z6s=k<;H;d-B=o?Q9)vsm3s1UQ@f>}jDH8Qz99?3eu%HUY!4lJu3< zsLgAP9`Zid7DaX0gyrq@*>TR?f&o*`w}ni`h46?p*$c-g-|BkG3@)74Y z4C>twnbjZrCBj~oFs^f*N5b8dNfraA*5T2Pr`NQPHljQP258qkxII%B!Zkx2A9{^T z;kY*>5@eV6C6B`(d31anRuT_BaQ$0fWWKRbJ1f6qjescx82$M(%*4ZUT&K_NU_`%@ zp*ZUe$A%cDoSQclz~FAVGny;!dGZ+j+Rgb3$NZoEyXAVmxX&p@g+nDs>Vwd$$~Pf- zI=9NCwUTQ}9`Ih zvGzn^hky+*Q6r`(-wX)c+1MTfU_o64AsLS-@lSLvs1XS2@bdP~eCm*@+fGv&23|sI zI34Cb&uzQW8g!dK0*CUBHix?N$iC}@BY}_6C7y!crk@cS`D%NgO55HwM`(C4+3`(Ek8Gc86ZAg@ zw|$=_Xf2MXKbmH)l726R(On8H`J<&v%wOQ+t3}BM=0Z<1M%G&hAZGUa`qha-F!vImqibp7e*ZRwUd`3nyUAD$S~ujOn~{>XyCv;J@u%<6v2P4(lvN);d{Cd&Ai(`V zHim-zzP86R7wRMzF#6inx-lkP0qM}2OBxh-#6@df0?80U5B0N)?i{*;tu4Eu%Of--?SnJhh8EmeqzW+C9aN!M1KK`PvH~M6_K`O*O@RHcR3ju9|1(WtnFoB;CpqH%I@kg%FoF3@AU74F7O$zYo02} z-?XqjMy1>trOQnVbMx~(A-Oh9t%`idPm%ef`{R)D`=Gm~ab%)@a1e*}AQH0O6UkJ4 zxDTI_Jm-=YWr1=97(kmCLKQU&{Ev=)aGtG z;BGLQEWdMSZ!!olWMW^uU0p?TqFEg%uP|KH&}M%>Iq3nhV^x*$XC5yR=PS4`AM-c; z0xR@zfZSg6bT;_S1YTiR?j|-@#~HH*xLSXO(+I+ZrBUos^ESbYk356_DyDJs`J^@g zM7XpL+u-~KC`0#)is}|$Wor6n{f}Qvc;7x)#q4};{&F+W(Bds?EODwUl?Rb3o|2LxEKiEr5fY8>MvEe{vNIW8>?MBk$iMM7`|>HZGXy;a;%DPI;l2 zUCKaiWOP){ZHRBR8OyafdR_J^o_8)VEu0%e8&7zSixiu5ov>RMLY!#Kvj^qnFx7h0 zPI`r{DwPjdanMIB!?DpT&Vk6UpFNFMm5i5<<}kA2MYiMr`sm4% zEX7$#9xX;VjKywqR`=xEpP}O|pA1gO^6P)&ke!{{6+q>dSDL|pQj=?6+q<$stX3cHp)G7P-5j|>#jnUv4?|0k1F+v zy;jZ>gsR<=b02{%TYj)8AyL=p-|)WV_c6>_D#3oCp{gb7ItD63N5meEfzQY+Vwm^M z%o8mId@yIeew}*M!Jw2!ADz|Ph)%YA4GLZi%7b?gZmp;lV8_k$IGm_lestu>lKZOl z*P#9ZkXP=1GfHM*dC2Pe3kjQgzQq;^ws%MTKhc;GiYwX!yLI$&=v8l2Gf`g+7yK7=+}QZD z1BShp5G*t*pvdqov(_>Z@t^M!xEhk)pNsqBccA&jQpkC z)YPN5iy9T=Imz^1ZM>a<&0Q^sWEePWstSMX7Z=xZf|wP?!t;sN>iXv=|W@t0mQg;oi06aoflVM9@G2yb5`WWTx{QA1&{7E%ZXRFW6d(}P; zjeobl%y+kE*IL%xyy(9D&=5|;k}9{4B)+W*_rRBC!={1WUdMM>onf(GvaDs#7T&O{ zkJQ1Gg1Y|rsd6(HY&VR~UxfA}i>~aA&=;UK{7hM#-GRPympcX}m;Vaf3DpVNao@^+ zq4x0VH=W~`_$GsVz#~2xy(Z+%899zR6cw=)x-BeN%PMY}*Le4Rt7j=iN8z9J(nn-p zaXcJ6J1()Ol58%Fj*WlcrAW0HW17wQ6*vR9`#m{a5N)70^lhTJsW%A26f^`t&l$!_ zr4u0y*cXUPQ-2eeApFfnIy+-@kmt_Pdeg_i{)f(U&eZ%9;WH6_KO^d(_%vw!&ZN-a zv5d0{`^up_215@kO?YUJ1z@%c(B*c3Rm%eFF;I?aNk%fuKAsliJZkh6M$u>cHDl>A zt`Xm(DZBS#J~HmqxT}~8iP%vwqRgP9bF$bbDmrpn#fP+HS|xyWNZn zGczmrd7xpAx4h993Nyd%G)?rI67xVX!0Mx>qQYxy`ctC0cz^V`XfXhC3kDK(q!1u=JOz0^Cgb$FKbf-tTLmxzgCwl;g6z9rB>uClZKDPVv(FkX=>4J)ImKMI}dq?xdthLFvh4 zVw#guNR-3!F6lmS{7{$UUn#13RLQ0X2I%HUUXeFZ5UGE_PUyx_2%bPYa8s;lhAPeX zvCJx`+~>@hV^*(hn_Q_meX+Z_@Ze|w%{e5jiw8+4|AQAELn;O*?&e0jl7a|2tR*f1 z_{I=;;ukA$tSU|gbE`tWWS%3OerfExLI}6&I?L;%YnyK?-rWvLURZgGg2wp-d)&w* zbs@opYXH#Yg7Ny`NimmJUx4TZwq{4wU8dJOvm=B0PcjHS_MRf~!cF%==OGoAkoTt9 z6@6x)H3OE?G7Ucm2t{fx0dE8Ij%lA*dLV+Ti)4)jx=Mx5c_oD0y5?rPRvDN2<%q$w?Nm(P-CU8C>14>!71#JB|Lx zVL0uez_N~h>&y=yUw2&#SKohQU&#?>uSxAW6V41dn2@#Omq;~l_Xitm=!)y}7|6VwaP zXr{Bff2wW!yR>F7m%Il{w3cJj?U13vghwxt&~oh>-EaD@3_gz_>74u|>5zqjm6>@F zC>q!y2<`~SC0=vzFFB#<^5L&Wv@Ovq^b-Iq;E#lTV*y&)yvws~JVduTGTUlZ2j|F(BBJVu=5O&x4{OviKF8 z%pb+;^8etMMYX%gOc8*iB1`K%omkV7BoVzVi0zOoo$6!Lv#FY`Ysg8stwO$itnf5gPkax;t$(r# z2LuKp3qJq0i>%9W2d-FJE*+(LwBgbpN@K+%yK%_I;wVmezonP z2fMW&eXMc()8!(6+QMc-SAPF#mcqW?5pBn^Pa_&f^o-b49*=M4Zn=KuzHt276P3?D zy3T(NRGNMZmyj^KyUnk}D9?lK^#lTp^2USl6jlEyt4t-)pPOaRgR`buW`nA5`t*a= zWmOqkJA+1TzQ2?|7=r*gV1ofeRElHR_HkrAM%T%3$YR&d12j2+4a<+q5g8%agI6h7 z=+-p%HK15*gQU-2Ho|ci5`|t=Y{*9Oqiu*MEqg9?GoE%nRar=~E z{L~W`(F{VaJsl-!H+xhnyjww40nwRQQD3c&rzxhV$jlw+4;1aKph>%r*h(Budweuu z9N;;(Y8PVY`o03GgvcnTOkL~2)B#>wVps5h-Q$p>6_rI znYtnyG68O=!O05}r-iD5+xj@5_j117ZL;y_}g=-JGdvSKdPv z=PgLif%$Gd%iMm9h00V@@C`A9VKk!M%zAv4rAoSn-YxItjeu^(cb^s(CYXynR;-@| zNm$|=f`X!+bXzBxlfdWvzV`0z+ka@oojQ{uqTM2pI_OJ~6uPhAS|vLTvdD+epI>-M zo$>XR{<4RGVFgY|mJB8SoNatb6(uF7Oid%IdI(8cn4q9}dGY!SB~x5Ng4z5<=W{7@ z7fzfQ!=Z$S*P(M0EfQnph@mt5^Qw+s9N&Gi9?1(6NP4S|qLk;uyMmH>*&vkQ(I6n8 zar)K9h+NQ-^%d(Rbq}HuUM41iFPxKLXrHCUrWlMi{0ty->rP-_0uljkCp^^?cRcg5 z(t?aW=53qm?~J){Q@UJNR)#|Xx>>rCy1IVUH;xV|k)QI*QF9)*Qclyu~i;2*A8 z^r4C4IX~kV1$~g~c?{@?u2!gTcUV1-V;)4N??=YQ_IPvn!1mLS|HsGktDIEU81lp9 z4juaR6Ejr1hw;;)nG0uTHUN|Ke8ffW_gmKWrDby{j9=-t$-h9b%SCdX;`(Pf4Or)3 zMpn5DdH~qLFn3~PwumgEsLEF#$xMJ{m_c=X(lr?j#c%^zIU*?A24L4`9aG}Rz8jog zQgiY}7M7L}@M#?nLbWQL#$N{O;vcF(E8(3n8KRqln} z^!-f_s;WLKUG?7+CRneS82ra9G*Fa6e_*B}s^YUAmf4IX-KiUMw!OD0s>bS#F2`Ke zlhT**s(fja^Y_PRV@Rbg7>ygen%Nk7;VDgmYgEKy_i(rxHm_-S5U2I$QCt${e?)wr zxKKdsravdY{_-r?OYNHa`n|w^boF524U)RADx_>M{w*MaX9&z#(f;iK4u!7jk!yb% z$4`tEC_CWS+v`w^-Jvm`d4-MyMiiQEr!d-q`b%JU`Lrq$IJ@bWRSWISkBdrqsHPuv zQ;b|7k0a*GZ@ZuXYCP6L{sYvlET88*H?{ZPJ15vSb1pde3CtZ-7IZa z&_du5<(^#gW@4AD?`X)juv4h;QRjP!f|r?;i-ML($#_XN_$`|7Q*-8@l=?}p@J;1S zwC#67b;C+oCNexC32WMaYOS8vt~r0OcTdsvSfCD@wPN5HVC}wj3g=q*rtoR?dU*I; z)VITUMcgZNcodEyyDTC*uiId~32L9zC}=M93p7-HXn5KWNlJdhWC=K?T{aZhJIiQg z+PFnsXqRX4&(&2hqD;Zsk;uJWvMhwZGg*bWCuX*d_Y zzeQ0M%Wik|>gefuy)Sx=3lIL;01wJ#)+Xbh9$Jha?3ngYD0Zp@@ctBqNEkb2O-x$rzBv`tr@zNig2V@n z?~Hu9p*(pL^t7c_x=MG^xbDs@@DsJIHql7=jnRk5Yh5Ktr2Ju%IK7@Ar;E3=GIxOs z4#AoS2Q#zz>C;n4uxF?W-hk^?c^)QXa?5ZhOOKv3;Q)Oxi3(U?3$Q60r@{D?EFkY2 z6Fr*qHD{XRsnrZz3l%;s*x8WKs0s=-Agp5+Ka}th#5d0Ci}$F&pX>lpYIe3hzlon< zI{%6!GCzPoeA+|4d*-S^I=8;etyRtfm;}8?K(vhI$&)(eb26gT9}2z_M}ot-+Cd-# zUKuXX9+KKF=z`lsNrNm2i*8_hm{Jt@li)7Xh4_kdz-{``8|@I;$Aa3XuQBDE(kDTChi z^icVn9Y;IRd;V(}*XYcJ?`KOQ!4G9VP*h5!aK(XAIQlXUI`|&Xc#W=(Yf=%!1w(=? zTBUDD+ZFv;QW{R28rp6{t`<=T%rn3iJpsmfVSdgnn9qfeOELU4aBn>rci8x3r7e|C zUs8OaiBE#$I8@24hAKseaJ})6+jF#?ZSEi_YpNmmFUEJt5Ne zfTW$a&aln-yD}-XKYUq$3$BIDet0sc7)=PnIE0FFoGI6pEC}X7Ig~}@W*5Bd8v((j z7!@sHDubdq>n2_1+IifKKaRYiuCzifk}W%h4&|1_(;ETWebuu}&zjvIKU@Ai*zBU_ zDo`n7bf@Tmt0mDTXE|Yowxl8=X`RP>%|&3>Vznq7n?~c>CcvNQa1c^^A76pE04YPTGf+EM#S$^Z-v|ha}aFYKqdhYJf~)T_f7I!?g}g z&zR_|eqVJJ3qL^ncu!%hzzQ&Hdfa5$c_)EI!Hf*t7JvQ%;1JJN`j&`x$ zU+SXgq97qC{2)(!A(w$=(kPj}Q7?YW;g%F_{)KMIbUs&weDPN_g8j9%%4MeRr5QKn zlztxh9ZC}_``vKh{^yC&3bmNP_kHg@zdw6@{>c66Y9FY3ZL!LdDFj(xS~FwM-W0d| z6HhPw@&*DEwKxiHNX#^D;JSZWd)Fx(b|<|*Rw$jf+VW@nuxmrmR^Qva`3)k@00Vn0B&r(P_$jZkT^S`=aSZF*)HZ!Bmw=dRni#ni@E591d78!MiU0 zadtL8i|C4+pdA-C!8;Do!i-a??v@%FB&Ni4OD6(%$X^_|{3>QVhwgyzRah3G9sMV3 z%DW0zc_qZUpqxWLl?P~DDGUCBlfa1E+4vAg0`g`E9EahOigzFob_hU?{{8-4O5se1 ztU1@wWTBS@6ahZOnL8Kr0Fp={motV_YqZ$cdg`?ea*Jl z7p3difVUB~rIBX`-O0!x|EFmON&C=up&Z$70UU;) zEzZo*@Rc+%+{T)ZpNpfT{5DT@_r_YlNg$C!vl(Q=Lk}6eN`+dJg0@~|&(2-=-gwf) z#NN?SWBS|VV zJxfkVSUTd0Phw({f>Ad*yks;Ids%@K_PSYX9rkJsyEasc;x5CF)qC_szR6b1DS-&M}cOXNb$PN4G zbJ}YAmIUnrFJ8o=wauYu5Ia#5hqar#Z_yY}v|yYH$T|$>4%QWMibm&PSXG|<&77+A zh%H>o?lLxfs)qi|;pu+!;=FtBv~EV*UwY+q`Ck9o_g%BtOhW28vAY6*+Skvj?6p)u zTgYt=C6LrSUyIkV1&Evt*+z8QbuXVKJSR6!CGfSD8v!^4RxIg)$B>Tg`LemEv3?YD0#}8F8RwV~LsNxW{6YK`yfiLh<*TbJcsKpHXp^EQG!5J!j z#AOXu`II0hv$B#-2}=9$^h|N9wtl2DV|hQ4sm|0MbyznRHC+%3!sitghQD(byFCL) z3+DGbU*E2MOh{t9%Q(RR@{ixi=`oH~>%TJ4g~JG=mwdQWagyCJ&#=YQ6_z?8?N}0l z@6W-@doZ~)DacDb$SC9h3w1&WFbP;A{hf1>$*u(3l%3U%c~I*+)MEs!x{Yd;=~B(dA1;ou4=~} z7mgpcGLO+wfIeG2#o=67i)c{%nb%u}E_)0G^%s;X%DHm~0`HBD?Lw!dedf?y9b?so zyk@(nK0$|_MZQH(MWtt8fIa_5x-i_|s(j`&m0Qn)o?%P%`!`s-Y5StRadkilVtg7- zw7)S;Sm_LoF8*h=o}#_A^eaCICMYG|l%MPaEQ z5ucQlggSHIZm93S-?Co}%cDOa#`mDU#wk$bpaq6CS@2(w>!USRF-`;Kb93A!ts|>* zdLIiK`ToaEucoGsc)wH3eIB}%eP=fH=FL;n2F3PKZrEC2c8vPSkdpmnJ%RkNDU?jv{t_Y#AqLC04op^K>nXvngWBCd7MRfw)Ql%EKCB#er4*Pha zQeCq;2Q2%#b0K}LdB{!sPs(Fd^e48i8|8u6?q=xU8zPC%2DXj6^bIk-9~&DX!0vdy z+U=Fy6BwHCfI!g&`m;eJ6S?p{6idg6Q;UXNNuF7Ps&_7syK}`+R)tEV^mA; zlm0EdbMmd>KggI&yC@;Y1C{{Dg89muxN#jA-_tNwna-mUwW{UF_d=cXX3v3>V3Q?~ z4fggHRGOA(scGf78{yC!7w-;~Jl$yVKfcKp=`%&FMD{ITQ5AxFZ(o*yLIh7TzqUzl z*g-O%vOH*wt``Lopli)QtT2M=EN5c4t{-2^7h zEtQdRV>ua(1jZHYIb$%1{*_>)5j{Uy8*e|i+3?~h(U90A#dC+ia>9vRWzE?4ns_;i zNANcUj4*kpBzGT>WfLr1%U*WEQ*Fy?gIj;b&zzrQo*Vr}>f!tp z(HWTMsbU~_7%Rjr#uSPdaipCth}RMtZEVoA_7e1E zbsl?mbx{=GyEjWQbnuUOs4%F@yh?sW3xbv$9U>vxtJ(DKMsIWghf|A{9kV2%#?bxu z?+U(PS{hS0`;;ykwNCsUiAB7JFP;N@pG`^)At4zBMFJhvMRMqu3nT>vud3XU_sm3_ zUjL@9r%Z0R(?P)8MtB5gYU|Zxi{tJ3Tl^i^@e#=B#97)>1&y_}>5V!g`BAn*g-o-~ z#C!DDneUm!I|_ahqh~ZD3c;9Q(WB)5Nd@?YMRY~D@a+CIx@zL}wmSfA+TMsi`c;jI z2TUQfcS**j5S|+5C^ANO-GlU#s$v6gi43ATXeB3(*3s$2W?A*Qh=`ni5Vo7laLVPE ziJ|TAzZ zdj^JvML}0jraNftN}ea@*rllD>MFeRdM%KbO43Ah*%4V$IJmK?A3)l@CCC!+i&{a#Oj%6%1p>OsX5~Z89 zwzYlPggY;039AkweG+zFY!O^SK+bxTzTdo?q>@AI@Zn3)^m%-z3^Hm@5TJ_`wPi@& zVHODeAd~2zshoX@R$AsfM0^ogy4N9F^E3$^1{8?rjBGL{kF8RnU@`y zp*Z{o6PoI+H#DhNcHWP@miRk+(8oMp=S(tM$q(7?&*IMtysdIs2sz%XZ2wh)x^mSxOK}F^?E1Hi@CeAR#EZ%DAutm z&B{o1)EPc^L$Qe0`;8%KhJ74q7H>g&S>(BDx0(Wzb1lTNH8151so9Tx8otpRC?N%&!HTVWM>xs1ke>+p6 zaxSmH*jSRQP17Nol_hwx)*ai^nvJ{mx)K#l5T!Bw*hb==IuEfyuq$b{AG$0ibD%W^2`|79 zKORdKYWlXYY58~a%+8+)VTD{OOD0VGZ*PiQ33vmfl-kF~R^`x&s}4;MU1k)mf1bG9 z+G*zn@Qyv^H?T(KaC35cVV9DR^O&Gnrb=>g^U-vA_WCY6Ob$Pv8BgB+6P2Iu0P}$_ ziYHdHPrvZM>cwRq3v&`X^M!&%@eN#iJKq%AqUK>4laV84ouj)I_o=rL?m|0m%neRjI3Hm%w)oQC>K*gvO; zHhkP33yO^#C4UAxE%g@9`d4q?_T|8chm29)1J>jy@ND9id`b_5>@d#4H7S(@R#`oq zpv`v0s@l(@M}+}5qZEEj4fBILqv5x|Eh2n`22ockaTqB^R*r<5&yMoUf0 zCDo-|=O>ix*thUqP{;|i6boMBD&jf#X>B|ga98&N%&OQcgng4vsxOmU{Mi*$05DJk z!OI5RPgqghrrKr4_y!x`zwZG2gR~0+YZhbO8NR)u8|c6=lBqg%(kK&laWPIzmFn-5 zKxKeq#LSJSYz0p%Dw0S)T$WSGobH0`X#c~wy;f?UrKm2NVa5X4L|UQm9;!6wR{QLU zt(1w!{O*+}gqWVe{H(2bFB|&RlqKV{6WKEYwD+5z@fPbIA7l37CK#<)T<@hv9illV z!8Ksr#8XquV+S5&cmHI$wQI0>6#i1iU!i6EuFBn>B~ zy1yrmdoa&}ztvrwr-$V&h?;Eg;Ft@fK(A>#jD02f)r>OML_kK4IqZKRdc;LGS>{j! z)1f$3O`;UK{W|*vGI-_ZRymLM3=BY(n|Dq#5DBWVmM1#8-Nq4au*vW;;{wGybOzep z>6cl)6QYPd@?QG@hyCb0qTftsukq}E#H0th^HXS4z{JXm+IX3xWL|zXe4L%FXz4>u z%qD*-=bU_MVw;9NKyM5%*t^0(*8V$TCGG#2&cH>m*5m>ThHQ>1Sf6uLPvS6Zs@obH zSJl^NmX_2%0rG^9HpRCn0tts9p9P8N;r;st!xt&fc7leS+S7TR*O*G~{CgGJ>uwEP zy9g91qd0#&FTJg&fXo5EAB2d9k!SMnO%YuK*bwt-PBq zEc27B;WxZ%{At�{+1+LIAdFD*+?CbQ?xJ7fAxw}sLJB?$$3iQ}5DM|E2h zVrXV$&HR)_Pr}j(si@+J6gFuucoFpncdYs!_%c1wT;JFL(t&wUkDE$jhCrLrP2>Yp zqP%5DuJTNC?jJlr=mp87UtGfF0Duhhrw9=UgOW-Te#vyQvimQfyYkt~NP9|Z7W^!9 z%5fyyJYsE)tST3NKOb-S^%%N3YS!{{&&{2*n35%lhG?bbx8Ab2Q#$wY5wWUYawjG&7-a?E^J*)ZP<>-1`rWgk%sWnFb;^#E&FZzU|1bZe}LTRo~t} zdkXg#(?Xpxh1o4p~Dj~}sC)df8$ zr!{Ya3-Q$lzz-lQv!Xw``k{x}aT=Fhwd(3_qr`Es^UU!_w^p06Rx)|Lu)K`bBKBU} zcY=(@w2ed#g!R6i(YHi}js*L?G_~8-qPPzW)B2A(gis2YeJAWzl*J^L7Y3<>PTL)ZbS~p?8$hVm6`CK0{AUJ$FiTnBgrxIrz#Qy&1d&x-(qH z@(0r8+6ZtT!B%Q+!{kwGhv#Jq-jt0j04499U*X~%a(lo8hK@F%GZIqL9`kDXWT`<+ z{(Ali+t>PzjSqr?a}b38z{HTwP0Wv(9p4%uaPIX_wjiVDP(2ZL=(m zzo+ZryN_MBq`zzpJRJ}H^{;ZnW>A0^am2qF?0Xi@UWuweZN&M3(2{5s7i82CF!kTV zX`i*`Y6#!IZptS~kI~v5w5$&Qdth!k)U&DBJYx5S5XLWwS_Lw?^m8_LcGrf6G^mL9 zUl1e+8l6!CnAI%)&dxxtjz+L-J;;fy_1@X?va+LZo_tJ#gGQ+^piaCihJ|4TrFkLg zI~XnS|Fl{bxwU5MAvJd^y!b#hnk4sK>B+xPKGTB84%~@pD-Wo97&|WZ!tlrTZi&p7 z>e3{dVi{&%%K9xrqW@q?tGyAY?D7SK5fW+GXDpI};XRhI6V|Q6XU8lAWoHrBm)(SkR;mh*k_5p@5jU>|() zWbvAfqB+amQ|3%Fema|*o6c^P=91U#%;WsM|M>7-=uF}$<+Ul2y89LE3+KBh=H%b$ z(Sgc{n9wG)ZYlRPs!l&W+1}R860a4>TUpkga~_rifZx%!!8Rx3GxKbea8z>4{eUfkr5DZ^hw-$+Z`xFqV%ynnC-(=`+`}x;$J1E zd_;f&FEiMx`hB2ulzc_J!l^g?=q&vhfoHC@pOV;sThS*x48nUV1?E9CE+2Y{xE|wrTzAIWuT5fKBTQoiz5tcc zqS(~x(@r-To;^OA%>DcA@EM&Q&hyS5M=DD+A9A`&y*8HD__#~&1v{~X+#SoaXG{G0 z?%zn3ZaT^4%K7t*qqoYp>CTY>-nEsZd{mF!Qe-aTj?TSy9|RkY!;0D1VOv%|eteVY zDt%JSkPfHaBQEf}S?G_Gol@zj)Ud~Jrosc;wcoz!3>5q8!At!Z6Sb@79ZcFn(Qv&S z_`#xT9+rJ>^581x-pA0!0xPtLB$fHwW5c}7K6p@B(C@ZRJeA+%ziD3Tlz#7OrGT{F z>(xItBL~D*Ia7-c&b5JKLE;YKh?1CRiJGY5n zmq`uiVHk>gZgJ_<|GptyTceVVwl0c7-VxRxpBv-%T_Fri%iupu2YzGQg) z-}xeaZ)XYDZPl9s?5@%4rrrVajX&^d%Z1QUJH;}$eeiF9M+8g^in}s1ODNfG9Udb%7d$#53i0!TafH)ky6&o zHiX&_-62NUf=vulYu+wFM&H0<$O$vuDliA}p6V-fR^f0PPW z;6wMV3{9o%eOh_?h3hG#6#yE^G6di_*@KMWpYo!4t^Fu=~UXV5s z;@C?JuS9q35i8A%p}wQ!Pr-3l;XIGY?$?5RoZQqwNOM0;9ibnqaSwr_Tr<~31-CKk z^%e--;<=!clT+R&!g%P*EZ?Sy&#M&zRcu>tN7@zkE?(0N)cB@KOVY&^v3#$_8WfphCA1v z$^z~b$Odb6*Z1D=aREb8yGM5kWO`N)w8@8m2QE6}R4m%_TNmeFsy>I^dtKcH)PFlW zo>DJ&_xKqTIPGy0Och9WN(5~us80SdEH-Agg z1GBA&7-JPl)rD857U8LjaqE+a-q_o_U2LL!hjNC& z!H%|}rIVkpGm(iCZHgFoV|2-7aBLNu_H6MawOjTmWT|pkKnYtRB*Hk#tEq+kU0+Vx8jX63`Nu-Uve*dXiN~*Fn((-F%aF zs~7ZVFawH@@4>|Zh|WhN4sUUN zJ&dX9;QObnoIN7hb~`js=eo30izj0-aaHU%3PoZyDBg{Y%14)<)YsH#v}<27KZ{1F zxKdGBd1>m0s7$#yyFP!>8@@Xk#^yN7Rqmah`OZTnRBp%jwRD4)>f)1UPtkN}rmpgk z{%W=savn9T(axMkD$evY5@L^iTkdP7Abko2XO!niJD@GhkN(kjSnwbp-024O24504t zIp};VndcMkNC-DDf1sOv+j!xATa+oZ)qcW|gF<(BP(=mq^gT;W94nLI8 zuz1pR)|kMj0oIxj7Y7?gLW*nRj>BW=YvUOIKxD^&ctHn^bcoyegho$0;@Z{A%F!ihxDXDc~=Y64Vk$TU%W{W77Qwa)SRy)O*Ks z-M8Vx_9iBa3&Dj|430 z9~D*J_BeW_wA4|_>htgGTm7BCzHjvx|5C}l^73G(|Mv32@Mhzs+`pTv0WWzfFgbZG zkRHI=T+qSOvq^xEo%O1-v+yz59ndeb;v0TX)Lj-N{tX6ZXxOa;eyazV>x@bUQlW~r zv<$lmn3vtU)AwKc8djW3w_dOe!mOyBPlw)~o=43#$WDR#1h+<@@(Q0~wX>`1Fv-mW zcGX5?Zk);vx$4)if|8p|8DHEvhvq3H=0988rRjwQ0#ozi5uOU{U)`5~{}ynS%#~3~ z*oU&$pDB+8FG4l%vOiDR6eo?iMloczmV>uAD{(qm2KN#(vOZT*^p}V>l$DiZHpzx4 z+~b`owB~)-En&>_#UI-6j}KZ`m(R-yJROHFUb*Lg3tO@Zyz_{))>4v}e+tm;CxWpv z8K|iEI8NV(8P5PE8w2+4M~`wtpkk!}xD_g^e=7M}wq@1Te?a#pd5)Na>1w>lzdvTE z!5A^B3UycOI_~++zheAT2wT^TWcUp<<)NgBWD-vr&7$cSZ9;X zuLH?|C$j)hH#+~AMl3bAdP}x(MJ+_F>pF(8yHSRScQ!=1bot%*(#z>*<%g`Txj(-4 zuY5|VW1l>Gnp9fno0#}5T9UQe_8x!M-Mip2I=%=fKI$u1*rAiM&~a`>`k;QQ!&4V} zv$iH@DrY8}nU~{gw1{vU$bCe>V1};1NIzlsqrt9CH(%@mW7<6ieM9c%u|skRn-Z*E z({v(Vx;uPw%V0@`rQO~tK~7fk)kE)jo*+6nBWZM`JNGnZZgI z-p_vj9$#5j^a+mojDhe{fDRsXAjBgeMr{Ng(*2{Egcvjdt9c5Cwm$Q^o=f~hkak?Y zG_v&{-;v^s2MfI6>%$-3O0Q)H(WiBbU*q=_DkrI_W~}5+X=S|VC}6!WJqWnWkp`I3 z%rO?l1?07js9_uP{%1lU!MQ(Xk5S9{F1f#EsRmCXvrImbX}X^BB^GO#zLfiJ^G>_o znVkx4N4worF&C#AZwa01lPQeNoh71*+yW^Es<8Zf5s-}*r(;-Te*C~5mRKKLC?8gb~>Tg3{&}tRNW8r&A@jNxdnSA z(tP*L(5U!PsAzGx3lCLQS5Kg1AYm%;VaCqs)>!db(nrXxc}>ArrFEJ7sHI2lLYj z5x^MDo+SdBnz>cC=TTDww$^10X*?vg+7N~e&D#<GC}q$mLN!GW10o)+gn*c0MFmN)Ryld+R zNNc|IgG*E9VRHN`5LT$0QH_*wD-$`)Ecr1Ag~-7>5<7CLyf@eYV*J32+6eL?&b2WO zmM_VV@5&Hs6 zl$WG$Ja%|tk_)FHtqT}Os;H^C*RP|SH&;yFY0nzb!r*_Z2<*Y9K zE5?VqNSMfWL_|dkUrl<$GUPunhfP5T2?x~fMy^CvcVG-u<>e9pA(-3`wOP?Uc-7ZO ze~L%;Ogn61Bklp~KWc0YId0tZH~f?lk&!_Dp6HgD06}HWPc(EUx(Zi2OD@sUEf!bu z)uIL<~A-NC^o=$iPg-MuqH$J}={GAuBiH3f!D% z&gp-ncu-rJ3a*5774>6-&onr~+%A3E7ETjfTsM`wj>XxxP4wC$)?998H)a<*6jUWl zeU^vr|9F6hwy187mA6rG%mE(!hF{8HD@hx9(@330e-i-VoY9KUW6R2y(bk&)VW#bkIoKVH$Q2xLfcXw(@7A~rW zfy72abM~dkP9&v|NE2+J<_W9}1zz^YjN0wnfVrsHJn&duFf*Yz4*)vzP;PngHKgqY z0Ix4=J32aUF<)i9;||Uh?UbTxE9{_RD+t~|v8;zDucO=1;sOIwaiaO}lU(`=eU6^E zz1>RzW0j|!s^`aVCD?*WB|>>LSL2kPgRD^7n|#;Det2K;Tzd8gSu}QlUkG>{Q_0^S zAENKTdlN}?aHubVH0l5J)M5_0=Ita@SdUY2iV6uezXS+|SMTj8^SAF<=VMLU+7L1t zV8ezE{KD*eZ2A?DsYuYeJN*nElU~UXtCEU;raUcXWN)Cy%V!~*r^VVTaJN8UQitp> z*_{wBuh(glQIoth)9j{MA+;PP2xVh{Z73Ytaj6^G*I{w3y=#J74eDJi7jShx_kypntA@m3;H$KSN_{X-k%1b)TV6!!Vx z$I3!@9slX4M#!oV6>+X7l#AB`zF13cPL2jx~U2_ciy-gycW>$mIhG3sSnd0QuQc> zrvqLMv5@}O>vK27FROpEq@tptq{K<1M$>&r$e_eNi2PcSUjplIWE>SV@|HC~LGuc0 zIemJz;-@UU>nk5Ti)jB932&VEr4s@<2Ws4%+}u5`{K@Ft04Z8kkIHOf&SLZ)st9a# zj?=D;G$JVR!{b9l*TkoxPmU#@I`BBfVaeIWg`I_kbeY&NVhfmf#`O->Wwu7zBbQMa zd9F)w?s|S#9ESrgZd{9#UsIcpe`>h)=Htix$1k2cSFFY_Awjb~r7r1uh2Rnfp|)J_3Cf&CzXX$Nq9~AlJ|s&^*w(0GOZaqQlDk#kH*I9C=qW_(NNR z`dp0_rv!*BN^qHk=NQVutu?gVFeES#wtNq23%s9Xfjt~JGVSK`Bmhh8EkG*=ZpR%Y z{{y!~8sXmmN>2D1Br8n)KH1*Z7OqdRhi3w|1m3e_6otoNL^mKKp<&R8$JF-mC1*dhRYJaIBGp!iknl)lV2=DDPm zQf5!zJRmcmV(w$4IJalJ>^j_vIht@OB*)Vhm6vBnGEI8%Q}6s(C9!KMo6AVcqj1~|!spnSnTK9|ylG))chlhPCB6^9dn{K0 z!H#*FWOy5-P8xR$8mZ{DNGmg0yugMK|07<-slUZg3<|o^8_*fNuYq5=BroyllpWHE1qLI2O*~UXkK_??8cZP)G&HwQhseBFqO}!aqqq}Tv zE|5+kHdkRpH?f}YjuzQ}q-|#eBix1V_2&2KM$uWgSIDaG-lk-9Wiqg(JmLdH)9SV% zOsiTx!{(=P5Mo&wgN$_y#BeX?U@~h{dzR=sc6v0axYNP2 zn9`Zou?9SJv7{e}nl=%Mk-EM94B^WWPQ=zPN!UYHoh&udF4)(35r>5sRtEIKp>5Jm zfz~NiZ7w=w7Ec;+T>dw*)j4_}r<5#BJ|=3aJsUb_gwV zv$N@Z5yb3OmdX`MtZd80ETT1DH%mYu5-I^7Y;&>>MN99V;*l$U@7#)J&dt{^5D@+? zl{K!k1PUsa>asarB_U^`;xdZSIAd#S8#S`1v5pQGkhf4yW)tuG0NDbp z`u-x_3s=(0$6nh@Q@SQeXSB`1dBBP^uxihN%VW1vd&rlCiQ)qc53tgnoO4C$Sj1=S zB93&l^kOdz{;V^Q)T!tY~2VUsv(y z_M^*>j&zB$A)(li z&7bHxy)Wbr-c*<oi_oy-&XQ#f5eSwZY_syk83 zx*m(o5Y*$WT3uT5+u2gd$w%zj?X1g#4z#1(Ov6s$&}+tiXI_th2+B!qj7Tz1+?=K= z>}2%Y2c~!L|DfENUE8RjK2LL!|8v0-8isg)#Kb=O(DebGM(x_Ilkc( zhBn7Yj1#`f8udWn+m|e;&^`*;Jls1NkRmt1@zDl;iaZ}*y&{hy++F~ig#41b|3zB~ zkO3z@^-qf*;jZhEoI*1t8XDBk$S%3PR{EW&ttAIJSMcH`+5X6VS$2I!#uwe_o}%KywY)=TD^yv`>)~7a57y=p$6)M1==|L(WDkRN zfwQ##z!1DDEg9wq?K15{0E#4En367;KDfrk%h&t$@x6OIwRF<2q$*$Wyj+R);8I_+ z`%j(kMhVknhVgexOyjxD$9X8PckRoC@NADa1bN7cz}a^87K5>5DbQl{hGQg{AY!O* z6Wf4!rw}S5a*@D(%(|qzvR?M0V|$6VU-4x5;#$_(zbh+Xr-eHYQunfa%5otUL7zTH zx1ft#bJ_Jkm&ca395|e;d)u9~>)e=o0oo_-A$=IfE(OSFnq6|`3Ads=n&uSZbAn=I z?q@V^_J?zmu$f*RG2Qt}w%-g9_1G=+H2ev*9Rn>$l9%d(=VEeITbtNTIF*&)inI|TW1y$UDB~vniX;gm ztjFRHQa5!mSBawrW;JKT_&Mp19e)H-jrdVNlUphwhWrk&SGYVkEQC#HyCPV=dq~Ey zyJPXCj8l#iv!AIn;!|Pz_5NDLa97l_-Ect2 zefc@4&QjNmYeFwK=E&d&r|sI9ALxAo4~j&cKE=%zgf~G{mg)<7&;P8O3C> zK{>jQGsSqHX60TO;%Aa|)Whdy@Uw{~qV8`yRAOyZQsM@!;6z(HKsMCrYB8)%=J5vX z^VNxuU@e^!i`u&AuX-uJx$Vy_c1yS`Mdq$Q`=HCw+A+@j^_DKaCZHhyh$WT+oJ_O_)nIVR<;)po*k>w5 zIwIx=;LA1aKKvr8I}dfikA?j7>3KNk4Sw?T_eT^a<3nXy<5`u%;_~FnMOnk8LnI4} zi*eDg%Fw=zNd>z_z5TQNMhUvO58NM~cx20e5B$hx3-FJQ-Z`nFsoB)nI59Bbg}s|V zYWFzcM03RwS{%NtppP;Ro-VAfaaY(IaYK=q@y*uUT+0P4=G8q8anG9L@XO1+7$tg- z|ITiYLJrA8^}zK?z(=sh+4+n_YZ!jik=?MkLb`ocVu>pgTuW*yDlOu{fs;>Nd>ib3 zEkCF1$YjxUQ?EAN0371R6xMylSM2$`i)KBjMaz}!hsF6 zv6w;7DpmjI!!Sb2MXL*U*1qHBF|P)QMvJ5jxYZG%@eHb>_5k! zQtIm~j4%~zUkvB25FbDzfdwYWuDD`+uU-XX;0La52q-o*Cz|}0!$KZn?MF?$K-q|_2BZq3z~=RizI%tM_0Pveg{sqyKRy5F z+)J&toPgcy5~Wv%x+1}+K2WgvFg*AbZ5DZthmJbaU&m9}fmd~NHOBdiHv1UyCqV+2 z8zHw1|AoaZ>5H7uXV`Ust%;J}^PuC>&CxORUZCoFxVgntNx_O)hJl8L3n>w;Ep2|~ zzKv!kCRJbY8ZcCoS=# zBhqp?U4cuJp+sdkb5(DE<#KUyy3etZdg_u@0Eg{f#j0$3>0lO*5YH;qzWitGK!2>B z`}+0uq@t5yj`&TK>nmUW;b8MK^*?I^f(|e} zc&GuS__dbsqH_@}G(=#plLmO zNiMwM)gl3Xw9z|)%<-xA4j7-sXhnucFWW8pC3xB*!Q~G=k5K~Jg+EPXJZ4jAZ?aWb zU`bsd3}W$A>$&&u+d{^iIz07~I->0Tc6ZdPkh@^E@g_qyd_>T7T!ppBOW-*6<_GQT zLLzR8;$iWB&NMqY-smr%|GG&H^>jv}{=ug~`;?I)nKP2%4ztSF^A^aTAo^3N6-JR%H{N%?_`Z4p2h%G`Z0&}J*HK_KI!U>H`!iJ_z4}(I;2`e;uNSgVx(i0} zX92MAQN_rxGAgY^?8(oLyZ%LB&RoQ!KLki-P?Zbp7ebBLT=#@MrU-X`@?we+2p{#u z9<~ShUmo#H`U0f*l=4}AK>>766yn#Nsa0>RWc4#wooKZ64WoylbpnzbtJLj?Gd<&~ zs~fI%m5-j)X5?m_z?o1L33{te$2_6KmNqtjp?}snB4F+D9zSOfx3X4K=MA8|S#i(1 zoDZG0xK*mV8piAZL@bG(ugU?>H3)6 z&8n_lr_vn*JIlyuePe^U`^fDBDZC-lz?DruTE~p`hMiGYQxn<|*oI3lD5Z+8Jcm|* zp~G1$LLnK@%lU7TZx$K`F8EKe`O$CS2DhIIi{K7Ui5ER~FX9>j1Zo$*-oWll0ZZTq ziAOVJw7132rz&JZ(zJ1W?bm0`D_@Sflxpf{y6>;BkeJUbGZ{+poHhsu5gVRlmFY_- zE}27;1@g`3h>icFP&La^D6Lq2K{38amaX}VMjBAQLjh?f!Geie4`C9T03~XHA>sDJ?DR$rh+5Dh?$OWv*+#>%} z!4AP$xGm#|3L^KI-!KWQt%^=7_7cky(M%MEaK*;lcab@9%+Ti9swnjs!#^g<5-Dvh ziQDPvp-eH4IR3#Q5!XavzJyQmZ6fo$+j%07LR6OzPLL8$vVsZ)#s+qjbpyl0X=`yq zNB-*wgN;`)bK%JDgJayvI-O4O4x1Ihn?FBT@B6`L<4aZgU*YGFwK`(epO7k0m&iY) z^m~D!6MrbSO#H&E(3uFK?mN!sa!b$LlshhaE+YT&C#sK$U(5=0HtM|&6Puqpbps}` z?mJp~^9`)iihHz=wp#+-b5bLSlIe4 zPQj(D7-7>{o4m3qPla36!gt$s!*V~d*`{b_qK6qIKU~<9EoDh?S$WCTFVZwdI@mhZ z+!^x=g%cew8fj(AqLTrpjm^YzaeXZMXL7TpWcKgfD{7*M&=@2c>lqd;{h=bVqiwyb zekGvsV|#l7|(smqfeg zbmmtwA&23G-&DQPi;As!rxgk!iV^Pn7hNwQ&L?x3;r!Grz9EPAdf9Pq7IjXrAPglw z!W2rS@%!<^2dclNU%cB0_L*HbjXT`NnM%SKE_C3ntk}(vk(jMyCcj(BCxU6*$vbIX zjqhGv=1L9aJ6-oly7*N1`tlJZ$6!ji-o#Z&yo&nUqxMT%<@jkMUe5R75teSuc{*FA zp6LA_y}4q=L~>tHc$C$Q><;!JlBa$p@~Ceh?WSm-Wpo_I4Q=O~#}aSSuTSTGO1gQ> zW(G>>kd#z8A7arZgEBVNe6o;DI{0sNb$7?c#0-=?U^~RRlr=znrQVPpx6J0_{R%Qe zGwnP78q(UEjjhOa*ysIm>jyuli)0flWh62sZ1QSel?)s`&2jPFf`k-`0k)K3^5$M= zUcqMXD7+Jd-yga-v93uF{FYrznEC20FJJSYaRGgR|6B9dHwBn2Bn5}fWx4Z|YUE0V zs1Mq@FFdGls@Mz?lUSW6JNer2$%kQ;l7j&z zsdqg$Y^74!U)~G^z;iEXIXmuHbH4@nkFnDXgEqn=z zzgsLPhGNSLbHS23>&>qZf?D2%=OmZMD*gJR3fFHv{VuZA>e=o1c~eBy;m91KjfIEk z9$39{IC2oJlpEF5saI-WQ_zsDupDlFTvhB$dso~i^}T_qDg6o+8~?PTcSIzJYZ8fj zX_AKxAE%p%^{no)ukVRn=nZDLv9mmS%>V7X!u}=8N#^=I|KTMjlFpMb8b87M>SCKP zI;eMBOcJ!UFo?g?P~q4}k%1JiUT=k8#s;FvA_2?+tw3iJ18boPJ>yE1HF0DA_oJqH z$(V;obqv;Pbh?PyD20rO4QfG848@IS`L z3sboejb=LU^2nG`03nZ3IAzG!iH%tDj(o^ig0DesEkt`fMz6YAw|!Z|zRg)FUm9G$ zd>OeD7`uoyZc%8oEFi+ha*3HCOqg$8Cu&fL?;vy>jE6L*=A7dJGal}bGjKhXWr!9j zcyKx8Smb@E{$SRhleyKaZ&V=*56N9OC9eMJluG0Oc@)2*YK8M|J59~ zBpm-RX8#2CkWu%9Ek`4$2KQEna}Jo`KIYL*s>^`Q!iik%ZzqtFUE(wcHv})eE^E9V zqu{Y%BRz68^QNpfRJO*c=yTBtMmgXo#<5t& zKO%o3@;Su&x%NEw2{R)j+uHM)AzyTo{eW6PIbyzFe0HtqK(`bs+$P$J)x zW4qBjzEOOl#3!}1R}78p%CsaKJIc@``2oL1Esd1N>B5;4V9sHwRoKBS z9(bkiV&0Q3xobq8kl1~Rs5x}$Q!iST473&IwN=B@(qg@!1dSiOr6Mi8C%JH2OhO{8 z)5o^Ux!E%;jW2;Q#lml|6*^)jD1H?wo3&BZ5!BQ@t=RDX?b}xi5*I5t##E+thG^BK z_GWAVR896?ws+T+Wz)-MU~8VvVjaxpqUYM^;|wq_(|>4Yoa9$-FoCB|smT!=NpuA} zWak`kOLxO+u>k|Hj9PSaj6Y>9w}>TA<_H%+qgkGPa-iY8S2;*TRTRxxz0K?#v*r8+ z=6$_meSM}ELtrJ6oxH#v(Bdhvx3Bmo1_vUN0F^RoDX0MStNvLXIs~FoUnU+u4v-B0 z!FK9yF_#9=@MyoD?RpGnbt_dqJ|Y8XH5d)CO=Q}~!Od+|!(DV1(*sslQ&QgvNN6hw zoCB~n)8g)SFwJAM!O!}MRTk>6ckG2J^H~F$l0Q4!*KtoccRLT&J$tryuKk)eaiV4E za}Q=^N#B-wnIGUf*AGuj(0NHpu@G0RMw$eSaMp(95K^SDYFF4LvVL16VkKUgH83jo z)+QkH<7nmk*8LU}fzQ=I4G~DxbcWG!rQ&X5w zP&elF&JKak8tUhF>RIGb=Eg5~Q!Mma2Bb-1WUX*a>#rL~nbAURIY4+CFfBXZmzH z_Bo`T0>_JyLyG2`xD!k0`=IR&w)gDDc6Bqr^Y%Z!tjbDJZzuyc!<6P}c>2l?|0^n10V(dX=D=FmPE!&fa~OrAn3X3;)*F z%^74Uf3p9`ri#wfI1X5!OQ!|^O2&OiVUMwonOSED8923YVf#5z4|u7{ zFToWT?=+{!7g) z)BO6a7<_W@(+rwqf0xGlmFt4BcR03M$!r>E0uCp)rl09DcICf~i^hwO+#&c+zJ5)9?HYEVeBH#<;J7x02D#y!f0G7QpbMg_gI6P_( zgY;Ybm#hi$RIKm7ALy2SSq|I%iIISC5f_+ZKXpH^Jc=9nyXHOsG6i*{FbU*ltSMwo zAA1JNla8_R@h7>^tj93NuTKaUXAyevi?4YlHheVF`ZfQL_F^&r3_i4<76vkk+~16!;Ip;kDrG-putRVsI6% zFJnEB2-U!SO5*=XC_o4X4V-`(h&!=iE zNAGj*tiUfw<9^3(!i;f%&T-Q{C){rqCr78GTbw>poG*6Q@}}O-`upUQE-bpH|1nvl zRk@!$A7JOE&evw3yC<$!_jQkrap|o~K~Sy#x_0$t&hVhhgX~K$|E_k9-}wCXO0dS? zuN(HGn*pbSw^A}P+?<_-Hs9r$86OVHd*eQQpPs8i*#+N3_aD5+NdJ`8h2ZE|$?LYy zk=5EHy&YEgAh>hI+Q398`Q`1u-&(JSYTvh)6XOFcA}!QLV$aakXHyyT+e}4Evt@t?c3a-9*u6*EP2FW72Ngk(Poa_Ythtqek*%vOlJ$hXw}noNA%( zX@B*~f(|`Wy`qxRgE~u&i2o4E3nSs1dPw*HFa-dmP8lXY60tFr7k5Lp|E>qQB@7P^ zDRF*&`}>B~vx?>thx1@~>1~(lHJ)g}8G%Ut@s3)d^S~}-Xd}6XHyZDIlh!!Nntlh9 z5)2~hc!2Q6|BFZwo3@9$O=+Ul0i%F{Y=|ft{ouPW&;4daNL~F!aq%2@An^49p4(c9 z-tHsReN|PKKOGnme3XXJLkmS?SB-E{EltjHSGju!=69YRqqj*QPD?*t9(tSZ5`kiJ&0k^TS8JLBD8;2UVIV~L>_YVjCc2BmYfRZv(nE`R|IC|D> zbb5Vu|D7TkPo3cOV0$GSu58|bo6zjVm)n;8{1P>^<8$a7gu)7)bbRPsv`)_kadfPy zXh5c9v-iai&x38<&CYiB!#N2rG&gW+14yJxu>LM2ZBcxOK zNkD|WyH!vP1pfvyZz14A%MJn#w+RHOV4ghGa>su}+%Wp+q%N1q8>EjkSCjpRd}j<_ z&~~DE$2Q8(4^c=uOa>!d6b|xqBIv`dKgjN~W1f@{7oW;-j*VCofh!;B94iQk*rgEd zZROAhUybvolR*#O6iCJ7Mks2dD#@2EhFRO`QmLk~uRJaS5jk26*c)&*Vi%QuXA|TT zu#w!{O1vIA>F~SsynY>e9sjyC7GEgKvK^alkMS$a86Xs`{{4GA9ynF;a#o{$e#m+9 zG%YnoIvN2!Cd$x9Mi(<{D=LyU5;UOPeHpM?R#-?H14dskVuV1J`S$G_^lrD9`(#fG zI6OSBCn!s5*?eIj|6K?djpAcp3e z(~AGFtsMuK^$>IB2jJraizKIyR{1SYc;)5I3=jFqt%iknW7>!5J{afy5@)65q{rKc;w1PuYROt6+C4!lX8dPBIg7V$R zPQK+B{@?5BjF5LKlgF?xs*)NV{RhoaEdX(EcQ(%={>eVl`M&CQrmE(OB(CME>^F;;yF3LtZ_F5^RA zx_D6}+HhaRNgw#n6O<26&O}0oRT`I?qoJ-qT!W#(F_13uLX?Ww;nHm}8T_PL!*M*A|5@&8QLeMZ;5tk>& zZs4{KxPCpBSB&$$$o7H(jXUIcBj#O~NJ+$jES z(#~Q3@3D3FL^QcDVLsS^eFD?Av$UH|Ew_rd^PhKYOB>K+;LMK{!F>ZruV2igeJ}Kb zU*L}>hQ6u}R$c;J4NW^hdMuo#>lGX{QRK1Q19|MfI21H?d(8&oP%3#vaVD>G?PbGnRqQ z;Q{<)5ySlh{#9cNRMAEGNn_hUPHnOkEFpDR5Z;J7-ry&3@=^+2-0EyGEhZbeSbQK zhicIkn05ev6i#i`J;Syu$+mU&BPN0HhZu8Vm_siSI*RBHluLZH{R+Pyl(bs`Koord zM@zH|cmtNo!o%C#E@?yjGNm|fDtFb#CnGa6GCKMpqkJ~ka+F9QmT>a&E1)dk0#LJ< zdW}bX2cFJ4ZVM=l=1G8N(ZR!q6nRB-TwB8#+B8Nq81*%%qd~_7{Y3JEP|W|S7w((z zPBb=-1(2bbQ3P*a>f)56Ax1)xyo`9|2;`^pwX{(mrZ~P~Ke$|Xc_u=1B*@#iPM_Vl z3{ythcC+bFOdZ&$cgs~6e8fiV|k+$ zjXw$3oF2f|V;oVJsMUHznO-@-n-)VEOt+?y6fS8pi2i~o2LPoET_;R`C)Mv4(AnDA zC60`iIenY)g_--gU-*`1T|n@CETgCh?$L|OGZg)V$Tume;>gJge5)ua1E;h;(6S%k zP>?c%qWDosNo8%V$hfw17GoAmdkb=NIWYURErP(4+OXH-A8v-rzo4BIN^QN8*gf1f zJl1YYubChm9v(XXxK>*`f8RhL1&`2TQH49bMW;9U()QMtLVpy~68Y;dzLh!$tA$zi zGc!EJ0c~H@l!hHOW0dHP}I0`6Z7YK}ij76mS{}%*7*9oSuO|R#_mZ4RSEU zp5x(}2^9o8KMz^UW5@P5ivIw+hv*NO;Gdd;HL-Q6en1bMN1~^4( zaa>_J$n+&tJJz)>+guZRQ8AcZD-ai<{8RR1w73j3%@w-OOBe^q$m*I_JbrxJr1(6FINKhS zOv|~M>1lv3tEbdt1F<%FckFJ<@JY7NPC+B4qLb+@vmQg_3o(_Wer{n*V>WQ!#PR_v z;+*W913R*Jg8!{*MoQGWvwHG9^UA=;jh`sdbkpyG2Z~U%$L6_<7ZVd?nXgT9^t7mhWA8+#*Z}VY*wlqN z$D23#E4(Q6BA#B+8_o^w7M`vVyC8SaVJ{ooxj_ z4jE<5%T=dIEz;6~>WiC1REybM`ly(&aHPUI-~nH&g0EAvpdvPV3WQG3o|fOoDaX$r zs`9^Q0b;=Nee=dBF()5j!6=nJh7y|8vMYs~cNk?%EN-#U^aTwv$T2nm$c{!vo*xGmA@^73kyeV#${mEDaJ_0};Hcy$8umO4sr8BB)NFimL&Z&zom z=YG<|6Ldc z9Dls)iR5wrfB?(#mN?WmWHP3mpZUzsRH5$<(dq`KPqJ>bNA*$7d9#EGmynAk#N3Uc z%1gMb0j5e*QjQH*>7{9#rKrA&2n!R3weK^3i7BL`%^D2=I+&?Zxb>VC3cZHy^t}Cy z1MWEf-y75BsX-8{>GvGvcm|MUcP({5I~#XMO+#s>bE*Ek+TrWuswk~MMXxckjQ%G z3&Fc3C`i}8panl8UH^*@>m!*CGptB+RgWw@xc>e6WtINww z2B2Q9!Cz$T#D}X+8aqbSHdaKNIma~o}XTvxkBp-UcjvvhWjfZOV8s8nmIhlmL@^DNgOpi?Xm~wM+z+*T#p<{jMV_`QrV+heQ z_99I0oSt{m-_dJiFE-Wf^el)oIqGThi-tz`Z0k0hT+ss6H#A5-%=4nG6k*_nV^)nk zdgBG*(|~A?W+@nRtRZB|JY(AQ5YbGA_Gi;>=PJzlC@>&Zqok8gJW6hkNl18mtt>^1 zZyg4G-w7%L1$jnTBsDBJK!ZL)xu{he-kGeiKlmUalz-k8STyuh4(8^_C^xMr(C~{WNPWq?9I*7E=m1nC&KQEQ z?1ESWBtr>OgNwaRWW2n*L^FVF6moH)py4pE4~ZGQe>-M0fcDHokfL1GgLksY2;sZy zLQ+x*w8QGx_#7lh|L4jh)tfMdw^=k|DKP-hb^e8TY?5tdYr59 z{iPF!$8rX|M;^A4uT;^BjAW1n?UU!=S5~eA%1~d= z86NU}y>+st^hmqsas2Z_|IwZFbTIX-ZEV7QlxdFKxpj*U$*jH@iO32P{Q=vQh~a?^ z&#Mm!9DL=ZP|=zb>Q`o}L#IJ39nL@_{!%Q??D9R6{EQRCkhK@x6TDS`bhx^b(WGva-wgh~D+w*9lgC z_4U-jEoGo5J->D=z0Z1nExMaI4B&YDQI>rqwG(ef-Me?5bZ`;ev^W zbUPRDx&@IiR}z|~RN*5TogvolX@r-JqVW?V>Zn}Myro(dd_vELQm%se)eckkL_HiNcVdmNYvBJ?7cIiNJ!2%34y~;*LoET~SGyzYQYeh#@ z0-ysBm)}xu7R{a=`7X?C3Yyijtd=jCP7iRt{@1pIOXDyfUvq!|zI-H%I8BofpkqgFNCIfwg;aTQ<5JE!2CL2<1;hDn(|csT>d_D!aIH0zvn@dX?h zXB)6OS5wYEAh=95)YUn)@zu#to)*&;)cx{qz4)K#c^%g{DWv?gqAL4J2y=+eod@4h z;wxTR_+v&{FX_KmvBLWI29u-V!8;G_p9ic;G~puq5v-T=%*f*i26kI%(?=V^PJ81; zVy*gz8_xIv1cH+V&?G@4uuVxVuPmrKympo|QbNDSmtX#>18}ERN4?KND#FM35;@P_ zY&9;4+~8zq?^w?k)m2H1i$j%~@$mEW1K<0^iQ64D$&C~DWpf(36X;&v@GP?~?+s2J z%UpCH?MoN&j#Lv+D_9ji%QEx4r$btp{@XDN{?gkheQ#q-FxTGSBza17ZRdoQm3>oC zj)@NWu~K5mJ@$I){yO$Z}bdb^c7oSBgk=*bjk{gv~FEU7;W z4*=Kwa3TtZQ7d{114VO*^bOM;R6vLp*Xt&gvCYE~qjUxvq1;=vS?dxf6safn={{V# zq2Kx>G(Yr7riJdD4XLaL=_5I?mvOXecbTXwd{ZeJZx=n3apC37MM8Z_0qg>>bHFkJ zH!YCijwD45oib>%5?T$5f}Y`mwMjj$d`MBTcv<)lR6YFJEsdNPKx4go=)$)xMc>Rn zE2Q7)pIiPlEBl68eVKNtI7iogS%&Gtu_Qwwf}cT9Yubo3P$N%NI;dLBo2r5NWB*x_ zb@h%@Ol)j^uwZYq<6{Fu!?Y08|F3m$SAgixDbO;$zjl=`1Nrt|+3MHXL)crX6*||T zOqlxm^%_>^{mo<;Fx*5Ppjg;lUYNK&?;pa!fWQzaA;vq_)D+!k4PDyJrVLGniiQ)@?+m15k2^XBPq$NFjT*}n zZX>J#>g6GX7o!S@Ihc5#q4A)k9xZxcQX1c{A}HqbE~s(a+XK(cYj}m>DLL zZ9m#c+d(bZ78!z|o?x)BuAJ2>u<^UOQrQ4WR!2?9Xx$~O<%TB-5st+n++R=Wy^M`D zHdI=?v$p}sd>t>B-vZgu{rL3BlYbKe#g?VZOj0F(FlS+aJsv&9_A)UiXaC$^lqeq! zbS#sK&O~OW$SswBCookLGjukyJqey+D8g^0-D5L>bbfqs{Tez-BH3Th{gwqj+CW5; zX_UCA%712abyvBa9{#QO^=M zWW#1*VLDCcFpnSi^XI1kGO@~%5~{_yjAQV&Kd3y7ydZ+{9MGz{%a2(9+x|HXgj9xi zV&a`QD~&!Vg?PQFEhv_k;5dfXD3<=%u{R9ghkpqj;%?lVE=06Khv}K^YRF~U@+2Uu~=34K3c)+S8gTIaFy-}O_kPL!2oc9N6xS9a%rvh5+4l`k+FbD;hQ+cQltD2j z@ssoOV*d|oUmi|n+r6zq2_X_9Q%PlJn+lmJWGW?X^DJZvDf1LUC}R|bCZr6d%tIxa zGNi#QRHjrCzH`?z^gO@!{l4#yU&rA-wD-QZ`@Zh$TGv|VI@h^|nRb3b1v84plIAkF zu^bY0o^4}6MhFy!qbPh$Oq8%~VNcp}mPOp}K1db%oYFRNP|sa2njOxy3dkGLW4I|E zd3Zf7WWN!2!tKmIJ4a_p*@v2-y-bQM;z5tVH9CGPVH+F+STS-cZw?@*?Cel#F>un^i(6-YRpcgI+J$+nyy4H7~(H8bc@-s8v!9zeNhca0d3wS&C z-nE9Zm8YvK+NT5kk(Cie1ERg96*{9FQrrC zsMm)EJs2DQTp3igcRnro2<(L1neX@LGcTOw$3t#KWpCa%I2{4gR${ESY{uI@9V1sb zA01s6T+gv^2}}OMs|#nnmr%@rN;y&*Fkoo&M13&!>5~(kJCoP&QBzU%g26O)QY9dI zA0UmPQUcL(0pfW8Y7S~@a<2t%*7Z5kf^)K7x>L}^U%5BTmPrC#zq_rG6y0xA3M zxV$vU4G-lnyB!i~+pof#xv##NX5#sN-UC{@Wf`c#Y-}!a?aZM67?s2kk~OP)lz+aS zOItfg>~`~ArH^FW4M(XCC_lHn^Xd!neqq7;JL3;VNB30HJ@X%PebFrUwb`UJ#Pld# zx3MaM@2sKG(T39@Yq3pl-?+)e0GuPpAmQO3y1H>6rP>;)bL_#z2fM1RYow&e$Q7>d zw$^GtT5<8&2sjbEfj^=?rNA`@25>=$nmUU6(7EJ=x4Apwe-yMBuyB|-ehJHEhfV|pq_J3Icy z3!5#J*7C&DqJVJ2hRdybd`7DaLObo#y|rnoT9K!$xGoaDx&VL!=5#U|yNG`QIEu6o znP$2ea=O)OWY$j+@uAuckrVKbo#avD&u$e4pAp%-_*5vn(NXt8xt_(h150kKx&5c# z*}04&^K7lP@#-z-M2;Os>kTh_oebo?U|JTkW}$w~Ht}5#qXYJTs7Hbj`yn*^BgnBG z1$M6|H4-wa>K)4L$!t3^!^WV*&Y3hd)#UwrVEgvD&!4S^ko594w=W0aXm-5#NjJ2e zz8~o)cfU#2YqAq?U%+hKMRcup-WI*j?%bML<>hRnuA45mq$0hayWuHnsPfrJj4y4<3lrw1#~8RxxWp zF5?%wlji)p)Ry%x-aR}OTP)6=1g{0oBfzu*lX9h?YLf12Yw|`1gb&b&!oJ35XYnAq z+do6&j#(L?N7ucLE+;~g?UA8{7|JfLy_lj42Yg`yi&3i(Gn2YG+~ZQ-nydw z;3G*&y$v=CY=RAvZZm`RFr9#l;opspjBv>LcDA<0*)XmCRFQ$HB@q=HYvd&ZTMd`V z)HL(yeT{7!T{+pu#&1JAX`9XSR8=3q6zT!_=bwm!H-oG%&@s!2YrzxX;n;b2cvLmk z`q<4+yzFm+s~To>GST%_<;wB_%KG!}WrcHt_0+m)#u1Hg-kce1Nv(1e-2dPDfyfqF zQrxZM>QlHvSBjmahR|QD;wX8u+K2b|-)Ek0oc49wKJEMTK>z(XXH6Vr?ZdiLsd&&E z1^)52kLao*_^cKwRKp`jGc*{G0zv0uk&$=`M#{p-7A_PNk}`pat`WW>+}ywaoZ&7GC-M?3 z*ga+GmoBZnZm^ovoYM;H;&aWq9yA9~{0s^a{{s}NfgV|eg5eS4EZYz4D3|2pyME=$ z0MMZTLiWB8B!&SFyaGWp$#m`7@U~-V0`YesL@a!)q#2rv*1_?5R7PW?qv*kk+quXg zylWRvAp8>QOH`Cdb%F8?YsP0W`X6sd-s=Mk8HwTocg>tzPHqV)p8zAIpzi}fh0GSY z11vC@7CUA9m(f3I5=b277StVQKf-fCvWEv-=u=P+NVEyt7hA!hzdVhd280V+8yk3H z9b_Jq%wTvqj!#S=P-5hrt!sz;W_w@XF}?ZxnGS)zqn5MHNOhpcQ`Hjp2;y|?++*1g zKEcYjyt^<~FBF%DRN~AkE2zlR+LJ{vZQguS8Cu2HAzzK|hei6+sAYTD(+dZyQ2`WH zOllNrn+N~bTR!t$qHq%{E7b$Vc2`Mn?FHD!ZzIQcC83SUzPzrkF1TLg?TEy}S>Q29 zLEuYc8|-0^Vk3qNL6(JbkxE^2r88^T*>){Fv$1;rK%eGo2t$p48vy;iM%4i)h_ zb#CNDM?Fl{>tTP{ln&_`woKE|@c z6hc3EBc$@mH0s+Z_R&>;PEBPS?82gM8!7dsEr1U`$y%G`~*2pKyRH5Y3d>&hK|R ziIy0prC$LvKZ)1aA&ouk-Iy3R!GhEh4+8V;%SvZqXqdN88{0W({BCv-W`L@=+G~Mw zA8auZUO-0&$5N)aG%SJ&i-NUDmi zt9T&Vw6J&Wf;i~!cs?ylAlyg7CJS2(O5+ah-wz$X$EehR`2bRkQZ}^p0#ucEHK(HD z2fzqY5n6eB4Zg6@q)3U$oSAibolv8&w5;`tE0vIv!mP6hsfY*}gFpUZ^$6Da>H<$w%i}iKl2JNV zWbWYb9USbv+in!l4efFs+IW8OLb9fD;sKk%)a#L97HSS<`z*>gUdT%>SK>0Z;flyn zQYfO4*riv!`gulYVzb0%6VuJjp(jsuKIwk9SV3f|ODVEX&wtV~*EsUl{e{fe^eLYf zxlG})V?o_2l?TWRBI`&8Z%vU38?lrs$JR}Iv$C^mh0-ryrmS%jG%q?b-TeK#tQ}pV z4T5Y-%Qb9jgo@QSIb__(ze2~Nj6`jnR&#%yEx)B;fvJHq%k=0`_zYO}>My|W`u18l zB3jz+&9Pq^CWTn+PjsfSb0R5 zsvUV|HsV11?#}JzA4uo=wB}L&ft*#yf}q#|4Ba1Jr*qXQSs?@xi8>1;y3~#t8HIoR zFg{+M3m#kLKrVNcg!wWitiGmj$Qg3=fri#r=;du3pS<#wCZ6S7KOx>bvY)^pOhp+# zP4*2SXHvBp`puyj<$P>xwh4I4Mqieo$gOp^q$DiW4OI%0Ut ztSBg`22$C*E{zQhVd3H3-Q7OgYkAxHprmJNO4s+Ir3K;|z+&K+$Vy8e>5OM!#8g=& zhUPHnrVN#tsn_MTyH_jJIg;xl)CBnT6&YmYgD^l)eLm6!_EYP)2ZMHC|9$$yc_5Sp9@4%0=uyUn3qhqwYDq^{6S32QP$r_KqqAWzay54!Cd9bduyCpww^W;+^}C@*_F3Y90y&SHMks&8wcT~;_ZK0w!p z%SlPlc3fM6?Znx|Mct}O6VZA)gR7^fYF6Q?va1_w&|!NR&D>t5Cnxjs^Y1G`w9)bE zm4l50-dC^azDJCEh#3dbE9T6R!2$`=>CSMq;CzXYCO#r+SX9XhzH}bc(fKqr z1+~G^bKOW#zF)sLrex!u)12l|b|EhdewCtyHmBPygw93MS1@0UBVwY0EP`2=b2q&u z#8c`eWF`rYj8=tcAJW8fzEE|{Z3pS6g@%gAq+?EN&r{=s@VHZnM>fBp)F{EwBp zk)~ka8o(1Y*FY(xt`o{9oGU0zR)0V>UW_|l=Uk`$9%lUId~r(%5*$nvtMU#y7T@p@iHIxqG|tB*Q*lp&2jxa z_uxpUWM+aIGPreH(#4Bvhsj>6tgl{v`K+l4?tY+Bo_gI!@G%rr=agD2H@2VCUukq4 zt&~Wu7(OrJNVA6g#~Jm9Hw{+#L%cJ%y6XC<%Jv-o!nMqhlHK4JwmY;NUW+0U ze2eDeOvT*Zf&v6dNsEQN#YZhxr^75AF)OOyiYR!UJacBr`80OHDoT@?2Y`|@t0X^s z`m~je?Ez{_lZhS%eY`>4;l$s~F9hSNl7j5BL~7(^WFp1)KzU_5A`6tXNMBw>M44WE zPxT-cAzXvv)B8ryIoiZt!WgMvFE2^@xCz$B09}q9KThF?S~2EtEt1@iDMD10@3<>6 z`fa!csZ77B!hP`KxkjOl-(tiP0MGOK_0h|2AxNPy-kD)K2*Nzv6|*VVs~g4mvq(L# zXE_lpq1N)2n}Iiub4Hpl=gfXq*#qF=sFgsAkScp1>#p35KuglOYB9y=A zz?T`C3wLnBhf}Y?jX?-%v6<8L$B+LpJx&@ArJ^S7D#h8rNHh_EvepGm?3XVo#uCBS zU}lDogTyw?h7E`?0IKyPApWqGg@#i8+`)hvR8!)y0!PfdylK-WSe~Nug{)y~83*GX zD4ZKo9<4-gWMtH{b$4ep(P40fXvFdpuCx2DXz&JWLR(5iTs&c=AVSB?v<|4tT?h-< z)9gP#Wp|K(gbIpxF|lh5gheIENMQThC$iZYhH^W%L zd=+ds6h&6mX~di@5qwS5(+f=8xQ8JYEPQD8yr(Z`&b8gZL-=FXQdj|yBwS#!56 z&&GcnmQtv=YiadYHB@e(2`|hpM2C*1`Hy{4dto8&9)Mp`$b;z4j-n+YG4b`QS2f2Z zJX~F?hNh=QrdxnCTC80^uv=NV7Gyf8R=^KJmaC*=xtLdCfWQ&GHd|>470rTzw?Xxa zHuv>4M*f5RMS}-}D)#na#2bK&e)y|0t$q!PaL2fZnP`mK9t1ZDPspv{Ai_=im|sCh zTp=>xhDEe<3b0uO-FCx%0d#Ga7|D@P3AtYY<(r8sY77gmSF3I-W9ocAHDzjSjF@3v4P+K&d}g<;$gQJK0h@Yub`~Qa9Q~vJ6q{D&U`Yla*S#OcsE$~yfn>e0brg(J)V_3PKhO<#cs;L#vy5A%+VFpqufR-qj` zN^>(oM!?<*pRluWsH=-v{suz8p`l>-3u+=Kp-k~`8Fahlg|SR*w8RcQLqm|UPgYhb=JFO)iCkR(laH1))u$P+BJM)JUMeD|1w#ej zMqwNVw^x(g>rMOEIXO)a9hxWMGiW>a{mU0g*A(9axgClmXVp*>8E^!!5Z(WzQ}r4? zpP92Rm$i762dM8T&;wQkhM=39TjBNT+tAf~N#3xg7a_J=#f-k zxYL?0Q*0tk1qFe>T*$zT+N zzumTOWfe`#c$K4olv7wph?ys7z%~vJ=MtjRD=?bMPr9BX5kK$&b#A$L?krDsS>?62 z>wi$i>?94Tz5osvu74aLSlHtsynC1VYy`E9s~nJ{gOLL+FoUoFNj|JbNbqrK>}yV| zO4Ub#g3B8!9&mTTEVg)t5eNQDVi*=3DdX8tA0M`imTJ+L-c$2AI67tzBLy{RDMBqL zO=+h5wq93_dEMs6E*T@AK3%?W;VvQBI;vX)_B3owK%MZVB*SEh?bahxQ7gKS4KfBz zxlgW_*Ro&A<7Fr)QhVRs)>Z^@(vQu}G_j3yGc&8I`^oFa=8y&-8K$mwWZhrle7E-l zoHEl=-W}#0FszGjOcl3n{`+1{>l}Z~f$$#?5P%r?imt{0A-wh|)*2NRD+dRK?rK@s zdL*pZ_P@2uxpBk6*|`P!@^ELdQL^8i(E7vQk6%Qs3nJp11qE<%r{GFPMJ3#4Tw(Zin;`9)jpv6P z;@5j0`f*+L!o$i?4I!AboFgQ|rrlRNiEJca0`4is%g~T1?Kvgw8cyKpRb>F1kRV2q zIfDMPt|c5nvey>WRnD%0u8dskOc1ATyzlUyya7O0;7#(?ijI!E2d4p2cM2SN_TmLf zoAFqQ=v@~+6EK^(vPOfp0)Xsv_ewL}G@Ge4u-nfo3v`PsP4)HHKzu7N-c&Fn9uNQG zlk3x-9>}vT9kHf?l3xwTd{0oMbVHDiq2l5duZT1ie^M~8^CAwK9VIiq$n1A|y`vAPfwmUtpW>Js$KZA=vixBwWuz+o++11)mW9 zS0J*L0ZJPDzA$H7vCgtC-V0aPR&wSG&aGRSBUQL;;C`JPL!Zmw>bRcZ>VBtyRQRZ?-8?;C*45QDHLa_)v9*O> zbWxG$DK$p$q_j9+SNY7)DK(8rNsgng#Mep~e&(o=#FamD8hwW_`si?7rGQ=oXg33u0HrQGr{Z3x05LC`Iu^TcNM~dB#)jOK1+)ch zWiw6$Fm-{nYDh%v{a=Fsu<~&*KRPeaBaBv)ll;5q!nEdcVi;Me5zp{NyUB0Up+UaZ4) z&z6_Z3SEloBb5!@0FNK4kmfy#v#Xz5zuyo)81?&=E%+@@PZw%zQ_}YI@KAYCS4Vya zJMNY~max@mp!`WWe1oRnB3v=J4Je^%*b4L0JO1GV(nK(`Qlg?)E+e>)jgCf4uIV2B z#A?~`cm(tT4u?Eymz}RUfB*h`*9>_96>%y=TUo~$ff4r&REESFs-EQrczZKRvLAY2 z*uqhHg<>wO;3nxSj zD7=GZ&C}j4-PQs-!&6TJIqY9;C1y*kc89z)5v|bB7)w=UY0U|eWQ08$6~ui9Zvq%L z*a3*{5yAl;M_jNa28ocx#YM}PpWZ%-(l9X29fM~lnZ~hY%d?>wI|;TAEUc`NGqR9| zPI?KL`>HT@5zO(3K8z0%H6Xjd^|;Epo%4KNe*TFJrRmiEd7U1K;Q>CKa*YNM`!J_=TnWxyCGyc!Zq_F&{8V z447qGkyh3;=v;bgg_|n8XI5)IA8-}f+8h4nI^3fB_kp=IJ>|Hnpaj2-i3COE*!OvB z=XM}-Hj6@MdN0sm*9(4m1ft?z`1r_@VD+Q$pxkc(PP<^{3sXE}_q0 zbam+&7y@U_0h2j7K?OIUu3BvsZ_`m2%pwMdWBqRBg*N8qdPk2&R%>WUAfzuG`{75+ z^L>xXbgD)X>5y6y-hHH?q(o9Ojkev>-Ca43EWhpGpPMHg9mFol9$+8i{s9h*B&ao}3ZxFY2(PzF_T;swz zN;fuUOR-3NB@xacWg)?79gZtSB&ZPxv{<;?H9AXu9m^gN0=9MnLz^_cMIzNDL`C61(}Y+EUbcA zNwhXRY$oy80b9$u5;)Ty`Uf%9steG&=ED1DH8nvGAD#w0w15B8>*^6baLaEqQV|&| z-cqGCY&e@|}B zu#s{@$u;5?RK*|>2Oe7QWhRE>SbaU89lg=(iN<0Lqock3s#MTyf*XJaVYS1fL&8rl zJMSW@a#6d5vO#l)5JVg#M#1yIGs47*Z{QyRH+Tg-%C?Q{>?rRU0`h~gqN9s_a;8s4 z$iwW|hKf^dWp*FaYaG*kLX@sNek|{{Y7+ec(Q{S$2d)PYdYdd`#b}Vdt5u51F5x@< zgmV@s2;Rr#>gJ~O{MnNyGEjz2N$GWZ5fvc>M9%3up<KJLYYAyZ8qn|cfp3A`3rH63=w1tXLAeM1p%DZii{*}PE5&jIo(GuCMdPsp^B>L`cx!9&Go4c*wGCGQlzy>7CDvk1vA0 zAvU62cU5?1zJ3LO03TL)t$gOnP$L~!hzJs1BNfy7HW{|;@@08R$%Yao;G_U{u){a6 zrItY|&jOR4mk*dZDR}NtnKquEW-BoPhQT&4G7|dzLRuP$frTVAP)O7t8QaQuqcY== zzCI8AI?A^+61ohwFSRZe_F~5Run(ZPJ3k$N3`Ptd-*!o+= z?Y5B7)~>!h*bOQW5|Np*p6e+~v2|mcMSwjI`oen!0{(hDK*_QSD6efbI(96|FtEJV zBd_03N?N{b$M)^lP}C^=w7FTus%JM3+882~sy;H)fJE5A4XfS_t$&>>*bgEs*A%3^ z>@P{}-5brLXJD}AdL!?3+Lngr*!S3tq$eiEcg-E!xKk4l1~#J}g>!V}t^**s$$b&I zeFh90Xu1)O&FCT}@9ye~epGEQues2D&^N)8yY-EJ!Nmtq;ZE*z2R5h#sY2p8|HE>3 zeaZ9A9)mTbT0T47HH?kfW`h>2&B9nbhnpBi=}Vkaxv#K83t$T6HJ}xBz{g+NBP)xL z@-Tw^u8zJwRIafRLL<9@C&R_IghX*GyQl5*R02X}z*7D;ROAIo{O1J{G)4%4-4;dO z5W7MyF8pD2E?F0ND+oP6Gc0ryfe=v>q$t3ijRWRCb}l;Fq|j`YU>9bjyL<8N+h7>; zuji)x^7SiqXbs4SB!R1HpS@edVq;>srSmp#SK*1TYi#_*38_vq%Zk|RDbF%EItq6? zIv+k)J8^x*=-fibMV@tIA)r_wV=)*Ppboqm*{>~vf`YUeE3U!|y9}1qw|}P{sC?bl zhH(z`zd4xgx~o>R8S)fe=QF~tf{a@)@Y1Q~@+$t|Gew$q3Mkqi+C{&PiL-{!>2w^= zb?uK@JgVE)7F0_GsD21kP}qGf>O|TTP1<;k&r6Hw?CEYJVJ2}4J6BrQGG;x?;=Q#S zOPuuYcz1tgv3?Kw$|3HY-}~5GgwV)+Cz6L08_ei2L;~F z*q01^Rx2XFfEW}ILts|1T&`Xs5{w0H3n<g8|EghXD2&}%I$oAjMbS zwdjPnO#Uiml2p7&D(gq|nkqEmQ>#rMCBaCHS+Dnwky#f?3Q({4313yn zLaq+kclH-doNj0h!`|+CC;PsoCED_hjE?TwIsrl>06a4ShUnG7Di4zsTNxSUh(vxF z@7wz=`fMCNHNus@HzB6^hIKjHF zc2HJQBKiHfx#1VVKRa+q=*kGT7S}n@GpZ{2ECa)gZXGeVut1It#XRU?hf+Z@E;O%+ zM3H?Epr5OKAmFbW+2@584B*oCzIt_);gGnD3~M}|9LQ%I&>(4nEJIXfSJJEAUcDp& zXwtD{Cw1lL+W}$p6GT!^geLodaRY8d^H~j^?NmETTU%S9PS$Ui`;9-b6k7s~t;D@a-TB`=uaurywVXxo>*~ySD$p0VENVm3!{m zhXMGe5JBUtT2PO_`JIKI{t1=qdF>*Hkn~4NX^oWljPZ%Ry~vQe*1`MTf~Em>cKbYe zy_a4@{;{1BqPNu19H`-?X?giF>3cbl5~P(wWKTpL5kNSeWqxmH{bfmU@imROJZ`4Y zdPF#7VAZKnZwjn&ynoVY(>ijN`e=cKCwW|Uxr0^P%`=j>(iTJ-YW=+r0|8CrM4BpF_v-WQQdV!_i9_|yIMR+-7W(JhWK_>sB>$R7aXE1 zxB?#&vl4}s5XANH@z&RYqD*9|bTK1A#3IS*W;Sp~J( zH){_GFk{O9h+Xofv!7TQl79O`=8e;qj(F;#dubsmYDLZ43a8anQkgbIKUVS1_TNRR zW^AadLBnXg&WktZPT>chL@P73?N{yMHVa6^Oe;BRifdQSo=%wF-ZB$WF23X;U(m>D znK9I|Q1LOnI2R5Kyium!piFd+BiW~qA2*H7qsjts3W;bf_a+kC5K|XPo6^d(*d|8c zX2$ASW3}{Xs&nBLlqFmRitA^6o#(#8U%*bBYj)`7OMkJ}VTtQ2dQh`jxU@lIr`FVX z(x;BduMz@}82mzPa=Jf#az05!)(jM&W#%{AkBwHxfwcAva)QPoClwMc@s3oU0_}RBst5w$?U{hu{ za8E!N*!Ku2T7!=aaHUGbXAudaiZgNDRm{T#4MpN^d6J+M^7|kQKDR0M7M@KFAEVh} z88paU5GdH>7#CD=*pHY1qmF0`$}~}@xC?x|TU>v?a>uQ+V8dX7J@-%ThPc}C%Cl!6 zr?zwv{2H*~en1N~wozF@GuWiUo22b{O`lW**3NsH%14k_r-8g)nYiXyTsK$fGAFJD zx$-ez?#I@}z3*Q-mqwjBdi!vAt+@mnMPG)FEha%uWeN~I5SWU41$y2>mpKyzgH8U! z$oazA_t>(&h@%vg7MzQYz}==OuK=i zft#+elGAi~^y$jFe=N~JcgeIEVt!8j!&`@UU%Sy}$K-TMmZW9|g7IK1sm2#oKdIfH z^U*eIT-b@STjos21#AeaH(%6JfBeaVo@{PzHVLtrd?|TAE5Ho=4 zsq?)w+$64BY|u(eckeKvkiv&HJ>fy~^)dp~k$d01J&O{FnKn`(V0-&TfulS3zi%uKs%pONUonyo-7hAh}bqL%hgh!i$(+mQGi& z{oA0e8H?-I2W|!3{4D+a3WdZ9zQ<}XAsibw4lcDx`~SZ5amg}`3+`cmTNK>ybD5S* z@xM)QpnH>J%gN>Rfqz`E`}w)wtxP}rY^wWjmtoFbAjk}QU)157n?Bs_b^7d3+DFmn z|Kl422Z!9aI@xk!r?uLx!#8UOMA%}2KI-HaBSYeIo2JQe>mTN1bZ^0NAtMzPa?fa; z+{L9&x;I}Umi^2pBjW=>$I6eplEBz{4}kM?JYwC~y8SUbg^IDZUgQ=5d?EZm)++Re@{x5;O~=uBP8vfxN&>TU@t4$1s8J4?F9Ax!a%+x4A!(w+F#Z zEIdYJbZ#G>Tsj)yu78QO$oTe#@LD?wofW&L$;u6|(SO+Ri*u=S1#-z8`j>i}ooR>X zO~e1MK-8A2PN7m=>e#V=q|$w8Shg9A$M7n42vE&4w5w;>c>V>1Bbca^xIZ zZ+;Ww^$0Z(f|69^IxkgbfiMwd)f@UB{;c)vz0NslAeyZSzB32 zXSAS59b--VKo{GDwu?@>^vt5QBr-TFW{;KYKPMN1hx)v`Jrn%3(cK}Ij~RNE#Z z68LOv6H7U+G2lB{-38(l=hv0fE@6*C5W|Qi@1B& z4Iw9%V1hj)42oSR;QVy>XVwn%l)LZ9=p1oFFtPZ#Lg3d1S|u|~z~kK;Jx&edi>(1A zT{*%em8Nlz2PgVSw1xV{MkKQqzjuP$LlX0z2wqEv*zjwu@IA83%a^Qwc@HoYqgavQ zqGw=yNisCZPs4pLN0~T4i2Ret1!?hh2&8A|bhoye6&+y~G)6{t2CyEiBwV4R(uCk& z9>!05Fg(wG9$Cgju(mwL+Xn{B9qJ%hQTFB&(uas}(H#zCAgCN64FiW9IcG2zC+5F@ z_~0~i(oXnH>(91dBfxneeAsCx(fP156<#{dL6+mQrA@I9x@GM?d<&hp4?^~FQFQli zN9g%|K49nIuwM%mqp6KUy(mBS_pj`{`_jP^lB%@>{JVE&6Vz2y(9#T<$>GeEV$)G> zJ=N3fry5fA4LaPSo<#dTvPq2Q-?@uMX?I_%)0%8K>{$-SaiSLPEpNMUS$~M(@P|=ZnMa4X}wj+p; zW$TV5zR1|K`QQg*$YcTy!nmNW>*eJ9kg;9&M?4~IPv_nJf!sDJpFO*LQSg}V`DSDW zr4i22BCMOlfkEVVwK3IIiwIE0@1i~#mXD*`rISj_JC!1&W^ecBg+uHP|G=r{;Xh8fBn!KY~*_Ky_i7B zi5;!Z(iUf^<6P3U^Jv;$-*?>n7AX*p7bTV8zG9blJqv0Kd}^@K3vb=}fU|GE;n~F= z!SepJ{8zN0Nj8Pp8r%+y1zoS|5}Y3it>sfszx&?~o=O#kt!7yQr~uZj#62>p`qF+i zQ4~I@tCLj7z36?ksc-kOi3J-D>C7VH1_*u}_6S%=e!gbYrmj%Xx|+8bWxzYQBE!VK zRl%V%ujGxz3q=l(s5o57T7|PvR}|6!t*P@0_^LpPAn=j)JYwP*8ZNM?yJj zl28P_Hn5i44T5kH!nZbWYBCZ39WSXBDZV z5g0n?XvlhEUCLXiU116um#*8ko*B|byK+XXsGB4&2nr)~ge;re)3@ar)Z zik(xaOAo7R?b*S-5%|uDV@o1CIvsfg1@sDvN&uP9`^p(HE}U78Chkt!k9~M#WPVOt zYkI2?En`4LRgCMs(w}ph{87Uya(ggOSy0}4u@9E?*gV_eQpit9p8{diaEqsz<;Siz z;3}>3k|u_R5?ClCwCW(-@2W$^fKghtcU$_(LDh|gRJD09LpGEQ%veaJ@_Kwr@Xbh0 zj?PPtp;?wIiuWOb={4R?@ulGK z&AxMPeeZ&_cvH$!`h&N65vkvP;37mjybwL`2UaPTQOq$0nACh! zQc?m^Vydsd`VqufWxq#9LnzTkg^Hk7zTG?9) zt)DSV*J6V-8#^p`T1-e4LOz|27S*~1kOQR##75P~}_Le7s_T?!uav=kK~57my-NA5 zTQrVeAf5ttU*i;ly@De}77$CwD}nghUSNplNnHti!y;EX82(|4}Ci`xVQv2RK#8o{cuNH$Xp$Daz{f4n3WS zR!?uQvTxp{MXv;gZiuoavxqM;gQFZ@x0{?JXK*<&U+a=fWVBavT+s~ydg@FQw5 z<%l(e6kQ#!=~K;3cI48nhm0MUSDeVVdw^YZLAu@E8F&IkaloK!xIjVw6^U+>Xn6*E`0|Qdo?$+p-RNIE%1^l#;yx3^UZL zIJ}P1a=`vA`4SJG%6Wq=`N5Sug2@{q#MXy~a2?=p3{~e>r1%lQ%)5YSHkso`q`eX{ zahy2}#bGNvEaECg8rS2@J5}z3j(z*^?t7~X9lUE$QZ9OR|1~8|Fb{5UivU|IyWi^b z@G!?dnD8K9ZL1CQsVtZfrDZQd+~mBcvkn!QKWTL=3cj!z>m+XI@S`wJ>h(fGB(sy( ztul%!rDt`)KgEx4Ve-m9$L!g#_!CpQ`BV++2|~Qgg_n~6D`+`nL3II*PcMO8Rk z#c^l8d`Lkxa!*k*LxxW7O9#l@PYe$7cnO3g<68ba+0pw2cqRn47_5rzWGN800d0J* zc})mv1EV;iSVdWAkoanDYU&p}e?;QXtJ64KaPkQDo0nZ(=foZ~>+v%IIlzVv-vj`N z|JQ1Ck0DX(4l?hdSJJ|>&Y_r3ZDM~iL15VYh&VMlxd;I@u*8ZixkG-C;Wn7@&F*>K zb^OR4AWiZO8y-L=L0oJc=kFsJ>Z=_=f%)^-kpA}8kZ@$q&2!0ldtFe;%k_-y0F|72|c-A?pV>rv7_V+)6Yp&z} z-+saTE^+bucMw#Qg+-K9)*j$Rh;;jK6vsM-RexSKb;oC4AfkwlV5>%{qv=mp6OSV} zO-t*$X(WvC=fUv)8VB=K=n8Ef8yf>CoJ#t_MqnY$$)a$$9iHo3t4)-}ho>;w69rSIMpF^#)rzC4<~{&KR_or zRS|=GUzD6EJ(18&pNY37A3V4-x%ifPRT)R4==q_?Q=Z2wA5nO76;RoxUiUnHoUiIO z|GDe-7p-?boBb$Q6&y>phRoieLyOkh*~;C+&D#6~={FY(2U-aNmk1Yr*}GTRz|+FR z$JJW+h_;rnmbJ63haH!gjFh;rA?ZbJYY%fPa}RTQ`9ID_>rHQ0b4y2S4=xL9TYG0Y zo~ehGJY4oxay&)^Z4qr(WotWo4PQ5F1796OOJ7Gz87m$I`Sp9fWxY?hp1`+pd7p4{ zc9->*<1xpTSj*yf(#yg;Tt7eJ;V8!=E+i@>Du~|UJo4+YGwpS=vXRwSQTyXe{7;St zHTJHu!oo1OZh zVejJ1MfzTI3l~ohIUXL;ANuRxAD3wEs;&LkpK^8=`gy@ZmM$lRz0F;PMTJC!Pn;0` zPiMM&=v)7Nk^k{b_n*V7Z|&~l>1Jt-kN>R#e8%pWpf0dH>@(Rjl3Yy{xU&++0rl98_0x zH+O5&4a)KSd53>JgjKIbqTi`fW=6^~S$m|Noe3|M!XZ`|yz-q^vSlvxl_`e&oeOL`4Nf z!~{j948_D`2_mv$5`rQGRGt64^4~xC+f9+aL)*p5-p1#j-yv-%A}uQ>A}dPx{X2g9 zy%>gne6)4Et$3R^o_lh&d;7QU$PKc0t`rL2vM+X-_Id34Tk zvbQuRSr=h1XRALfhU=eqvRC-;kN$68^x=X*y;md?qO z^ysl7MMT61BEqn%q*eGo-v9TxNBKjISNVtZ5-B~?{Lm6RpaqzU3u;u2yKii(md z$^;onDJgL=g7P01{*NpBe%o&^{rl7Z7&UQ8X-NqI z2@x4dk)KCADJfC0Uq`W@ulzcS<8^}Qug^#bkj|3&dBju26T>Sw;uQ&Lg2>M!o+y6D z^TZJ|KniW@e;)C9{4PT}O5>9_lKz%BW((;^`YYm?MWiFn#qsC4m_rg64)LEyTrGb8 z^@`Nb-=#@M8C)lhKR+Ww!0%FGq}Qb|-*CjNln|A{can~zb7iDS=OWVoc_e*CM&{>d zWJG`dPWm>PpWh}UL;8y{KfhCkbS2nBB?T~Z1u)wMBn6}dqy=OIFf^i~0-|C9qT&Lg z5(1dX_>icS0H(bdK8wYGwSZ+pz>2|y$J)S@-$SaoP!&~?mX;!j$Ph$C)YOz!6(yv^ zL}XNzMP-y!|9F`Hcw+z8dH!qm!vTgHE&P`sjsN`RiNmRv<8gzZO>*!5<>*WP<>)KB zxwtC1cpnoH65%5KNQ#Q#C?$jAabaC^H<&vvQE^gWpf9ZK;_N}%?6@SPe*RzI1*XuN zOGH@7$=cfLzkZ9No5z2BPutqc-u%D5Yv^Ww;=jI0GG-(<*&X4^-;03s9|I9CQ3(ms zCCbYStKp*YqM;#PB>cJ$Lqkz6Y0+P|BxXu_UF^^Qh;oUFVIY2farzZd{TW1wi^~YB z**keyy9ui~k(@PaOVZZ*S0tqodC$nvrB9l9iDH@VYc}mYq3!V(Ojsx_2uH2fs*2sV zUA%H!K%(S=o#TP6nk|-Rmx%g)CcWoAUOi5J?!-9=E5^ESSYZ<}qxY3pVdT8wG)-}? z+Ekh)oV`MN-M~lkmGR9}7hcd@B#6wfoxfX^B17}`%+oIW1O*$-a-o}k34}wBLw0di zEUpzQD_A>M-~Yy6&Be()|m(LJgu(O5;zu2QI~Lrh8V|!Sn7!zvS_=;c1Px ze4C4N+bTD&ByRO;nLNKuaA79d@jz|8GUenf)v{L9lYFAw=OJkH&S;#n`x>qBkpjiF zpCXQJe#^$fCmxLz$5{8cL zRWv4RSdv$tay@$MlFvt(ifJjC9jD)358eBkuP^cCGk%(IOET6D5+x_bd=T(`pQ{*a z_^ZC1mV0fqnrEn{_cR{V+7|oj~&ZF{@ zf$?``m&02g-+MM+CL#DPf8JN?<0ONB*_=U)>PPnC0=Bu&%WjWb7pa$YK1rQ?H&Qk` zt~50^E_Y`*owaLUe+=)To~R_6^cQ_u`Bled)c11G9Ne>;Q-M1z-YoBwl1s~*2CvuM ziu8P};zrR0BT~$knZr`t3r#;{vd-`>kTKpcKhwW3^&KCM~k;RU) zSDZqG)FYnSVc%%L*(J}cG2dj(0DU0^o2N~ydID6PL^eCIim_2h_!eU%hzPyVn`fM=TL;b38 zIMu&ZbVKCr-5l?pISaRMRLHJW@wj^JOP^-FoU2UkyxGZTr>4G+waZ>5IL%bog+06+ zUw;2vqwE6x0K?vP8z!GTTPx{qI*pJ zqpR+$-j96@=^J^uzeciXnjbq%`;?;d2w`F?Z(>f1owb^sb;EUm+3UA-!)|r5GX)=g zQ}y+#->&z*4{XlPEXW4BD9cm|nMXcYFSSQK)ND9%{mj@K8iSIr+MC13pD@-)x=RUF zex>;8x$Ps*&?>hS!mVT0jjhGCm(>#!EJAy(+){cK@=fKV>Zc0Ie8aY6@z$C>Un`8l zmp_T`l3IxPx@XLr!8hievCN^N#-o0Wno@y$y?1?b-t0R-_pUW==8emlyE9fF_C2E9F?v~5 zdqQphg`3P%mcCm3FYKh-5}2M^7K|T0Q7e6C*S8w(I>p?yI-i!c3DT)`I^pqqB0?Q% zGb&s%QzCLt8@F;*uN({KJFPjd!LYa_YBV%T6B+fwynWGp-#T-}v&n}w7-%mXFuwhk zt2*)F;#%*WrwRp5O>WA$x#`volUbVA+|)0RCh;n2e!mfaCrjmAKG*5DXSd{pUcI`V z?Z&%(XLE1u9hqOFf6(CkD*20L-S3$+s6NTW8Cz?o7C6Qcoo~*HnqCoo60m8Q!Z)qE zaMz`W+J_~EIxeR0mJVE%8z$cyp}EL(H9Q}3uH>$Ez5e!C3rtj zmq<=|aOIZy{BDZON4}!nCO0`~9Zfw>cMyFd8-^27cC0cD^eO9B_`EE3%8~9<2>Fi0 zSgTkf?M>^=ANM?am6Q8{$X1&7Dr-!>DyjRP$J85_FOus-$ma5_1$VDfs^OXOdES`a zbDS*v)I*D2v+kV93LnJ9=+3)8$Gn_)d-syhmCtHi zW4l`F6TQ{asn(`#-6KSEqIc`a5AkmoPBZ!@CG>5Hcib5>D^|XrN?-7zQXYRr=MbZj zUJz$VWsVV}f%_VjaE4%=T4tMb9 z+p2g>xMYMNaKohT1AWV&`(P`NqDtJpkGx;CJz^-&_(;cWP-K#2V_Ba3UOPhj`bSaO zlBMWi!ocaAOEFiIn(tC;=m-o5b~f#c>zde*a`V9OxWfje7bV{e)l+YH#%z^*5Wgp7 zl`)|)F6@awlsLq^pMALeYBDe1yFFu6&HU?w zZ%G^$^y9;a1p4Fap)6N>H-AG0J$M)RVE?SBxg9P_ksytGCgj;AMTRms_T5 zJi)%zd&R^;$JfmC-urh2RA0|Nv@O)BlD7663U^74<0J@>R&mWNf$j8(EnhwkB~sL|Oma$(D7SgN>d{dghI`9JBe<$u%DpamZ+K$6`-x z$j70A+J*YD$zi$acU&jTjtd#&e+-a&Cf*-=U!Q%j5TPwU%U3JR z+Y*MY)S2UY-@5>@bq6EJCdHCYWmZ%@3ri0^oxW;XezjkCY__t>`zSBF8ScS#F^igXJ@cZUNaBGM(LgmiazNlABy^b8$C z3^05*_S>`_5oOpD5k>YMr#w6gw*k_tpnZHMzEqL9y1G-ccbNn}WmhW$z^?!zE`LFTc|99}Ly3!kSu;CSMm9t`_+fqP@X`jaSvTn{>hV#p^bu4dsB>z%qWoqb8CI7WqK}R{Mfy zDug7HV^Y{oKZ8XUK4!MpYPbSFd;_`}h;Oa2Tm>m+co=w7U97*+Ea#@AM9E%rbrP*O zrjT!2JIULNLmUz9^Nus{PYBY>yGZ_>@R6%l&-3cRG9~owc56@5#_?+!WaiNGC;l?6D5@1v?WEqf@21qB0#-tFY;d zkU1WL8FRXfxVonk9ue*rI@=$2&+6Pmn{*WL{W{I>iaJ1l`bo4piTv5Uhn#X12VJzy-(Dhtz7hx-&ZtoA`Ee^_V759pdIl~{SPpB8&lCb?uOi2+vIg& zZry24nB^_CSo4D<+=(FMtQF<3IP`ckKC@^OK|&;4fbAk`jg=CoL!zaf9c40Z%H$sv zE-)WAI}Hum)Ha_dQ*hU_Je2GT6>xtTmk>A~o!swk;^&iGT3RtaQFm}+n_+Dw+Rr>! z)hZ~g?LuMOQ7Mdlk>#=i87%PDKH0D(b@bdsovD1mMRZg>WocCYS>AL!rOgZ1+Wh5f z+f>Q=4>GrjpD_mF6jW#r;XBBPPXt=$pd`<8*XW8BSuJ8g)!j z9=q~L5$g}!{qn5DG*KaohDUs8FdG#XucF)Jd6ivJAHM`*R+xw8IBR_oYbt!s$h*o` zMX@!SlgXe!bXQllfrq2aXr@@@xp;f+5Z>B-dtSBbV{^r+0F&DR*xO>>H+I}KKB-0* zW+{__Xk-I%2kdvgY3D%F?Gmep_(IM<`2M`#*$hABWMAdWHw?n-AI%t3JWWX)q@&Oy z6D>lb98k92@fbT^WGDFMLpEN*5i?T#I#o5F{exw}w*i!cMR(kfXh32_NhwN8s1pk#sz->1tFMRie%3*U`K5D^iG;7T+>>b#zs^bouw%=qPUO z|BNF|b#RSQGFHUCtGFMdjJmEhvSR#oW3l>zM`U@57w+T{8F-@XIxeRzS`)}dky)!aZR~C(^K5@~Odb!7K#(&xmrNRu z=McqIhFbU@eHiP0BjbH6w#v5HBxIkbqPq!h{7PkeTZ=6*JzmE=he_Cv(~K6GPkgeJNAVH^O@hDeTK6Hja(A7gVX@#{ABLymr=F*zTSOG4w3Ah-QiC z`{rupDUQ=WTrK~OmW_31b$%2(DRx)VpFi)ZbO`@}_rY!w&WP40^Xz%@I#Iab*qr8a z6|Qnp#@$vfqB`s6X8D1cPFN`gqvVMzjNtLQ&nXS>gg@9Vb_kne(4%~7nQ5MVqZ6hQ zh%RwJrK9mkN(;O3rOF_6dXrH7q&eoRNmwMAN>VOOkvcZdn_8)4LdxK(er(w&-+RaR zdcv8{M+=55a~L1sYodFQLDHf(SDT3FyB08yHc~#@s40A?CiHEfN_j3-K1&r-1L9EX z0&_1nldycd-@W1{-8RUI(*VwH_coxkN)?QWa@`EIwLCIxc=HKG$29i>UDPZwl{r1% zzce(uzMw6z?t|aH-Lfn0*jAlXVwyK5SDh(odcZlB8Adw($W-Nf4A`>*)kwYgxi)F$ zm9zL27%oQ>`zsHnFB8wUUoTbVnsQEuPqL)tT0&fm;w0wzopeH!2cJvDw|_?ISJljf z$wbGoF_M5kJa$SvFpGX30$&edx3^Uf$}^;3P{07QN8InrSo#*}wDJ1zG&%JxR^%9z z?cuBwowK^2xmF_ElX@2J)^fM;`>(hMR;;Y!_8URKJAh`VRy@&Cn$1|9<=!^HDo_mv8Srqu6n`lVJ+yOXK?3<1Sm7VAX7U z14Iqhn@4Xv*NqItA3lqSr+ds$uNYQ>Ejsv<^+Vf-yXe0DoIQ7MWQnQ>Oa;WGK)4h1 zRz8wF&hmT7w$3NOUA{K;;7-athoSqcSW^%B@ED@3jHEu!E3dt~D=sy#M=%%U{zKFB z-s~Rt$l#A7zjoZ8V-Jz698Kf)oE@oIIdt0XC;V=_66|wA? zY7*Ssp1c&UXJk$4y8$D?6*L`pB~w4I{J^iKB5%^h@)?u8e$lrT)1p8%zbFeo%60cy zFWKRiF6W6Aqs7*HzAisGHq(XFEH*f9=>R%z;3LGDTVxTf!+9hrmN!qk45OrmFjby1 z-wON7fiH55b9?VqRfu#QscHNKB!Zd=rwTI93}Mr(EVpS0WI{q!FjH@p6IMSCg?*@- znGe+_j2M-FnTsi03KvvKs=vf!1K|?YasO8k$bA*J`voTcje3ETjf?+3su%u_pZ;If zA^hK=LbxvD54!lj(!#%#2UmpA|BkS_4jKO~RQUI~0h0F@r}0PTD<t(jQkub0c4x( zd_2@#p*Ikw{%-{b*A2Sn-=u&Eq6)UZA_0Y|f%j_vYU_0p5a4$( zwKa47oeXflzb${C=7g!4e~q{t*~c zT-?8$dR@kK@^2y$Nakec`d#&vLtX>iCBSC`axDX35dH0X&MUnLC#L{6{~xZuF7qEo z06`2)19vb$FZ~a!q+D%n9GvX0s{@+B%JaJw(uz)2Z@~6|whOqmKOCcM3cO_r7|gZ+ ztE6gR=>+s0A15p4ujz2z6!EKrDNyKNsqE}L|CIcXI-i;uIQ*4`jg|9v#m@~~|2HK7 zBL|Q#S({wx9stk(HkGz9G_d?d<_J>*OyaMJ{x9h-EDfx||FkM_uyI|j3xE*qU(34A z`v;c~_=ua6=XwR<`J+Rx>%GqV?^lUyw0^ba5Y^1vSnYNlq6;HL(_EgAx@`6JfIYasB4B2k$7T9PQv{S=Ucu<@~rOGvP@OG@)`NVADc z@N@7#<&XmSc9-O|m|7CpMr91ltSLFz=>8?!UxFk+9eOQE61tvva>`2AtJT%Gw>EM3C04oycFJJ8 zzobjoUoFk9bU?qzd*J`qfaTY>e`Nei3GnYq{8iWWu5xX7UA45?^~{wvuyg=lH)FuKd$|n%D*P#uUyhj)`nMzMwt4)+8ar^fqz;2O8X_j`iBVhx484VDcAV! z59Q`R4+P%-b|6sxGXAZz{GS?Mx9H!=fc}#>;@=z^u0pH74h?eG;s(}NV1SkfWKh?; zGq6QE+M5~vEr-4ijs6xy^YHThBUmXZ@%k5)&#Gu(1?Z;#2$f#z30VKy%da+f8|y1o z1>YaxTvkc2vzZ}S#@@h3Ut zq2I)~bsH1w9tZ^u1@#8n4Rnl~x6o1VaH4>2+($*D!evJne`$b6ZRgE#^FerW@lzUF z{8!CyIJxZK4@zLrMJVt7+%t6GCP*pqp_hz&Z6wu_>c~Slq_PO!Fa6Lu?6hYd?LFi9GE>52B zrte%J&7T+OiVq38ri^T*;Dmhe#2j$2yic6E_+n!o+Vo_-t2Vu=yvOo>+P6t~_}Rjk z5DIRoKs~`WoOA+158clc8;>||CJZ$#5{p#`g~fCrK``%3?gJ*f&gY9f3c6gK>PFQr zN-+_k8?XDvt-sx3wp~)u%35576U28^$T0j|ERK+)jXFB4%gM6VlH@YKwM-qFmmn#r zyMwPT0{{pSHfUT9T@bSdoA;Ux;+J;0ECf zw@8lwMgeCR&HPCYa%;C30n4JB# z#raP$zUm!Ec_s!sJF|E31f#Wv6qVr0(S{eZhv?*I@o`n{yQ%GV~VgQ{>nigFlO zjvWjyL4Ax8nTNUVt$GGkca!Q=b%MD0%9?$M%^$pyqunC;gomqI`D)#(G|O1R%A%6I zL$4W3LaGo$QLhb+HO$t6ip+kIrIW}p;LV(aebsjb-TS$kLmMH->@FZoun->^Ht>_i zIFJhWV?{@KsPkB4_O7O|N&3s-iZ6u()>|{CC4kqWlO&-N7t$R(XTD;$(Q@KGR}!p^ zYaN61`CP;z7b`ZP>Z+N4J*oG=}evGlKkGQvlqZ=UaTue_t#<6nYN*>j}v=)^Lo z`^$7f`3KnB`!xkTBHXohn{X1+93ZQ_a>mb}M#BaTTcM=bgG((vwxF;({wq{JhGBf_ zu7|1!*14s__uW8FHFsSR9x2~y0?Yf!2V&h|r>UcntsV@C#e1rs+FJRH`(Gnc4?G$9 zftIT`XXI{Muz0p7K6{IJ+)-5$vtzoQ%X~+h$--{dD&}LIZQqJXT7>*ScCCY0B7UoF zuGy_IV=jt_uPlaT;f1(gGQ;*Mk`J_mxyMK}%_;fNS@Odz_K7+YJX~+>y%va4H4v$v z;oIkqVkxv1>mW}}FJ=s@L6A@aSQfbAR ztXXeE!WSJB+4U~V*ik6x$ybM?E!%Jm6{jk+(bfk;K21#ynP_^^hrG4)23Ladspq#oMAj!ow?@h=HY{87yHc&|CvHS6)yG?|c;))x=p1sifq`1g<-u+rBTz)J z)CwJYv_Y+*F4?t7wAoN~=LM1rTP9G%kncc^Cy&P*2Q&Uhf4o^`*aNj-kB#h~TNpCf zuo7TIk9jG!5>fBHourWI#Y!_+0#~~lG!fxPxY_t|T# znX5voPf-djjUsfwI!Z1TuV+WFIzh(*kC7uRC}} zTcj;la!$i;HqjgNE$zH$q^elKOmgXWWUS^>srK&cHrstqTI7Dw*I}s1I!EKbD99qR zS)x~vrDq8utnR0)TM zk1E1`X3ZXYK6{v?N->;km&Kz4;GP(upZ8u7NB*>i=qy6KM)vL zkR>@2*CFxDe$x=96FW)fkGe#hQ!fLX6CuNfxETzH1RZN%4VCJlJ@cMUE7eJ88PZN_ zgN(agkn%M%0qSY1N~JGcjgUIJYNbMF;=!q?ik)5T+MVQ%fE99{s&~dXPae}o0B=bb z&ZkFVXEe-rbgywzsgLpNU+rlrA805rgcC#5R=Csl`*3=7Er4!XB5u)dpvH>qSqIOCuPrU=X}8n-YlJJs(1%NqIulFf z&^olhG~=hfPzU%kU|8TuGD5hhm}PTZlTlWowp>!?AruoA*zisi27j%_Ah7l6?MTf z-ZEaJiP24qGwr7q3svVId1}eWYHiWpy?rqR>~Faf*kREh6zzn(V{Ci71(Tts#l@YYFRn&M0&m8W@vGxWSHrJObgIya z!)2q2+PsMtg=)w71H*L5iz3JdN&aD-V&WJvEBv;AZwS!nGIt*Ihey%#E*YSt0QA^A zW4X)`^x9OHi1o*ad~ba-{(LllYONDFm6}HJmZonF@x_L9Tof#7LV#_kZ^%q;oK`$B z=^G_5)28v8DGRXol9KIDt2Yp>wuX%QNqq%LnVBR(SRW5FxVPI7zzd@Iy=f7$TU`!H zboZ-$OT6a3Zhd&TB3Mdhz+pi3W!H#Ibw~V1d-->;aL@g2H{@+B+l^k)G`l?0+an$R z+vTiY&zz)2jqZ6oUE(MqU8}-~pci>kO`HBWLZ-!#sXBRQf+5N#dvW-A@10r?C5C3p z#ls#oH5v}C@WPvf{9kycR{eJFvSAhgtuN=b_wo7M0ZC;Ta%u7U z^n9GH*bY@uL@9f@7#9FZyV>BQ@@P?g;n9XdA4QTW#@Z2#h)Onhdi+DK_^pyje`1+l z1E()qfgW)>$Xtau45@gaf)fggFb!{-2+Ko3|LCsBATNbp3|)l(ik4 zPQIh}I=O$9mWb_!NQd0X0Y?3^amAFnz=>|X;xw2_LG5sMQyHeURX$BvIl@I8I?1=? zQF4@U+tNFyV!JCpNDx0K@2mSpIp&Cy7{W5{2cu1l?iEx z4Na?b&lH1Bl*N>?=v21Z1C5how)P$_Mg$jFOSNQpb9kImt{Du5#z8s8z8vGYjy#2# zBWi~>^Z3AB)Up`s7aNhVvhmQcRTbl-Bg!k>Bs&pRu@T!LXi9&3SF_$DeQ|-KRBA3+ zDE9&GWHUsCtbv{cW4ct@))a#w$=qS0Z5i5W0E^(srM^H#tPJ{@p>u z6oQ3HDAK`8J+H_&Mi8pDt|N-;ry;Gn$Y&F<`UQ*TqXVGWpW_@ghnF9q~7GJap7QBR}3ij^^gko7wamIim{pj=7UMD zlRVf*Cv*D+ zWo7v7n=wQ;Uf$$RQ*1q^JF@Vyo3|(VqSZ;W82TPY;-Dx-m+(_5n^f6yaeMN-5dS4@ zWV7rISJ|@4us922&Ww1~ni#=RZ_1NAVD%HCKuhK*em|w>AhpeGVvnC#sz|0w4M>c5mB&?uL4=pBC9IYC^Q8nusH;PxGx!YyZK5*J1+|dnK|*3oaSv}IRFYq z$WdTt{Du7)n$0fsp|K}|cX4QHWnr%Sht$h1#$TeNjFG>ZJ*7NmDUqq#!%X zmDxyS>TI>?!&p{_SmdaTl8&p%=7kuTU4jA+Bx>?ijM#)L$ZGb48;Nws1QhQr5hw2& zdR5CDvEW>E6rK+hHxxnSp>Zdb_vI~I4dclNiM<61?=%!jcd*4x$(}`zKLr|pI}L2; z?pLhYkLVsG_ew^hfZ>VRLnScg_O^+gxt~Uk^GaLX?kO5ovirIRGNU4)&`N6>%6F1J zLbN;C2@mIwf|EjzzpX%|pbr(84pEIH%L>U6(*qr&+sVd{9LvPb_Nh!9&mI*l*b{5_ zgKECi#EcHLZ>NyW%bk5L=torjOgDlqR5Kk&6xem3ah4VAoU0#Ne;u86?J9%>QNA7bQ=lnEEWOIb%AfO*qKW2W>glZHz|H346Uw}Bfm*7 zE?$~mMzHEr<0zbL!!e%=lK7f+>!BZP7$y<|4W_kV47DW*nPZUJ&{IZc9C~IBZ*-QF z9LwEQkodZ*Wpb{2_-1iO(tnfe+X<~`+m5s)(tqj_^w{1@r?G97SMj^2F~QFgtXKu; zBIbeA;2~y>a8#c|w>H6GE23C~B4ep0KgkE~G3b^v?>tF-tZm@WR&NN48LJh2K$Rfi@XK_0RQWVqEf+oOSx6S(~>o;Y1sOaXnP?;6Wa3t1{%t=0!5@qLrp8Lfms697bY3Qv3G1WZs zF~aH{!dW=8Wd9PBCrr?vds^{E-KgT02(u7=g1SZGz!E5&q04z<78M2$LZ6g&+(FJS z1nuM|sX2E$V0>vtG!G#>@lcCxa2(qwqXPYvZE9*}^!c0c_82F!E5|r7eOxbGPO_m!Vh+uF+DhYIS!=LPFkGl1Gd8kY>HX5U%76gtv;Opg&dxh- z%XnB?NOMR2(DF~);^-Jz5cT!4fn(0c=}jI6Gv|#HRVJX@!A}p9vKtpKK^S=poGiyx zF%gu~VU>-0xlp}2I3DszjfqL!TW|G7bV5o^ z+^ki+gHfw}M>%1BlD3@Dv=%7yZBmyY6#*if!{p{r+wm2~AXrto!E!^5UVZBO%7)|% z^$tXDq?JoundVSNIfh4jKv3$N`j;a0!|XEJd4@#w0BDHH_Z^KLzbp?y=!HkP9N98LS@ms<3#Ll zG$Y!E*boLLllJJPovR||ezGk#FMBc{_D?X`TAoJ1tS&(hbc9fNC)s}@NBV&;>U7_D zsTM*M=e!=!ARn>IvPVFXg=cE$@LX8^yvja_il2inT>)8>x<&T-B}kR66bg<8-;C^x za)ro2mpi6E8)Ab9bQ%4~vsycn6+64|cKlJLJC0apZdpx_ zw>D8Qoh2`f<*_Y=m2rcBY3>?CA@ja(Z@0xayiV=7K^F>@OHf`7L z6^>fawAqNl(Cj`BA5-bT?~YZb;dbN0$d1R>bXT z^#MhnQX4+@Yn8b8A$l?hz652OAC#M9!buQ1y^Ulmp*CnNL6@MQzA8!gUSel`g`K^q z@mnBWG?yvv*Y26)in(J?4((Z^T|km~boPmnF=4atku{tLXxeTb~7BI#-pw=fA0ztnU@v6R)YE6WilvC}Auw_rU*r3EKPYq|hW(7EMaU?{!qI^d|eRrex)!~d6*F{&LyxYAvM=xb$g&?ea z$14-Yy+}%rxkZNLD^6A5y#ytSR*PMNLY};lCTSqK1WjbY_4bO+XFMKfk{Ec%yWrI( zp|44H(NW%3RR1|~@#8Ve4$S7HwGej1I?3{_zBbU?>{H@fHA%1bBhaVzvhx<3bC+GO zZSJHsoNe_+O|N!Cfj*Kw_H`zl!9IsfrD`{r+=)WOp=j2;UJ(NO;rDKf1->f&R2TNN zw=q&CCzDWS1XKAurD%X5l1Bho&oE4E(4*i!=cbEm2D8DT^2h2!la7-3tF&DVZ5(p6 zwW51o@Ky@gbbcXS0aJ-^@3Kt9cH`dQdgNjLC5X#wIcy%uEg)zttW4@5-55Zwb}|Yr zAAA1XBY_z8UY#E&<;pzxySPVS!yy`g*e_mes2q}~t3FTBq$OI#gzKF;Ub>uDWn#o^+Nc;TQH~3CB8AC5Y zL=-x^h$9+yJJe5eq}uv+yHRV|%^%Xz={OD>_uSwenTJ6PZ&rkg+gpC71&bk9#zoKd z4|#5%DV!5Oqd@Xq-1)Ana0#N#5!O35It(qD`k}j4zv-ZLclae~;X{W?+_wrDv9I7{ zXB|phZQu8wfkzj6 zLSD4VlSmE$G6#gc=Ust9N<{Cd##l}8smgoeV_A%5FvCq;dS#l0Id=QURlD89I|mAd z4yot*j)E3A{8q*hni6Slrnr}&4vb`33JdE7nX?F&lcx3OK~jcj9?Fa2IsK1sHseh_4VGbSNoQBd;uadk4NQqT)|c> zb_>|56&M=b>*%AzA5MhK@jDjKIVJq0;|jXDhO^wC@Kk-`0}k@aFeph1dg>jeE$urkN`-p&pJC0v3k z#P~19${=frhEpHWWGHwXI0m$;-TE0?{lAHB606V{s{L%oJ&lzZo5JehG5# z0K~5A7}V_~QZ{SDt};&JGWd@=O;qWC zM#eSFW@JL?M5J*>53~ZUeTbhf>w@g2j1-g5fk-iJj6B={FU@GobK;^f!Q_+Xb7(zE z$Fw8r?l~d*SXO(=K6_Git}sv}A6ucLIlDavs(n&%B4Z{Q4(x>eC~j3wh$*Cg*xj1$ zxhK7tM{Sua6BKQ$)chd&4y3nGa(&m5r^H^aj$d{I8GdtCn&h^1-JMyR?&w%hAN4N*IV?mt=6={s`@ zcA0ErsJg+ekr7j7%}nXqG7W`j8@{PY4PY9EiUWMrnuGtR2nE+iX%WwkWqw%G%j+WA ze40|6dVZAJ^NzmAlN1|ZS{&j>cO(izbXzcLdkvZAb5CO*aBp?z+Ku>GFw!u?H5_JNOtm&x{L zKt@_)Nhvs3fh@?*VAXJ!w8>yy2}NZW&ML_b#a76{$6J=>I03+cKSB#aHOsoW^H6_h z>=xF;y{fH6$0W2~f0C*M18XPmA)R35Vl3Y<-WyZ~fcF?L9#(~PqH;*!*ezy`Gqx1c zz-cyVf*toqjq{PAO3!L>`^)(%1`k#7y_3K5`VRt!>{o@>KWqK*cC`}Ja57W^I+iqb z3{aK28PWCD;csSLt(5~cJ+k1IZ{J$_@jg78oH%|B42S43jOR_AtxgroR%K))E$spi z;P2Kzz*&LL9G(~x_@P~te9vhAMkmmIO$birk`tEhOdy1fgr+B_dZZNhcwG6IiwrZb zVq^WJu<4!`gaCvWF-PIPJg6PfYzXc|$=?6QY*dm>MuQ{bg(EKnZWsgfviT zt#;?h@iVBi(^t~@*IZ=^bvYVbq!v0Fl+m%_Ex14{uOfysnkDj;v(PYFxAeeI)BE)d z+9ZX;!1A)biO783uv5vEKpDwagxJR0wY0d4%nIg?)c`EZhwZkyIt?E?k2`bT%Y!p1 zWPo{cx8@E&0$^)BxN;77WL_0&X~rNWk?V*|9WIt~(Ik7p4%)3g1OgO|kv6Y$K^(p2 zG>Y^OkcE{w?mWErZj8UbB|W zluZ9D;Ob`I#=J2qX&)n(Sq&GB(%hI8Tb&yh@P29OA@)w?d}bU@Dm^m8F@!-$vHh|M z76Oe8&FFbP|Kn5Wmo@UOZI(Tb6oBS=Gx4}Qlz9ea#5G^Hex$fmL(9DV@YC`};aqU& zh#fXc`b5WD2pb*7H{+MXb4!sSmS+1J^-re?>vz&?)fIi3RRQzC>8o~St zdsl3oze@clFmc}Q$_RWjY$T8G-N`~|RqbMWsdLzt6j&59PSCu}x>{J|U6PF)YjTQ= zN7m~4hUf)lFh7#T=KY{w#d}E)+GLQlt~_GU9RAoccC1>O2UTn_%?>5#_!v?23YfZc z5q8q@B4f7;HGEArFeVhm_#DdK$%-~>_L@9%zQ@&{L$cr*p{M4j;G`PVHA5N7RiIt4G;tAbjNwfq{A6)F#{NX21>0C;v2DGjew$2$)8D|MmqUgpO}gBudqfL#DP_ z3wFUkh#9RRg-m9rkz&FQ4UGD5mJ~r^8f%;o{rDa*Kcv5>R}*qn3Yyxze~Z6eIg|qp zHO*O_q)}JC2$pL)dr@b7@x6vZwlK$LHf$Nr!`EF8h_LdcYec;4^mv@%|RhC1#srWeBC8Sk`4FhCb{Ka3}Bi$^ugJcmg;2UV^d ze5xauH9p){Q0t^A@A8ayG*34@Y{<@PYHm>_q%>yCU0EEmX0|K9qPdY2wtpOFx10=Y z0DY(h;7u+F|DgGWKJZL9B1DVQJI%$neyAo!6MPqc3`=ZSQPoQsF*s5z53Q*Po};o4 zabA?$26F&&>F{k}afv2^qT&t_Ak*csHKZIOoTxPiDSUD%7%)rkqHRWmk2(oIK z{iEL!cUnIsetY$_zX&}Lag{w$b0=g!a}i%^vw#@DB!t*5VpYhs^L72lbKBeRIumB! zz^MRJux=PL>tifzvNv0@@bVlj&ukF&zDvA^D@Xf&{Xn1{|AN0P=+!hR_@dzng(@P0 zp0tuWR9WYimJOm~XyaJ$-o{GdVzp=W2sf z!g>;t48353AC=(u1}~tai;a)->i4uSSm{PWLgB8#t<*AYMiBibsA~^)ORYniys?@H zFzU(#Sm=4IWilgeGA8KXjyM#XrZNuY^p18OvwTU)O`sYrt7Wq2LOvpsfvw4>#5BYg zEz+a?SOzS^i=Tjx*+X3ocAjHdO1!_7KN=Q=%^Y#|EN0~In2+UWAZskaa0N%=9hUn-*Q zCJno6Csnhf(W@e?xb!?U!Y+b@szsGMGKu$pFcXw{+Nwx}5M$gwc)0?M3cgVrICk{8 z_D%JfZ$}AfMR=!ky7B};wZ)l3#l1EirK8o?-YR@c0|18(PpwsF-YbLQWKA!P zhe`4i97(lJ$!{*qVSG*jlV($bWGYO^bT4JEY`e%^-CvMfbU&S=(>fL;LvE3^PlPW@|OgU1kgjl=D z3AJVr(G~9PFnRQ>TfxH*b-5g&^m?7C<4!}UgIMHjM@LjJJd_iId5h0#3PU*h31DuQ ztb=|k{CHNVIFEX6%0&WE88d9By;D(C<4RN6roAz#Lkzq19r$U@fR!s}XzAdFe6?~W z?TD_zF(n%nda{SDZq+9el<+p@r4xl}uMx*OrPv1t z;d|Y4=cc*OnmmvFQ;NEnT zkdGWfH;A^W`E7y<6`v8JAh{5VL`@H=D=HR#V&CA!-mmj@JfYBT_|ep{IJUmiQhEsz zF%w!C^BP|$66k-Gn?1u+@Nqazj4}BVL=d$&Kk{4yA7h(*5-$ht1GmW#R1Vae`FIJ+ zfzbEr_-mY9g6j1l#+M*M2rnaXx83CWM0?ELM#&EF5-mXl4wdyGqkw3JR{Xei;?s%4 zhFm^bkIbe{4PpC`pvO2ZMLS8UIbG1tqv261F1SQ%p!HS4VihElyPzhQ+i)Dk%qy3Z zg7vlXBv<5$8t1w4;n^1mBWZ_oIF*};7L?#nsFs}tp&%NgLLT>=pO>dD(3$O6h$S0{ zKD+Z?^qC%nU%+@Sl%Z55Gh=&attSOaq7P$Z8gk~y#}Y^d3sPZ9BRLJFCEXOZ)~)Du zN!5f~E^BtUwU@LbDY{rn%jI3UgY{)&{#B_v&E4qI=|!~A zdIJL!z2SU*&vr;w_vG^dAFmPXba|{JRMM8U#8$09olZ72 z@xg{vI7_8$!O|^ z`OLFeQ1p($9rI%`lqU0%4%u!FDHiqxOs|R4q;0}Q1u(S@XAd@T0-EcuBAp%z?0+d>bQfZ57+ybB-7+lL9qw6-=B z3$w?R4V5DXy)=vYhw(KPPQID%bpwnYH^f5ed-^h2IwEy+6Dh;gIx+KhvdQ#1qty>8! zT-$UyLQAtA>PJ;!<5j#$_ZcfMa(#id86dnXNiLuO1^n|8G!syc)bgq~xUk(Ix(lrw z+sL(Za71ZJbkoH*o7opnP>E;BjT&AXDDO!^cT3VjlDW%RP0vY+2w=u+W%b zytbTB)MnCRCg%v$I=dgOq(v;jpxNd26EcT^GisGCXaFBx8kJG-+xve_6(D$`N zh(0A*H&4NDiK^WIrHJ#|6;x-ooG`zHlU*fQA%d0I*H@XPX;s!8uyYCeesN}RpF2F$ z^!6g%z2q6s>+Ub=M}A!Ux5Cu=leE~`cWVlst2bdz9h6~ri()ZP@bZj-&FHA#h~-{Z7FK(S@y zPXlud4;{o$-l>Iq1?xryd&jAK=Hi-|^pJkuB6P7LZ{A{D7tI-cg^UT*&;C|Zr0A2l@nZ4C5`6~V z#fubMyLh8v4P+;Br5o}VQR)*p%-U&XS@7d)bpfi4Czmd54NfkZ7ez{p`yrM@=uC|v z{2;aN-X@jsCyLKc(dTspBqr~)g1(!hAXi#fr@FU2Htr1>p|2+`CgBmXS5w0@k9lsf zfY#k~1KfFT-#>D{1odKKd~;ml3{-9G!mO|vC6D>4mFvt&B0NRuvsg!w`_r?pr;+sG z;hdJ}jjtI-qk&=F%=#YUBNRVs-H~O7cWZS5^Lln~CZf0VMM}D z#~QH<6{K_Yl#3tr!Y0ZAcGC6!Vto}v9jY(ZRv>cA24F@hIQ@0&zK1UQ*o-8GTF5Ih zN}k}>Wp1pE1~lY@i$6zL6xV(gF8^u&(>Z}g5VmAyGG#%vw>_wPkqTFKIWXDQ5cWiR z8?&F0$d*=~sXgkPVerST??}CPw!Yn(zWQz-F}3wQsSh{bt4B9@nh3}H2@Aq+a2|=e z&FByCEut@r-9>n(i&pZn?|NX@RINWfNFTaTw~_X^1!G}$jNN5)8iBk{O2cUFRsE3* z>&kB{JT?abQ)ZEAiu8Km9yVr)D!aRA0<*`w)65~TTc4b#BLYf>WENoTLD*1W;A{gV z4~a;?oq*Zn&~0@J4S)lU=Ha2M=Ci&!p_pyoOm{OMS?AJU)~gig4GPBG_&Okx$EP&a zF<-dy)MfM%l=~IyYYb@vWw@@!WHbb zzylKLobK(O4C~V#P1fN8rh$6OFtQgxh8~~X7Gg0gm7y+vgjnd9 zYqwWuv7ZBH+$MY>&^X#l?}y{#st$2R#=RwdGBZ3Ab&XzU5w*cXJ2?DDn?B$6v}95t zx*j1jk>s4`gxq7r>~<`u1oJP$```D|FD)WlB zdAWV-{WW_L?@2||N_Vv9)uAS7bLOl#yHqcva_Of;zZUl*vzgBW`nT$q`lS38mzN5R zKW@S2DaIc0I+4bQt}#0_(0e_}E2|NeXv`NXiI}(HFqA6uYCCd}#dh$d{L#5Vp=R&S zGVExv00uJ>X`GS?G+PUY82UNKYTZG=$0jd97M7y8DW0|P)WT`2qv%zc9Gn{ zENfwF!`S&0^2v9Fwda;jhOHJ9lNf*`sJ%mt;;Y(eu3-L!7Yt$_DOF&h?soS+W2|&0 z!KVM=gbq2%J;$CDhC#0xA7|m%RVFjD0|~8pm4=Gt3)S+S^n4Fps$Lg#Cz*3QBj@jh zJEC*3ULmA~XMt*SJ3A7cz-&A%G{ZN1J>*I+M-i}4Qlb~-VN9cDV@8ZXa_#<1M+vacW{RHA9*dcGiWn29K+B3 zLr<1=TP{HvRgL+g8rz7$i0MsJeGBLE)FSl&@epeLmNx+Dz+r3PuJ#l_@1Gsd1?&pj z?VPOc&WxL(wySyrR4|cp2J;np4zQx3*bgZN0UD9d%YMzX67ZPPX-5FN`M0qliFo-+ zGC^BHY+$I@?qoIU6?+yek_vrEl-}Px+BmFz3e`jMAYLrihIXR=FV@}zs_Enl7)1e5 zQLus{O%dId-g^Rq6lp5Gi=i4IKoY7U0t<*B2rL2$L;>l9-mB7;BE1&@DWQoFz(DX# z*mc)+_y6Aa&iOoM4!@au@AR2FckZ3bvFk2v32htU;KmKqHYHxMVJm{^lKVwV%u)_k zl>-h8Ju$Vjs3p-C9};%U?Y%Kgk;aJ!%r80Cl0P)~MAkz=W8GKWwL^(~-NeQLwHKg% z)6J99gwtE`r*`Usc;&LatC|_JPEp=s#(X*gnVyQ{?jg%B4o(iV5wfp!p3P{T{x;|g)V4|DxQeq&#WoOjR<@x2q zj-ouy{jol3P1*M4$aAIn&z9QwyGH&n#TB9zQYN_-$hmHQqf$Pv!d+`61fB%WYiqXl z@-!Z5cAA$O6S$hXEFoBerq{`^BGTub8_BqEfqmPQ1eT+D*NWHf8~we`n`L&qhR1U{ zPO+$dq1S$CQ+Q}V`W;3;f`e8k!_4d}BU+E-cvU$w<8oxaA1TiJc>8E;FCRm#4fSYe ze!o%b%lZ5Pg)VJXH<6K;OJl%-B@cg`(b={uii4I0+^;U6bfTWVTXpMazgga&XSaYr z3<(gaEB(LfBau!l2>0<)Y3&=y*|&BaT81~nSg`{)I)~qwjDD~I<^_Jhs3hDV7iJ_> z){rxv(Zx?HHxbCX%(k|1j7=ZrHH*a7*RC2=IPn|w+p=W0kfiIwKN}^eA0Ufw-T88a z?QJF)7^QhWxwZw*h{s$nrYg|g(hV9g&oWQUWl_2U^nh`OP#f_UpQq_Wt)QrGanka` zm7|m^DgDnC=GwOzMD@)|qsyNV>(fzzp{^h3Y3_^N;FzYD6f6>|Aiw94Nu9F}vZ;u1 zbW3Rpxd_IPRwmB7{@mrngJTOAbsj=tFottQR+dj34BD&ySJ`W0aZ`m}rMfMo4<-xK zzD1ww@_2LlB#S5gq-ZJ1pr&sa8%wH!bWNM$rmt7LA8hX;3KNfDz z36JMIad2iSVI~K}(+dI-DwSGHda-2|Wpx7$gQ z?6>azW&btG^^Y1Kf8Y|+1nDz(L|P-NvL>7DGP%)`*uRmGBYAlxLB;NCwi0OP=uoCR zJA(pl{n};t!cBv|Zq6CsiRid~65?iadM)E++0D~D6f+a>-#FoHEE99+z_YLquL6`W ztRHt9ym7~JBGN#sBY@~_M|P&3#a1J$v8S0&^@o~ND@U}w0~g@Y_ym)s>KyzVlS;{X zJX)6bc|n$ANs!c9@%n7(GU-(>DcV5*DA7EzIm=$$Bb}?=FoJ%OdFXJ&S7t$wYXwh5 zs^`LZNdCw|#O@6INKLMdRiDX_-tjycwcy`OSshlQpDqUe>Nfqx2^Rq)hKxl-ZdKH3 z;M#TXs9knYzo6OXJyLd%yN1UickZ5bNv#TNul#^&v}HL4%9Zxmr)5=N)MM&F(XDy+ zd-uBF!fT^*?8oewnA9wbXY-Fo9XJ98m6vnMjL3jsYo9&FGC8du?#A}p3QL$?%*INz z&EbMd?JSnkwktqg&mZH4$$Z-kXNN`mN1NO)Ub1|8gz6QT=BOg6Wd^|`rwr5wfIGo$ zhwAcs?V=8?3JV+tLZn2V6{+Jef3@Me_o3DFgsq!9PC5(3M(e`tFIgDNIx_AVfozHR zvTrwNhSge#>)&W6T2ZwZ7|GsjTwpswHXi652z<63eL>oq43#QE9QgQ_^=L1tGpLs* zHA~o0T*CLNUK{8@{vbk5g1ppHJyi96I$G8tOGMLvvAe7}Far(okM4dxU^+;*o%4>5 z@QtbGf&L)LV$ghRO>WpAu0=H6|+tgl@b0LOO)Xy)vke*fGgdy_{9z*3A6IIbQ|H5`4e zz9Bey)Ymc{H@s)86Vsa*c0RNK~ah|ZO!8C;tL#J6!V4Xj_4=m=8EuwPL1pyyj%Om#pr9CmUl+U)*uVa;M7@=A$Rwf zfqq)*`?f9?Py4U8_cHYQjGLiHa-0(nU{kTuRvtOIU#q+FpIew4_HIh!1r`~^B!@U&mG;`R zwo&X2xCc=Dfyg`#m}|W#q?39Ft20#8ARXSf)h}K;fjs&P{ zBWa)uztAhx(ZVEpj_N$rbp~|cv#mZ;)2ghoO?8Zfs9Hb7&diosfI0n;>DK}!Y3yTy zFeII5o)tD=;_S>4{PFmNfpo2Aqxbo{8`DoDO}QTiRk{mXgB?V-UifUzNH5)Kv!RiE4Gi}y)t z3wyDN&3|7~=To?Li&P6I>94u{`e5y%C7RyE=SDb8obLR{WEQBx@Lj(O$m_m5VK3yb zHorRN$$dT{eaMGh&dtC_D!*gW_iauOhrT!>1I>?i#iU?b(Yx^u@lOW(o{zBj6?B6R zS>Yq|ZhUzUch5c$#CX==Rqc@&juO%G6npkx1SBUMo-!s^J{q`RJdn^6KZ^Vz<@@_ozefh~@fuH6 zs0y=4L#BCh*{BZ@%$>_II_?^sh?`8uo)Sv@;EB+)0ResM($1mY@oy&2tdqtOI(AMs zIy_hpQaB7AIl0Y&2$RfHpHcL-i^^J zI)LLi4+H|zfy}pSeb~%nSD1tWJ~ypj6LYXb@HRgVKhRavQ2%(29`)1%N@I~_k&g>g zHrcH5`20ZZ7O7oZH8Wz9N3F09w~5z?1foQ+-|xkAf6iXO7tI73mAG{je%6I#e}q|E zRhN#Qr&Bq7dJB)sL}`e_D*A19UM3v=Z0?QOG;}Lpab`L43&>mjB`Kqa4F?ZFY?Q2L z-^}e@b6``t?KslrTXmkpYgwo!*ZMJaT>L%J3oX7|cxcw#+(+XjcPptn&nTV~k!Xr- zE9_8=g*Qw0frKMwSNo-<6Cq+g#XE~a69HI4kOtw|bW{jRaFhL)TElg(fg3h+6P$?+ zc4eU}uduhV8OYMJM*B1IY&DGeKxdPP&TkRa;o&^Mv}^9|%%L3;TJ}EWMTlo}eQ=RqS_B&Ix=`QpIm!m(5s*lyGprlAEPqhU ztVY0Sv5Y8+iC03>GiH)K-iHV+zs_;#>J~G#lnK6CVFfgm7FP1(&Q_$ZI6DLFvN2Lu zUxCPZGq-84+v8HkSOZeO4L{GhQH8r4345=YMHU;&QbL@cA2~_$jVj*-qbRbxRk8f4 zvXYik5mX%8y~3UgVY{j*`GUimM4gPjp7&%^9cLGohsQ9BKHsQv49|VA@TW>VOdq*O z*5=4G=S_;Z^?9EIRe!lKrk>XKkbr37e{k*r<{b_gaW=N_x1)d(SUSmvoZ`Ot>4V|33v$5NrVceRuJj>831 z>>Jf%`bsN_HN$Get_hJpN(XPpBviF5EWZ}eIslvZKx#<6Ms1_f0{ABz33o3hlzq+8 z0v0pm+es+B0%+ra?yJ|0NmPjj0%-nb?<|iX(KqzSB(es9%`Ml2Epj5%M=#lSyMVCF zqJLyN69~JR6D+G>WxLM|bV!xBZkn0yS;kXmWqAt&ko<7P5REqtmDgwN>qwGn#*V() zp_d@j*Gy%Z1^CF4qRUh~gtlIF&*m>9e|xCFlSxQWe@$e)(i5)0OvUM&`l&7c!brQ* zPUH?-^E%rWXZh>E!<=8!V65xMX>i;kA$GgqlBt>=ib_Qb*hO~J#ojV=mnTQr&XZEARx(0&A5t;ZYKi?K;GHcKuLj|!oEuLCuH+}X4RKk}_LA?-4|D~YrCsestaQ>R zHWG$n6&)qy{Oj0G+n`OW)|iLq!o?^$3fz4g8vGeiesi#iV=PSe%@ey!hs52qZt}+D={I zFn1+sUVql#Eb0-EFd)578u3qTDhj9l9V}k){K*+;-uRB^EW39vaA+KtxmIhiSHvFJ z-J|Z%uOJ(&OsXdqCphJ*m9^&@B`#9b8q`p+X7@@^1JjkK-6CocYq~YLL@zJRFm#{S z6QNXGBbNyz2cME5LLi6osqDcB@2GSP#@8$LQ28la}D63_(_wF_nJQ8xxRi|eS| zmicxwr-6V_)$Mf*<5KvllKXb=e7l3cJ>&v*xT<#1!i>YvDKhI%QP=l|k1 z!(MQ7YQ3Ol#Cv71~Dv( zbBt*4ddw($TJRv38hukuCD|gEuzE{WcLDaCb>bw?X@;ggIQ?E|@V0LAV%A8eu`6md?TJv#( z)5|5kmWSNWmfH5=GC$!rz8J|7^(un;If|0iNMCsTbPlZ~n!0w6KwakU6i>ur6MrQ82dItI;%k_CNdCZQN zE;AU!l)wJX{}nxwK;$KS<*RD4U#|RoX?(mn+LnOmBkdK~#KSpC&Y7)+89cx?GSNZpu)z3`8T**meskvB z`eA0U7oE%_xjz|8HAqB~3th{T>DOF%CLm1Hg>S*B^Irz#&{*Q~~0HVq38 zOB|7P-@VPaopv%+73%ti)J|mkMrE)-tiJW}i)oV_)UG(X&52XH(M!x?DxhC~fc0s& zyr9YvncZ8)bQ^&QGTLjP;CHMK?eN+|&%PtWNLevNmu2SC;T5)FA07$1bc-GbqRY79 z>WPXP91$k57uQE`Fn$*6ywKto7I#ZEEA@#owg?s;6)?pi^1icYc!8QwwSN9(24)eQue)Y>@8}>>Z_k$q z7g#(!I_fM}^=<^aokLhUeHk)_HF&#JyL z3ah1D97vGD$xVeTMy-IGe?33OlQKJLWOHM-vZ2mUQJ8eZ(XEV1LxD#psiq_Msn8eK z#HKP=v!t8>pnMNe%Xk)IGDJ}!y}6|}|CR0jgbM4y`PeH=;{xUc4qf`sOBpFe3p`r2 zYcC?O^JBrc`Fq~4m=2gMe6?J8U&zq|YnzwLq6mNNP-!BJmnsYH3#7B51S{(YYBEOq z_i~H2J^)52h?q1U9H+H{Gh$q0<(H%EMKAa<*c%PMl&@efN1v@En!KJQswbG6)!=+5 z`P+b5_1NgZBTNzf>?z~I zfH9QKV9ZX?HhN#y8Pd7+$_ibzo4QnbWk8~?>}^ts4<2J$x_B0!nMS4Zc)@J%*TPxa zCp&NFYVyfC?@1*_i*Fbv1AZ&^66kk&MSKty>@+lUg0<%h4-hXrfs|;kM}x~6raq2c zRK*-g89U;*_vu*fT3mm@qSy9f=zV7wOBC^LBl@M52qy+Ci=)c}QFXswwdcQipdwKBVB}N){1Lw}QMi-Ky!&k{P zU^N#wwD+j93P?1-rIra$GCJS9dbR;$xmb#^eD9_Q)NSj>KlGo?zr5xccbCgg3_T4W9_=DLvW~A z{fw?zWe~-T4%!RN^;P$GM0fwmQ}_|qjo zrxjC<@;Y8=mM!vK_Py-!Zmp8t!Np}oZKwCPoHxa;pg{$o9GK3imxReS7I3Zw#cNA-ND(9G)YW0ghR4UWx% z+UA{@2(r&2irA65XeZoMB+7Bl^n=jbqK=Fh6StBr-;ZS(dm|mt%~zmxnN)7IzO!>I zDX(+8sw8`_%h9^Qw@i)gx7=5PkUZ2Sm}xkD;w3}nFj{ZS%YS_B54PQ`MnP;9SMN%xuMl? zMYX%rN1%%qy7>WNt)6soJQq6zxI2WkxxH1k^E#3@WquVe^){a}R%M|2%HJ?%;_0o@ zc4bFXggqJCK`*d)^-g2s!qAoGymqGpDNoes=bE3+osx;n-dM?q=*}hzO%#vvJp+}e z-j#O8hB6dP9;orTXlZL}*6S!?zT7DrJ@Jhyc!%26^|>ico_wMPq1uR>-n>ch#o^;m z++8@t*3(SI*kAo_PdYTPyqBH1?fLAk@kplN?B@!7aJv(h$wE`q?0V8G>2AZ3SMA80NRjv<%NC95AUhywHDb!Qs3N z+ilhoNmFT{44>k6c-FwZPkMPs?tGD%?}m)(m|;63gm_;|78Vg9?5&a7fGq z?;ut+t)r~0zdgtEV~yz0?lC8o~y?Wq1HCgE0xDM z)?SX|)LoVvX!2A@^I_PgK!^!OApfB0;qZe7$NNe8dID~mze|OBM#vtayxON4eP^em zU$pEie?1|l{}{Vpa~JLje_Z4k+Kj%YifnpC>z8j-;?^$UN^rHAuo)W4>Mr&Wetb9U zIVgY{oNQit;WS@ZJ0325N*VWfLwndlmyHf)OR62uuPNfl8j{4HquxmHS3%D;FvWtW z?4tZsXoYWT_u z#;kY0UVEpR-!}O)1(EkcT9{8jvmEM8w6T~=5w4401rcmnbEOY=uLH=U_KYDxe2R8JMnRq3F;;HueXF3 zjc^QHqt2XV+_{bTI=9nn(d&xaz=|z0bZ!#nHnw5I{TWv zvb;^0n0mMOB5WsM*=Nj0J?PT0v)7z_Wa<}P;DImwY4}`-?{g%yODFa`wZSG_(c3xV z_>D^HdS}j<&BW^jm%Sk){o$Qa3s^|J=jGOGoKBn`_f8siCGqk!=!z^6xXBki$Hk`<5se~a~onMifi~4I~_hyyP{1# z1az}Lbpsig3DG-~9CPU1a=m!TFZ&Cp}xZ&4?XAjT_AJF}~gM*8&h zynnEXbw|%x7_AG6xMDPzm`aUkVPF-26Y#*m1P*U4>mKZ?Ys#E3S}2C0_4PJ$+AsS= z`h;)sw~-Z_I$u{-ge}u}2Zmf-4C>oWjPj#u7w;Dxk&8)8iBx;T*U6le1VtWSx38Vp1OaEUTI;3B4B-W1}uaT}r*weQb~ZDXGUtSs6JNq566) z>pFN)DH{L6xw$p7S;PbG%eO|KL7M3OjGWK?&>&g{ZlZa3I~L+Fzvw!Lr(ck%R~wkh zBHyV@{ip|%ekOIfqkDFHv(I(xb|3Uwk7^*Zo$Nty8^x2rT~x{c%9GF1Ia2f@+QO7% ztKF1TI%Vxu0fZ+5?Q&4P3N3AQDZbVaf14vS+ZV}d^JU~4rSz^7ia{4lji9b`6?<0) z3wd*UiJat1Hk4T%tg;^3FIR6tJ70}RLLc?IRl1z``o_a&Y_Q2Zz;UU#_w%}snJwER zW^w#?NclY?zYxNbS=P*+2$iiR;Rbe{UWH&BJMv4;2~JXk6x{O@I;+5mP+Gi=P;W5H zdghE#w=1c`djY1Bt`;#H6w{5AW-{R^56FFbec7kjh6pEVI<_<)2=E_0xNZgTyU419 z^K4LA$YafvCnl$GizS+Iadow<-BXZI*Q=9ES}MwI4e2J1{BTBBQL~sn{$fWq@plYI z%FdHHsmNc-Obgi1ze(7QqCP87l+p6^VC+f{6~fPslkY7`dXD{C9D1p_Zfn^WdiJee zFnXCEUn?fJl97RKrX28BW;-i814tt5bP}3Bacj;H zW0@kkb^1hE=XwQDaT`Yeeq#N{$$j!~7* zrc+==ULY~{zL%ao8;2h4e|CELS~{t(U)n>m{hh}#8CW9Zm+c9YiW2M4S3}aWfrJ-} zSNmkcLf=I0P>)!~vBTpjOZw#)I1!nS)-Zozm&;btm&Xo=(?JRsPNGTIcQL*CLrk!f%(5tqI1J zOsLwd+?QTb9d!2L-RBQ6$D?`ToiO(XK-PsUct4WXg@5hl%D z;U{OARWNc0JgR9r)UxpIacOsOSL!>km)&K}bx!U#``q!WxlE~*_vQT(@Q~n3m(D6h zs@*JoK03glKqH_;NPr7mO*)#h@F`~ys>Tt1G`!cm(2Y<0R-3k=Bo1}_#G0UVAl)4| z+d;F1NUg!W8OB`CZ&ZkaG?{bVld|IbW`!{>MCR;|mbV?HBvapNg&!X~Jv~`a;o~NL z)kwS|hZuu%fM<4*Cfj_H9rZunlY58}u;2TX+%FxYUYX*BwL!ZD9w;knnygv?gV~X+ z)7se7nKPs@+IcuZA2%S9L3g_ggLCsZ2JrvgM-ZAvLi}MUX0#gHz&gb~1PW z3hQaXGRhJ*4BpM-O20S$F_xfiW6a2NA$8+^VMSCvf0d}()^^$=`9L+jaK(+v;rWYW zOa2K7uEF*VgN~C(%UN$!50i@L5+~f<#>eAryyB_Q|?(Kk$9&VUw zPgO4)FfGUZ3om=B^85yb=13mGr@b)w4}<|X=R5P&NSR}p$Pg;)l!J$9e0Y*LrV2Bx zO8el8TkwoEJ#a)nTWuXkZHO&mmguX17$}u>{oanBn+oZ!!Osz2YH?qwJ9bKjXO-kX za1NRz`;~DMNONuzisapRW`ZB}*?gLuxm)Q-EDvhC-bKpq%_BK!Ee&IgM>!uIf^3jI zi_pi?%z74_b4IBqR*ak)D{A1*U(Z|>Eq$ueDEt%_PL=9E8OJ@5_}HYYk%&Gxd8k-B zCaBd_7wr(reA?s@SDAJKF(e&*#mjwsPNFWm0W>H)^@2&XT1tI=Q_pOrcCI_o_FnJk z@bLN6fy1Bs^yh1*1w>L{CH^K3$EV~uB5W{rqzT)8OyIlcZzpIwQUE)E;h&xUq`M{J z!O&O3lS7*8%@fJbIx|%f^eC!?+a6;~>LFs8Pey;bL){cGVjBPs37IITq<;3qZq_Rr zO60{*Fgfp7Ih}3@HV=vl=wj=}+!WxewBxP}!(GZE-*~%lUK2aKB35;d{Y&fDoX%{F z$m{8Q7led6HVKEw4SPKAg@qkjP}QHh8YtGM{NJbubjm(XVkJ7y?LBaJg__ZEJfzNVuWB#4k=3&mr#Z20s-l_wfLJkVWpE28xA+=dk6ZZuuK z8SW0Lt6$noc`=WLMM_w8XW#|Kfdmw-r4o$94l#8kSG}F3EQHp?)0rkcH(r+oT}-Px zf_wgQX-+05gphn|^(FSad4fg1JP*yrKpT9SW3)Us+rmQH%|?p9Py>44QZ^@kvDY zxNt(rq=q&JcNEbmK@!be%G+-7{NtM0P6eV*<*Di9gZJT|K7r>s^Q0kSymF8a(_EV1 z@v>(I#{i8ApffZErH1x7>CGk&vz4H_Y;OmIg!g0U#z=fKbpAXa5{MG7tczcN^?t-Q z&UAHvF)IPec%&a@9mB-_7g8IfZ4f{*#S}&J2;1A&WIQ?myuManpru#k*o;TO2 zW2LpmO;hI-Y8OlH>Ko`SN}1h%W23WGc9_;3I)%OXcK3OTBOl$4v2(Q^cL&CE!K1vI z=hFLF3H|nVXOes_tyykgcrFU%;C9=G%V8Mi7I|TdZ+%bLi|=D)-b;U|(t~Y!jz#VM zu%Lby*0u+Z&o-SM_zej2ufWUO<;Ta2JQ&z-c;}IFyPuCGpGx1u*Lu<7bfo11eOlgp zj@Z@XtzC2JDlncL4q;XPjUA%i0x3YLkS#h2PtLIADR5LQUw87eE4@}xu$J0;z18>#{iZ8PwO@oA@GSdLy({?}=T0LTD$w4guOHi_Kvy zel~2B=j7YwTzPu$7iSqOqbbr{S+nNqkLQB&xg8<=I!hu?Kev)}?#B`nGSCF!Kyxovv1O3gHoIs=`ZT zpccLKR-4NdpWn@~$ofX5BT|#!yXfL?nR={@Ht!qN+U>sQ+^L0W7lN+k;DC;i#N)Kt zLTOwdLq_*`g4HeK33lwI_w}uk?!uFo^XuNM(ibeUVZftiE^#-`xxlDsN*j1NzT)~+ zBs+H=)9+;wm65@S*21q$j=kUwz-OYx^-q<~m^vH_ZQXz)rxTL&!Uv_kQEjnz26iWT z@xwh|P0!qNc+-;Zgm$8yyk#iw3|^daM|8}em*X`sGePk6(^VLp-Go1%Vi`aVU4_la zTyF-Cn9?sIMV+~(Vh^GY<7|rdEN^dE?7ZeuZ{~x#`mI2c?%uT?upJiG)IOcEY}#yK zU*D0ZXL%97S;l6mgl^k>be>72341MNtD>1%GE)0;I#?gURk>t|HIZdV?`;e&X{rR< z#B|7_3%?gRDE9m1HqNKQHaU0zjb0Obwscx2K>RlH9{ zhi_#soG>JmoKS?9c}a$Mkjl(9T`!LM$>cDk?Fm(+>Al)8SqLms7{2HVmQywUxn1*F zw5;2xV1{E34z<~3`HAQd&w$@8G_-!=ma|j=gr8ybZi@NF+DINh0yu~ieaUXwzoOIx z=y0;(`$Br4?wZ@nwf^AxIBBPkBibYWTsNRIC+;i zc#;np$eD8{Oh_vF^=QN1#)Jf_>+21FtWk(`6k-UGd=1y55af78U!~7q zOVkU5{Y+x`^B>rD)5EK>!*e)vD%{myjs?Z?26LzQr#0~NU0@d8y+B)JNEnwys@d-{ zRr*w-OV$$H+Z*GIoOV4JFc70f}9yICO<5A zcvU`y_F;p}$4sn#)1%RkBatsn&03>}upvIx{vTYIeasSL^DEsIIN{Q7H`a)qNtL0) zwHzx-i2m`Xdm`7MqO!R;WFGc3S?hjPd%n}H=0_5oTUtu$s2DsiL4LhwGv-I$s4Du( z=e1W!d|vjxK=z1W3ZxqS(stPm^9eRPXV%LnKDyi?H;_t^W~(GWd%MQnezAIkPH*AJ zHL^l6l%)%)7?(fdI~}m@qd#HXUgae8+1SFMCf|bS2E>C;*`|8lm;rkfR()SQmsCcBzd>pQaEHs-s zvis1QNYya9NrTP_!_Qd@V=9*(CzNOBx6?J-2QUQpp6?M}tZ1SuVl(y*Ab3nnpMELj zcYowl-ml@g-~!eHn#}Tz>P@jrtr=-fm9T98CKuj(`EYbJkvn>tL z^a9i9;II0bGf;@HIT4R~kg-m@1E>`oH>Mg&2*_c$k{XrGtmyZCk$izrJGkt_7s_;C znGZ#%Y7lh_mo$I>q2}d=LpBRP=0?-I;VIh&9(`;Gg04a8_{3Cwaw5yxx@qo! zz#7s*waLx=LNMItg*HNLu5XZF%Kp|^g~ranmZ*{n!`v4@+XSs)PF_^2>?>~DnD(8j zq{BXjB-#jgd}Um{UbuT}iX%C44ZOv9KO& zl)!8bPuE`W1JBm(8loXM^_9`)z$2V&mM;19_yz3LNCw)}%}O7B+$S0Na_@Q$$C`GZ zl46$h-e>UUawcIJIyp^xe>#VJr*oc?Uk!(S+L}OC~Wa`z-Zy3=VBS( zg5nwGr&JJr>QcYvZ&b0>cfU~$yL)`rW$g7Spdm*CA#Gddf)s|=H%ce#YVcvnvXa@s z;S76ZLLEJ9&x)8(8OBq$eG!*IHr1FKqCD~o%lN>(U7`|dSIAy(#OKTzreGG{*O}vS z&XBRbwR!Z*MLcz*0%TFzfqkkk7-CKJe^iw&`YWkG>fFWb@`6xCDgAqd0qa> zx6d&l(t}yrcvJS@ag?q}bHTSgoj|c=I7D{BF;5v1Po7W3{0M#A~sKsmOyZ!~by#sD4d zmBX5!H^&jV5&m_on{A`Q?B&*D?L8%+OX_a0Ag7#AwjKCFhFQXL*Wf5{%`~9!mDUz0Lz&jzF3n*a;u<$O}}4TKt(lQ~5G%9R%vY{_ao zdu@3p=Ib+-<)T^nd2tp^NoOpGD!u71Qf(hx7W$pj_>qXgDB>c z85HD233b^$np#wo`{~o&IUP4{t&*lPru-ZM{;#x)XE2@w z20NlOyVcg?CF-@DlN075#&=P&J##=agrq4%T7ND|4odh&Pv{in zEj7Z86=_3}tSZaGCWadYq93Vi->AyUYj=(#_HH02%zg5}Q7+Ic zo5TvG%L1lVqrIX?ay`4zR+TdH7<19C(aUV{jN3-rQhKF6(*&)Ro$_6SB%pMpQIYPW zuFlKPsRn1?+}-r2@6kfv)f&MrXFxp1pY>Rv1_nz7?y(b|1#+hR_+*3&b)NJ$V@=GRgemy{_LE>s3zkXFRDfM7?kxUXb!H_!XV>1c5vu0w?k6rA^LMXQqsicn=?sQij zaUvTM*bLGJfjp;RXhtXuOIGE{<1qCpIsA?4iaB-zC|7-@X;CoRWllsE^*y)e=s) zE4e2!2Vz5&Eeo>-h3Hj>=}Y3A_ICMgB4?{#`yu*rLEp)}RPrt8AcZJ$-C;S%T^Ui6`~vbF~VDCV?eW3zF_Lc*U{a>j&b zPYciW&xXhOvg8V9QjZ9=%mr*Pt01&(*e<5}FP)}M(?je6y~hJUyBzy%=s(<-3jgK4 zR0n+z<>?GOk7{ascTg%SB`*4h51O+ig(MQb~IRWDa-9WO0im=_!-WpxP(IV$TR?cwMQoT6HCdN?{bA*DTl170h{ zJ!@(3Hziu&66Zb$3J$zK^9%6{@d*hD{pl&!)yhWtj*{}9nBYt9k{t@=EG;15?(WX- zF2av+wG|MOl9Cb-6b6p6`2YkT($fiL>A~lO z9s-R5K6&?@(E_0Te}5DaWpDF$PEJVv{qpj|5RL+r6agWAL4m($12kDmtTb?p4t%r% zN3aMdh>+|)=hfJ0>kF$qCVHJ$H>`!GLa{^$W5A}l7(D=a1fev;rP#Vaf>%quJ*3Vt9? zQj}LrL=gOhz~3NVQiK=yoaPk~6yg;Tk_0~~UNK?t6A=b+fR2P9_yJf6%C{Iu2R{)} z5n&lcK}jh|F=b_CAxUxI*!qUJg0iIeb#ZYqQ87^wWd*4}%lnU#{aNh)@;$si^8Wvh zQQ$}WugUO_Aqo=yJwidGH1N!9Z{w+CiLwR`rG*6f1cmv8M0EwFq(w!fMZl++G{w#I zPmn*fHYG*T)f(XcpV0UL@+TVKSC;=1D*u4}iOzlw2v=PM!U3W{Sy4D|fiZ(X+98}d z6?KF;H7#NGPL%IID)l4y|LxA8_|N|D-k_xXyF2#%ZQlS%`qr*U%7P&%EDYNIU(r9* zct365k+-wG$6shdlrH73K2bQOOoFC%;4esddYK=r%Tm9*U`j)*1 zn4n?;f8+iqz|VB{(F~PH7z~< zN&X)>|10%h=+>alU_YfeqKAn6nJZXF{*L?^Lm2_HbkMMNvPIcJq@@1bO#ejuG3`I` zZaG*wS^v1>3JZ!-_GG}0e9wHJ#=qeHH?<%4U?DLP@%{Z>{QI=|o7#_X|C`!R+w=GC z|C`KD06$aGq0C&+oYp8i1Q_+-N6$ZEf5uU^cd*t$pk2XY^S$4Hi24~?5sgG49Pd~= zSy{V+iS>P0{}lZ**7rfS-_PH1{t@{zhJl?u%KEw`=rB;O?->7x{260^?pit+BS7&e zoE=0&P(oOM68zZ={{r}#f)32u$y(9UnKF-b0ki#AzW+-28S@|9gNV4KxG1lvpp>}a zeh4@g2_fM>LgD?GKSB`@FDCQ{j3_S!OJY9++zsGdKnw^$jHslT;C=`=8}K*aYd{D% zAqf${Cs9HG4?-zQCrs};H5-`L_sPg1f>PxA2Aa9 ze@jwADNs%j?!!olfxjh$De)43?*bv<&P0U(YqcLzaHS+ExKff77%52#449z%q0oLv zp(eFYO-hQAqtrf4DN0EIk0Z_tI5=Lw_3?`HO7KeZO7ViG5fb7R66O^W;T00)1soj! z5|ZEr?4K}z1xz8}2?4t(1~^B+_yHafuzoT^!cro_N`k^St}6=*Dk&*SNJ=OON{A^a zU6&F8{GZ~VgW(@N_H%ct>1gjS#FTO5WQ&CSolZGHP!1$I)-L~y{hr`p4>lVxUMWD} z?|)+M$Nm%b&*J>=9A)=6fPH3S|0v{a>5AMRopP5biveZc{&>Ejl;aN!d&>M&wsb&R z|CxvKe#}2hv=4g68jN_r*Zc|h=jVR_?oZ$E(`o#cdV^$ zcv|n1`w``b=)aM^SH%I?-h6M||C?;OrsjXMhTj==M@NDGI)oxocdY*hQ~4beNm)?@ zzIPb_|NF>G=`#SPsVS|5fT02Rg;G<3pwaeL(h6eN!Kp`3Qb<8jQAkKhSyEV8P(e{j zSn9g)4KUr5_qpYN;{DK8f6!EOLZT?E=y#gel@x`QBq=nNl>gso{($!{no3}UcC)rp zc11Y;pAO3XCX%-QsW5+5P~v}A@Lza;7lzVqefe?8zdqEg zV1JD>XSA!szHGz_CSdJgP3e9lIE4uPQ*2=cleR&)I$EM2md?%&_ON}~g@Bur)t>?n z=kLcUS%JSn|E$yhwF&Z9CVv(FAE(*BlK*{(`YTC#{~WVF*}>`LzoLKWh`*E76cul| zB5VMw0#O381{F(tCr)8O?jI@q0P>$CRP6!h;_4~z|K68>v=xwJ0cn`PU*a(E@s~gi zus(8^T!FakKjd{Hf640D5R_` zD47ESoJqhzl=7h?$SEYcUqlE*Kp7MoMC$5-NU=Zap{pwd04Qy62gD0=3JFR4Q9oe| z3J^t@c?V#Ej)du0qy8WE-Z4m)M%xx`+jjSA+qP}nwr!iMSKGF++O}=1wr#!s_C6Q; zynSQe^WM2XPQ^Xa-jRh%I~&^iP2x0 zg4fCUy9;6i3nd=0ptc^n&W`i}^aYH6FxZ%0D6Rkn1Khl>s~-SpibQuCuS<=hC1GVG z!IQ|%#G}V263JHPGk#!#$nJWkSUFy%RN|YVAFLovjzl3M!|M@OK5A#r5P?Z3fl|

O1*&{7c+D+AHPQ>nLnl<^%xmXwjw{($i@zUBniy1kS_XQ z_QdrkeqBrK3_uv+^_vxSi}+<3`M`Ivhrol3sDAi7uw7j-f#syvO40*wspfca`v=RM#ozw9NUsyDp}Y zeg`uMbu(|h@~+@-S;Fdt6~dFmQ-n>O8RV{|b8d6x=zn!sV~sCCKX^^;Y2YVJjtzN` z5h=`$bhBmS=50Nc+qE03d-n+#Y*8zFN3`sOx5d5%F(B{lR-D@>fYdcqW z5FBC=dD7#3?fPNc*@=UfM;(nJ0Y>KwF*9tmERf%Zb)b^+9UZa?Cm^}8GsQ|VNu;JmpP*l;6b`f2g^0>mSHgq>ROc}R>b zr+6r-wyxYefV{)wxk+{wD(N5hI4ljrXd@FsyZ%lthp~gnVDNICeca~lpVYD&tEwQR z#qxI*GQD%gD=1j*+qxO-(h!Hh{k>XBNmfpw0#00)q9)lYxs9>OhTJ?meP^odEiRyC zSGp|RP)n4ps><|;an~moR<9zM(c|S*jJv1N4Cob}XT$m)4w9*J(r(Bqx-L#Z* z5+-*EK!;-&tNx4^2!d;w;y6Ng#mg^|b8g;0BGWHaDlN_mm_kLuJ~zSs$kb35 z4cyEWoBt##VYnHWeCQnDF&eY@b0oz^@|*6M#iHVjm)pqf%ZhZX%wjTlJY$lpUFTY? z?s|aKoKyZVcS%a*M-CPN0f_xL{PBFn32P7*xcc+mfe;8nsKuUnwg4(fn#>#L;O$)QJq<-PZ9%Dx#*(GB$+jGx} zWsEO&D;F{RNfuo<2f|Je{dsIl01Wh-HJnhLhB~V9v_4p}$+1FcbKI#bC5TKY?x!fY z{EeH2Tgs-3NLoKC7_=aCvZ9n~7o9$i4;-SVS$oMaWMW(ak|-(+rF(hO%t$%9GVXX> zZCQ_Ads_!LDMwgHQlaDz&b>5gKyOf?@9y(uH= zEqrm>fZja4bG}~sWmL};fxJaiAHP~SDIKmf%GcbPe@3&6&**8mOUcx!ov++U3|EH! z33nYb%fexe0Pdo+eV_d4qVsc(-SyOCi3(twLytq9;lwS0ElZ_01ifdBA@l^Ne^&Ci zDl{ZfKtiSV=V{OFM<#TY=PylxmBKjAwVY4Zv=i|U417>Lh&2Xvu%vBrtbXIND2V{& z^%;qyy2qytj-b$MZ{AgcAwT^0P(+N!rGBkQ*t>LhYrJ+%j3#oWv!Jx7GZ$?7R>0Rj zBQhpT*6kdl3cw+$_+yP3*{mdvR|W>Ji5Q{m!lN=RbUBU&2W1{K9$(-x(mSBq9&Ha- zB=n!j2Ys1dDy1{x?13pz_fM4+x0fQ2QJb`Wm-TUB+T~Cr27TC~0J4P$%sq(};h4jb z^3u`!!smEQx|%=EIqAoeo7n2#$`cID#>c{D3)w-9fiaBM^?uVPMi9}9n^w@NDMABs(qb6EB>dFaf?qjgaBtt@l{G2q z#M&-eyYRVUy&R_qgGsi9x?t@jff-leKw&J&bkhBwxQ zL?irMVByCl|p!wE4M*&3Vj~do+?24_KG&pU+PmNdph&z3Z~*A^0l`L&W)+ z7R!w=LeTyCD<7A_WrA=Q_yVE@vhP|wm|h6u`v?OE4mxHMgY+R|bA&9<6G4bWBO%P@ z9}_h5ANW0wxTrW@+mec#2=!*7p_%+6kbVAHgUJ|>GxE}-GeB*;_9CbAVLEOsN(o_z zR2ColX;ec&$xD$=IS}*_AY9J@TX?6RS+7&7f!PcGRjOW-j%7_C zk;Y#jt=}`rl!W-&Hf{PV;2lfVGuf_7Fx|i`KvfF%&fDdnYGwc&*qq+Pj|DJ`AxFr2 zw-Xl$Dfn4jFx3LI*N>=Ny1UOTQf_C+9?qnIZ%?nI_sk^`HZ16-qeJ3X-KQd)SxN)u znrOi+1Sz`Gb!akKLsIuH-G*#C*+S?{-#1yy&zpw1ZQNrIRvO{=Z#kqL&u=SDE~rv%U3G$Sg%AzOt(dNu$J zMBwEDXLNdL4f%{!IV;idp&B;ENNZs8g8g7B203Y5CMq~yT#pFOUYZ{-}wT+{EYn?Ouz;#{V$)h(Iy$!qHXywC}01mte+{-`mHb?M_@kgQc z=6RmLE;Owl2-eRLk}&aFqW~Pj5aXCti!$Nhyv|&)c!|CK8Au`Va|B|GmpT@12J*qP z@;7(+CU{^;LUIp1`Iuc@`Sk!t1-+>4JH?y~ssuE0`+7p1;}~837lD3CI!Wbtr>x}h z8v8-@_!^gD^yi^h$w%vPFaLDNL9OgOTBLfj5Yal>L#*2v1(}L{k)lTX2oN;SwCXuU zyB#bs=uTPIWCmxtJvMV4kj6+)^E4UuL*!ToDpeS*ArvhbzC^>7_)Pk%==J9GGmI|7 zxv~cAZPUAShnQ(gJO`Vw2<)NkRw#wx1|>*g9RcwYPK@o@@Ps^_hS$w1UR=M!&YSs& z-;p#Mt3kC@jK+ssW?tpe%iS>{qF#n&*7>poIHqJ-65#6#qjkB;hzycycfrbKyFen&9OG)k30 z<k`ns>sMigSX7OHy;3qNtEg<$vTCtBr}BvzY?u%rGOA9&O7-&>06Gx+fxc4To@m46 zUP$2;>KK(_cj%;pazb`-?D2$5@Okpv{L5d2>)mSLi-#jc*cT&>rXuTnSNrlV{1YM* zTmA;)KrbWf5s7HOK%(X5hJDUv^2ui^fqsQ|;fpUBld+;R`k7~~@OrZZ!@!_dZh7gR zb*V?pmBRpt57t%0st@k_;`pPFdb+X!HtBtH9;;TGUWP{sYZ3e^ZeU=+ea>^R^K

    L*lr6~z~*v;XA;22w^5g#uI*K&uWMM!lsN=(XS* z<_#39+FBFm#u7}t-<<`#&Vk@tZ_ zFo>VX6R8!rUyPT;vGf-j{W7YtHfSFJ9Oae&o$B(*p?VOY=aB{ zTQRn~{m1i4B}@8S!a!(K)s6;LEERb#eB6l`l`)M`__|kiG^Lzi9r4+n!9J2-h!~YC z@$!Kq{I>#?>*G93lEBu$jl#K)fGt<1(&A4szl?a55aF%@8ow8wg>SrvybUq@$du-; zG&rQ+Lv670W-;%aIw7;5QkhvDArG+XEKjdwiSSBkE|XX~bojj>6EqBZYZ-A=Oo{#c zv*{{#wxUsX-35!ym?|bIr;Erh4oQMyzs1RoiLd*DVhS~nI=)}C;KInO7YM2DdSPDk zb)u+A;R-3+_z`Yg17mdn52U*}GbtWSj)pIwmdQkaA^5|QzXW(MruW_l6*lU;Rx@5a zGkbC2%4RE~H*Cc^r524Z3J)+pn+KN8SL!eYp`@Zx#%aW5{j?2GhxV>~)(uP&f!2Ao zYhGrFKtp}8t#`T$t!r5?y}5epOsnQcEx6U>kbrU0tox=rb1DaWA(|zuG#0tZGB8L+ zxbv187#41$B3Dh43GjYoT9C@YA;mKK+EtppJsxK%+(nCq(UQ>HM$E7pqc9JqR?5*) z=P46*AG%5fu5KD%@LC5YO_+Ttbcifsf*psMOvVz;hK<3qj}EH8Zdksh=KUK|mhaht z-fIL#2HwhaUipvquzJb|j&ljL0@5U5*WTfW@hq-g-bKljR>*3J$D<^OWazW-tQX4x zh=~M24aVd?E#-#~4(D|njPzt1Pz@>l3}bIKNKUK}B!T^7kee{3mr5yi5p=2FXqzDd znRoc=PO)a42&`ILt$j}9A>JV|7%~UE_kElqs83-rU5{vH!J)I={O5Zf;7NTzCkND_ z9&k)>PWYxkhwX|7*rnv;4TF}3{o4+L4=4~ae&CWy;EI+(Vv#XjGY+b@0(Id1aMZZu zP~iTCr$cEzuWqwfKUn>L!dX?ny}IlxeXcuf;+6gec4hk%jss?|qW}P=(+xY+@OzD1 zS7zLaIjheFcgUa?V6WVMt3G3XP(=DH*4f(dR;>61XNbO@V;044MFQKcED?IQL>H`e_$NIAmCz~Yg zyNf2i=IBi`fQ!d>lj%cRjY>cblELJ6RF=EGAmHhR-X=FgCTcEEi4 z38{`10#u#FMWHOTUtVZ!rKfcI&+aiNHd8Vd722uvcis_*z?0LU9A@i%B~haO11KM5J*z2n#Y zzp^PS%Ow5mHq_8?aFG&x%q+pHJWf7{dH^nU?2rEmwsQRU`~Ux*g#LHf%KAMC`<@K_ zUkUv;C%`{WwEk9`f5cWsX6Ao^trKehGq%PEDiV`?OXyVzFc|ZOW~{%kRpb{kZ0t`- z*QARRH}@oCwwR5^6)>UVfpL!Qm#L6_vN!-h3Cv)IpyF#@{sW)eSPCN?!qEWHfZ#oh zuk)SJKRf{)p!t3?hp3U{y<8q@l?^g4zttDc+9<9fWt0wQer}CUx9$`_9G1By7K4x% zQfVh1wsQN(GtQxrD_m@sR{#nZ7#sDc(vd(0gv4)oB*AxQj}_d@U2#8beYW$@e8yWL z;FBpo+Y=8Em+eF{c%s}!Se`*GnW$(}97YzGVUL^@Q{2jEsI~4q-J=Ijd>-H9G35w( z9{OY=AqoGbmn}hiyD?!^{KBV!a(ZHSamMaje?5KjRus=C5pP>JxM*_Q7NZ}1kJIp` zO_@@2XnHbES0fvjpF<`v@5%yZwZu8X;zfCxL>(P1qqQ?a(@4eL36Uc3y%U4iN@a;x z(MOHX!5NJ^Hz3uY%20VQ+qsi^;+P>oePkiTkl4wDSI{OAfrc@<=GA@n)B}ygQM&2l zPWQx#wNrW7!VK|MWHrsn3i&>Aw8@2bamXQxW1Wl` z52>OpG3Qnw*$0$T)4RAAl%l6Waz4Sg`XKVkWu&b-A6-a)8UV8VV9%Qi+g>wl(h*X! zV@utp`H8x*sPelP#;=v;PHUtAsv3Iaw!?hg5OUeCx0wd3)$TNdwB70hxRf|xuB27c zIy09B=E8{5we+OGENp$!@J@cvhZ|djAb*4%nW6OBrM_`v#uvJRkI|?N|81b}m8G^7 z?W6T{xh`Nu#MlJ>eFV9E>GZf(4DOAIfvG2No2E= zkD}>RoM$gbZoF*5eOIH@M`_NQIFW+*c0A|Di}lxzBU3?Hnue%q&)D2UL7O_#DtSPq z1I%R5bCa?{lK~wDUtKqT9me1Mn8YGtkn1i)EsbsduA>D|rCZvC6cDFBq68d$6x{1# zsxngZR30XOC$OLgHEn3pfkR@g3h#N17C5)n9LfEGt* z$$A27?rxl#ImYG=Gix~}>2k`L@J_+zC12P+W!fC})Xlm`*V?+Pz8TTYp?Z#d+znk( z0iQL(dbo2NjNEE2n=U$Tx$t0ze#!L_AdbP~3>w*@+tw~VD!HchfIZ`!UU)#H`waoZ z%A&1X?=+Gwh)bUmU)FzI?;iZtR>>+dUtVx@*i3&kPgP?Z%bw8@SgCm`V)DSDl_I6S zJFAi-e{+Qzy#a&L7{40yxxd?7YOkTO*(y}qru+O@y|PpTmP?nQ1&Sp#YYBh<;R?LG zzK9q@Ml~>-pLt$3fVv5brq%;sBNin{jrs@CAP-+mo4@D6rLi5c^WhUw zAK>n;JM;eyKCBG?Kf&j3?t}l*;KTk`3I5Gl@!wq*O#kOx7OejxefHm67L2SM{{oW= z)okqkh$DT1zK6X+lmtIleK-n=@1#{a&RLq7avby1V3 zDfPYX72Ja{T zgl?zz&f8+7X+7)JQ9&(O7YNdxlAjLx{=X8B6#rNlk@g6lDqe2%Jy_{|Nr=nJ*GoF}v(S-_zUu zCH!qjf7?)b71z;#Yn%!-R;g?LkYlxEDITB`8-(d`s{W&FZX(lA{Y=IyuM`+*T#bs= zY|+BovIKhCvfBQHgZeSMK(t@MrO-C+wnGO>&gLhs(KB1GoPSO&-x2i#R(2acHJ6Se-D-1f_D#nb<;N|Po6*lRu~Xf(lV6U8 zMLFlDoxC4h^KO5L+LNbWVeS^5YWJHX9m>nV)?JV%s zwCwa3^D3M8M}13FT`Es1N263)Zljm|mLE@Wo=@G{<`)-n-bSQ~by1LGD#ctK27mE) ztK}y#%A>#~GkjR1_j-jm)z2LI1a$)&WZU)bRaa+Qc8Qo%F4rE?fZvc!YYv!i_5847 z8=k?lV6Lav+48D9wFz4h_cn>L*U=VG`p%Ab1mgplSS_^zSSHxv^hfgvdm-d=OE8Mt z;RzTWfmqh};tfpubP2@_rA1`GAh!4K4>^hldU}Gtfz5gl&~U+bz+mz?fIq_9KQ*?e zA_iI<*bWo?Hi(B+Tm*bk6#bbw!aRO&7SAq~Ac56OJd+p77v0=voJeT2vVVCQ+}*Up z4lg~m2g+LdBSOhN&x@t$Szzx1+xs*}?oZVsr>hkrX?nXD#vt<$SATo(g|tC()RoR3 z$nH0B4NbL;H$!@s<~0qYWyC24m!YA3BfqU@xyOx1?SoB9+Gpz*FDOlBGiqwCz$q~r zIX>`~lKqM4DIjWNVQ5pdYjm_YD-X`8;Z!>(>xJR{)O8rT(#(2f?dlIq{+y|!2=0~o zgO{SghPwLYKsCsI$>LoMPuZg%ldz)QRyPTUd2&2g61cK@M7JC`hy9nx{bJRF8RX*!yz{eC z#N)KalE|lJU#Aw_I?VO2L4U&_b=zGs*b)p93``cUp<5YVfVm}s!P-^4x*&<}QEC6V z1_&!D*X*y}sksg^o4!^=^(w?B#&>Mx-+pQ@A7GatOx~BqT>DcU!t5ge7D&#p_~`Q< zlG0H&#vNp*SHrV>Q@v9=+7P2z>G*TAPm>ul@~D;n5ADO$xnX7Pd_h!Ltb*+*XXCh% z>r0jnuP`3S3TUx~w#E}%_mw+G#wK+=+S6w8b3@jqZ&y*X+~FMuHD3`uR?x&~>Z0 zsKJ~}?4BR1#|wzEn4Q}2oy0vbl`v~c#b|7PP?A6tHl1e_s0af^IdE~M_Yy<8`;+MC0-i^KL`SRm&W2FKNHPyLT+7z_nF~<^$+LhD zHvhQN7V6x|Lhzi#fP)XO2gs(uiv9XkqR*X`S z+6xI=ShKw<9qk#fd#*N$Ctz|OS0%I{xn{8oudRqDEg$&v8IMkCbeoobPEH#-j-EfT zT$q3{k%M!y+(x9i3?kH?_3?{!@Cz83fu%GFe^S=?Wshnx$63O)I!+~ zg4)9QO3}5~`dBRQBzE3M}cWe;2pEtZc9|yGZOzwWG=f=J4mj z+}>kD$f1f?>|Fi!gem%Y>waQd*c`Hz!5rT@Q{~&qWaJUP8Nqcvg^@V8E8dV)fR3VK ze#tc^*OTS#&GoQ0s|+_E?^N*({bgk#L48qHz@1VNz9*0Ak+gx?LHbujIdXO;C8Bn z(Yz@wh7c~}ShB(p>wYU79dmMT460*Zr8ndJD{MjeH=4<TyzSrXwT4hu45s;mCB zFX>qSTW=dPvXK0RW)lytJ@P>iF09`y+v6}UK?nz_^=B{x2`c_(lHvXE@m$dYnZgb- z+bxhIWMN;Q&;l@vuUw6f0zY51h)%f~?Q#_fq zqN~xM!&;4RV3g3LQoG!Ru%psj;XXgn^;AGQ1lF&G%5Xts#m&XhUPju!ZiSe2-_4xc zF+N`1a2@#mgfDacN%(#UIVdZ%x0<`4&Hdi#87ob7O-=E*X^Jh{yOtAAch)rSa0FQr zWZEXNu`%NA4V}Hz1%3GJt)=lt{W|liYyYT@X2-SWWjT5QEeyiPOpJ|M^`}0~QfBn# zNShpQLM7?Aa|Ulf)h(thg^tM}v_urDM@2o@l~a>yo2E)fwFJph<7jGI--46Ifd%j{ zUxGb98sAIqJRv#n=@y@%jQ~C+FIPTSp(x_V$xaKxysxcwSSRg_SAp#z7LdsxD=@yw z&L^W`0~Feq=x$$-6nHg=E2LqE-3}a>R<;vDcXI`pyuE%v@e~7|NY~;yycNSWv<+~3 zk6W#o>ZmK67ugrW?4%{QDYZ{1KB1EwHU}g1=^!(|;zOAYi0xi(C|3)@xV!n9iqn6a%!gsMO#gjpw;X<=8Cj z8-+T0>oOm-=941oIXNy1_^P3n2DC2&F@f*ZKie ztk!#YNiqI>to>p0w(?}nLTF;ZO8YeH*21!ago!Ns6g5L#Ryq(y((pR}+Yaf}!nELLAsh&O!=%xoFx#di;-5cRM(nHe&F%kopv(EPOC&qE08|xk%va7kq1XsP-`%@ z%S#6T@#RQ?i(9)pPLyZG2at4o0Cmwmmt>t8R|4Hpl(-;-yGqmywNkkHeE3DDvIXs2 zjc!W&WaRZhTd>)!c-b5Lv(WU_$c^*ok1X~9(o1jNZe(3I2`@w7P8oVGNA6S5P@l{`iwq%5q12Yw0+(&p5Xtq@!fa>ZUZb0x&cT+b4!Bx=k`T#V+# zYHx~eo##W(p@Zft^9`NY5(w~xVCid(@LPW{*HYP!HG5vHg$jWxzEU2rrfS%geP#r& zBXamFM#tlw=PC7LjoL-rSVV*MPd-GNRs9yQ5E9^PR^K5mr1Lz5_V|Ph8BQAkt&Zyo;RZ_ zbLvHj+3ct6+;UVx{epFX5Qe%WBrXgr$MRY4NK69s@t8~j=ap4{b=l`mnW~{y36_TB zykqNKrdLwSFO&poadpPRH7k8T8ZNFF>ULlhYh(I0v!=drH{;0xA<$br#m+DTKa}*@ zZxrWyKNGL~5H8*<3gOK2W>DUsp27+H4rOc3)v2h>0gcsCZgeV&2#Z7xwn~q1Dgk@` z)IQ13*rz|9p>63|CG~-3E+rt3K2L9=uO2{-5%uB(jv?8mb*dHSIkk71MEexKD~TxH z%Mea-)DUlIbhYC{>Wo?9@c_=Evp9Y%D_JBC>=0W7^4)q-WI!hX65~13wfqhK4`7C+ zWAg#GgUY(R<_+nIdT#_U`CnYN{QL(d2`nZorU4I#I4^nI5pSKBwg?ls&|PauV9UAV zi>OEH%Vt0%n9B5!f}oN*YpA&>y%Sj^C6RZCnU#N= zC9;XXAxoPH5auS~&B8*bC z8A3qTE1}3(oY8XMDJnWXuC_2NhqF+>(*lO?z^$4wJiB?+EES@n^SgYx`ZOUP2|rb; z=5%#C&r_jGYOd*W=E4u?&K3sJ3+8O)IbB_jl;P2l&{iRAnMa~bobpmioCFe;HaT;_ zT}^S8;O7>1$V+Vo*&)V}PwdVF3ybf8uQadXK*eM2G>fCGJ$Fgislpov4|T=tuc5QX zsF2u%?ZGsXB>CfZj}#_fZ{sf^)b;+?g#M>|ex`$_T`Bk6XN)&qPcU#z2%Vj4pFj80 zgZCWA))rfsw|zVBAYXVyIiYltAon1T6nLti3`QGe zAEoLNeliSmlU!QZoim{M@xn=W9hF-FMRTDYDO|ThRJ8l#j%upRMKU8LKwV}m;WJne z2x12(TpA@c+O_LezgG|9h}_AgaywI4q<`dO54K#8`uItvbR)yvUIu0!5ypkIUFv?Z z99z5)uq12zLfN=a7@c#4vwfpzlA{BE*kvf?(80>gpUH-IyaMu65X*rQjii)Wn^UG_ zAw#!;m^ zRnkJ^B$l`WQ(#QhqE_Jh=AI`LCq=d%3+J}pulBaxvtM_MFU&;=%PBBC6S5dVZYW+v zJ&-bwSX7hyvQ%= z$nNb7wp)iLE!J0rEIq8wMTSS?Kf)dvnKVgp;%+$=Z#9!s?Bj>${1&ZTGp_-E^9QwB zRaVEyR1m!LvH8y90$63t&_6?G*s-h(#DD>q4o6OH0K@|VHB2u)IdtB>d(XeR zx&rKc0GqDlJTQlrg@G~Lm?X@+-ps6dy&ieT38&SF38&Y%#VR*H&=5K`l7@&fPt3C;(AF!;h-31@6GYcMf1}?~ws*ZKtk)%lA zayc$>doI5P1OrHfl|&Jqg10c|+b*0)A9%nCG}!57-eHDPjRdu8nb5O{_4OL!@tVwE z9ngw@+|io_)bVoFVJO;ht*ojTk&aE`DBqXiCm53TXv=7qZ2}@V(p;K&P@0Wf86*8| z`F!7uL?J-3!Y*-_01^}m{}sQO?dRv#nQh|d)or|$@&!%Y0RnO1FVFv#!VITsoTg3; z@2gqAWDg468fd4<%%u+-&ZXh6Y@gEiK~3C6Pm-G0Z(VoGW~1dGp0>1s0oMX+X{Rw| z=4aZi5vChr7U|E!t_kYQ?S6NfnvT00(XV?4Bn)g5f+k=aAi$d$Tu%hV6RrQJs9VAg zxTj=gTe)cgtQViFfuoH9W8)8IvT?}6C5kE5#{=zDJXqoI3)7DqAhBp7!eHArGf4+h zcBJ=)Gx8FODXC05PmM2WwGS`G6IjNz@zSQ38{?~7sdlp#HXaVU#r6I)xU)03fe+Acffg1fk1QI^#!U9@MwJu zS1ea*+6dA#Zk*0Iy&RmHEn(7gL{ZhpAF|gQ5Er7YXjSb2w+#~MmCFKidR=i5N=(32 zNT~v%a1y~3ZqOuNyYi4W^u5e}yOAob3YRDqEVYsjsyH^38Hs6P@SaL@P{EpvQ>U z;6_Q%WbMnvWOf15&m~}baJ=(MPbUQ;E_S~6$dnIZqs6K>Yr7c$&hdiRlsFahnD@C@m8jG_OUTfeu zeGJ?6a2-5blwjPRCcjChZ>A+`w+{WiR`qY^o_DJ7HfzM(&R9f2s`Pe~Twro)p8AH`L_wn7t_({B zcVcQ`*@n|zdEw%bb01upeP3U}1If<(V(^v=x<6a8Onut8dn!_AMc{Z7szM#QvJ|eO4fBhB3#D|`e#KLVH;(Z!z||$ad$g`b4-FYB zMytJ9P3kBI+$+WQWfq01#T3JfD!L5V4WAa?7u+6xH;~J4k` zv-LH-MV;pi`k}ZBZnjc~A0yw>pd3x7jLQ0IB})nakn4IXWDwVZW?hQ&wKOxxEUp~Z z(#w)BT6FJE*Di>ej~=>i=+w+BEdeD!&UV$A>LNd>H-nb!d*G+; zd8<*51WmO`F3TfB>ci#Sq5jR$ObWYqTeGb{&!^QDjC+$5Srl=fZt?A=WU|A?P>zPc z>LogMhGp3j-~zvMT{Ss&%Nmbm^qUOQY^ihzRj?R6vjctw@&tH*Y$b@t%(L1w+aNfz&U$&-x_!`E^F6cIYVRc}Y z(yqAR-k4vUxkKj33o=K=cC%2+sVC>sY@^B3KURVb%16zuUkleib@6XaABP<9ol*#P zA8LPg3Apr^i`sMiY&-W*9*|a+47T(C<3>HMX{6DMMBgZv0h_MvOykU{h?}BvqgqEJ zsot+|qENXk&k9wFn|yCji@***6~IO+ai66V9J;Y@+{?huJchs)F7Xcmk21FOsCVdk8pareXsp~=nTzAqN;USXbo@h+tm zUdRi74$p>4y_6DT5!KE_0XTXaoW|_29IEczl6PTS(~G;gxe}j zthi!9Ao~#g0IjH+q$T`|Nit+#62RY{8Nh20&!jRv-u#_ZfMTZ5UMq@%P8-n96Sm%e zyDo%!7{MERQVEgm)qusl`S7&dEE4o$nq{C#UO~^W0NdEP$+wmf$`>&J#Ek*|Mtaq! zma#$k%y1k%>tvYMuxyD)Xc8rpKi=vDe3_G>;#ag6XE3=j-A}&zaGREf0+!H-Wy~t0 zgL0NNRX6-B)3p?VtyHXxh8bSXTx!0>M79us=v9TJJ^wTHHVnbgv# zWm7uh--W+Z7g_B1+o8LVT^)NYH-km`h1-~>}L$fw~eA4NfsPKEkgim1&- zs}tEiryBA%ZaV}9E3DN?;qdrm1UIfQK@o`||9sg}g2AF&xyF-daIC_Mo83;`hITp_ z6poLj&@Deho*O;uSF){I9qJGJ(LU~2vfPY3i}r}PkKOHqUHq{29-q@jxwRa2p>stc z&UzpWyM@yPt$y)C!R_}UcsXy8XM(lVZ3k?{+2MB9V zQ8wo`E71I#&Q-$^Vd!YKBH0_F(DmaGOAmLt&!{ts4Z;y#x2U#t#THV=`qz!LYtdL7 zEA_ffLeLU`nU=wVl<9{8u>Yx*`c_P773JoSJOmNaCsmI5B88;FB70bgvfJWOB`VuZ z*Actq*YC?@8lg*v7u{#Enq(jzhDYR*`FzY>Zq9tv02PTsZD6IJ9}zx7bT|^U&f7^- z!&!Vt@TF}F1)O%kGs~IeK3KeWiBc^^y&YwY^&V(YDMwZUV^(*qhCr5$I7>2RgOoR5 zG&cRbIY|ek@VqS`fx>8R>Rq+oo3&kQ0M>k;rFP!>p%tk${CnWp=BJ)N+p4nP-6Lm) z^_&2;juBDt>gHfNAB=uXl^Hxv2Hgf9r5pm<9^EM=#j-#G1^a@9<{7PD;6*g zR&r#nbZEE@Vp^QOhI+YZ<7CXd-w!7$))ZVc0*lh*dH+V=wCmShf9Re6;{umu6QgbO zX>NO@-ox*>!R*B(77|sg=cWXtf{4CBEA%ca@90n2p5YpF?SxULgK8cp*wmCvGcB_d zp^~gHXBj}@bQ_^jC4Fn>c-O@NFo^{y0H8WQ>=>wWE)3gH05hE%Y@lcZjRW8Fu+$IE z<+gCY>!HUZj2p?Yz@GT8${a};$DiQ{qLe;V54z)<<^aG^S+u>A(IT66mQ4Af;fo^= z#8rWDvOJ+z5G#b$gQaM9<1em*_MFEfAJk!`{#``ZxWJf7iNDat#v>Zcte%0#cri=w zu7AGV;Z|U;-`XhnjO4@S*MW{zmq$sSWfqew4C!I}<4#0GQGzE62QeHcbfd(~rL-em zD+dOb?;OcgxKnSnDNh$^>6)Y8H^{T2iBw>W(;kRFIjyueZ$?uHDT{2_av=1VEzj-Y}fmG)*PuD%#9aqXxEV6pxdUH7S< zfsM+pYdTl7++otb)9kMEM2C%y9=sGId8Y~Is(zJy?~+>Tw^OZpAtouL^F z#8d546SpkyeQ$kSfUn*MqBpl`Mt-GiOCv+)Q=qnjb-0;cLiGq}6S@LXVK9hyST!!i zHwD&$b<|if<}=nwnj_y!ePg-6uYYhkqU&`72Mk*icA QF6rHTpLwVaUy4L%t}_PpQcXo( z>K@{TFW6}+q4wi6c2xy1RXgBEOIY4l&c$@*#hoMIn!0&bWgD-xk%rFQMbx=G(y<|d z0ZGkHGinu-kQW7@ClLQWtHc?j5x#%e5n?&pAg>sP^yyzrj0hHV(_ZMfF(}Gw#aUTOJ0#rU-X{9Z);xE!Bx| zyGL3^pq+QsqJ4Cbe$I#`%8g%j$SX&oPd{ebi*lqiu!|aSo`4@p#F}#RrofR{N}|## z`l@+DG}<(pNfz_$DxGMnI0g>y9nW`do`YV)z3ZYN_gaqR4+`Edaa}YJGN>}m(247| z2&>=cNyg(vN|eyQK6`wJ_nlgzE8}@*F$N%%%sX|wnsjUUI$Kf{Tlr!p(aaTVsvG26 z;UtpL0LU8Vs$_!9l0$GPiw5s*zpa}~2Knp%M1B27a|8cQeSNPL|F51K_@8Cgzey{) zZ_UL(@ORDipRyF|Z)N&NnZ>~JuX<_|e=WsoL;c%QEE|I1JlcgK4454SMji_V<_m`S zFj<8eMjllnDc-hdu9w%#$tYn9>v0Z?C_?*lL=ne}^);(6&Vt~?9tR1G_Cllvy28{C zDENXNGTD95{Su7NdFZPoJ#q;v!2>?U63~vcgw?n1$V|4?DDkn5%K9Mla%vJ4RyiQJt`iIFl?E4UKq5vM<^7a$2g0=MXcF?R|X(iWFWy zO&WD(GVD4kDnPU%FWs9)z#46?fWMzsL`TBaI&;)ijDH z{||TH7-dPYX4_r1x@=dMZQIpl+qP}nw(aV&ZQHi3SAFlyygT>aSu?ZVnjiB{u3Q-r z8JQ6)BhNYei}?2bEI$~`(r&(?rQBfpLT_(*OBMWli%|L*mW2Gf?|qQfo_9#!{_^KN zpV&L-lR!LOVMht*CiolH(I(fM{rV>S27a1L+X2oqlo^8YV5QDCuPwR>gh0epp7@5ci*9<=;V`lHcBpe4D`q!Imc! z_6tgi&B}|9V`1KS66*O#zt_NLV@TQb6jVt)%j2>$A;|~k3NT17UmyS25l);Bck5c& zh)psl7nAMu{SIoo5+-JYi2luU=5hqzGj(2n6eDtyIktnME&jG(TKB_Ml$)b<{x3s@JN#pbxdtf5S~IGlecuhXKMB-ErT?SpaZj% zIa~&kEkQ}%mxSdozrzhu4yywv@6r}EhJ2mTo~87+(;V3#J=WC(IPv9--dgy#&5_9~ zK|^h#rTE^@O%iwzFDE&?%9WB85xRE`B)SviXQj zwdw%8D|UVsb!C#naYHEdL;(XasXFrKou?KP(MSL$@<ryW4|i_J^Z}mJ3;cm-!e^M`UmnYqvIX4of47Xk}+f&`|R30HI6( zy-Ar*GywM$1P5v=-OJ%(o>Sz3)YN)pB#hOSa&%;8<~DW5y>fRb_*y+2IoQ87+f@>E zKNgFp5g+B*;UV=%b0Vf&~gA0kIhK8sJs&F#YibaTtY%$a7sJ{ zI0RaANUfqm>2CD_bEp#BkLO{(7r`f!YkQQh%->OFwPz{WbEkZ{_I`& zIm7Ger=z}LBL|#m_V!P|d7{`3MV@-_=(=MLyz_f; zjx&x;M$1T%MgH7hQ;&}nZE3Dvu9)|Hi*qGXcoeK8zTE}DhG@{~86Qdgh0EEE!jr1w znF`=xz)&n`W7%yMBY;-5@!aFKB7Jfy9*hNy86VhVrY%C96!>h^o!9tgumXtGDhkM; zG7PaqzK}o1$Hbgd0V<4t+vY+a+7g%Dul){E6+BroYz_D^=|t0vWVl4McX(qDsk%wu zPgaHr*-p{NJ}f~bPnf=m92@^#aO68&HHtGJgcC^$To*u@6GO~Jmf;&%86@Cx6f zI91ogQvDYplZC5*lSl-qgIn0&xM;3owopY^x26sj9Ewm;1 zFYh*eTSWzaN5|3?ND2+@ris285XBUSip8I2gF4Hpb!^bN;(Up>rHceNnZn{BuXp7v znDuT({yxVy9rhQ+`W^%%%25@qgI|G)&-)y72QsvOQg1A|1V*|Ogfmj24HIO^m~k?& zm5LYE(c0zlAZ@YVL$aee zhqw@s+sjO5%ayZ&eaC3!Es7Hrt~26r3a34ClCjld7j{gCSImO0aX2ygYuQtuW@cM;9yGoc2R4O; zUPl~toqREMj`p}Mb)*e2uNFuQqK5iqn0RC!Zo*vfQaBj}lC~*i-J9vj#nwS$<_LQq z9ARynZH={gx5OCBdH2a%r6Bp;l`Yn0HIy z14x-em_7n|+d_!mdi6;!*Ea z3eFU-S+;1F%L6MDH47WyoG|&y6<2&H)3i#;o%>N*c=(HXof#Va{2CGcpf&RR;gJN_ zD0ho2M{kTGW7?qxFd;ujM?bwrp{7iSUn%q}{e(^v?aDh(#V>L-65Z6?gJ_@C2Ww0b zmGy!Ahu!xjpyy{+8Bz6u*E8VN)tU-zYXrs-i782CJi1N;A#&DcGf1M+qQ2xDe z4t|l~_sR9+*h)z=XttR3w~!U3ytlbPL=nh07%kjhw)+>_3YeuPlR_IFt zE3^lc-DVx&XOeq;0GvMS)AZ=)uDm)i_K7C6Gb&ufnF%Iuk3M+>N5D;P`=&apsZ903 z_AHTPxoYdeu}9XEpycFnI3$N@9``w&qtWM&hBHX)R>kg{3h$>27jeuaSd_O~4{-ZkXZuMI0_27d(wZwWK2v!cXC_3>hpffb500 zE@IGbm25lXxJlt}6keOZlo~M}YV+vHj>~44`t%bpO0%~>1x>A%I3eq;8QnktoaX)X zbS{*#)^Lc;OImw9SYf?9w z>4q>}Ih;Jk1ab7y@ajlNCq#1P=-#~^LHt0-4h9Aj=HgePeJj$` zr1rX>Diq3m3ObSePE5zp@@AlypGz$eusbgLFt zC1%f{JmxO_mi$lz(QB#}lNXr*kiNH^S%n@Z=WYn_#AJ(v|3TTo*}s z&J`!vJUVff+>!wQvsAg-@S`r}64=2NsN>JLQ-6&WRx7;4;0DP1@KTQx4lA2Cs9smA zk_kDt0FUxa+IzLvw)o2@tUecsb@A8!xen=Nh%?PwidrXnrg<(MrB%6PkedEL*TF^( zFD61?I1{acx$)|6Dc^u@&@@(hpIrfM=Q^>;;hwWLU5vcbov8M_X$ijzd{bO#{8owq zw2$MYmCl{D48Re95_ZI(h_)%_{QP{NZw2h=81hXynDVte$C-JbNzmct6_1jMbi~EL zYT6?;iTY=mpbLt@J(#(4Lf3ae)jWP^@>B$8+~rW<&khVy{1k}T9VO;^XTiens+*xZ z4~O*Ow4Dqr5?ivKEP2>fH)=5^{LWWGdj*AjS~J<&kqJO?K=fi(5)U1tg(zZaw29$; zPy`ya>$RUM*w%u^66Hoa)l1lc+`&pLJ0jP9csE=>a6^nZR{TE$DXwbNd+V}3iOG-C zE5SOF#*l`_YJ}J6=ZZ>MI;76zRK_1;l|4SQA8w6a#&|Lc=wy6g`$;2&GlTEo^4GZi zR*(vhAby2_g9y_gKGW@$`Jj&3(=`4Lc4JRAfg$281Z*u`DYj}fujZuaURY2S17-d5s+*XS#Oe6z^UW$+_lOvLn5%yK7>p*6P7({xuiMp zCnEP)uI3*vG7pPY>>7Vhej^eCT$9Z`%MiQ6h}2mB-C+i0ckZY+6-51sMK0JWFUON@ zUd6UM9}*+E#bc2PhPjiY+$hg+42=a|5xz+**<;osc3RI%{Ti>t@wNawx=EBKsp@B) zrH&5w^8w5CHNear7qNb~KA$wiE|jP}3dc*p7Xfp<^JDfiYim)XTu?!4wIBcUT|>g@ zr@I)nJIc!j4*p%M?Qw^}zT)NKM7ATX^Cv;gqX)nf*Y`Wn==K8V2n!Bq((?@9 zLc;8KiI+;3tq2J+-_Ba|li9}~tKLs63BT0twHZ+_jvK!d3lWEjMN~M#vGElf z@E*;W;?{7@wHOPfjF^_*<&>#Zm)TTLW9;~nJWfS=LSl|H^Pr$>pbxzoN1z`aj$Mba z9kQ`SQDIdSFIJUr?6pjd0f_~ft`{(7Efl|82=NO|bckdWH5|``_zh@nu7@N@jns8N z>_(qj{5Z?o4yezPAp`VFsJr>~XipvrjSK0(y3mmhq7J!1Agzibqy59hMCm9K<99vS zfr|+*dSbYtaWBkPC3goFu|JJg>2139RP%ZnsDEP>J*M~uiUOtiI$J=sJnsX=hUc#y zCvzAu*FB7a2|r7^=!PP}3=OKS3wy+)5dQ{xMV2E&auZN-B>>p)3TF&$`Vy+SI+shq z5N0?Ijp3eOobawvR|-9;ghm;+YyOT1lY|%Q8O^okvr>U*&_RS5aq{V$Km$HE3CvVy zAWdG1Hzhw-uU)fp!6OH*WQ(80wrLHNA1RL2EAs#$Xz+0Yo z7M+bLgr3?v1#^Ry`~P_GP;-1~Chav?#gUtUF~nE+lJHZCq>MZ8*@ZlPY@biRYqv;+ z1!Dm(%=uxR1bas$fs)Y#XeqM1Pjp_qLoCP5#v*Vdua$RX>$583k_V|4Q8~Nk%4418 z_dBRJLO6YgiA~t!&ZclXZmQe&-fkwwV_cMtlBjlYiOR*^wT>}Q>-9hMFFw{dRU@UX>A|B#ND)-s+Yd<&U7ltAf`$P_j0M4IGaq4V4MRr<5N3|!Pe!WEQXZ>j^g##JU?UaKrs2 z(F(m6UCGgxwhCv&+2}_)Wa%=AXbs*L;FIYc$d;s7fcNGJ_a^v9c5F<(350l1Zpdh{g zDlGoHOFY3x3%JEAps-FH#>H-}yo7qs}jn@I~b{{eNP~{CD}De{aeB|Hb$GTTAAD zY*p(Ysh@Oo|C#e(`5#NB5&18xS_Zfd#b19{G*B$2vSZyQ>>23ELHR#XQ>5l%3glx4 z$KBtT^PS@;R>@Sye%2CdGCdkpG<>G(_{$u*{uJYouUj^fpZM@kvO&dVe&D~;0Pxxi zTD?;WuLaS$hGkR%C$M8^eeZ)$7rwf;z&$||D# zM(}orER%ed&{QW(QXtv8l9)5j0fG54FhwHNbhIsPXrY-f{?;_^^~~GcQ1aMnpMDMP zPk0j>Ku+nZu6rho3hHFtuk8~>d%cB!mEO~EaRCH_3S@0V{*DB$lL50f!VI#R z(XJsQK@O#BJ2{v<@xeF zh#@bJX0ePsjXZrmYpzyT$V*z~{eZM#ps+mCX8xpWti~@mZ>%&gqPPY8N~8HiMvbMT zpxIcyF&$1h^?5@rK>^fpPh<{ zZ&19D7w2jw#(YzAWhH&S_b^PDK|3wI(9(C211EhQ|G`t!ed5u|4ykjR-2mvfbwBmX z$|#(+%DXy7C62O|_9knwWfZZt?joizws_R#!9n^4${HT+la(j);0O*|UFuB^NDOyB zct`g>PFm%;L0>ywSPp2AQTWclL@P?n6J0v&Fs~DOTCSrVnh`X`HlU9{;=$k%(#f5G ze2gmdX_p-5^9#g0U=mT!nr7>}G)}AP%F|K~oX={e8<8%dpI zc0HO#gt^1+x_fprZ=UjbF@aX4$C<$`Hc@4WUvc-fv&A{PC%C9@>S9anVugW)_5~-Z zCEtm40_YsJHe;}}PklES+_$#!r$e}q%(LwiAu>(uc)3*}A-WkFtVfb00+GW;918NrXhL(d_gFqnjok3g_gHu$&E z01?RJi2trj%)@&gOI9=ypQgu?Hw<(-m{c-KeW3BeD#4TOR$ zO0e%Rng}3VEU*Wt2S0-F(S&EEL60sTZUAx1gf@`v3geMO1fzw$+}C%K8=besSUN(~ z3CEGlw_)d^a>+M;7otmuGr}0c08y0`NUr=rdjaDdxBOjdN)k`PlpLsA#Ce;j#+)n( zF#I~aa_UaMqWoD}b&OG!f-!Yq&^cHJ&{O7AB@^3t>=X@-u%<%iLy`g(aRLD?WXT#9 z?e@0=%syy>%pr?i>_i=lQh2{C{!b}UYihfYigw*F0?>!$7Q-#4Oss5ZKuV>FV|VIv zs)FYEjX0O3nRCv_DC%1@@Ls{QxO|jP@N*?NP(`m41-F6MwZb7SO*NP`h4GY%p<{Y& z?DcWZvom8&J83eRja-8H^Za?gz*10P>DLm|lx~`C>8a<7ZIy}4%9H?!wL>)JaxGV{R5XxJ}B6_7Id+zR*9ZU_|xD^^kC zSA_PD_yt-p^~mlRORU!tLhWv?D&4kt(#Lk-(31F+r6H15B#e=%I7c3UO0gqR%wF&wId5)YUm@P-`T`c-LloItYHa3rCInwQ zwO}`e0z3Y}CptqjaK<5icy^-e@;2+|wxvEbB~yE1O~*>fdeN&Po|zK#x!5^Vbq0ip zoREaNzNQ;Xi?jJt|Cz}8w8`^JW}Y%|hHW?EJ`TPWQ-%3Qk1;Gg^$J41-RCf6)11K4 z>P%dvd4tZ*Y_6R$w7Qn`Q}B!Fg4;b8ea{+Woj~aJA4baa+LKQP4_@CvzGc16u>BL5 z`QIfT{yoh6-%mXJk0#9j$6)3^5EAL={!@jKwSSE$_|DHc!m~qM^4dkC-MZSULYO=z zz=Ivz&l9t6X|XCR9J_n`{6Z;9C^*avZRib*>@yqRhacv^dU(|;=1I760>58f6b8oP9o&>-ITHwu(jnX;FT>QTfE8TX zGm!c9f%O@d)ritY#g&}sEhtCU{-WDCnMH4$N!R7aeIQut7jn27mXxFcatEDnI+?d* zSk~xM3#a%4eL0H+uV$1x7XR176|HC%UJ0FuqXW2Ts^RZ0kdAgfho7Ns&gSE7U7F<^ z-C$NF?9iCh#_j9!$pxl62(QqXSvPm!HxX$)pp)n0R$BkmSPYh7YH+$`LG680Cm`8!>1NgG`eR5@EZRp~lroY8iuyvXlu z!m^MV5HgF#U6F7JgFctdU)3K7#wn~4ju+Bgm$YxG-SIW(c4j!RWVdV>`u`SxYxZ}> z{(!!^>~V&v*~8>>{<((K(Mt={UpKg7^r7K?yIO-%zquChRHoFHfA})c#^bqrn`c@1bkO)Yj8?{fdj+JdOJVZ=6A>)EHJu$b>rXwQ{fq{8A54)hpD z_@gN~NPy^56NCp?-f}3zW)AP!2Rq+y`}n&9Edtt6moYlQl;N#QZH;TUjz!lmK*mS+ zyQE>=yL1?dC-sv?I}X;M?G*{6byVDD0JwHyP1IXo?%h=r`V3^@!_Q;3+todOEI;>X z)y(LXNRe{6Zxb)HHv^4p=OYA%b9bbq*mdZUXmpoc%hoWwro0z%;UVtqSTtZ*7h{NaxzCQjN+; z%FE_IWstc{Xm)}d$SVSNJ1*s^FY6aj{J=AwsqND78;vhE%=aw|uq7=sV%Z_)r?u^f z2bdvkQb7TY2?~HGSK8NCKh#KKyrs=_=@^((fu!^Wg$h?xw+7JTA3`Nu^G{Ms@9DHN z&XanS1Fqb3yLpcwo`$Bo;zjxW{nj;d5veoKYLf-!v?Jx^jN($mEIlonGj~d(bbHDY z$v%U7$H8DGPULWQ+!wpBxt1C_<=HFB=+llO?pou1a=DTb|9vPBYr@8xp0*;OI_eS` z^M0$KJoN=V)?-&`4m%yZPd^_v{2g*frD^Ra162`@*ZXttaf0;q1L}}He-rhCI{|cI z>;h>AObX_5y)~VfZ2rWlQ-!y(C5q$mf(E-WQU+h%+`tQ=_S#RCd9e-fcnmk2&Eb?- z2C)UZ7fPd2_jPPDKhH#4i;e-)pCeR;L$I&;$o8!v#az)H0$g@R>ZEArPBGjjo&={O zQU-ZV9WG6bn_4j&+vB0|FGPFb3A{BgYH{^iSY z^Y`il*2q7ab(c!o%ec`mfH2DpR|M+R8_ExKCAapv51*{GXR*bnkW?Nr`w%5+MoU}6 zFjt>!)M4l&6?h&$8`-E}6&gol*;cy_q-`3;Ry~re>WDXu)^d>~a%$-i8`V+f?OWK* ztpkQ7w=V>!jL447K&gN0!fI-=K;-bCOb|K%P71nE%tc!5>CH$z9p+eyz00tm4zu8X znV|x}NmkFJlpW-7M+4622;*T|XI3h9W3@fss3Gv#&lT|56(TWDO8I53qEmUTzUBge z2%?5*J0e>ASg_CUmneCMIP#bkEhf{WtEatErxKXxUxe;6mYZNcb1HgOD;JDW?03u1 zsWFW?1jmdT=F;IK-@;r(;*C2lrxc!08jVFvNU-Ar&k1aVXLdLs^75BpEC(E+@Y$ra zLrs2x3j?=?U8tC6`xYJ%obb0RLi!P|OWfg_P#DJzz&<$XR2Gd#NPAjY*lGfUOYXopaJ*5dzT9j_bxRGVIR4g`(vr(!S4{4FTXFylEZ{Lvt z&SqO}1BO3tnu8s^C$-aD1O$AzSkJC>`c>3=&?K18&j%Qopzcozp+;$YyR$z9*2Njt zSMhB_o)y8Lh5{BE-8%F4FaSj`6g0*!0Ci-WR#tgnlwo45FE`P)?s?XdZ5WoaiBut4 zQ`HNb$@0|SW1fIj``>5sy(L2=vQ-?_E*$saDGLea7!_l>^GPzV@2TAEi)j&~RN{1b z1h=M`X+L5LB%kL?PqMOIJchL!oIgExWHC1A4!Ti2btA1dNT_ptr?n;UVyMIPc*I8* z;u_;OI)2cao4T@ckTLicxF}ot_dyLQLGtrCi&#HfC|s=8V*J2BI5JIre?N=_bC$`^ zv-0Xsm$X!M?l3HlL8f?$b4zA!vOz8!K{L_Geqw>F^Al1<6*N$N(|uR^3W7I;$qV;S zfaM>c_*WP4|C)mT-vi74{XFP@0xad_>2d#7=;Hs?DdQ`G^`AJ{e|c8_Q7j$ie~6_+ zN5jbYU*SYEA^hP)-x_l(Zx?YP2iDZs&06?SSz=IL@XJ!zTM)^ViIfmBkH6ou=Zl2Y zW-Ljq2tuj@g<6F2XR^}U1->~5{43(b^6=HYBZmp>_=awaQwaY-Pd$;S1f<9a_YQhr z+LeM9KH({Gn?LL+{ukd2{x9DQh*ER=2Wa%Q`8+`mwlF;a#2qz;5G7-5?vg)nQzlDm zP8v8^pdtci1_c`)@e6JC8owRyau=ufaYu3W<>9Cjj+Qx6b%p;nJ!qtFN4Oy^(v%$hCz_$#fUv^G9}>+fgNZ z3;b9JJYTx%BJdXm>^;4XN&_I4jhsx{>}lcqAZMv&&Fi`KfO`MN>j)V}HVmO0FWL}T z4)UgXXaIQk&EVmIvyXYT`gEi}o1mc7ICLH*NXMQ!ktUYa~5A-a>ne0YD zv^Tdd#a#F3D27yw5&;t}Rkf^2!z}w69TO7C9zR@<{Wl|@t#%hLpZBNJW!cQI8nf5p zS;+!42~ydCf+A-_{iWI0M|(}~$E8Xw5KHQp<$>1}_W{jKDMniBRn|$6S zxrxP?b@=kGi$q1(EU-eCBgr7}Ie7EH+>u?^LQ_;caf{g%sGawKDdPF%AVtmKLxDX2 zxzpV`;5daXV2oWIJ1(LNYAl$) zM6;;m)b>FnYZ{qsP&3G*V`PAfxP{B) z#kMAlTiA4nle*9x+8VAUwcDDYhse}ywF^4wa<_|N7>liukSi*r&XF?w=E9YXN&`6= zWYEB2gKS6Xy??@Qmt~R{n;l3}hcXq%5YP-aCzU zra*H|LK~uta5Bd-R<{BM4fc;18u0N+M?JVR{fbm~(yLf?QI)?}L9vNqPV zQlnvG%Dqr~)CW)rGwcsLQbR9?W-qTED98igX5`D*6P4Cjp?!8zoV#$-Mhf;w;dtoj z)=NiJN2wdvsO}Q1#a!YMHJKWykWsGCqVm_7l$FGmRO$(=1Ho1$aYSq%)CkvHf1B8* zI0{yI^PkcP6G!3XSa$Uu-}No!*|`<`j;nUHj~oa zp|j+ELYiYLpFQoO&1}}NGca=G09b`Grjpxm*&ELl1Kk&Ma&fE^K(tYE@yixeQ`rnQ zvUW%gzA-nN&O4^wz$+T$BoB@7IgBO9Ru_tXUwu-wn~&g7K>N@lkp%FvrQTc|0nhJ0 z#O%LEY-1+yTVg%9@8=GOj{Kp_GvJ z!;T_~nt5ig^!s3s1Z{i|VO3JmtK&ED9ydi2)XkL={bg;oxPi`|77&*LX|~w7757>y z71F5HCiEhnkKN{SPFGTCYn5ZWP@4@SACx?M+v69GCA5*#D8i3mo?{2bL4?6>oXbsV ze`CjkeCAOf$Mk4hosN?;?Cb0<9QS&r&$RjhXYm1ef2Xj{RRj9QUB4`rk4h| zaJU+6>fEr)L!0mlz-~9AVR``#nGGG2HNt3fe}JS_#j*rBaAY(GtNjRp=u2n)+kGi& zhKHTtcI86wu5**y^I8~Od6?^4^n2{bE$Qg(9o29W;dY;8a!4$*N%$GVm*vz1q?!j4)6g)lIQv zGseK4H-6(_59~cW9!obLNYMqJGLCm11z|3m1uW@Nh^Gkh-M&kFz*XJ_i$gkal&>6R zI^cs1@)+!+>Kn~V)|U$L*%*(=KS6c>kNirO|NZ>R|3KY__Fp0%%hPJne8tK58?Bpv znMeF@5ikFW>uCNwZQ1__*Zt*L{yP#T-Ph+o!FB0>;yC?A@C4}WeT(-VAR?7b$_0aK zoxl&lvt6g~LG&s8N`9wu+GX_?sK#%j6#OOm)_Je5p~FCj|vGtk?CGnGQ93I!4X z5t-bdA`wiBLp)LtI(}&L@z zy@aOs9^zo)VDRB^o)w?_b-(fbfu69pKM^;9KL(y~cIw`V_opK9?e+EQCK|$b!tYaK zQ%u9%4TE=oP?7`qh23i=#@YJqq8qvV9FcZCc0EYm?g_3Bygg6>ya$1XxwqS($f`xp zK3v^Ot^l%gf3?iA)Q&~g+U5`8K%p5;D=LrG43vC^g8dT3YX0y>NFsp!E_=i4(ei$m z6UerzKT2$dRk-8M)?~w#<%ucdJirqG`}D#5@d@+j1@8=mwkfYB`c6kKfEVWC9ap+UAaSD5eh(hG5!O*}r$X*IXDM;uXrZU61Al%P&~q zS5I53k)RlI6u~0VLAxEh=s?b{qq-4bQ6tm= zs1DYg4QfbY9)Gou9A%J0v>r6&bV{D!S56IPH#f3Zq#hH?7kbT}c-!D$uupIZ z8Qx%GLD5fhR3ihZvhe~TeC{vKnK7S81Io+YYi?PU?_qtd7Y%~cYOh)rMq}^ft7vtf z5Oc|&29i+^RQSkxGnPbYNdcyh3#XDGcJtkL?1Oq0nO*)c=Y_bU=#trLxLs5RoqifB zhmxAeR@#dBuxV||k8G%`%jt?Kaf$|)g~jydHX6BY#$w4$@XEUxGMoY;<=oV7#Vo5XZ+?V9N&gp&Ok1@w~u20Ph2($x=xv;H|+6oD3dP%NP zMeI*GR|7>w5N8doOHbujpUd5dl(k8>;;I}y_HhMBV@V2$NFRDqo9UL??k$52cMFY!F?M$Q4PFdN7rpoA`XrUvmsHe8N7?YZBM0+Cr0b z9sAa`NfZ{HNOxBmq9L`MrgSpdpT*IzM&Y>vn|&@=Z!kkS3TrN$g79}f?FeuTnuhMX zhX49f-T(@HVX$%(li~rY6Fc%UZD<Eam+qq5P(hunKRb0f46 zIlR;51xum5QN{* z?)d(9slqCXvo`2e)qXY(Ol>6t2TD5?5aoobEOe1F2=VPjD0&JG@?MdpfFx#eebwR6 z`y#SE<><=WQp+ybmZNv|gj2Hh%t|)i^mpD5-y^FRUyP9X-HCv`e|>-MaBiyWNBIo5hr~Y=7rKX1R$1aHwluJOl@M0djl=pqO^*YwGR{ew4)P(h{)s!19a*w)zclLZ69+g}Le zfGN-g1OV4|1jT-|w-haGHfP`Pk1&f)B1)ibA)6A32ThNERd>pgP-InvRs4YNc>IXv zH(!U2Sg(wbV&ZXDilc~9E1TzX?5y@Os(9duK znvV$0AlN8&W=v#P`>CHG^JttfY$>);XIsEEC)pTa5#nU2@|^BcwMDwPzYnSR9l5`} z8x#iiwJ@fsrB~}5Qe<(7y&XWoZ=%^35WQhvI1$KJQy>+QWj=&Zn^KC#r5|j-cfk89eJv}bddnLbiwBh%tTvqCb_@Ll;kOSP zOu$gTNci^j*ZQg>*wgCT$U~9c_C99cN9GMA&OBZxNZsXr#0{JAt%!B~aVIZK5-wLz0gxdmfiBkW(yRWNPaFUh8$!5>sPP3yq`4?B ziQ_ZS#>|X*i@Ca@Dyo3zFvAJsSv`TSavlF}<190|S!*EYiKcLm;qh@i|N6*Pgso0P zJWvEJ-rGl7#%*lCID>@P;Gz}YKWnM&;3^sX0L~7(gt^*35;m4IY z&$P}`K`8Y*%MmsF>vzs5J<;Z{-i|{`u5wXCE^j#L*8*(RejVn~iFz%Y5%-XxqY1IF zmVk!c+u+gXms6x=o(bna!q2~8ufIYbIR{;P7i$A*d0PjAzXZ7y`chC7FtF3JHLMN;pELCI^tgYm!N|yj`(N4r zT=BPeVy2_R{o9?1i5ZuPmKK-!>+XNb|9$&^O8?aObENr8>MwP_>e1k`GW>B#{QK=s zpZ?VO+vA@;{3-jh|KHyKNwKi7{@a;o^pjrNrd&bB_`_IT{)PD^tM~llq z%gD;i#bsx2YoKER4IvV;rs$?Dd5r$qzS*>}!HxirAGe;!AV8{T%SwAOn6YLRVkr+H zbK%syS=Ialls0_zca+(TKmmSJMXx^^L(1)yec(FVhHo_Js$h(K}^^QFx?&iT6BWHVSbF89R`ftwwC#T!HyWtueFc;8AoZmHM zmCc>)H-TDt6s>(833~I0{fSmV+knXCLD`P*`TY2)g!I=zKwfG(2H@Yiy46H?@4+-% zg#`wQ7;62Uw(fO#3I+S2U_Xv6Hym+;Ev9ylw)~YFmOLTg*1{(-gn@;FgqS`pzg2+( zctY#x4Gc(DCDwo|H-N!?)adc)^%tZ?`7kDV*OKtiDWwOFbP$zzS`(qHdU%fsB^Mh@ z3#zo^`&a*f=*$R?5=u&zp|$qAj*zw!bBoU6@`h;uYUKDmKhr|F8Db7pi&fQ4{vmil z!Pe$AiR*g@(aw>F(a+9}-9WD}~MCB|N3ha55#0 z#p=U1APkvWrN#U?G@(qfN~P7(Vnjmc`c#o{$`FQfIN#%*!~vDxag2^7ZD>F6pZ zZ?J>gb7!w))@b6x`r<7hEQzW}Q?xbpLvNtrG(}~oBPK4*=~nUMoZabiotb;Wk8m8pD zWM!diAxvRT;nd1;|4zgd136%I!u?%-4ijfdImxE-@R51B4#UbF!!r)&{6`sy|NRuk z48u8mHj$ZM!?7y)IQUOWSVVJAP^s>8-+ACV$Q?*B4%BCDUzZ4%R+k(XZ0$(;C??R9 z_Hiz!P_lG|$rW77Tli9L`(&Oj{U+V*08^Br%Kx?wb5w?Lsu|XBK2B){d4mrD(!oc~83TjD?<1QV@ zK0(5Qhh#!MDlU9XsF7b}j*B7YwJg^79!##~38N+!m>`fCPM)Gn*Q~$dcCgg_A$JxN z&6d_LW?qw6PVKRw_U5OMnblhsn?pn(u4{o@q{?a-@F2%g_h#r~@;ooA@1oX@hs3zF$DelXOyb2@452`x)Pcd>e0sHL z)p2+p^!z!YWfnfBb5E#lUJFST3aXB}+5;<#UV^0hD3({xckN%Nw42d}^TQ_YB${4R zMi4Wbnq`1ssHo*^k`aOzNxolg8-_rIy@1};#&Je8>Vu{HJ$(Hr>=43c4R|krYe-w4 z(A6%oRn~wKcv8d|xIBlnMGuX&WOv>@6+9t7InN~zvy&B43am?LZ%V&4PZhgk0Ii_z z0pWB=Wi9~l@RT-mx$~t*3u+-3ehiK67u&L`Mv04!;^s*63e1B*6i*{Y-?G}MBH&Rv zOLpK@QWY>;HUS7dNNcs0e@XwC(05oNeP)8^_=j1IXZa5`+MoRKf~My)$mX*^+)sA; zyy36P6g_O|`x7d0W_Il-W+~mQw6%eO?uKl6G&n&ni$0hoboV)ux}`&if+N_BTBCb% z5vYeHnENj3hK27!Y^FS13~^G@jkvVDZ&VTJ^|Mz3Zg+jS2Ypb6E3TM5CKCrP(}InO z*EiWW5-xJCA+3Gi9!wDEx5#c#n?mLJor4c&Bqw6n^#k4eW8`HGua^NLdxAX9#^|GW zaYk84=suh90$A;1-?uOEZ%d=wABsd$CDM)&+Y2`X(r7{s@P*2eLHk9or4?g1^{H7^ zD`Q0I6b^nyijfz@)rztfu1(J`a?XP$T~K)BJIueB(AOiake>S{<{{Y#lMiCg`JyQC zAl`Ci+Nkv@*d`jG+bxfrxTzCWu{b^K@oC8jUo^Iph7L?2sEU;tV`&tg=7w7%CI~W5 zYxsvX<4fv~5Z9(~R63J&h^ZNPBb4-!+9_ydYRn0qSUOat<|3C_IUqT>LDT3fpQw%% zz!&U_Uz!;(fQ~ENP74`V{T^+lbM02I3t8%76*Y7`>t(Ghg_;nfa&w9*8y}lj3ijHj zWVPY8Jvipm9IL2gh;_Sz60*Uv$i}h{kDN7W5n22hR(lMxKg)fL_s%CMPmy0tY#OSX zn+b*huZup}tXrT=9V@_gODLxwlD1Rgj7KgKcXx1cL7g^g^?Q&ynv_nwq+EXA+(~YL z^aty1YrNfv3z3>jK3_$)qU^c?bwgZ>G-r`!P5)W8-Ga-J(6QmM;i=)e;%NmVol3FHE-xg>A7rU$ zN7lcf$>fjMGLw5!9%ri&f9=|%qexS(t5*gk3U@JtZYx7{c1kK%{)g|P4T|czo_p_m zZ`lua-|~Q6SdDLeE`BTsh%SOFJOjG}5=79-F5{L5evH^wrKZl9rma7ckUVvgT05C0 z{gt*;Czm*!%}#!8XqJ51MCccfc)=M0$YWjqU5*m#+iXHv6H+EYw*r+VlJh!ceuZx?asq< zjKEckBs6i)z#IG(b(7lMv@yJ)v0;6EXx-YnHMKR>tE(!5t5&X9UJ+QPEcMGv9$CD| zS6=4zl$I1rMQ+jMEOgjy)&h&ba|}eG8}GC#a+36Q#}Gc*s>Ed@or61bFjtYA z6yn71M-DmS-PbA`M3W;%^vFIvqP60Fqjp=1(z3@^RSBauJA!tE43BbXlz5s*4xpY$ z<0yrKLX^rVS6U-RnbK;CV6Z@BV7IZgI~Hm6`Tg;#N`tiQQU(oB9yMIS+!AQX9b*VB zh9!55JY=c^$K}z=N&Q4pgh4In*sbgy*cmg}K-@gT9mI264bKxP?^jdYyr?BM@-WrM z^oVyzHmABiA{&$4v4^RC^Ba%j9>_}rQB9BH(I-qRdaF?oQ+!jEyi$9Vh>6km%Z62X zRN1HR*U%y5x&b{${bS|j>Sb&i$|JJAJ*N1LW}gxtXk9c~40_Me@iJ8|TS%#@92MPp zYmPcy_W?)Y!@!<-S`NxBH=&N6d2s&zC`n8~Mb?|2J?lZ=wH}w@FHVrl z*o|%&GOR6{E;gEYli%P2q9W@vfNodP|5}I{xQ`J6;tZIe865KghV%!p5eyouR+({O zX+cM#j7_=ux~j?}NxDNhB+59T`Cw}d+2W1WXmh{c^y=}X3WGQ?j&;ZKa~TGGW1v!HAJl~|Bc)H{{P97v=ltVl+4~TxpKo- zZ1+_%8iNbx8y3tLNaxTQ%F9*Ij_rNAZd*W$VvW-Es3J#oO&>^Rj}0oasOXom6>QND zMYIRrPi7~M`;6#`I4ZG^G*$sMJ%i1G`2ey&_&g<-1WS_6s9xZ?OAKrl?h=8r0)f9o znPI(h-5V6|gy+Ir#OZM7To{@W7H9EOTjO{8-2wa%;9yo}ld~!h{|1?x#Cs7+GQY(u zuLNwMsVk4Ox9u?H=_1e2i}YpGdabHJdl$n8#u*^k5~zfd{p<|jd+!Rq=s38;MJw}% z&LxIZP@PEE)<}Nle~wl)&b>=t&U}LV_2H%l>c=3UdZ3-z=oxG)a$;XWhG|AAAwd$-+WI1>TT@h0OhH*n1I49v zYwAN1-m4YLQj5TZr#g0GB^#UiWhON?c9WDp7T(&lO|9z;KenN_IYd7E@E_RkJ2RO( zA7$*B8A2zHXq$Ht<>ttNf%g5G8S{0BEdp?**cw^k$EvRbI<5WCPx`HVCAQunJ|yg5 zUswf>=aZx#!>btGV}S{J00{G_cE*q*I4pw5HrdH5z>&RRp(pXpXMjMz1B4E%ZqY0u z&CmrJdZf%Ic#^Dm^tLx>YMmJFOx;OO&!vN+8Ia9kaeA&{gkK%}p7>U64M{*kl9tui z5x*n|w?pLc+vhTGXa0Vz?-RC}z$<_IawEIfsNw!kq82|y4=f?;)Sak{4ea=QDg;S5 zT1;M&NKv(`TCDOkdb(U)VpnljNmpsFbXxkEG%iheFD#j~P1+}=ccu5dH>9c3DbKVt zQ##`*ce!0fF3DBQ)i_n>r1Q^C&Y2|pRkxcg2A7F5a#$X*U%x229sMZD1pPKFMt^g> zI6;TOMW0s-%ZHw~WGxgdqJ?6HuDa-lA(;@8qzA`EH*rspEg+*&Ud+YWbRsRzB!<(| zX1Jvin5Ky^rU((!2{g%Y0*lsVD9qj%!`w+`?~S<|tjX*o4z~PY&kv6LP?#T_`9VU4 zQAYy=N$~zJ4rbz!KqyqV20JprB5;BQBfN>$hcFRyu_n;PnVU<$c>SG!w)JetO!uxJ zv!U6iox4u|?)38L+TNDdz3j79e|+!yYrW^R|4>~nKvCRx9REM|b<6JU-re4@cY+)s z$Kz5_5%DaRjaU>LL=jQY6DL;WOq7RWOH3*7=c%SdAKdOeak+G$)#p8f{6N zhm&e3juS~#svQ}PqN6sP{q2Fq!tCC%x5M21e&5gc_x(+OuqZ3?@Wqc0pLpFryMFAf z#VbseRRbaGp+C={+eU_0pUy2CwJC|o)c-3GuTbU*#jW%2BCI6JircA!jFNqJnU@rk zm^g3|F;grS`@~x!BkDlXPMQu+#~Dh7tmwE#-=J^PAL)#4-giP5;#sJ7 z%Ln6p#XjQOXoqVX!grzXvZYZt#KAixS?pF7^Zt4!>w~UxpXb;@^(cqmC zI-xB?!T#Vdq|l;hZ|b5aTOgQOH-K$XCqu(8wk%uLuz-Q%6c>;?f^E)|OO8*03XfFX z1!ta1PV!Y_hpl|p^Bb!dy7UQ(b$Z&Av0$EMEHZ~A5%UCMJq_aphDDM!Ns<{0 zOovKC5+zL(VV1xYAz`W;4$hFz%0&Jrp8(az)FMjIpp_jAWhB+|A$W=i%}#)g=BVMy z6!XNrBHbW%i8nC&%#q)P{~0E$+O1-281IZ)4>->N z^s>JQ+8L}H8c+xPrvF^c%e4nGgC=qP(S8QDM6GDcSlutcs{%h}gK;2AB6`B2A*unY z+5!X)6Rq-S=M!^q7R}<5X`;h{N#ju01nR#3m-ejoyvXhP%E&9&e~a$@e)(YJJW0Tx zL~=}0nt_zPY#U0%#K?CTF#!z@)4g()AFD-5k-9{wP;0DLgnQ0=F3F3%Oq!aedA(EB zJX@Z&UEN{ZrCqaKwqNz!bt;r`NX*0a zBx8_*k0Kik0G0F_F*pQmK<=cG30DW)Tp1X;Vvf?m0TlJM%%LDS+|a#XV7S`Wv3~Z6 z_51yf6wawV1x%_rd-)NoA`IZtjAQYl!m2|{W={@{zj29tGb!TE&nQhl{IBhi!8cBP zhYz|nv8w9hKU7su%(jz($ir_Pj&J|GBhvf(+dxR$qkd*L_>u>up#h^>@Up2STgXnG zCQM7M5~@?z37duA3A5DP%}n_3}=&*AgAhVbyDX^@)=6U`^Z@oogQ@q z(UYlfGfIFz)kEwF;t^|#Ru=re4zs>!ir`0zX?l+@TSbWzTzEKW4A-1vc1P|A`GLZ~ z-0{KcqZfDeH?2SO0$z;c51tyzUOc@tcW%YAIkkCAscS*OlzGReUhCc)x!Sz`#$Ry@ z8T0=42iC5h9eS^R)w~W<-RHoOz65nE=zXI=0c9gN?lah;#4-jl@C?2{DzH|t3%LbK z6;s8pV;kj0Yoqci`3)(;Mp#DinX>3b9@>|QGfhavaq&1lKKW)kPKQ4`G`TMsC!24K=GkXLH7Zs& zdP7K5MUns$)RW~2dFePxXeX&bJ{W{CC{~RnvF-^c?~HtTQ#J7I>mE6d+Nr)ho$*N4Q2Vo zbxjpBYZ`+yD_*0iS+CAra;o;zH&-8+l(T1f+t$-p-+SX4_Wg8z!|WLg*A8(dOHQwu zRoMponr`_EsH={A=yM}DMVOLOEW98z3R{Jp!f&+O(^6Uzv5pKh>5S)T?r*!UMpe+Dj25Djybp$ z#>!)SU2>d>Z@S95L&J9HN%Mvbd5*kPZj~8%qc@r&U>zaIo%%zWgTr+mN&7>CL6g|0 z5jD#@oEjj6nPg^9G#3Gg(okSL9RG)naZ)puO*$u+j0>(fdJ%iBe|{lyAaeOoH#z?U zoVdhQRuGtXJon$-eRy%?+#?ie82Y-4maJVBg|oB+K4B}YGA)cRx=qeAv?kc(3Mo^_ zNB1Fgcpo)~c(IYdaEIAK=CHzJGtheZar{U8S;)6M?-r_;=_9dz5R85>IB4!n1DOM+ z?O^b3^B1qiqozczu8giwj4$$^2n%Zzd2}7N*=3Mcco_3{jnYdN3<=FE)k+uWIff~g z8ksKXtaM9aeKdnfvy{;?aR;*<(t`y_h3IG8EWwaCBv^PXS}cU+xFp`9@w|nhG>KR= zLLhdK@^(gml+bNhAxBb{A+XO7DsW-aY{dMJ?W%!o;ylCO`+axzoiDM^KZz5Q_?(22 zBOyOZ0!f&GgJz_FM7$30LP^OaI380@%wzw`@GK+vTwB0hO%%!$o96UMmmDLMrE;ges!YWAp?nNG`AZ%a)w$)+UF~xUd?w zJViK(X*V5gxSPPmu7<$NN zH%o+4r8uLqgn4x>sQ8K%DJQ6D8UY_Fv(L*x@fTmvK@aJ}I<3%~^lp7X7j!K@i0{2l zfrd1GQ<;ZIK-3&PBteC=29xaIWZftM;#8d>XQi{%8F!v?+MQ3XErF6?g6<$1oou)V z$Pl5G#2^v;?cu4!pFo!xCESc5{gEx$1?{Liv2+$pasdvbw~kfBaFfPvqDkyxm?PJM z4<2AJAy)Z=bV7)1LJ{N5fwEIPr3n33YF||FI8?*fZ|An{T>RXNv5h;;|NQI1SComju9ZG*X6OgLQ2cRtb4Q?A8VZMnXh{b1Uv`ntz5Y+n^uw1pR}7|DlFzL6ivZ_O9- zvvtEBvA5dUM6Uc*PhNf1gb9eXw4=)0YDp&*t}^u#^S=c|8z~AAca3Lqb(P?^tbmQC z6h-KO%4YMG8|D}0`-8ChZ=b`IfH|D=eEa$jHvewJ)2FFge%t&nG(gQAh<`NxQQpsg zwA+01)$W1as3FbB$#cj_H_^x*qi|~u)RO6*MgFKKswJ}e{b#ezX3Lt#lV+XQ!n06B)t%GIr@

    mnKpax)qX z!22o?oL{~OZ;2usv1WorENw~a+AK3U(D_7zY~+j+{)hQJ~paV0AVo{;97^Sm!d?^v8RB(g7-Kt7~i(mq}( zR%*0iqB1Ir5`FDKe1?0v*rK>q1D9$Nq98r1@nQM-dds1NdP#c1Fm|C)H$!@&r3sDk z`c}Lo15>J`VnVEqAE!)A=;2^6Uv@#PYBl)MSI5M;E|PBD97H@#95TElbt*E86D>cKm-m z-DM=2WN!bea=LaK8Z3C2tXf2PNiKYh4-H_PJYQ=@Z{8f88^_c>(u_UJaK6pjzuKeA zEB}m}+KjWrUFUk9Y-^=SS9*$Eal|POrt1+9_}Uq4@Zx@hH{Z+A9IL8SkULdj1li{h z6(e33syEtjlS<)(+U2|&eqOG6$Z!~48&bG;Su*Vd`T2HwqU2%1Tw*-aa>M*vD!ILH+X=#eMw(~6VItvVwfchA#PZJ%>h1?nMe5#)>O zm&UJ~r<%)+781|cP%B?wAL>R6g<0Mu+fdO@$FdS%8{LaZ;kmPTbzTg;$VcAhWwvCp zTt-eio`^$=bO?z-?m)5iK!5U3_c(G)*|B`3>JCHkx8eYLn-<1z+eT@J9GZdj4hlQ& zkyn8p+o4^SfbOo__A8i%s^X!4{l?U7mRbXjg)<6lYvSwqZNdhGO$U}l1{7Qe=rvF> z5c>1`4-hK|Sr=g9@%sb|_*4%Z3&6_`Nrq4$@eU!{8c$x)4)8Th{xCo1_Y4}J3<^oB zyx@DMnaznssW6j*nA)&IL^0=@>xH0CQNmkLrWw*jeqb)cjMY}8^PZFXsII*JwM!&hs4y3 z(K9@NHrg?j>IU{5Ic*}W=)NDBP$GK*1{<|@@O@PSG?CP5rImCqtnvMNS>UV)HZ^^L z={HBg86RvtTbfZn^$jCJyN|Fr7w0gC%O#KGX3-mPlK}Nc6F^=Ft_LztDY2$ITDKGj zVSYMcfH<`$Ey|nK*LcM&$jTsg|CfqStqf+c?1GbpCZO&ICLeB48KBrrfuqY3R zc9B0}AcMb>c>}|!YWp1Pd@VJNST+X|p&;RzApZ#dKuZ2e!K3;1@y&+&-TAi4=~f+Y zzzK*UnRoFqz>~S*@t9+$XuV6F$vXp&&sS)AS}PwleDD^JvfF>(6Rci@V~c7Qj&O1< zv>tMBw-fK}Q;Fk{IWj-A>B55z3%DZA1X-oA9VZ^geNYO6{r>BS)44(X@{d!dw1+N9S)YD zvL5cqr!YFVCluZTQcQ;{jfxq$xPkr68e{3IHUrqsirE#`q3g>9PN*yLA8`+~ZrO{Coes`!nk_sBgP_ zA&Uh9N`rmxGruAl7`W1s9RjKyi|zB{UHY>)b0X$<;LYds8-gdo^L6q4uw{LYfj!8< zxp4TwEgbFsDU_)%T}0(q$|{Gbdu8QE{DVs_uhQXL+b!Puuat>3jU6L&S+rhZH^|{# zL$@Eg9MUfL7(3t=cN9Yx0B2c<0Y&GJ82XLg_fnn@qMluyH#TGJ*Uu~(1xr6YFg9o5 zH|<}cZ1&+dZ{m}-jnm_=nQjVwPfqkX^gw-MQ0~fjoL$#HQd7+U$G)vX1=d*L#Oaks zemHdqS|fUcn@mxt?anxkhunBW+pAUgT$ghmi{H#?L4U>!8@@)mNxpWv;WjQo8q{Ad z5T?*(H(W$Jo1fNpv4c;f=%d@w3{C{OH}ep^G3Q&0kpIcSDmW%5=A{ zwkIVEd9$fYy*Y$Z@p6g^i&D@mP(4u%iNNdY91H3aaI4iGCkQH3u1MOBqB(P@!b@JU z9BAly#kFbQm{QN}lis5}{(MzSG-|S|^uSux-^gb^Nsfj*hg+3)j!bI|m^jYrJ$5sd z!8CD;yB1%^40%i>6-aS$x6D+1U|?RY2DUs0|C-qXxbv+H1VX4CFG;D{vR&-53 zwW6u(QW1w=$wH>-1*M;>TBjG8Z_%c!9;zwCL_RdvtJPOyzGsP&0wed;$nly|7ZXUj z?3}WqJ?K={pX<3SQO}^FqP7ZCB-#U5&D;fT_^~`;Q|^j$Xxk4H^hFhO{OO}IfnL76 zoT8%kjI$T3#NXVp+A-q>KOBss>BeJtPaS1tD6ZK7dchpro1MdLTd`gAeWo%hdBZ4w z7kRId%ArNfal`8p`gCyYVpI1XPuZT{NVX>U8Dl|D^0ryIln`~QfrIS4rv0?Ky&=HK zeLOwd15E_WyatI?=ezAAW|JEFmODj}j<9S2m{k^5O0LqH8-Xjdz$D#-MYs#cHrRr3 zr}^Zr=#AVY^#G!NF`+Y?v>LU;uSA#SZBD}qtLAY6sXI~B=lBjbG*WeEAywK|JBH$N z&P{jJtEFW@c09iXzuc z8c9-p(fF2UffdB9^Vs8%`HnxD7P;>VV(`w`Dxsjv4`sAvw`TDb%~f!NV@EUC6!_80 znGY5!xECww+D-z9nU){dG#4CSNmSg@`KfPBhNIYCxKdd|X-+=A?8Q1FZ$eDLPB^xu z1A0w8Qfute6+{4p< zuTf#{if!m4iK>KY#>(5-97RI(jOtmDH(?QYE8^1I%+tDqa@$7ynFKH;*glcxuF-4V zlXo_Q>qbW2;!_p&Si656>I}}E+Hk%hL@dcY`@S&Qs?3cVX5FAagPtA?{8nl4?29lp zz^fjLnLy%?TT|koY`P^t2D`yh9NN}-(=svdhZvT6&t^odV6AhCjkQEp5|;0H)P6W5!vs$Mo(1r$-Q{=%$R z(?(a7op$i9F9T8HbEQO{lHZ9JnFnufOXMeDT%INt_B=S5PI5klTUY?nkVTw3gM5|~ z`6^&xE>J62cmA!0S|7DLfCtCJAOz+tpA+m=g(0szpIBV^*l=qV1-e}DVfh-md_~~41X-BY}|pqFVWH+HDXWd>KzdStpaLlR`MiT zAwuaDPY0Q;O6yAOdF+c&;k8{|PkVD`Z}IZ2wYAmNl~vAS2_+)vRoT%>TRISbaLtO= zVf(CRTRyjy4v_H)IZ@QpvT&pCr9%`Wu`slFoFShtmq zhy%@F3P@Ik!*P}Th2@4pM(aWFBLapzXXZ=k>%m27N(og7g7+xOf1 zk`NJzsc|ep_l3B8%`~Y5wr413ZB&`UU?z)Xi>VnmVBJ+WD;Wsb=MAiwn?SRTZ#2a` zuHCtO^!)k~Q)-n3z#Kk36Uc(~>u{Qt`y>pSF6EZ4UD#olV|vmGNotKOjQ>1Ti@^S{ zyZD75SYi}}ampxs|2tPN1%=LQH-x=J1hg_M-TD{~FkJrL1Es;BWPU>^m2V#TDh9dpkJH}T zPUoyD{Bb1_j&1R*9rKLuO$5S)154A>nh=)y8YUC2f_r*w`;IdLOC69ZbVsk0L)^}* z7WP=Rk}c+8FXJWA1(s#)kQS^fXyuApzAoe|m)(N6+u}YHf&$fxz4sw&*B>=n1v8SE z%8%|>BZ(F;Op)MJk(47~!$M3(^g-1sbZ}HkXmKc^hzivf-;TeDmlCAyHuA*I644Ik zTiT^&Hs-SvSD3F%D-fBAJmjmd&LvWrf^!nH7QJl#5qNv(7>cvtO>acoV81iEw0PKj zGP=~oA`NXaXP3CPdS;DZ&Z_$mg6emO7*xe?WsI~T$$jq7WdG2!+L`fWEn|t+=jIF- zvnj?=Y79FFpO$-!btC)fQyD$DSDx_Ao}XA0i*=GK_j{+QR+!glxXpr!b*iItNFSRf z{flU6mfHz$#7+_UdoYiVj~FeQxsSi^SG66k34h0{kQ=Tl;O`$tEs@nl#kH(Ez!|qx zZ1`&KPFhqYbK3u8tA)B*LEpb~Q<-xL z6&ByJxkL=drcIQ-5fL_C;cJk8m(0bJOjeH9nGiySd^s|(Z#xX56$(W0M7;}Lv*9*Z z8vsX?j%B^_A3U2K!RS(aNb-`D{ILLO!eXLRNShgHUBWR>2QwQTZr&8xJ|)``JjfYqus+$q zYZufR5i{_<>w$c}bQy{}xPi~gLW6vEwpU^-m%e~Ifi{RbHl*#P3L@ zNyR0o61u%|0ZlEFlfUbJ@OZA%PC38Jeb(XO82=pa75OTJD5UAo%?+?=_GMPe1JRRl z=FFCIMl4=tRnVGD(T=d8GB>e7tp(9MM4-yiBqymJhxYlb?d<&}P1f2xGpA$yWje$n z+%EY5yy4AFC`&|(BfpatJjykhljCSj!CcJ{ESXIr?T7Vn8n4cEgiuS|RCqU5jXU)M zaf7q%BatUqlHv8$mQ=4LPw)8FR|}nJ)esy9_X~Ri7M%f0#$f*RdTU0}DKIhPtG3B5GCf&YH+q15VfB zi{%i><#0+9|6r$ODQYI=jf=grg5ls!K*kcwGXOLd#Ai$nscmk zUJ&a6EjQp_x0rKN);@sY@Uo$%R|Or=)$ectETV&HytAjUZur;(G@HK8yP7OPdn~>$ zHr!ak%tN)0Ls0T@`#IK<^*ec|tc%s`R&_ijGi2kAEEB(!ZSC%HMBfIo5 zTdF487D;mO&GbIY@v(2p7`LRi6lr=(c4-`V?P4Vf!i8p*Sk^y0zOsk7{eTl7-UpW# zL^RwKi-oV95YQBO35kTyAWX%n&6c#h8IN1rXDSfCl#|FTpSAItD_lsgQY|`cS}cBg zB`h0j7cKB@ob#y@0Hdt&OcL&7cWGbk;}lBSe$O7Z8!F!wo5srKBzX? zC{eFrM$1hZO!{lIBU6q-O&~;}X1catoht3vN4>zC7TY+};8M49xsJfVlhweJ%fOSv zz>~q?0#x-1LbWNdd;-^8>GY1mNnP7ceX6;_+E#t3rGnDx46Ui5$MP3dyx**qU!!qK z6TS9Cd!%bbz58frtZ_c4jmoex;S$i0XQMKPY8->1dv{EOj8oThgYX1X=xC7zIVP}W zgxLfgW1HlJQ-fAK7jWJFJwvKC%@w`-IQlsGxa6T*-Pk$9+IT`Dn0Sk!uVH7wyj~50 zx&+b+fVkoPs$!HnkCUNo%W$ZqUs|&U6*yH{2$eWe3Jkq{7+f^q#)TZtod!36=lyuu z#$>sI+Y)CAo^e}z2?$PgT?g6RDU`v)(&c2iGcX+?`~6P|vDp(GPs4rSIyk3$g97v1 zQv=6BJ@Y9Bf|8qklZHfK|6J**d{Hz^NWB>ubw%}o#6a}MC$MU*h_(pr1oo>uqC1uB zHsU)2Nt!w}gvy0)fcZ66?#0GxTGUl{FNFt6F+lEx;?l!sZ_Z)Qv+$kSxWMcSFNHfW zsAD};`#M>;%H&O8Ed_Y;2WG03Owv|%b$wT94lE8S6BKo<+J`!wAZrW%}(rcg-f3F!m8)ErSCZn3+(SVV;@kvwrv6 zi_xiBNk<8>FZW99eivb*@aoG+%xtBRirNgkY{9OVI!2v!oce@tl9HQ5RVKOgM5#zJ zjwy=@juv@&&$$j#=43^ufs;-OPkCI=MRIDoR38dt37cuFX>hC`@+Z7_y7;iyg}h@A zlSl3oLL?M4t^vmg`gO5lKyxyN#_#X+Uo46o!vB+c6XSnZFJh$qPufL)S1ZzppRn5H zfeEfwk4oGCrn%>#09vCv>|VQyCm$6H`xb8~y`W5)>e04! z(dK0LG*wdtZEIK5Xg=t2VXcq3L7TZorH)i-dUkSW_FhiC5M59g{Nfmzv@B`0vuM85FP~`LrP%jy3a811 z?Y_!<;>Es^HJjos{n`mVgLwBVmcntX|4e4Vw!GDTd{oe_Lh~t6>x{x_!uvtd<=mxo ztBjT$oTFVOzbZdLEhnoaD@S>r{Fw4n$yT_-->bkY&?`^sOF>araF@0mbq@F`z^c5v zNebKcAgXU4~wc{)aSp8FVQm1y~d_*KNAbV1vdAnf~8)2eWzn}(*!=w*K)@BYHo0tok?MiSG%Mv}avo`b86 zA+^Hi2hBeey9{)#9E7Z`9PDveS^h|V@#)$d{ysphCaxgQt3b|g?PzCeXeVXpEF&+e zK&@b{Xl43a&<%%{miA8tL95SQQ!8U?Q3FFO2U7=EN|8S;Q2(~V#r3;OpE6vKv^32B z-;UTh8vgFgpP~YW_WE|FHV)QyIJ7i>^+iJ0-html*A9n;m0H=-2dDD-&)nA{+Abdd8_6%dg6&R=igBrvKXim&g9@+}{rSOYKbF!O&9a(}kZZe4iIU zO4rhm`hV)gXPHm6K0`X3&oFZ|IC6g~$vfB?I_R5FOIh1l>RS9cq--{}9*7$2Xti#jC(6AtC)5gaB41{_vedQECxd;QOm@q7D^DIr};Qwvv; ze+(>~&yht+&97@C@>dIttaQ{W|JY_=p#S$!`uF&t7Io0IFxBU^GPW?pq4~QPSpVe( zX&Ffz8fretf1U$BFAgmg4Yjlkj>G4mqn4M{wKxCFvofUC*Zq^jD=Lp;q-$YsNNsKN zSD8P47IbkCmUsA+F{GB~{VV@(?a}@oQUCC|qm7M)q2=%M{A|U}z|ijVmLU1(EkQ~x zXJ~9{?_lRj!uxqQ7?S?o$nV?eFC!9$R>lq{IP@(4>!UvIkAh;*m1n3%Bl3Ve6lKWt zDy2&eaAO;^j^jC)ImC?C<9*s;5|Wb4WBT}b5=)SvD}^I-&2=BtuN0z1r`8$a;GH(hVW;O&rL6(i ziQc=3WbIQ?X$n2pNi;M6YL|*}^#yov&(%T;jrO#|h%wj7$5hCgetU={Hxm;EMajll zWw6>|P1Z4~%Td7DPZdS7XeZONtkLI#5_K#=(Rg<@UodIgZ)|?eMGW6TWdLHc5%PI3 z>VZI~p$K^0qX95^)TKe$Y#c;n;_{3Dq@kt|Hh@~~UBq1M`uE}Z5r2?j7{M9+Jyli| zVS-S>Q2`he*&5+}@9=?}1`UW)W^wi1AUjv;mhX}OlO%d1x&?a$*i9&6p|$@&8LWz~LS;6FTQY5%Q+`S%Ac zEkE@?E-N!FGwc7NjOn4^E+emu)!78oV#cgCt8%Z^&V06Ps%)+(cBbD}8AVA>f+V={ ztO7$|f&fB<3j=`L(o#jh{177!fJ>rs4djO>RzS6_UvMVUrq<9pXY0_`+7Rhvw6wxG zQ^t;-zLN50el(u`)Z?K1hwSi-I979$C~?s$90Pc7irkmu22Pjc~nZzLhWS9Rt<@(5LUMrCaHsBEjyo z3W0ie*kP@rq({Ysc8jT$R805I8ToL3bR5qiB?fkC1 zNmFS}rv^b()?-q8A)zApJUJdoEq`&3VkjWvQ9M5CbC^YAJG^Y60bup*~$8j71WN_J{$JMEeh)mjU`Pmn9z@eS zGeK^?gBp|H6Y)@j?nVi2Wfq{j@)EPv09Agz&05Pze@Uv;Y_ddX{)v@Uv>rK&>x>`e zjr&oIft>5HC;H(fkZbV0>ZZ(k=^`J7GU?a+ckfC9&ra2=Bfm|Uun@3{?TUD~Sp5gz zyH73&*wL?-NsgCbm_-0A&m=01h)%&cNR3B7`PB`19f*jCFE##Kei7OmRNR`X zsE0x`F8_qU!U+E<(zST|+7>cvEp&^+>$-mR7a(|M|AXOqwry(U}%TdCgYp z1`3@&PFAHgbo5mSh{#}*c9BBPQMMitPl4kAx0ha*K)g9!*!)Jr`=4z`F9|UP3h1Xa z_jx%wzo+tb=vv43A!?^pCCGZzraj>Cb#&PgW+jC<&wxJAfBNifFHWnT3N}J)=n_5DhJ^ zS#-hBAk6DTCW&UrMD*{SK*z`L;toqkWpz5idwwWbGNzoM(opqjGh=~{n2pFM5wWwU z_u9W6;Ww_f?<)wa6-)ApBh-AM|tj8p0Y`|ET6sgA_*UO)izryu^&=k%) z=xfZO*QXQ~y6%F|Z2zTPzqO#b6m-SfT48UQ4EijH8*+SkUoVJXK`Fw}`f>e*t^H(m z?QsmvpAkPz*r$UH5#tMKYpshKhw_%tsDz~z<$})~h4^f-_QdR?%4${(1&-1V#uYRe z97-6q6nwuAf&h@z?Y5NGp5!>Gc(HO;)#Ch`XcT&ZVdIY>_ixwqTglileh}ioV4ev9 zl?f{p(Or(Xj^SaqxdzFWJ?_B$T;(quecodAfxWSOVpK6CA)j+*;g3+afRx4Ez=B`f zcIE;GM3nK#X5hlQrc6E3DPUI9^Jtm@v-2Oxs)f84eg>C&wbyG(veuA|-q!bqwdXnv z%L;7wg@*s)jOj%VVcOYOfhKd~(`an%KCju)xWJ+opGQDjn9nF~8lif=k$retrC%5> zE~v8MEF~&Ad9rS`ZS71nLLd?v&hhbs1jx7F7uU=G*hglTvAi(WxuSdoNrs?^itBE& zjo8$ZWL5z!YpWgP$0HbFk|9Y$iNK+1a$scA)rQ_TL}-;zTnH$elw#Hqx3l(D$VLTR zMPCZ38l-y2G!ssdURNwL~a_`kk;Gz4VVM&=a226dXQqknzgh+&& zan->C=8AQh(*~-e<1?Bu&g6H%*yQ6o_nN{t${M5n&)4O-Q&*HN9Pmq=(OTWlbn<6k z(PcMwPEUnCmfd$`Ado-!?NWw>Y&UG%d3=&U)Jf+Q*IXCULVd*YiY48m0Y!K~2?CG+ zP|nfN{b^Cq^(`!_IvSmwoi0-{elMC=I}H&_51u}jW)|ex(@Lbm3rMT=u);F4v&DiW zBXtdLdGLyP%pa5OS680gTUT?vez?BqKwX^mQ5*`2&B(CcW*Y5B&l}@uU2K@eyQw6F zIVH5+VsJ&HXv_5Xm*>Iz3pq2a@exmJ&GvdL*ZLaaOXb~(`Y*TmorPkj#!m}r4{=*v zPh)NVdp{zr5E>xWnSHFx5=Dc)#U(}eyEYp=3e8+yO+Bo)Cm!o|keZzeoE>KD59Xb1 zxk4UXZn|4|6GkJ*Mi2AIRevws?Zd`t-`PxhWNeS=-&&1SHtsxo6%bErn~UhE3nM>U znia2t|D53hZTU8NLoqOeNZg@?Gg3+rlY6Xe;9#MDh@Ntj+UJv8;t^#vdI!KvZI`Yu z-w6*3`6FezUnMEsZ=@Nv5w&hJTS^Gv#;KN*jsB52-@LG(ubHjPyTm7vgtAoO=rR&e ztzj{r0TGzTf4Bx z2Vcu0?VuVL*^VwLUw?`}viBc_0ftFdj$NL-dd)i>@;G1~78SCE zmeSt)2P-90ByNnZ#*NWCrXHh82qBob&Ny2Ib%ShqS3vzwf zMaD0ukG0-qeEj;3MH|qiX4ewpcagGS!8NYAaDJphH7I0w3RcD#EdJGkPGJ1%}pCH^0dl` zbfqDQ%YOVBv~y{cg#2C0=RL>UY4iNV=~_&4lwF15COxW+aSi~KMUj*)v!VV(Y z%;7KV@3v1!g>!a)#D-~~)dekw-gPb#yS|2Kee00)%Zr-sk%yNMuTz4mKi%%cj!EIr zty1vaM=PuuhUUPV07{QNkntnIXf>LQiW1$RNvQ6H&05HpcZF>f?EIoO;H^!Z(GDG~ zkWKi!pybl29jm9nCq@?|H?0%Y0t2z;lqxo=iC;1;6J9^?4SoAf>+6gmgEH=y600V+ z@3ngBx2>UBw9ljyPIQ(0o-i2ELIL-qiEuLJfq=RwwD7cncueGdz2%F*5}UgS0v3wN zaHN1bPF|xO0`t`NOz921uADZOu}k8_(H`;k$g|y)G*EniNJ&EaZ*JHM)SLUny5ebA zKfX~&hpJC|kUKCO`3O1Bc2jM~W(S zMcfo_PRPyvH=ekC1)bm#Jup~Bz@~9kk?@_|U=4sEwY);1l#UwFqF8QBiLWgYMWlt9&J+?E;!FSvzb%A-|y>9vfN-uo@Y-{Q##v%1_PV) zSi8zY#R+bBr+dsLjlj}L~NsviS=9ije~&PCKOd#3pIDpIrzytexpr%Tl;jx9Y~ zeSmkT)=79E{yAo`+S;rb16gckuGxG)eX@2l@?!hMT`E=?}fg->THEI%k z?L~U>Krw=_aGh*^WqQ|Uk`HDdmmgG_ob1!-0ImBGAkoV_N0v|6V+YUc{f+nHR*#M1-dNXeK^PCj^iMq|ETaHuR0SDCia=Osy(Snicn@3zbfWriS9R zgljm_hWg<>gEAzv%@6@8aLGDq$+4nErhWC20Efw^;?NoIfJfu3S9cs&cXQCPj~!U) z-VO(^08_`WTf#Q6@^|FZ59Ei)dkoq&yM2S2Khe=Q)#WR{QA1aBHiK4nJPY>Wo85cq z?!tNMyFGI~WARiacKItMVpey|Fxl3;ZPR#~Th_FjFh26`NuQnS;8b@xf-7p(LR9ck z=L8N}LnR%*zmN11C_Wia-8=yoNGUJ;Vy%ahpQk|UYpUGbF|*7~!=zke_E+Xwz5S*3 zI1r@4kNG%J=*iw>MW)3H62^7)MHn=kdwsTneN!Y%)mS57^9Ix@h|Rr)m{~#_i}gMj zd|T|L;r1@8H%p@wGxaOBHv^L*#=ZvNw4Qy%52AMd*&G%_lOI^A>GLZq2r_yYR)^nM z(d(8}q#uM&NyEpzxwHa9RW_Y|9>fVYI**3^I>ueabR;Io2)Wb4C}Y@1G&L+U&OooO z(cxnb=K?SM9=jqCD?$kVgCU`-M5Lo03As9X;VrF)I^fpH7N0u8}kO0 zIhq9vKJ9{_uz1)|q0t^4_^*47{K;f&u1M?yQ!Sj?&-;}#3R#uJxT7ZE$EsNMQ1MW%-US2g!@GxNS;J$u-9oXO|y9X#YOl;^fszX>N3}` z@mx}L`*=h`I=qta&%#IHWwX?XyuW!B#(R^N`|8FmVFpMQu_NSqK;a+dYOdJuio*4Vxcp)oF7Y&jR$$zi z&JEa1n5CZ|kv+Ieur6B@KLjO7=DwfN~Od&u1jjA!k{C{6M)bS&NO9 z@=U>blwsHJlF7s!Icd~)EvBmkyRSJj{DfwOGy1qF^8SUBlx=W`J>h%7>)@^@?&4(6 z7a}T98#fo2Ln$G91l5V3(qgV;88nh{5wvI<$uMm;_rtD;9p-)ki9N)F@TTSgWQQJB zVU0FeZeLN2Q*#f%2EHC}>J9h6LTK@GfS_wopJUGlMaB1Xk)_c{;9Goi;E<{m9wAxU z54IEKf@QPfme|*@{b)$l*hx7I8wxXHpcX>kkwMyf%eD(#Y{`x>;cg-d zH$l>kojC2*#;Xtxjux+|inxE@J=n+;2{Oi;2%L}wByLh!uLDBgP4)8vY(9eX*2uAL z52vH)iDzOh+wFK`F5IJmM7wMUrKz3) zi+RSwsJCRTtRMX9;=(NJqH)5n?HgC1aItvDY%+5(3Jl`?%~Z071et+OSBX-{Q6DkC zzr zN&tM5R5_l^wgUO_16)r9g|>vL;|8sfnU$IO=>6#9y-%A2K31u}Z;F`#B4lsL9mL$` z=XEDrp}J#wQ;vEy>f~svpDLf7ur_`;BI7elzQ;ZbQ+0;gLQz{>It&dO7(|#;nfVrw zCBVuszd{`YM+QqqII&M*2~zH`5V9jXLa(njyg~{v>$}1Yhwyc()@;c#tQE$@U|W;7 z_p^hv0|vO^P3W*;a-hTgIi913ofkReU`s2*IQ&_8(wICDq4KUT#Xdr$7T3}n?&Q27 zD~w68$Uqo5c;YBR>%%^yn*+7UeX^)E$4btn4f>U(KRol)19J(we~*rRwAL};=FJ$@ zg`4Bng7ul?;B_`&jMjvkVI0o^h1gt;Gb_aT@rty^bqMFRczu|JK$hfIa&W1CvTT8+ ztW^tR6l4jDqB8+_$|Y9+-j`{?Bu3e{^ZJl5LUjr`Z=ZBk#{_Ln|(@Z!K%#o*oXX3^&-cNIh)}#4YoVtKZS9b$rOT z_N%7-a*K1L4yNi466GY}4v`o7go|q%_Q7yAv#QyD7Vo)P25~G_FS+|e>82tnkm6hK zSI;!p1}<{8K`LduMC6DrXIi5{(CsUVB+-ZB(zJK_Ez0hvriB;mM8!I4ei6GZ_DD<= z021rmKb7EzoKF<{R@yg)02-nCY*>tt{e4)BDo!rcq5M~GThVwJ5P(!vOz`W;*_|JB z8`v@V#_egr&4{`%1r{ly<`T&5Tz~IDRb7e$(UfK)h%7l7-azp8#h09(?o|`lXR-@M zN@QZs6@$)_k_Mkr>-7t0+tb?cQmJSm@(ne!!d20)hYGnMhCq9C(SXy?verLQDyJh_ z_w*-gnc|%2cu&^~GT^2AGi58b$%WJ_uAgljSxl^+1p1t!gB_O?hW}vap!hdX2_Pnt-%Y)G2ep*#ZQW zQrwP|@)3*O@RcSkpGKZ}MyYyxu&*+@&bHi5_K}!I|r?3CP`L@*}&xn3__v zmTDGA)K4ND@?!&g(vQnbfS;i(uxear2YW*K=Ej4i4U5TaCZEkbu-}66m3$fe);(>) z#%jyobLxRRd3=KNYP>@!BTO>Ef9~Pv177C~N4QS(f0|$XTR^wJfgH4d=G6Z^IsVCI z{1vM%usHVW4NgVW4CB z8=axbFD5CeL@umrr)z0#Wguf`XlW;7XH6+@sb}ziK8{<39iyzo8BK&x`%r1%FW+|3EYt{xhOM_c{0cY3VOSgN}~*lhL4K z#i3=Oq5T9inEwW8e6k0>nUKFAjemLu=Z|YXKdo_o`{wV!1``AAzkm&TR@VPtz{Vd} z{ulP)bL{;ubL1Lv8)juZ2!U5eq=&T~Bt)$lraS-x_0eJPHg?Zngq-DaP!08{ZtpV= zz&LFJ`lTJNtXt~h7S<-1e#T93?M`1h)CO}o$WHYUHkv)So%^dEHlD4WI5B!R6&W#< zI5~4lyR8QG>6DHddCzv-AynO8mZ0CeS=T-+o1XX0YkE@k2EV4WLs=>6CGML zIeu@WZmNCDc{9r`vHQ)p$?po^;=2fH`7-ZAJ_e?zDg&8=&m)Q7!llRA+wf9#^C8)Y zQuRchcW0jWjLYLl_izB+f@lIHO%vLH{@0cJ=z1N|(x=iKeexB#%U0~0_)qGUE&=Z$ z4k%ZQJC=RhpKLCenov~2N&aKDjZk%t4y?@_#%HwZQ<+$tob)aR*W=qUJ#z;;x9Mp^ z>l_^?0fxZ&F59+kv&**GW!tv8Y}>YV>-0D0n=|*$|KFMC-S5uGh{(v?84>xe z%xCRf&eA(8eebPLquyLnVp{2UD(x;>z3W_-ug6EY@pmFeUTA}LZcnpVI8yG-XF%a)RtGGZ&97KfMT1L=-h5A~P3?|Ln~1I(XUPhQy_uqJ9;Lf$02{*?A0*2B=QA{#$-Myg^ZP7b%SuaI9LJpa8) ze1+hQ>WKOup3G|Rx!q7u6i8t|UGn=)Q@_o|pf3 zBjkU<3}pBh>F_^;8xzal!Ht3W{~bIS85zFW^{+(v|6Es=>9lri5Xa5R%f9m{4?q6wUW|n_%OZOK+@9#$cx%_ibUlp0Y z8u)92zm|U;#NYW0e;WL&SK#9x8j^fvH5?){F$V@&eQ5MNzBk$6FZ(z(jNz*N&X`+J6Mt%At8K%>Z? zO|LY5R{VqZbr87nOGr5MMZ8Hc=8)>mw#KfOaTROcw!jLmQ%$IGmbLMj;*=Wlw2hv3 zE3dcfE?G_DKHXz$sEC7-r3IAAQ^Tg?O}O7A+Yy#&n-BfY)EkOu|PF z=3%_V$n6IVMrz20Pt7m$3$pmGpKuBt$v=x9{0Ol39r$91oebcM))wFQU;VZ|&B)eC zN~f)@ev=#FA*G^S<8<&uCocPtVMKa%wNrE{TE)L|C&7N7nCpuxJXZbfeVW$7zp%aF`rpe#*&i@;Anx5fbBmUp4-~aBk{F6ETFM9L8 z5#--A(fs9m zXJ!3@jSTF}|Avfzt^5-+{<%W@vkzEU|FaL6Sm^&(2=UJd`Y#CaYb^YQaQ{CFrXegKdImg%d= z_HVRJFUjWV?~*5ltS><#z#}^?o1DoRxSe?StiMiFzUX&~bWV0{j=5Z{r?xn(T(X*= z0RKW86wI|qj!|!LkT-$dO~s_*{@x#yR_49}^Gy*`RtFj_OE=?*=}E_z$M;M4bPUKq zVINVZn>%pm{5uQ=^7O5{E1T>M)s+~Zp{wbVoJWx$-xTD63U3@Kmw7Sl&n-`C<=~A&>eBn5c)kdyS*@6|1#cHKj@MPD~Qi(%yrH(V?bE!vkRoC%y z*_XcaruQN((mQBCpa@h%L|7C$5+6Z}m2za1;i&@mX_!{xxD8X`y5qm(fZ6 zF{ANB8n?+s`!gg8je5J`@#ARHXSM!*>AZ#fVx`9CZct?U49h6BMw6Ay=V4SPdYkj* z^6PBp*gGf;4#$^{b4_-1Hk;eYUnW6YXF4?dniC!N_v#y8BF@y$SOo-JPs=FO zY_A+d0(rU+IkFgHw*z8!>T68y7#)$F!tv9aXoi-SmIiA(CO5aN;PDfRYl<^_RyWKb zX)Eb3sl8;T1@tc10|2MLo3>O*)lk(G$_dMfZ}M(M)KE0!sTrvh03`g3s`oi1s3pE+ z84*C|{b@p0J$*~hE8s-&fgo(EAX4L1!;vI=8L0-TB?m3Xx*;rkITE@Zxdwhvb=$ZG zsHysuu>C%~!B-}LmbpUfB1G1(g&?g)ey9KD03XB%HYAoY2$C_to-tGv!pBl;3%^PS z2TKRb{sfW?7s}ZjY!)Jnqz@O)AJS*uZ$LMO=9hyO1PnCD%5Go1Ui1K+ERI}uP-m>PtX<#X6S$K7DC2oU$2>K$hMnvF56GftK zK)f~x%H8BzMj6Bts><@+a+;-XR6jS~K-v^?H}$>cJ@wt?74g;JmAh@;yU%;wd)a%! z`#|QS#uFF_`Z!1`=>AJgc0NdnhJ{1UUt%K}{j=%DCGvM1>+{X3>QU*?=B4M*>f`%o zX4eE-YTpUZQ?ntECz4O))0A}=sz`$KYRiEqWaoPEj)vQ!vu%P3nJ;5U{0ATV(r~!n zGysHl-Nkve0X)|=GKvDZqOh8y2xujNHd07xy(VTQHy`7eNNHnmTmzGKb{~3O4Jb&>I+c^HE*h?C@OhRynl*d(Rfv5gw{;0j&I*w8#o~d&AplBUN3Gnb`(4i@2!M;W-&YkTT!W9>Ae`t}X?U;dA;FXD@M)z9`{E}3J zKx#X=tmc5IpIDJd2xjGA0E%a8ve0U8^&NGMLUk1)F2)y{!4M~<9u6 zuc)odAdM!wPf!+*u-6_@AT49O%W)q{$Hj)k(!gF`!J$rX+3k6Ge@=?j=b6;|gt;DR z9`o!B)sX(E{veqP?=+$mG^3!-uW-z$J;oOSS78k$$%WR4L=|L{8PWf#I=oQVtb^pX zQ}`s+o>0m(@?u}DNjST&?IyROBu@4rE=8<*oO>tFBwX0IA`LCaTADteccP%Bpp`OF z-c}{EP=q$IT((LL!$yF89F;ky1;l8^WTH=;{dS%aF3EFw$VRw`7U;tWv9Twjw0tQ% zm(=-7`i}WN$5yvF&&0B=tJ~G!#`4cQ!`0t4U^i5E=OK(=<}4Nua$zx^Aax;p~ZvZDx4J! zT8c`8=laYWUxdB#5IJlzqbrkW)SKj7B&uc?7hUFMW)F{a(8l%z=a+B0=H#{qOr})w z!DWa;ac+MI$;)OC!Wv=;JSn#GIUMV1a%G&JX`pWxBsgEpHOS7m`2ZT_;$Vx!NsE3| z<*3&9TZ5I^OEUEtb@sF;Kr={l6TVfamQ8Ec=ordV8io|+20#7$jM&JD)WkPvexc4s z!w4I{OTn0BGv^Z?ygtws!NwgIe*h#)+VnEUl{L- zJIt^mZ@~8wFfCrt^>c$ah}Pia8|WizBF8*{BL)N6%ix%e`?EdTeTpIkTPSAEWWEh8~-zoql9mF_?YRZ5x!M4R4q7jGt z{pHeBPNdCnN;I1I2n9=i@>?nSu*{!B0^8RV2eq+Cq%#p!OqJK>__anDF%?P}L>l#5 zwX&KjVns`r7zhDaQ4A;Xo7rxalncY`-7#A-l&@B_Jm@&_5^ZlkRG!6(6!6GPwKRot zl$476uqx$!EoS-^-GaphbbyfK$S>75V*uAbh5@fk2(w>{!2>K2)=Ju{g8UhjpD;mg ziOO78FMy%kgo?+ktt43X2tv}XZB*+C;--+>%0db9rMH`ac~ps6#eZ_*Wx^eHlu8>a zGz6kST)jKLpw{2Z8wNE#0yQSPSTlCgGOWK&P=X2}fXEuIE2a#Xy>h2l3|*4G$_MSu z4pmprKRnDbzNRD_=$u(Kxp)+V7kYvXjEuM5PM^(4H>xMli=Ch6o;j9?F^s#FMr9!- zJT@im^eu8fSFr@alnKUCP1H?>HWP6hhB@{J>mKb7?iwT9inLo^1LscUi(5GU)Fp zvuUzNB@yg2a8F$hVdclMVgol;UP%Br(&-KI7RiURqX*Ns<#okGOA>*hV=K_5zsKVX zasW!q)e&aR`LW58U@hZ*@XM2iGWMf8nUr4PA2isRZ7!X^00)G zi>SgdR?Uwm5N5~>k0b7bzWPw7YMSJ}eRV#jSp2lesmv#_H;b3#1DD}0MXf?9*9PA! zWLJE+V%01T3x^@-;p=bL-v{=i-EdzPop%#*>Zu;>7(j5O0{7{ zAY93Qc2GMtS2kWFg;!W|ulB~l1=iGcnk+UOT`};^xZHx{`><}hIJ}UYWTYnD5~>(s zpA6@sBn&-!;>97NX?q}x?DwbF8&}zT106!XQPqJ&I@S79eQ*#7r@Ie$S>szO?M$WT$=$z9ETDYbE&NH zi-pbuw}|6X=Sy-g#E{bKgSUX!TMgqGp&S(Th@|f&IUy!BmpzWo0sr?0MW`48Jri_e z9SP!+bo!42f^hu1JaO4=m1~f!wGrGc@by@QHzb+sA4&s>j2<`~v!r_>&g9jyNeR{ zeH3)~hy`brl4MrGK6rm@M99llH++4p-9m7}&WydsE~7kk-E?aAnw{oP{n*WxY6m)* ztEp?5U+O=rKYw)VCx`7*n&6g2pUR@&xbD99cppb@VInXiV8z0GhZWBT=8Z*5wb;S* zgO>M;mO!WJa{+D3KYxpkqv-8v(I%;A0+a`RDj&_9bjd&4lSG(t?-S}+OC@z;%WHyK9r5U3k#!0L*tEfi3^PQ|3hE~~D zZFk(yx{HCs$NM1s?&dV1SMP4&E5<9b6H>QGnhgfdqWmax8uTUlzuC}ixxx22i9;9D3ZaU9j z6ZX)9s+<^bObF|Ku^hUku}NkaYa47BQlakPf(3NxT@z>|*vRe$UVkS>``NP_eQDaf z$;Xuc?w+MlT5-Ma9r5O;bL+ET^!7n!Ed*g(QH&Fzfhv1qnF%mJ+P(EK2qdKcXn|z0 z-BP@v!*9$7hY82@A>wjB#A3I8?cu_ced*a*H`$5nj73TkN;}9W>L~`+d>HYN%kHg1}$J=*Lo=sM0;grHj^wJ2nT+0?prT)iTL-=SC*Zy=r z{i~nGK8Q6^Q0@tR^fl6hqyQwc?1zM19GwD(WdSUz7m3kZ|0KJ9; z>@d(1IFctDsw~jaI3-aCzHNNkbLoRzcdt)J#qP(Uo+qY4XqD$c8@p^}h6Q76;mUo}f-?)ozpN=2=X#mhHGznv5P zIw!46A6@BBNRV&sk4TVPu%La~B-uRRb@C~FU-lBhY>4I%?#R1IS?3((l+ebbmQAZi z%HB?0mjBW>l{-Ch+Cg!zYaaJew%x{5X;$MC_~i@!`u4Mti*E_6 z26phm&|nFCc2VDrFo0#UTANN_^rif2VQz-)WeFJoQN!q>@XG&S>?M-dT8)@c=WGI3 z#DO(7uERC+tr6P_s| zw~!3sXC^+9eK7V%Z)1NR_QQshCo5;x6NV-5N9{=Y{&mN35X)?0jY)Gvy|`L|k>3MT z#zZM8E7?GqVzDP5-^~jkes#t0dex`aYsuRC+CWcojE`oisi)n*f>fDL^M1DjdA@%q z#PBD|vG>$%PcCyXY$nGN>}y5v`$-Hf4?ze_Hpv>IfpJ}(HEr8u!>U&TPj9BtWNQ%6 z^Nz54XfRFPF`jFL;TOlX5K56=B_J)F|=2kWH==MS~XeI;leHYAJ6Tc zVugm3)B<8<$cm;Ti;~(%`6xLGcpy@}LAEnGy1$wYk(B}mo_EZ}nKlOt4>j0L8w$Zr z=zS$zSj)Qv}Z`BexNB0KU^Eq@CUHC&EF{u(iMv7r zFj6=A6z~aqKL`z!-Eqz^@eM)s@uT3D^eV%c%W$f=vt5$=nXr~~j{ES1{ZL?mN#!$6 zw)#_>80eZclNN{5rb!WAH#-BtKADQMN$N%?b#1wyrDGFLff^8Ep)U7|*3S1zD`9fr zY69aw>=>j|i+@`FlGp#8Okok(*sDIoWuQHYrJ|XtfRp{*$iKF_-EPIoTXWypmx0qQ z*@5D621F>asLhp*rveFx*AT#Fo6@x$F4OkrxzW=c=P|C=!w%v_;$5OI5XBaNNnik{b%=txFR?b77IIpHb&ALBW}&*1kvZ3+T#;5fef!~ zN`o6_7m6TGF&Y?ODU41;A9OD)+BbwR!gK_!LL)~jMN-K(X9TlE<+)%69q1pKz+x3J z9-e?|@=%>y_g@bfA&WQUR5w}+8^=ypY`1^EToO8d#PjrXtvfiITx9ETyS6yoM^$K1 z>R8X1jO0|ytKGbP7zlEH24s+3t$r0B>@xC-XKdid&~|-J^tiynwgch20hK-?6(Z7aYNQ|(^BnJzJ+`VwcCEvt~_UBOMqb2QnG8DlD#D}w~ z)-}&P#Rwr%>Eoxsxf+R=T(`3PisfFhifk|FIUYlmGYpTXbLJCnG9r4NH{@xTHIYhs zw{>y38Ifn#q%pxSEZr}JIV;pH90pRxATTNpf|i&&e?oaTK5an;O@nkG90<=gS4W(T zygpX zt!->m;|RYxVr>Ze%fIjp3X7L~$~?YV(i2>#aQl~e(RoB9A-e5%%H7_Apz+I;wZ&bp zZg7(IS6&eA;)j(KR9cKm)>vLvzqiKt;Uo`RpP$tBpH;q7yT~*(6I{kfRngWtBRnr` zUOzh}H*=2(TH`lFVfjF@RCUQj%_TmFKw? zg_3!`bEiPg`6r)4*vJO;O+~~B+h-YMEvWXQDj-i2Tbk6ILen&Z?u&GcN*cS;xo;m&{|o zmaI8j)H;Zm6xW1AQ)2oE72PHQ4-$r{71W|T*#Y!u^O8bEoQzWWk9l0;liiGU-{Nyr z<@2oNyN#RdE)|$mjbhrYS!P031o4GA7pcj}C4#mMomR``nW+6pk3j{)p*BW@+osEj zSi{+m2Q#VkhbZ1}cg}h=K<*IJHs%p3_l=ybSdDs^fNN`!QNng}QmfQE?r1$mhndo3 ztfEMAVByWcX}-A6KK=U{@Y>w~&Mi+OZ~N0$vL~d^7J(-kNR1P1(G-bShye|Lz)Xz= z_JjrDr=7QTXj8r$A42Xr4)Ew4jrPi;g*}?F)b?9>L6uTn{pDT{U7ji)mPkYB*~^*h z9m_-94-eLt4_Ieyb(1pHJuWGX*6l=tXk(Och-<$G2z15CffeM|$gD*D=zcQQ%SB*| z`za~)2A0yJfwaMfCKV%1m&zeZ1m-UIqzK3nND4*DU2AnM*D0u%XswTrxwNgUUZ&gl zz)s-};VVEZo<5!@HeFLM0)6^_YZ+VLv6)?knC=ruv9H*G{($aTkfmKDeQ0r?*W>v8 zF4oL1GOy7~ecgD#z2Ex#UAcYlrGetise=Z`_L`>%896?21TQW&sr+I10u0qoWZGwV zOu9P4RM>NThjabn{M&g0_YXQCmud$wYs`@6H1ufM2w{2b^@9d)@hqQM@9X=kOI^3m7z-S;HAWuAd#_ux0dX*#67VSQ%j z9w}<5=S4T$O12n%hmH+~Z{=URYWc`Wz!BEGLQspEN=VtL_Yd2-ZyqlmU4dSiQ8{t; zK+vutWJ_E(<$Y6l`qKZ)$}Hn4^B=#9nk-DuYg4;3idevR=Bi|s!?bWqViYy6W*(5V z|KL0&R4xA)Q4yg_c4Ml&KEhZ!*hRuxK*;$Vi=#G_GWBl>CguMd!G^ zm@2`|2q-)g4ym%U$E}ud2a#NN?U=$pLw*wX%6p}}Sm%f>5gAu$$un2pKbdEyD1vc# zJ(Cyn`;z^Yqv4;EC_AziFTSt!mOo@Uraiqp#XbJI58KI88+=S9aLe`({5MIt%smkaPHWmIDniz@}lp(yexZT zdTQfkt(KNbTSW|^gA+skGo@+I@c?H}6@>dd5NouV`@thuCZa7&DjWTB_BCQ-CyRjs zJ@R|erzEDGPSi$(j9EN=jiSYLqdB*Dl{W=TuDHaGv!0;7hNVQV#w82Ppf+Vbb7+Bn zUaf$}u2}>Ra=d=vKqv+?vebb5wPFs@Z@*|^LG>Dp@PQq9y|K!t))ga9#;eGg4x?Z| z(BDy@?!PC7CWac`pNgM_k1}g<6QPwuYPQdm3LA168T$Q4Bm_30x6V@vzLOP-6zDXlFl{Fdwi}cecFKjj42AujnX;f(+@43?@IEBqK+CkI8-zr{ zn+Z7Ye$)<^>PDLaj+R$+D@A+j@S#t|Bp0^ldRK-XQk1D^rr z*51~kcT-&&!e7C(TmC}&ec3b)A%U_%o4rkR05WzLVMpdtjOEljy>QSR(tC!SPT}5B z1pIv|P=sWd{u;D8V5aC4ri0b)y z-m-6LpcY#l+EADGU<|dWs0|Ah?!bFKT@@1^ykoz8AJs*=29f z%^3{`94|TZ8kxx)+!>_!}{6?LH@Y+YVjO|xFSXLRmC0HCS%~s>+0{!$p z+r>534u0_jmDS@G`&N`)J$~r9>Ny0Q6{c4cRd&nlFy5(=v1e+BE9WN)gBBzy)D;T` z3D65FX)#6+$BX<9TYQb1G7Fy? zuc6~awv4XIPBR&Fm~6}CxBc0n<_}3z%hMfZkD+TJxRw}Fko^|08^voW4<7mIh#%5P zz!?1e)-c!LNrqGGwK7Wg_MMs$lx95CEZ0){xSOQ%tR63wVMb!;OVExNnddx$K}Q`t#&*;63c-mJ(-Tk2hus%*s-(+!Un-1UArkB63$9zt6g7_J z@PMfiHKc>9l)5>yV^$?&f$EYEk)_MfVkY{HbddM}h*JU*HhfF5Lnr6PuT1py*2Op? zumD>u6eYDnq>;o6ch*A|snLc2eO3zJxbq(no>u(^kI#Tu3p`jo%29*mSEXi@Ox#w1 zMS^9?)>cmzm}fb8S|&{8O;O}aOb(S3A>EejTYmO*+rL2gVD!-(v}+oe_86U{B?LJX zzR`tM)asCY(|uY4o?c=P6f&r@?Tb<#Op(L7e_aL@al>gc{$6u=19HahfegxE!r;?o zn?+QZ-mLfVe&}>D<_LKg0`by_?f#?Z^_-A+#s+MW^y_Jut76&|aI#@+j4HvxFQH13 zwJ@Dt4`TM8qXmJ@%I5u)`8-DA8F8lYVxmU&adW?A;^%LOcht#wCDiw+4U5(SyNJ7_ zqQrO;MMj{XW6Q6900v_cf#ge8lHA)9<^UihS?tf>z&sZ}Q_mt&eP2H#0Sjp1Wi<7aP+hU^#31e_@7wEAxOSe@Q z!|UM_h5H=vY8VuD!rpFabJ2NN(dMmov9hEMY<>V_8KkZ#0oMgB1`zb?iTTECafw0e zdTp@youC0<4p3a4B6bQ`F<17ZUKOk$fk`yvs<)A&ofqp2< z;Lut_ki`X6Q?T{Qf?Tw2IKTLjX`;>-%hY>~Rt3naGm;fVF0&!}dS$mblgG>UCCesj zL*Z1#@QPXRt=6>K&enE#OT{1mp0U|od$(qe$KV{(22(--G@?j>*Yy&%v4q9r#E+hO zzr}09RH`E=+eR_;q=DT#Jia`E;wTT~l*X0EcC~h#p^k=)7tKzD3;Z$UP<;xK;V@0& zIy&N*B~onQxiQyo=WVT*>t-JXW3LW5F-avsl7@1ek{%@ijTjTin=rFEtIK1M z%NZ6oc!LW|-{t%h1-$rx4o zu9>aM>&gXLeTuINA>@SA$*M z`1S4GX_5{&Tjt#+JD`jCF_~Vmcnn)H$&qaHtpRzr;_I!es2@tB@3)AjOYE^vMsZnR~Db9YI!%@$2ZLTp&6Y{;|q)vo!oam{k0tTe@ARO9x$)lg0A)Z zh|n-6I(X!|g)%xZ-N&SBTG`KFFhn$+e#}9b+aU@^w~Dr86#_8w zgTxF}+Pg2=1>w+d6hWh{LYUPg&l=vMRs8&*>qIooZeosF5Ap`x1Iq`P`Cj5z9$cl1ntyX(DSSfsWXJpIg~d`5geT-9IU}zg z_UYRouCbPxn>Q%+z!TKgAvU&wL1o9D04~6$un+wk?+|@nh2LjDDq0%^mfyK@#3g^* z_jB{eMcOBX$=HS)jBMkGH&4j-SG+R3Nr44IUM?S$1En1gT3eQ#n_u?=_ENdDd_^NH z(c1i+h#wk`>qMu691Io$ZwRyNfex*+q!rz!}B_=WIT~nAyal zIdpzq=4D%con^=Db3qEH4G|swkAbF3Erp-eol(Rm#>#nLK}SG zT_Ro^%=eh%qiy5pcG}l|{&c;0_@u>Q zFRxMv(5%ODY})>yEezECFt!2K;lg0vBN0l`c#YDQWew%Vg?oaG?=wUkd_ zAY0@AHmDGm*-cCCJZ-kCG+<5VR-_NhZQ!A1m-JlNA#BIu)bdffB*A^bGQd6&e}PLm zQ2_0h&d54z;AUfFO&eUr?!_H@tdYAU&FDFbl%BA^TDiopy}A3d-$aIRE&n7D4vi$IpiHyHDYmEYyeRb?z3`gpyOd z{$A;dx&g}zWGP{oRB15|{Mmkk;-yN&QyDDy#D1pIdzgMaiOQ#Dwal5O?3nWhNpp;Y z_O4Py#v&3J&5o;iA-OOYa~+6`fREg`{QwDw1q(P0%DYyW+9#(UQ0}MTK>26T7Q+GG zILN>j-#Bv5KEfpRKnSTJqUOj;4#B__tP)t(AQqUH7(E-DI-YCcQI*2ji&n&X+~E|= zKnP>KMs+_fM|sL?G~bL5K|CQ*qxzj@Ch99>u6QFN#<9Jg1B4&0gmHgNf?Am_EdY8hd^_P3Mz1Sc+V~FPO)A@= z^c1*p{egV2s+eJ}ag_s{P)w;FVbD?#U7YV-xAM4F#oFj#^TimrT}9TEk0!&YTX?rH z);XM&5U$7Tqyx?m8>Hnh{KEYHL3W6pbf-9TC+HLSd{86rn9_b7%ni!zHW7Q=AfCza zUJ4VBwlPDC2A9y0QU~5Qdr6?FbvK!c;;ZocMhV4qMsI`P8>#a9-X}A~D#M~xhV&7p z#)kU1aj_|M4cM1@p(~{!1Ep2D1k?y(^0gTgt8n_@0+i*N^=QcPYa#)9V=Y!Y7W(UL z^UA9FyQy|H!*-9oKAKeO%dp~ede@5;^VPy^CjkqDR2KCI=VNocg_gKe5W>W);Cgut zt&V}c7@yUykYT~0as`x=E!&sp`fV6XG66Nza5Q%!QN<4}=zRnhbw2kKVdgDG#8Seb+1;-ge{g1}S z4F|U{;bzbtMw`%NA}`km*xb3xjZ_iY4|*ku15v;Bsx=mI<4f;DCw$Mi!y{J>3mf7> z&!UV{1~`3!l}Tu(=~zV^ZPX5>bx5L`JtON_-G(9)nhm_xPx2(Fpd<_}JmYk8XsS?qvoP!=g*VRY0czxeL(t>z2JJ&Vg0*>;z@S_$9Y-dAg(85|3fx~lz* z_h!C$ABaJri@p}WyMeAoyWF4Y0^>e+Wn@j>B2f)E+$>iaw_amISRg0@zT&)M68+Y; zDxJZ0J#Kf#PguiUy$WEDQBCX|UQI+nG@qG8nj*5?LRAf0y)>%*$OcGHoBt8I zYls?T$n0}+SXLD_0EvN3$~l7QKG;vv6ljr)4eN#gSAl*Tl?Tf?&^p{7hPBI;vrJrW zqn>G|u?m>oc3Z4NEx3PlVmhod&OB=;Jt-PyZb4vtO1coV%zdcNVJ4IJYj)FLvOF`q zk%N4KZQg!G{{1RrQsH2irClF|K);lX+sHS{ZMWw8veNxz2)m2d#QQNf{+^l-n0e9 z$`FtM!uXG;Y7=z!u654%1T{^_Id$qpdCVY6AtVd@@*jYCRX?x&AB{!t~Rt)9sXH(hf zRUGV#VPkG&QFgbYkV8G@Yme9VHkgJB48>GnV%zC2_VWU@**LrGIeq5KKniSLs9WQ- ztH`KzNX%5F4sR+P&K)zrfM;`jlT!=M&4$%J4=+OHXc$Z_@mZiguKG329miaF*%elk zm`YL5foSYrwclHs>a4An2(ASVD`8{PPdgKy-Tx$@DOCi7UnW`HTOtHA`n`haYI&@@ z{MN`vG}J|z#*w+N17m9)anTqsm)0pQU7%Gf!P=>`pgC45@h9Q8`P0Lq!kf|`t7r0T z;ADWZ?i39BcjYU{J&#DrV$ksgHR5|x_B;#0q=aiCGvn#^OHLwFBU3w)ZI zTzCR6cKUEco=VD}Q^62(OVSO=6nqaaO28BUokEqyM48-hhtJc`ss$J$1-uac?2d8} zj}ujt6y&EuNWD@?Fq;g(&;$)sPAa*&0KWAjzo~CW{Gh)n-7KH*J=RN8xG+Gh2HDE^ zFbjRM5Fu$d-LWtJ`#O-F2E0k~G~`t%CF+z0gi4vB;PmpH=C?598tBL0RI|h2x&;NL z?O#tJs|(Jw8B3=MgoF9OD>TqN4gtkNqnS)mWM$LEa0=T9B-uu6WmCR1qCfF_+64$!bl#qz_9H>d~LH*E7%`ioK&e^XQ0QOE6} zq9`x9)Q^Y~YjkhbqDoz}-fB1D|0VKbplR#j02tgoyBI7o*+1QL+VS4^{yr3Kv)Q-x z(bKby-yW@v6`H3)yV>qpce_Wa=3>LJQ-z-{;uZpW!9KV7{bZy7zDRb**xd2i&XLjm zb^8n-*LGWJ%~-F_QDEHI^j5{hbLPzmG%ftIy!=?WXwLBduy>gK{E?E8>*458wUKPI0ZXDPCD|n~Eo;d8mt|>0pMGyY zE-I7npjK+sqqh7~Vf1(;`~AI=yyrwBZ3J8heBrFIQ6p`-e-6`?)zB$KRCnGYWSCsX z5pF*uy`odV$#OL>v)C>o5z_P}nr8VFQsDxrpFx z14}_i)&XUl-)9?SwsGSkR*&tqWB=fmRz!^9E9MM|sNiNPnp?lHRY&cPx?t@BZF<~0 z*b6aXT_+4}+xAUKiTVDX8=4uInW5s4nP92~__Qqkw7ghyO*N&tsM%*mgZTr~9-;V= zY)Y?q0*WxFCUc&}5~9>8=F7a$JiG*Ctu-g9zLir2Et^VQyY%;kaLz_$h7WQj?jAJ^ z`ccux2;n~OF}iX3G4f0EgOH!B#&;RhFbJ*YwB*V^vHj>ImwlwerNqa?)^@K_HsG;%JGDzJ&)PnJ#>rHPsjbbfP?5argt6l z=18n2DAJ_ZDhsFzBgj8WmhdKq2?&8*N&M7-hSZl-Epu0=$(f1XUgHfuL`n(XRG|wa z?PhQ1onmv=WW5r${(vIwL4VVlI$~QE%bH>&VQHb(L_BvLj`uYkPjSKWS|VQ%B2nH$ zI|Lu6(es);kz8Ftd0NX9c{fL=5K$2k{4lRfjdI|)ODwGjO{vIM#Wa4*usF`=j`WlE zfn}(1rdc`3XWLaAHIumSbKsFljb6P9Qws?x$x5IJXT3M_1S9|=RYdonM~spjh-(r$ zlDRQQE|HC8RjVmsUtlTMk8_s;SBDf{wS#_6v;OgkBHYT+wiXh&LzB1Z5O_j8lA9JY zzjn+;bl}&bdT*{V7oJE&nD2CnRMVmD)}&?S(SAO0@fs^|DjtXHW~97FP4?k}H13Uy zaca;s)4ej4!xF&uds4&4Ps__WSyK+^1Ha7tKt#n&h21e*1e;fQ@6~uu|1!`dY6phe z74fAbAy>U(pu2K=UCeo|f@SVL*uhk~W18UDMP)O}JHe#obJp{4d11h6kp9=aQ#tv_ z+So1{eM`{&U0eCN?8aQR`$m;|eslP}?ZXdnS6+6pUa2keyQ|<4__Z$JcG#^&oV6;L z?PVF9zD=u}ER~DCGk`Iyn@71DK%BLE3O8^U08iPM$4n<)Z-&8^Z4CGKTiN&IyIR<& zo8sLk7gia25xhMx(OKbESk22yqVF_NOn3=gs0ivFV|%XT+7L$oh}4b5qWjK{MnA`gXh6E&O=c0 zTvT%Sg6yx}IFIk17Z0n=x2X1$U8vzTz)c3^CtJ1~_gn@nS6nR_x1I5q0d36}luvUr zMYF)lH~lSXk5ASIU*mC4;~1yB&)u>c)U{SBRiV$=q4YJz?Z&1@#I@_<(0ju?D-)O5 z#H$0+0=B!7X%TGoZmnT$aTkdSA$nINq4?k9~p5sp46BbV2 z;WY>s4V5Rn%$7thoKBC*bv~H@YF6*;6Gms0$yB7xmYOHxQ{V)g?JqWg};tBK+6Zm8W+GTIMo}iHrHA!h)H#> z5FC`cJhgH5*OhOIQ9H%<4P9)WP?X#?X>=F32v^(DOR1EpvJu3tQKYocX5fu(%&H!g zRyaGnHSR~GmyZ(`Iq-{Cj%h-Ia_6Tn067g+RvVm~upx&9B|8XjzP)mF$I*hd;L82Z z;n??{EOj#YOtM1zMZ}@%oLYu7THgXV+MT!nc~B~SA&5x4EW+84e)8*qZk}^raISAo z+B~_$ERSK%e+|kE;g1RCi~TX^Se85!GO2vgKL!;`@W&VevTVYB{DuQZ_+x@ZqJIo3 z7VpdG0t9>Uw_|LfzFd@z2utwG5u)&OiT+qnNZcR8AQ1mDbFlOPsa_I2%rB3x7F!DX zaw1DXV9`GY1&{Z~=zessWkVH&a{aM({2QV_7Bn3HWuu^VApSKfAy5eDL=2`e`~?0# zzK23#7{(0a#rDKjZ5X2nGFYvYtSf>RECbX68G;VOpgDKvs|3xIOY{sImOdEyJAZQVNt)S!->6vv>t<@U+rIKv(V=Z zl3WWc59OS;+UZ-e*byvdzUB{*GV~#7U=7b(U$0p4d+DJo_y zmazZHI7)HP{2cYw-x=Ugt3#Fz2`43lopI(nPX zubJfZ)p-+#&A0gbfFI+@x0&RJXSazviUn+P;avpPFtivERBk;^n|~`ydHQjg=;oEU(4I%%dBw~tBsmteCwu;bFQGY@SusaBuA*sp z?|6`jSFg<(ZKy&)uG%$H)&C`lWh@z;deyHNzma%hceAc{x>w{p-AiajF|5+r zPYwUL`z?!*r)6z+qG(oSrJ%5~=CgUT_Ergdg{p;c*h{`f{l_cQ0!`BMIbEuL=(qYzyGV~!+Z>QeyPQXmKedA74RS>6Q_aj~#g9iO}Bgdij!(Q`O$uoYtglle+{^?Y zHLWzZ`h^$u&b-W)rLi%ad?_pZ&?+0B{AEkbo5eahncSWX?m0D`;QIUE2gro%{Mf3zxr7_Zej^Udm1u4UVz@{7Np)@7B5y=>`^iLrD&BdU!}fzoXN z?ptaXZk7%AR_+39t2Tn1?WRv%EVlNxOnu3KEj-)$MrP6xOWyJf>p&=t99ylVnLHOQ ztX_=r62|uW{I+%*31*j7^^f|f6dh(+6KQ8tFUaKF+>tw{p^nuFA^y%kCz_U`$yl<3wYMXkujUZ1{gzC&~u|bdaBR(Lb zgw&ei8X^hkv^fDKUp@oBid5mOmZBH>5mb3HfP#j?Mg$;QGYo;~F18GC4?6{zxahyc zNw6@HYezL0AOlNCur(QU0-#6fehi2NRVEZ;P||e)OG`_}aEcW=GlToxeAMfn0UCsJ zBsaHwRYwEJh9 zpZM!pn>3|XDg5c{!Ro+`$nqsV$b5x~<5fP)NL7i$a0N&|OA)k$p=In7l~{l_>o;)9 zQAMhREWibI35)|TL3SWdp;ge&=@`s`Bki=oP?Gh*Dy7&j=#9-N7U)7jLE<%$AYp9s zn*?5Iw@HYhTRi~73u2f=ENQGr|&B!cKd$vV6Y3=bU*hYkJ-{zOx^Mj z%zqi$(1m1dg>DrGhJ;1(=Gt8duzOW`3v4>@9&8m0f?I7ZI}ALFc>G;Y6~-dOJQ3eX z#D&7bnIFw}k|=G_<3;W!5zx|!>$|qZ5|B%W908x z{Qn&~q2WIy0aQ@SmZUT%ye>+AN@mNXU^WW$#?Ls3w${%!H_XhO+E!UYH-m0yt$S^WZ4P3Yrf}#tE@{Gvsp|5O*$x`b zG(mPbVu(Lb;}r!5wKKvW3j1U`v%q(F_>Aa3vBak$ReN??;dMos*Pd$9XqPA?NU2&{ zMNKMzFB`h5?I@567JIT`nk=))6tyk%C7K5(Sl}?kVsDJH7R^(z@yh5owQA0>=Ey}VIl2UsMj|bi3%zSW~ROe0*b74>EEf``~JRvgYf!w?ca3MdP2L- zrJB><&(hEK#iM6`l}~z3!Pk25=zdJn$Wf|i>rjrp%XHAPPKsJxvfcmfk;}G#sK07= zY^G{$PAcq}RZ^I){V5e{<*SviTndcvq{#X^XRH(U2@wb>#_hmS;Wzj3wcgPTcDC!AWs&Tw*bD$ zC-M>-X%2B)kar1WvOoz@qKYY1MiC>YjPhIXerfuZzMIG3k~hD=xhZYLgpk!1fo^?i6HSfV*Kt zf0_rxU66d0Amb{6?kvRaECM%O#CsN@Cn3b_d2sIzdwT%Qec%Zo=145! z$TapK8ha#Zb;glDrNo(oS1@}#3bF6mBGqC_s6WmSlF zh#vBgi5GsPJ(+jy6jHyyLY+6A6elS}h{2Fa{s|u;SvSQ$WWZFu*T)%y93}=-c7!TB z!TvxBGwr%okatw*Q7K8SMB|r^_d=&kj|0^Rr>~bVpCFYOQOcoCGY0*S`B7DmWnGVU zp%M17{x7C7=(3!vVzx5sva<6cyAxBp9(C&;{u^2UklJPp&L<{kJp@K-4U-+&yB__k z9)E8i;J2i+3a_&4vgE&$%=&q)) z>C;OcU{Md!y$Szg?+?M)aYarV{z=n`lp`l0I?34V>iCpIva%ENmr^U@DwCG#gqB3QE0Z3Z z_?Sk?-K4h^CA1HLPYL~tvTYUA3wr!X@y7<3c==;x%<@VqcTAF?6J|^zVbk==QXZ4` z==cOAq9PI%Pbzv$T0zgLQgfxP{e+~~&d;s|2g$Y5iX5T}BwR@pkz(#h!n)&fx|FGh<=C!ec*{wLlmSQ; z=r5&w%V~+__@v7ISOSzR@n8$UEa^%Y+$_O(#Vnt6CCXr?i9wAP6sAiISaO^!fp|jl zZ@yTP9nTCgLTenEj}|Z|OBz)9jx4!k^TaF(P!$kQZ9L{s-)30ZB^Z26z;aB;dXE@B zCUDuqj|oT|5huj_fnhv==pT?i$C4i5Cj`*@cK3YkyF?yaPxoD<%_wr}WE1y|sLw#% zccNOL<}_)5<3Cnf#PI#NYl~C&mHIb?gW9nq>x`q?Y8 z`H!@(jg%!cM3ONIN2I&^WCEKLS`UeDhTAegS`>c(NtVO&-lhM6Jc=*s1(IlRNrby3 zD?ld6A<-csQRa|J6^@WGE{a|+sv42XbxOKl5b~1hA(1G0N!ni!`;tP6Xp$&YacgAu z4@QrOR z<}Tr0$AIxmBTikAYQ z*xCBo@=TwhXUM~K`RBWy_Vmt3cVg8`WxR5AisMY{rbjc5ADm(vbh;+Efz7xWM$DOG z?pUKzY0#onv-0$eFWcn_^)v-=!7mb;8mYV@#o*kvCYQSe-q~nF`(PS7$jga z9*b*!QwO1q|#j}HH>ALnr=D8dtz~6 zfS7#Yy$+Pr7_zA@0Kpw*Y9Ew3Zos)J&)*9)u~zR3!CE77>)hFPx*jUubsWZISPf>? z8~XH#H_eVL4XgyI=-h6;gbi(c7AuvjiWeP`DSA3%VqypZB_j+>wz!l4gzQX zv1QsD;p+a6{W%r!mNhP^?AUTx=z|lYA{lE~6}2#N*@9Irr7~?9?1j5UIY$h)3^OXG zWz_9RSe8r6{A!|8g?`c6C1tnd?Od#`Rxf3Ds$1QQy^zYYd?p|577=F9F9fel<5ZFr zg%HY)33yUnh`ktA?S7k3eAR1G2K%u?Hmb(J&fxJVkl=I?@9+bcB$5d=ql4Xt3zJY&4I!1p>|rA7lUSY-_g67TccQmcmfVVZ8~;cD7xp z4AFdUR<4>XuPXyTCjB<~G3+gR^F2oMmpjd5f5HK2@qB0+KCk z=k!~SyTKkY+~QC_2oG?pw?^K9hk8Mo?!Mj49M zoCW?JOp7^}aGnLk*P-!KhVcb1dJa;SDvPTlno<+V)>Fg$r^>VaZWT{^#8q@(TUAT( ziqz)HWupyE%^Lc6tI1TVEzy1EC(zhFuofhOcnqa@et-cpOh~#D#`1CwW$3)6`KFMJ z1U!P9kf<~=yt!qa3uyec5kHeNA-CGuMh$S<42kQjVA9-QojW96YA0)^SDv({W-JuUhVX7(2kUeFU^~{5z}DY zdLyx7Eyz*-LSUEB*virR1)%h(=QYu%JyvQhLVQku!Y0!C7_^2dDrOq=4L7 zE91XJ2&FC(LKOnk6TL7b;~&3}bVI7V?qSmXQ49eJXxB37Jn$Q}KOQmQd~3j{|ConX z0XnA)I?VjV9c)j1TC|FZ9$NTaxPnHUmvn4}Os%P-`L+TLfd@Ki9CkZci!pG?n`+u|p%<@wo z6B}!PW7*bQv5U4-n4Yw9VzQ#tX`>p=jrn>Ynzc|Uxr5bWl(c^D? z3eAi{`wz^O&KdCaGr%+4TjVB}4ROUPq+)J@fTVLu4Q&B{_eJyBPBw3nh1_Sd#6~Q$ z#KuDfH*u(tgwJQzYhYGGIoTKr8kUS2o`qko>shAbRf4bxue&&)zfPfpVYRN{4HVErcc+?ZvbB-0n$d(g}V!=Xo!gA5g&SS)2VWk5w z>oJ*}cF{#*<1Y{wUCC5gmv;>K2L;n$48?}0psI#~7T$qrWG84U%Af!TUCk!!&31Y9 z-|*xjHw!m`V`VW+G&Hc!YWn>pTGO>jLD)Mv#_@p03y@0{^NC6cA z*wDCTay(=bzi)mW#nD;z1$O2!tIp?ldF1Cue}lQvyPeH~-O4_f6OS|I?)ztY1Kxyw zf$cShdhH}{oIb9HZGCBF?NM~)%@-me44@44MPgd=zbKN@G33*)V3GsM62uTw?E!N_ z$efL1$*~ech{))$w(mUt?}EK|*e8cD9i*2oV+Jd+Ig=vS6Pm4@%o)g@M|_l3!?=wy zR zFs#Q4=uFy7Iw_e|c>GlYEI<&Cy}#?G^TOz^i*C>1W!H_2QvydNt5YW{a#a93Q!CPS zXNVA#F;XuIL3*hccl*io_T3tWXL(GMeG&SEcZYF1`NZpb*nz^zFe?1X(PiSHSSn zFn90j4Um;!+`@?{GrI98lEJ=FlGK^d*E;`xN98P)0;r^tQbz;xo18O5P_)4fyxw?f zKuQey;(7Ex(c#nNP}H1nt<%PA%0X!%QXN0j{poI$%hX|xcAu-7Upu|tRy3`#xLWSV z^)}al_VRp}TT$}bvCh^id%N$1UtP!bWa*baZ$oL3M?$83Jze=nDIjSd#ffj^wj3V8 z=S0!55m4msn<(J;Y4sp$w;Bu>p65N0IZjf~lhFRHq&HgLt)}Py}qH^Zx8`ThIY2 zJay3af3m@#V&x={vX4*Fv?cBgd!B`L^1bB9!MPSvJNq}9t_~VI?GFzHjvwkAe*u+2 zi4`QCh^rkq&xt0h3z{ye0mr0O25e1cqRY>i)U9lql)FHFEqbP>*4QM*;@WwMlVUJ0}{p)pW2V`~J zQTDp%drEY9-PGZy^ejm=+5U6Z(un&tB!m3dmWVDj7vXznvSWa-16f+wx2IQ9t^U?t}KkH4veayqZZtwiZ!20`{ z<8;4?D6HqfKUqWQgwCh(~SeX+wF353sMdMb1vbZ&3~e)exL)po|xpoN9o|#A9?hTB^x*f;~OHh3AIz`^Z86P(EqzsJd0;72dP8(^||Aw@lgEKJY zVKPz)feI9r(XtYqgM*Kqq5^2o7){S2qv!C88NCv}W2*CN>ol!L)AU8gruHYGeh%FY z_k#`XNJ>0tnWFWi#gkr2Um}jQ zrXTrVF-`YAVDLha0jqY6;gKM|DK`e2;unwuD0t)$!ln<2q9Y>ED_ha5tp5yk_Vv3uEDS6MSw(Q8_?pv0C*IPVQ$l3fEPa<&^q%YQ?5uq} z6w7g;>6=uN%K4hKZxa%m7EubudAhV7WI!2_2%A`)nD~TnZTd+tUc6LYy0+CUpsZO9 znkA2|W>g<2eSU(!qdiC-e%Rl+FwFhe8!>2iAjKvo1S)Ux%rpeJWwg;u$gT8#hs1 z$xa52t9=^}m)-g!5ZVJwhfAg(Y*cD2f1A`j^{B&I^7vh`{F`pgM>&V)%NnQRvzFrU zK8p-73JbM9UlU_0(8~x3pX8<|VpnQQYFDw{c^PmgFDGvi{4ZtCW!=ENh-0X?NPq0V zbpJs^oN&k=e>Gz6(`t2LiR#P>SzY zkr4_NG5)4S9^K$pt7*xRm8 znNl1XMV7C~{)7I`}GL-Pp9xUxAA2*K4DR-%b zsrx-Q%$lrqscFJ{|IP7B>I-BR+DCGOT|EA2P><`54+7|}R@=OyL9ZpgOuwv<41>O- z)w_D^KbyYn$e_Pd@jN~6T-3bv5i>AG&iDtk^YiajM(9daq%C*hNB`Vo0`!kI48{3u zKaa`WejUIm5t&eea4&$CI0T)LPQg{EU#68$D)^Q&HuEiG7Q$eB(^OB0qj$o}`b|S- zQ~G@TD;f@jfzhv3@#x`O9i9Z+CI@G(NK@Lsx$ zDRg${%8(>CB>ShsKAN}?XOl54Z!+^hQBI2TM}vD|>wKIN$MC8;!R| zHfp3Z_mF`NhF>j5GyP31#sQToM_0PZ<3gZP0`{=xm*n~T?w685_@=9zMnDX#iO&s& z`DkoU`ANrY!2~P=0JJ!+XEae!Qt#80#GOM-;1DYWE%)lFUcqn zUTnl;R{{f=&7QX6Ox z0(p#aSSmaSRu2r8`hZkH56BV1Fj=8J2KVON&KiD|>}paGLzd=M!s*{n%l1gB%kSC8<=c-Re_vVBN43r6kZhqZ zQxFR!4}p|p*0;Y?{y2My1&n*V_a&9wt_JVXd24=c+ReA8y}ux3<$Ns!sYD1fcokL* z)Zv(L$Z8QdjIAxy+D#AAi+KdNW&GglX{wWj9MORR%qQ`|B}TN^U@5nf@-2dqLZpQh z%t%LS_yE_lW=*__EERD)x-@*VoS~nZOXp}#5DZj@zQ`7u>>+^ojj{WO&`AFCKq61e+^?-cMYG(gS#sLps zqgGDFN-COGyh11ocVl0F+LhPWF(`9dB6PQKB82jMfa5O#`Y8gNsEsRGH%?sDW zlgs;^yECL}B$$ruSrB}fRPKx)CQgN~^QCKi~c*?6utAB^U+$!$Ay z;iK8Qlu0O3iqCTZoX5x0dzFd<5XtEMy-_1c?aJxF!)udj0I|Ffd4)4=1)1C0m@`Td zIZrQkBeEx|SVG(nmQ4ByJlc5rP}V`xIye0LR^-a z6bYE(TH`#$wR7uQ?vl2iwh-+@Tz+9=mXmzkTBKSNEMmq0!Ml8iet&@8i5||IWEZOb z6q2A|@(W`WAw`c5G{>+JbtG)a?DKtE9wqe#UYc3AaWcFLLT6@S50>1yy)$CvNS@WS zI0)DmoZ1k`q=63b~R z`uU*ESb29}-%-A!=*y=~XEANjZL@hl_rM5%Gw8n#eSdKT_+j-NZaiuB=x$Fzc7?+~ zyzFu;T1SFxLS%&lV&x(%K$C=xOtW944~&2iLaAvaefW<^C?awDNk2Z_;<@BsRMbf@ zShy45qFPt?;Sp9GCNY!PPsp^a<>%nljvr6rr&3mNXrsk*{obCs zx6W;;rO<4Pb+&B(fKw`aH?XV*`({)j6v?-{wdXw(yu2INPR-8oti5Y@Cmp!od(*1H$iM5>+*yjjz@fSv-+fVYN+8Qm1GtDo0<%tBE>waChxX|1_=TS{mmy z9Xi&0l){XNB04ST!2{n5I=~}$={nbc7aZ>!-aEk;V&sgjoAY!AQJLE(h^QO!en94k zfIrf9GKE_c^&0nG@D2r+^rPLI%G;MTxuqqaNktRCx08|D6|$0iG~vs`q>nbAZ!>9O zBGO*waA$&8xjtdigy9oJoh~U`tTtZ8kJ>SxzqG;cwi2RBuDFOH_2m& zx@27ab5+dF=2&~Huccp?VvBzu#ox?v*tiU)Pz$)36lEJ|I^hU&+}FN_dWZ7v{9bYb zXJS6|XuyWLF`P3T&yuXOv?7jfwXQrToG9-%<_L1*202G9ymTZlh9*Lo>5fZSR*+zQ z;#2eFzgti&J%z;FAfx|M=)V<0oCyKNeS7T2Fn%qf_$|AUF5LS*S#R8I1-zGg%Qnwr zwZq`wVWscF{L8#tm^cj>Y!se?&pYReee*ZRgmN`J{S?Y$Y;eCTsi`{{!=Gz+DH&IF zuj8uCch`&ip=-P0hoN|wN{8`r{CkG#(E3j`>L{z1YPbP%S1Y+nW=IgfUv;$dSJHWH zoW*g+;Ww9a^K|uLvlpdL=hyLc#Tk1uOnDXT{+wN^WPo6C)Tew>!1|Lf`Z z=KJxT4JS_hJz6~Spk~dKuzZ06hxd;L4rLkO(SJT;w^~fO`5W4nwQ!U@*Lq0>{co&qxUL9HcyO~Y(#B>I^|4;z+2*N}l~}&8 zj`lDLlF+XK#Uk274T$%pzT7tqjx>%ncd9l(*^oK1`PS^|E}XtpH+E~=Q5ebmdgY@A zWXhZjKw%n#Moj>K-MM6`Htfw!w*;9W(*_}1rl$;vCErCW%Ec+_N3F~TwU=!`wo>&gT=Ut9)IjtJ)yZL4K8-d) zY-a%)$RcHlI0c5K9fw~`|Iqjp%Xp76sRi1v=KjHrLhS$x0KWK5Z;Nfns=)`g0DOBd z>;_%Us)WWTR%V~m(fC~6<|L9B_KD?1g*681P3SlBO~=4pU`W~aDnYo?LT*JY>RN2| z&+oazurY~iFzF!M30Imt|JuX6PlgE(7uDv3=F;tHcRvqf$VI^)=(;4)av!8!;he;d zr)}=0@Lbbb#nUtBE7}WW$&;d=ce9xgx1j9&mH~m4B%lXK+dPc}D_LB|k|AE!zjH8K z4m1aD7%WSCU<^)ZSHS;-NTd?`=JOAeOk8S^0PFj-4zV3l{8KYK9{4dojc?2=2b>D+ z-Ym=7mQVq}PzQlV{`a9P{2Cay-cBExA|@yI*6LIE`Wju#-tDDyZF75`M~esW_$AW# z<#1{LfyL={R*qBhfr$g;H71stz{e3r6Jd6c z-4R2YrYJX1VYB22VSzk{=-1dlt(Yi=Exk$n!MnqIDLSzHH z)ieK#4-5=bHl$F1jLs`k6{sP^h(ca~(Q+_iz(IoJ4gM}}2SFL3=v9ss+IIvcz(T0HW^7%=g<3%bkXNS-bwd;LVh^*R zQlKFJbu=MBx3crZpdsOk9nI_&U#t{bnXE8_Y$q-z_Uei|REW_nz$f{wh zhJEnpIzUCZeG3qY%v&8W=DAzpjOyCG0jAgHYa(o`z+U#lr$^6uBKZ!=5wR#skyXJx zLeH2k1Nhjv3^5+{h>#s$O~5{2@xYjJTU|M952->hspkH6JgN-IACUGN^rZ#oB3)4W zPRtDk^`G3O0FtGpvrqE{_nBRw=i;EYg5~XbTxXhe1;+~Z2fMt_&FgPLeV}?V4Tp!N z%nuB5sL5>ZDnPA{dHn@W7+D8#$OHqHNaMepne~&N57$IjwT8+7l=mrF2fk)8NXR>_ zkI{ooifikZwG&uAf;R6+f}|gK_Cd?DmMFvRbdy4`pTzBF-bR55D1ECZKmkKvjJ-(7 ziIx5}p%nuo4XkVGNtc$B8+&4Jn|Ea;=QjH7;(NMWu_|WG$3$cW1QfvS;DSzvt`cLBt>jdRX^_Ta;Z{Fq-jYP|&y z+F0qVYNpY|kqek{@VeFsqUUmhWy4PQE5QS(ZR4}D*d`r{Ii+fQ`bqM zi=T93ToGBW0vK}`i~X84;w?b`2dBR}YCoc#lSch2)QdB20Xn@8p118XAj4LZ&(pmM zSh9<;Dh};*KpBcm1939@#@g}(k?YmpSnWNq^wcWL`Xy z!ZFXw`Lw3*?!N>cUUYD-ee}h{mbEqZWcLKN)}-va_cgVO{6!uYL`|{F!Sr==<~Dn) zwYhG4BEDoE=iXUnj(f^3vSxV`v&4 zC0}t`PB?LD*z$8e9Vn}oi!90kT4#bU_4TEa} z*XTTr)74K?4^#0nUyCproE;d`V-J$Dzsd%kTAeiu!AA~aUM3o6$zzxz8RcQf`I>(3 z5MJ;?S0p0VHRsMI@22a$Z7w!vcpxH4=fCEKzmo`v#Dz|q(lVVZLdIH+E2bb4?tFeThECW* zD@<)3qecsI6hm0Ktr0-GJRRk{v_KV$q^eS^l1yMzYPy#jJJBkW^y}I872j{~&2#t6 zbKS{vHBli79~m}qxlKQ=1HIdot66(3#=&|=M6KEUv%g^X&Mt!|^Qt_A3n|*Z-j`@W z(fKSwXXNyDHYsGpea)7~qx%$7g8Rvns};#dYr!k;5Z%5udckve5q_O}8ITzSwrz-c z6lxXwv51a*>p%r)#7vGE8l827zaRo9$`iPUWIW*?i^6^j_&17Ou%$p%HIOwwaX4ix zE4vVbd1%Pid~k*tAW*AS0Xo|BY-ZL!{ZZfQcM9rd=r6ODzB;X&t2#ScuVfW?4hZST zg9@vbKKx#OY^VEQsLmGgGU&HEP1EJGKD1f>sPAo$-+y<&P^c1|WF{cIm{Orf3nnzV z5mzG?jB3*;FH+4&RVGxQ8ZI=g#7)Bfn%wK(TBoc(iO=$s45(R=MUeVLcuA!AvI%&> z3KGFj6y3bYa7N*UCY1QJhPT1kzaY}xg#aZXQY6Z&($0+Za znUYQkdskK^r&C>vRW`T1!Bq}_-T>%Hv+-lOQwh=A&NdIiv7?Wk$?nQpaP83euqL>k z(#V%j_~M!IhQx;C*#H4D1`5c3vZBy`qA`~W81I%t^<+qvMij^w<~S(B9h|nSnXlcP zmKytB9H!loE=^778yh}Tja+G{jr2`MAA3JG2C9*ogGIKe+dGgX5jqTd4q*Y(4iOqK zQPM5~5aDDIZ45<&2A(?9O{8&ah}(3eHK32-`Zio9Og>Mvud1WY<6Z zGGv$R07{%Y@UJKS&m+Ej`h}0>bH_|nnKq#w_Jq)3Yd#x}fx?6Qi9sgRnZ7c)Hju-nf=0g8|kV76FU|M2NrL#Vv%3p!1#WqJbW6;2XX zfs8!;ig#K`_!XqKxd`ZfAPwN--{{wVfn5Z#_jZ@sY;}<13d;T|b$Q-c-P94d`~=O= z&rHwh`dYf6Z8tC=*4VaWpmq`r`I}!qjB7FAdJc9_wo7KDmj0v>uMIg5aGt2WAC2c2 zB=JIo8|ctYRGlDtg7cx_DE9{arRPG)QOczkxv)h!VilQmWqQgYb~c-Y72d5RvYZe& z(#(>s*i4Ttk=!=IgF_75VyRtJ&)N(Cm~|VS6x@KV5LG^)*gO35mhfiA%h0nQcocxH zC(nLoAk=)f(8z~mw&H8%W{?UbO-I4bg=ldqSwqo-fOp}#WzD?|tI_i5>l0<~|5Y)1 zsWo0Z+r`k!k^mNfvC-&my|@o0zWe^Ta*9!GU5ON_oeRwhu=FQg{HT-Xul}K>Z|i`fITIH)z#QO42D z^jCt+StA*Ua`c9|-8{nT!m&3L>2RKGgysddNX~Rj zwCJ%qGO`0jt342z%c;=jQJ-~RT9mR>Enyg1gHSJ%vvG)14h{?3oRZAW=5Ht~G>-q@ zV$jbp{Fh(9w4RVX^ewh#ixQ;WI_vJ^`@_*h%ueQ(paW7Ccu~HG$D4t<`1Y0d5-Y>~ zG5fBR_fAV+^qpp!rCsc3RLJ(xobL^M_L^k=F9Vys+*MA#05NIPK!9CmKFdpsX_TkH}6Q86{D$i8|cC1=65??)pKFnhzc?+W=|ZZ~8c zdcrQ~`*{wdy?M2n?CEr9`xapn*YshHhv7}J*l=La0nx9BcWr0wP0yQ7u1(?j96P#L zZ%-#jtSyzKRj=)J$7V!Ll=z}xkIFr4oBEF}_yRF(jhDkzE81ZtCe!7bm}p8r*BTcX zZPz5sC4qz_5lIDgI~j-6HAyTIdBoGOrlD3l;WV@?%@A8rrI;m@vmUgyl(XWKOGBpi zLpE?UA~I}Poj%N$#$*6Hb2x7punz?S!UY9lOe0~Q6sMAGHRX_FJXF{;DTul4rtFmM zt{;WMm8J#flqoVf`yWMdU@_^hw)RAJ;O|_wcK71yiK4Jw4nDh_bP z>*bt8R}*;gPX+J><)7qZu}>=h|6}hxz?#b1eQ`TB?4l?rgJO%2-l(P$2oOj}LJ=XP zkw!X6P;jg$A{Imx1QZL1AU2vb0R;ubE`nGAQIRexMg0eGW}I*4d~?n{_y0WiIrkwVw#Tkl?bWwTb>3}d*^Djh~a6j%)`xt}GeUb>z1uWi5~hcIU?f%3pP? zW7F7#{=y=X_w6kPDOSwOw8YPdW3%im&kNEo-<&U5os~8nd9`V47RR~hpneOl>!+6O zbhg^iX#C*Kje;hpxRU%FxsbN9O&FhB|2^tEt)#j0)5=sg@|i2_WYfO3ZGy}av3nNE z|sv8p>>`SU9Wn=8ZPNYQL#=csR(lhgb8-3HZd*3kr5%1eBEPfYsG^_kwTi0$= zVM9dp{n9-KW8bG<&06j~?pfu;sk`zItk2%Ha?bnZLsPC`zoehP;c=xvn|9_2bN<}o zprdE5KF;?)(9B7gm9cNqWydQI2;ZGJcN&q|-UDpx)JOT-PJCFwx;SvX`2y2v`So=- zPVH>?P+b||g7&jIaJS&}~88}fHI*7b=wv$o0z4r4{|Z9DeeB zamh8TN_+O)qm^I0NeAr!c3b(R$KMdocNX;Jq169S=iW<3jDK*vh5r`##c2Hh(%m7~1r0u&p-han`Yia=$Jc z-R3*WO7s%z_rWz4Qq!KCg2Qadf$SyUP1o+(`T3UEb}>`>WYdS_o_L&or|0QUQdpmM z781H{)qL5&%P?(iR87BZm{R*KDu2G2VN*hLVuoScf)gcCC!>$t`O>I7ZtV_FTQYC_ z?F$vu;|S|*$(LhpU5{ACUi`@NgJ}z!k@fMS&&zS{M;dwvjA`fv`!lHX2cpgfrGl7Q z#oOUad;6_Kehd0qrngmWifq8=ITk&N4%jrQcJo-s_Zd$tI@u+MZx;;aMHw?z7G00u zvO2(|i4~qZ{oIZv!W*oni3Ntw?s{hrB^fs&%ijddymfSrkg#$PhW#PMGWs_p{t;b{PygPH~ zLk4Y!Ha>w|jqCAeI8LxTSo?g(jNq#AYqy3Usm@QnO>mgL#(;h;+^DJqo^<#;cuTUn}NcDJj2d<-VveM80^N%YN47zDcuB00++~_8JC6*NSdDD?7Gu5Uh2m z{T$$+)%A$);b+zR+aH*IEc8j}V3GKexMjs#W!HSn?7J@rJ*ljm@4-7N>pWmuxe_}#guT+x49s4!i0?#v`w~8FX8cVPVXT_}#jbxz zzkU0%?<-APPhNRYcBMsm)aIUb%!lQST~~Gv<<62kYf(Mn4u1i-rt_>ddcuOlHzI?-_CM);+ONZ#J^FEop#is3EzPH!h z`d+H}rS{gZD)*VSi8J#VEZbl0``?8GEMm7TTs&sdx?V7GaNt6*0cye9@uBj^5TEhJ zyWhMn_G^A{ihRlR6>M;K*OCN_^?}y)sJa&MC-ceH*hUj#W7Ea)-O3}1`M;!AA4g&; z9Uq^dYy{5?KewwSG%?!LDmDn+lVWFh zW!|Z#B+1Kr5q5%zm>njqUNZ-pfmaVsOfGj>iFI(DoOD&OU*ZnzvblG3o_^h^@7B6s zHW@-lC7eai`wiA5wzZn6aw7xv6%Tl{?rq)!Zc;qAt1;PS;;N~6K~X<7Jrb8oN}c=K?hU-k(R0C@ zcNW07z5Djp@6*(?i|#n;226IGR3>zLj9s$gsrlfl>${(8%)h=7PMcPFD}uJR=Fz;S z04wR6)@oaoZ`zQ*PI)S+w&l+5yXQ-KWi^`;;xzBV_Vm^^g}mt+YamM|SB%w_fK_o< z1>&_=R$jN#t?oiM9ocjj<&Tm+T6gkA`=S$aMseVfBxB&lecJW&I>%RupTrfx*Ev;` zd8xNU<6F(5b2=MD%C`O0tIp7@PJTt>mz>nPp?6pEZ2ae$wLl`@-DHK$tL~L7Z1u!` z53hQ#{ib8qQ@CB2(x-E7?W46}g(Xj`?o=L#OMYqHKfzjXLFE)KdQ-MN%)lnArDLmY z&EUtxpo10dHx9xz$b#>?ZVa;0r$kj(eHG7`UDr;?*}f}T{;=j*PsrozqFMVW|J;9ZNFG2pL%GlWK1Ufymb=f{I`8yd!qNHKY9J76IsvE zIGwOKbl0kA(m~S9Me*|phs<|P^nOy!v#2*raD5vYxeGRJm+d}i>r(zS|-OYWa77M0UPaEvbi)Px22pttG-y~#97cC=a1jw6!c-iY_)Ts>6&oI z-C1+Idez=0GxGWSuc(jATc`}RXg=0R@J0^be+PT*3&_o zZh$Ly)OB@coMbOZNfeZ&lv&jFW3LjD%f|7U4?5@9SzNWZU2%D89G+tIet}1TEL(0< zS>9wjfnscYZVN4GWvdY@?#l~b+YYk*ww{`V>1%A4w5+kUYArX4i@ayQ!h8Y(?)o*NgLpjw8mQI2!h+C8z2EKnXq^fUu z|ML}cab=z?e6^hSEGTJX#!x`g-b@o&wz_c9W3Te2XG&u?uZ%0j`@H78J7@QKb6iUH z;LIsmG45x#7n{UM=58qY9xpiUoH-JyYw|uoI$KGV>h?Wj@E!)S_>$rNmL`VkqcmPCRGn zdi~{GG62<`e|p*SVyTPkgU;3D#l_*dS4>?`o8G>8$}qv#rvdcne#jNB0nL$g>(=qp zl2z4H4(FUSPEBZ7Au-QuxQ`H&Jl1D;vx4RrFVR;Qq^!Lkaw>fl&CxiC?n{0;>)d@y z%VJL;?djg|he2ergYejC3m*K|!wP5gBWp6bMtJ#bBJX>FrR3x0YY#6{m!6LHY1p|i zwq!k7P-lfc>t~Zm3kf-MUfs>-wodB%_3;B_Mm}%7Bq61*XJDulTz~cP*#e{DUkGi| zhaY?SZzdIm`@3};K7KUaukFp}iP^RJW1s0CQtIfueP3xk2z_?uZlotwo``f*3dTNb zx_v-@0W+GO*BE*j0K)dp1R6W0*0?MiQ?l}9Rc+Ud2Up*nKaQ#bzc0TUS2uSKByDX8 z1+YdlGf#o-h>T5|`%n>fbwx4p&HMO`yc`GqnN4jLMIjHimAdx^S{x8wt>eGEXR&@? zM@++(^S^d1KDRM~`_}&5a`#IM$_3Gloio)h^Dlf!+s?v+*Os^C^2}dO9f0TNa+Z!) zRfi>Qm=|$o4{%@bvy*LOa}U4j$?vN^(KiziCar4&) zesxY;+n>2+j)&nRkMVGiX^Vs>XS`2Y^8V!5MJclvotzS%VtjBpFlQZvx<68McTVQr zMUuNl?RPiyC=J%{Y1ZU!NvUx9>7KUHj_hm}|s%v*p(R05{T**!DpI`Fo$_FsN z%b@nPN$tt~mnavfpENG_s<^ne`l2o1f_c-?Yt9)pYci_v47U1lfa~LwiiV8xs~MF8 z;%hs_*DJ(jXT%lD5&UT@pgYbM?zF6r%&gxjsgG_yS}~*Wa2+_&w0?JD{SH5!UBN}0 zjTcr*U+(>IBjHH`ve)Pn%l{L5^Yf~)CO4m=cIEcYcz&w@bws0_>}OKNQqfvvp+_*l z&R3IpkUjPTM{ggP4lR+Z*4k4`!YFI zu_#r)YHyE+eMM#e1il=R+-zchBY()CRc^naOJLP(ZePytH(8*Z^8ME7p#^brG_ySqp$H=ZvTuIEyUp0yx>>F&?_Ju3 z3%|ZEKQUQmpiwN)C}%q8*FJ0FH{KaPbj-iYtfcvu@Eher@s3{%OIkFxRh_yhhc3r& z*Ebn;@t3qt`BoNVd&9qfF{M|N^lGVXwbJ$u7VYl7dj1LV8Y*FRfnrtanv*H?s7Sgz zJ!4I3)w!wZDUr-6=?Bv@()H`}c+hE&=!W_)YJ7U;`?Ra+N$FXO(srgF5N5=uok_1x z_Kf4I==`}m9u$3E4x2)VoZs-;z0nUh`IlK+3pNA?A0!8F-~Y0sql4xX}g@$qSmBE3e%z&rS0}fYln_k zZ!$_bf5-e`uXp5ty{Kqoxit(wYtb(Y_s-tBVlsiY{e*G+G84;LTMh^9cpS7XCn)06 z+h2e5!nZp+-fl^HJJBGtd^ag?){da-*Y?`)+F>By^3c~Tz#y<_}Gn^sEFRYU-x{O?eK~}w3VC}1;~pOebILy>)@9w zf?pvzURrg$vJbBuZ+m^2ZN*&M8%w{;-TX>Aw2iNg-rT)(!`*_8*~@qHL1CUJh~_UXoT+QJ}KB?T9>4_T;siehu0B;*P$C;$1J=Kc3zxEzC6A9 zl1cUDaezy60GFqpyR_)sGTMxx6z+Ab|=yF7L=WY^w>H5JoYb9Y`^UH&BMwsEad zQ~)_AP?W>+&0%j032+PvGHWZcIJ1zVc&bDTmZ54Yc(!Y0ccy*I)Y0Oaci{5#Z-syLG43e638(tE= z-Aw)h-Tca8!<+dVTHxQRUT71M+L&Bzf<_znS({waJ9cT$?4`X^l6z);yH)Z9`TZ5t zzO`g%AFz=45{@~<>4{Cg^j6&uShuAr9eK#LJ{E`WJEK^g+p{XG=bdE>b8Jzj=HvOP z>HDXi+ZCC9B=X#L=l6E8R6(qVcPvducPG%PT)GFHPE$W}cYQ>aJo4~+L<@tv<0hUx zu=SDGFTxaeIQ>Zc+5ECc*xACf3}GfhcrHYkHRFAn+xrZw_vyj!&o6m@c7q}<_gLg1 zvPUE%s`33vFWtOUt;H&ju-H@K&Wy+b(XW$)`zMKZ?Gzr_DcVjH9wLgODul->L|az~ z53UeJo)N~M5$)s&5A#IPZ-hy2L|cr62aH6!4-1bT7VTgNV;Q2o&+B}`j;jaUmkNpy zK_?M{%l40rB##i1x*6>ct=bZ$6hDd(=9@$XAG-?lUO{o&xY=#KYE z9c>!ByEwc1Y`c53uO}S(;=Vn02tCs}!2JGhNB8+=}Frg=~$y6?{>p# zUcF^R%N3+$TuYAa;kurB-@`AaK6&~nx=1uJbN~DtbH>9+Z#jTv(QXIep3aPl%~mj; zukj+BML%K>#uq-+j!41Bl@mvcyrG{eiU5M{)MRf{ETamL=u-H6f^4a*b6G;zA?<#&j3 zUc=f1iBf$2_T~PZYeo&``t6d%XDu(caYh(Dt z4@*ss?*AaO_Upd&t(JL0%1&*vfI#R&*!wp5_ic8ZQY%hJZYE7C?OXG4np0<|GwsEG zSPFnX4hwain<$9CJDu_I90>IAU8HKGZFJ9k0Ap%Y?V&G3n`5hQo&WXBQ5o3yV*IC& z<*O?Oy9|35Ws%~tZ0JK}_h&Y{)m`|=dM1dxz>|HR5_EWX!^&kd#7VkiPimUBr$#rm zImKSKSwT@PD%d~!8d7$>7HVK->X}Yv8yKIOHIZu7-LhzjZd!K-!?jRygj0S zMkM>0pvn1*3H92My5;TdMc-4N6>Kc$?TP&Pbcj{0{4B@ z2hA$(0(QWSee20nZ05FKy9G;gJ-+eG^#Z4hJnxGwjg~B7jX2>~VB*MG_-J;$4Ee&*YE@pCUPQv{!am)Ih>80z4*CCZ3sFfewE5&0f z=asN?qS-ln*;Ai_>2HoqRk|Ey4&GZ4&}pTuNUw7tewd*>gc+lj#_WU?YM}L@ubuWd zncXWmQRCFdSd!urT-DdekCp0L?6ZdES4n0Yt`PvnoA&HX*TXObB5NfqiD&GM`PnKfRS{0bfA?Md%i9&@kRI(}~y=aVf6)(;v*rOu=7FPA8vCyf4M0qBtYw8o&A}`N2^eta}OOWm~$zs0hU@^n33z97kGceyqbu1;@Umr zP>Dv~e8oKJ3=kd*zxNiHvpeIl?9~R@_7_t&%r%*1VlJ*7m%=|x2nx_FJhO!a5nts_ zF52}nK0qA6-rhXE|I?hUtIMV=f}MHzEo#g2NvL4u!TS@e1mkXl>#tOnZoTr{kUW%9 zHvL}c!L}`#?CxXrnW;%<;m(sM!mpo7-e6$+f}_Jimjr^gEtp!9+m*c|Zu{beweK!{ zc=Xkox5T{a?c7i5+{p==$LkL4=(IGM8)6xH0oNFR>d-3&Z}n~8bCp#|mVF&ApCeOa zI?ra7*7mgfM%v7qjGOj45|K8w^$z;x z6}w5bADENe?Lvdb+`ExG>8)vR<6Wx{3k=+E?=TUzRA-Gh%AOb9{LI{L)1lmJwoxth zmR}{~ir)auqjdM{Z^d+3e!RS{h*s;qmoVMP@6k&RUYzqziN|Q~PmI zMJ>taW>(#kqlHr@HtvRvJukQD!Jq7+KiylN9DqEWzh`XdjXKc6=Mit+a}h<8EfZpp z=(P*y#WhKjziZC6tRqvZ0gekPN2gexyqg5R!k=ylKXH2AqSU*A(=DN|WPmFfCJhVp z&+6#^vJxknQ@lR_&Na*`-iNcW7WU1tG5}aT90-^MEGV6EYUU-y=WNAi>h29|KKlbc z17p9OejKq+IVo_m`2_H|U)H%`nw?dtZ_*<+<|lNvnTnYj<;oY#i*)o!hWkajU(dtz zhruN<$kV!K#fABB&g8`6@Mi0pLPZwKo;)kpjIlrKKsa&oen}?oPR@nwssM)Zhc(~M zhZssDHqO_tHCNWYz<*s=e8A+ku$jx7x{fS5JsU9N@VIGZ*^76r`89%*oV0BEiKe5S zg7X-SXVv~Eb-v5`xToi{R(I?o&YHaO`c`kspGu6Ieq8|jV8UuD+vJUSGbw+;fkwwMt&^X|g}zxMXy1{UKfb3urgQATr+c4&-848k zaf?${7DnnmplI*6NI5NXrLWubG&0!Z#8IQu9}6!rH<-sS37dsPzsgxXMm^_Ber7%s zHpt)JCn|Cw7kxl-=DSA+gB-`EET%ahd~h=#Y&;bf^!#qbCg_E(yYU!O9<SR{u`9g%p?zFIN3+vpKFIFXs zs#=jz{JjTW#Bf~>e-1K^u^<@HIGriFdOCsR22geS*5=EU2S?KO_hF2BgTvcYESSW}0i#u)>Q zM%5!p_lvhD0X+9C3a-@eTGe*$iAy}+F)Z)2nx{sQsNA(RH(NjK7kzrP#cY?2pXrV`RsA{=TzWn{_6qCzC69$9N;hUyd=5WTgU3g?X zkYMuNFyZU5r3(JWkoOmCn+y&OK!(0PSh;`mLiF3)BwR0PZjHbDaS+Sqj+jXOH?fms~o$Z~(jwRiU4-gmJz&hb(Xs`*Q0wBxh;cM+4(Km4!XLQT%+7!PsIO z-?OJZQ*Zb@M0?^MBs-=bZ;tHqwA^uVy=nhyueGo8ye{MnAfG)wn;CZfRwwXjk6+-zl2>iV8VhzKJI+TwHlMrztz}vug}WzOuey@OjHG?H@u-gU1+8%z1gqxxmfk zlKgewvf!A5IZgw|CmbM7O&;gmJ7vqbHHq;c$(qyi3E0yf(+F3vX0!6TCi<+4J-%r* zzhkJV*JtyQjc<}R-ubTSs7bQXRJVL$2QpJ>hD*#7g|Y?rm`NwKX$Rs{$uGXg?mElE z>zBZ6+57VLu2AUKjmzFY-_^~-pEL${>WoU0euM}yyk0nsp{VrAeLOJy!`+DY@LV&@d>{lIX&toqM ztvRnxU3}ZfI2L7;7`5hklu?l}eKedDWn^dUiYiS_$hFh|bI0cNXQ|B30^t>k*nLY@ z9~RI#=F{z8OYSu+y|?eqlFUSL4a}$`A;Yx8>W2lPlWU}Tq0gFDzGpm- zKKXiDbX4*Y>(>)LK3u)YV(hE-W-H2qvV`xig|{DCr>?JhwR-u*GsOqpRQDDO4f5rF z#m0$-%$~$OR{haLs9@t#lhR`AtzqirWA%ITl%8QhFL*yO5iemxSe6|QxHxlPz|tk9 z_9g}nGr~=VP+#O-O)o1;_iS5W0GzSGq!6W@@^>ZnD@!R`lm8Mi&S7Hs)S-D_R(H`}rd}g&i5mx; zxM6DHJgwku>WSo?;fn@F0u=-Iz5Ev)gMEAexO&rJ{MYFpp?B}B`y;Tek8|x-fSqv{ z#EUYiae9*fq@lH6yt^*H9mhkxma~-(9@zxz|}*E@k0s?E~*# z)pbmo{1g}pe|GEHGBtB0HP`LRZuxguz0lWJgvl}2CAnYDJRLjp z;hVN>tGJW$^bJbN1^cQ#`x4xC!q*L(KfG$d7Cmm&ObFaj7n$8V3mxe+JGFDMQC?aW zFfcUC&Hs1+AuMe3@tFPUP`O>&t_Qh04UToZ3T^-LE~Zbg`AA|~mb7=1KAS$!Ia9o1 z%^c;DV+|jCwtc;ww357%*tN09@5JTWwqD{CZB}mE9^sqZ84)&hmp^ppWjHOiTS7Vi zTzjBq-CYaS;I?nax01wWZ-poBt}k~kt7UhDY|L1_xN*jvaki!AXTSaG81!jk;}+Qg zkImQSPM4l}o;e8E@R?rNk)uu+arn=o=j+@{4X;-Airf|N0 z_X5S{YwS4jHPgwt0Q;9yb|PsnnzJ6(pY0zg6E|Id=n@uFGRKd-IIQH}W8Aki%TnZp z_h}9}FTx^a7VjL^XF%S>wJF0Ge))Awe@56NZszB3=kqIK4_MB_ut>XNsu~p#Xh4Ec#De6epYqr6zR^Yh_vqq z6h|6969&&5>D~z;v|FAgwqH)k=G$8~?ChZnE8m5$M`PEx)bOfi<7O=QnCVSyxVRwB(mndX0tR)S1TN~Ae}Q+aPZi*Vm!51sCA#oJgk`0dE{?i=*(+mdm0 znaH(20fy=Ln(}OI!xpRx>zCy2f7CtssC#F!d*@Mijayo1$tKLAls?}&8Yn}t$OgIk4sPYpP1+Ys~YqBE__N&xhh zjW@q}UwzE$`))=79R6BlYdKI71JmvQV6!tMrtC$|ZK{@(YKdhCUs$Qa#|G~Uf);B@qv%4UPpBJ;`^ukvwyS^E>0i83XOtE(y&-zR|W zmr?e7n{wqHI9cF$GMS#rmq+lTEqL4#A2ckg~Wcod{PRug9!{_DH+^#}514W?Wt z?DXHCH+i6Nc7fZ2ioH)DE#J}2-@TWGB)k(f-yxM>nt$Uo20Zwn;w3%aw9?nO;^{8d z#Eq+z)z2;FU-zxI6pXVb8T<5xJn3W=obX$rOg+)Q?DFlq9p;yBgpX}&U-?2R6Im8L zeh}u=aX8RCT=I+a(097=;DxI(Uq3fM250&$Ee!ZNdHN^d?L!9B#(zj|fNZ3YFKiBy zf6`=bD_Z=t^m(!NK@~o94^Q02mI~}X)NL{?u*<92aQem5JL$~&>W1Y71c&|nX}pB> zZv!3fQ$Iu$#`v{X*3ZmqY2&^)eFQKB8ARL&BiraVE^heNyJPPMldq&D%M<&b@DPik zEdZp~vctTUJKsGHc(CnIqhW}+3u0p(v~%?{+yYmERR-7p#QKhwjov$azs@ic);%$e zMm8?0dj>;i_3`xGO58j5H7ZRI^KI?j!*}1f!wRh$O{2Hl zl$*Yi<=HhFG0LbYVfe??GPm8IGJ`heavPpzS9!O zZgsk=wfFlb`|;lnI9%p@Xh6)`(Om%jwEu===gaAn>ams=r|h^p;VP`GasHykhH8Up z5Y&`$3nWg$s9SeWkM(|d{Iqr+k#@Q9k?Du;72kIcKEHK+$|_urN#Vw&pT9mITo*JM z{_A_(UPiUC8}P}lXG0rfs}>{HJIttW_jz`y)W^`v{~TIXv;Dl=&12`ood?ccdEj7W zD&4&4>#MnU@~*ZO6r)e4PP+8O;NanwPF}&X?}z+!zXsAn5)@gIVqyR665g ze&WO;j^pcT#Sh3G;~w8%xV!$BfaZCN1M=7Gp1n+dKKZdKmnmh?AYpUWR`4+_k1>P zowr(2^6bgre97S1_Eck4Qwg~eaMpip$+v^ib=r>WYu8WO-Q{u*zQf>AEUSch(4p24 zH<1jRestplyOOsohu-GWW1gC*+U6^|X7XvuBE6X(Y$&&Aj8%}`kV9u>g!hz!FkyDr%5lR45AN>7{v^XFatwD!;yYJV-9E2+uGI^NCV=3P8cpVn&7_b z+xIb8{o8u=zhoN0`zaH1jQihY0{@PLldAP3fI>#qb7%3nN+4OlQTl?RkYUO65)N8TFqa< z|JXUs{XYl(!`MH>A3YmwTQkUr4nJFeM9cq@>_3`yv?0xYSZCBIX3&w^{)GQW|M^df z4;wL^8En+tuu&4hf7ocmNPoir!AL!O{*(Cd5fhuiM-77*tqn1XkN7M8AH4oseB@u+ z7BPyC7{y19;v+}#^__Q^L&(uA$iG_ar@o*b^)_CvE)jTYB{7_8>!6jf*dngVEKu*vg6+&Z3rft*1ROhaCfpU|D#LMu1f);}mlo>g z$qp5<(HwIJ`>D2CYpp~k(W9AZCE{SEwbss@HS7be_2J=Ypt;#d7L~}(9BKu&0$YN? zU~~JaW@cu#3J%YjiYNXksXwzb4^pXQ)}qp{LJt)vP*5R68nfglJF0DO*NEQnL=djSWz`qpxLzdyD7){n&=sz?WipvgUvEdv`I2sBYt%ik02U@b>XfT2c z=W@7U=x^2hg!4x=zuDv`ok#l654}bk^f$8nlrk*daCgA6_OFe2Mbj8PQmOTuJX4=7!~bbviu$5s4*13+33Gy>0wL# zg?A%;oQOvfAt)pW2FL5iVFVBgfhH35BQppTj0NEk|H#)rTH-In9l_TR2=P!94E;~| ze@oOqz<*HTckTbmKj1Jk#e%;kjK=?$%%!*0pNRLrlbB%C|2|^=9oGL8Vq!oLC=!B) z!Eg}5FflPuIF^Wm5K($s67ZnkJ={VE(+ z|DVVF-QA1^{sWJRM-YhwC>jq!U`IVB4uL=ukXR@dfrBFO`VrAzJni2Q=RYRq4}9D| z;r~Bk{R%OCi-f5QL2hL(`w zTOY`u?=OD7HTowX_cQVS4(sRif5Q4d* z3WNe<@I){ePeeh8APf!-L1Q5VGzv-l?-COO$3oDEVSJq4Bmc+v|NjuvcH~a|&vQt> zIjeu2bQ;b3({$`_xA!CSP(Q_w+}{8DY1)53L;80UtNOVmM<)sY-xuTq1rk2+=efb* z!KXD&KM}6t;`JYU2nYsJU{4Uz8Va!nLG`EBKW3+X&iRKo9l>ywas)ix-(!GL zKV$rq^ItK3%+3F&P=3z)FE}ImNEMz^sn~wxcS$p+V7>hX{9rR24Pv$mW(Bf>uO4Z~ zQH_4&{TCR|Ahjegm?aP^e{0Df_gcfVG#Ih!&#}WdN&hR;H$NKAnx~&tWU1_(-3g8( zk`1@!Z;^ipHY&kzUB8vn2so-Bdk9=VHu-bZzbh2P74U;pe=hYS?%x#?Nc2DZ*#pr+ zAPj@?pox5(seB9;!zW;97_1Wpg9jo#FiN^(Pzc8n9m;YF;llct2L=lSz%Y0bQ4|Pq7GoSovtf9^K#Y?I2FGWUuo^aL z_=w}foN*o^3c*9;g41ZIL@dUGp#O{j60rn#0#*O^K9JcjRq)5qbl7(Gz1tG=;Zsd`a}PE;qY z2ZP{9fDi<1Zvx#_<`{(4GPNE8ckBotT}29V)%plfII4~xLJ)g|Qawd-vd$aoj&q}X z1d9-qP`4ls-G}6f2dSN$oTaYLxFEhykTaD?mD4~Bl^cVMRp?+qcftrDnBocY@nRCi zEGAgX@e-q%K9C?8OQKQ+GT|ZKP%4-c%z<&eWJn$f4B$a2gdlGgN#G`4?#BqC=DcOh^{0mjOKEy%FaR`qN9K#;t=Ujl$;W((eY&?fFOW-Xpl2a zq;mD7!`-Q3jyIDb3-ls_xn5wZ*hj*VvY0YOpd(lv2>B=VM*snMu``n?h6{WoGBrEc z2f-7`DIyt*6)Y!9sj_YEV3pP%DJGU;)F> z^dJBO!~^JfSXi(qL`YHxlHd@GNdE*QU4+Eo5NB7pQ@uc%nk#7Am8v0)0Z{Dm2!cD@Ltdp~Z5F#ZB$u68AqEj@9C^1$~g)JQR_^m2I{Dx`WEmOySQU?Y!4`z>F&+v z@H9FPG*iP7188EQhN_dO9jP*~mW3E~4to8;5HOVI1lDNTa+RJT6e?W*AQr&U6BDdc zAbAisDvW}bJ7SnpG#Mmj(a0#CkN`r+a9SKN6wJ|x@m}ZjbBT zAPFIAxQ_-C#By_{_y~Qt46ZX4Bd1|$5@4XS{t+;SULY)8>cT{bxIt=y2T0Cj1v~1W zSAb&?T!`mrD|;|O8X?q~AF6{$X;21OtwGad0x8+mouq&PD6Sj|TO`L*MOvyHK*OnB z1Y9P?Swwb5DA+8b3XH-*G*Z0CNrNXl;zn%fM23W_(B2{u9wH?uFi0Ymfni})5Q+xk z&T|&Hczb)`+*vHRN=5~UL=2L|nI-im!I3UrPM%tkSgP~JP`$nMETut5dkQX;5ey*c zlo&FfjHRPp<*t0JT8$^VxDf;@4cY}rRxsS$L1;HmN0}GhP2vSmps;M3i{70fz$~tm zp#VEFy%AWl8*#Kzf?R`T44Ir6%nH)!M+h1(FA~yAN^^1cgrjh5rINyuvEWj4hyo%G zMKCxt8kOor(qGtc47{Aq@nMirAP!f~(FQTdqaGV2W>P~yV7)U|=+Gf_wYQ4`EED2n z&MJ{aC=il8m?#>X<*D+5u>b(Fl*~h^+z4tPqz*1oBegOY0EOj96@Z+*siC76dKXun zzykv3s9l^&kCxf6$B9P=l@d@Oj)o7}RtB&pI!XqPSau$`3@*n|7 z+z^4MK+g2?5+ij$wkK<(QH1U;3bvfdfpd6F1pq`)$(`JpDl8#1NI&F~c=DYXE_@m; zm`7yLxm1p$I*==JLvkb_S2hq#bn@}mh?OoH6x>S{Omi6l6mc0Gbs&Z8$cJbdJQ+!Z zr*cT@5Cz*+gi??Yp`kQS5JMPkgD-czz}Cwrqaoop&xLwbvO?O9E)QqrA}~?Oem0G zT_I>!j=LC4@?pAah+rov9O)D4>g^;Y(0n{Rz|LCrh=e*JR}>841w(*B5y=G~!eMf- zawiQe6oYc-idASFN&^Bo2cf*&G#Z5$TdCkM6cCCxN?(V_%LUAp=)9%g-kwAfFAz8a zsK1XO$zAatP>f2fcZVc54VEqz&^#e@urpdI7K+&}Y#f_Ha`KQtv}Ayk;er#&F`-Tj z??541O!aiZXvLva9L-b09360K8F0DIF~l*5MBovrDm9cTp*b??cyAXkkpSlga^{MH z0)1$~GPzVJhe4g?Qa7%f{yy4=Nq|9_a5*Z(Q79H;us&Qj{D_29u0SFIcnU&A0w=g5 z5hjDl9qC9XDGEb&lsS`NFsjJa86%W}^&W+e0#O)&fpixzG6V$kB(Zd2y0aUFO6TD) zGIut51Q4Zl^KpXXy-E6UGTxCyM2gTTC7Hu!!Ng(^nByT5!Z7MUJ`;`sxS%D@0z3+( zQSrnuoIAry6X=E)y7B{wGO(LWq>_vP3UwNm7uu8T6v~DnRl$0uKmlM03GeO$P#{UJ z6mpP`EB65f28|5OLMR*_C=jJ(g1M+bs*C;_C~)?2lY6o;3>rW<0>~t@}+!S1ietbfOsa!BjT__Z*rnn-sY86DzhWj`&xL%|{A0C{|@bUW7 z5LoZg^+PqOx4WC8REhroTKle^$CV|qcLVo7EDRX-f`FOR!fSvE6tgI134S3dQew^& zsbBAsG;_`w&Gqi}+TX)qzWS=09jYsL^-le);i^gFd|H+pi}AxkM{B%OwN=cx!2o_U z%TarYs(3keO{nKyY%f!;`Q>)I-8s=r+~6po95^*}74Uo*#B;8JVx zEF3%Qw@00`hkOH+SK6wSo>{kbmpRiktxJx&TzQ!))xM!s7{7T?+2u3Bq1n%iIt219 z+H`}8@!Vc67Fm;Arg%QLmch+~&AMz6?CB_DM#bb|a~Qfd{sdIf#xZUasALrVnFA_ejj3OgvRBXhENdR)^% z?TV{#R1V0eL!+ba`o?As4n`K_)v?0((JF>`M8o=CP+VnsMO5ocuIYCTRDYqz1jTPf z`#7Ce!*`16n#)T*RZW|`M`+7Udf&*dMo3Z=&4J2>hzNunlrl0 zrW~)2_t^S3ERK1TKDR~j?og^2&Nq@M8MY$lFd?>f2mQIa(ze=AL*^d~Tby=Qw+;2v zKHvE5HV%h7TOZ=x*4ohbT3DQA)Vbk)_un1zQ?oq8Qp^T;-xHXV>Kqj%VQpR%vd%`e_e+8qd7QZ=mvYoCLwvfI|gd&RAS3{ z_Hm3WMOh$ar6IyqY{eqG@1#(lo}z{JZp0_{{&9FN=dyVm^jNUu>+?Or)f-19a>Hut z-u!(F>Mw65iRDqajHtD)s@fFR^zNXHdVJd!=k1+|1z^JZa^?jUIr4g;-0Njg`)&8` z@D3VCK2LV&qR#{Uu*+yCN87WtSO>C5EM(Wt598>#o0gY*E`ThXwlz%!6yHh9ajiOH zlHevbfsDe3eKiDio$CrT>m~}gFz!!zJhanAiy!8KtJ^boXgVf7XV zjEqx+N5?ESZc;s;t~PFdro1^5e7#fgvL7eoh}((io6Y0lEad4z3UW}i*G&NGw!&{R zjwH4th~ttzC!=hbQ(s}D6O%#9Tq8}4-cvTEow70fW9ZdNggproN}_c+Wn4LWP9GH# zc-qn+McrI5jo%$sObNM_TjVyhiiTjL5-kfK z6$8e*{xTlQ00wc7njN!pAyOFpoDquWYLRCiD6az{;)3}FEV=@p~C*L zCd1Pg2~-e0T9pZk8*eJrP2=dGdcxlGs!X_15gkV+GqIGG3!B-#$`@wmvj)O?A02yX zLO8eG(^-47?(d}MIOiKq2n>Hj>_RgL!dga) z6beDo*{gX!V+bo(#(NEAUA1(NXZCY%s~FubTlZpd&p@hfH>n$oX@4ay;a<1H_>#~l zJ~(11B{)-&M2d(dN_P)8k7l>kM)}<#I;v*7Z%~SSWX8}u##8D=7f;%6>Yh8H$+kun zM@gjddCB*#v+LtX-7G3^l5s76XyH7LpM)dgCLbOEeCJYo$5nz<~!_g z(p(Eau{TZSf~zn4by7z(a#a4UR$??->%zY+Kl~eUC8M zMK5p7u;<1kp7legZIa;Hjmu>{fz|dnYj%kFo5pVs9q9oFyBp6Y9SWDNMK_Al%4iL4 zTi!3hNWVLb8;n_raTs(AjO?UjhVtCs8#3Uz(R40Z@>slpn~~_=5q*H<_5yA-pPs-F7XEhAz=p3dEx{vfO$B*yJg-9pT&cTZO&;w;YYPZc=*5M62# z&>oqLx@5bujP|VKuqvZ2A02yZ_lhh$%*Xf?#mi*gN5AqdGA`JSJF$|(9ygb($q9jG zOuZf3qtO+0PSD%QE`-JG==K^n7bMaz>hd08GjZ2LP+DD09pxrWuZ1;K`_pFFZ*Nr| z6_O$};ig0J)!NB7(NuQV_K1&?ES$)VS8i24#1Jh~!NB~O72+0g+-oVOLEQxIbtSiA zeThJ%#F&+@GL>FvZ8CSt?lLTb5P|Db4+QC^B8acAK6baZ;qFk5I&W$p^LEJ#RBTlV z@iWdppuw3Aik(-0r-&FZ_t<2~RXCsN-Rj;Qzm76n2roW{tv%Sq2wKmrn_-}WV|HV8smFF!%}A_lrn;FM z=z{K#U=d>Fz7#`O{ zoQlUz(Z;o1>^Z>?f_v}sPpT79-N8YN-4kslYnG8*0)eArOrxuOKu*T(OgMK5yl-Wdw0oc^EAs6{jsFLkAwn>G~P`qH9Tq zT=#LAGWJw~tH{u~ri`Dq{=ADhqEVB5Lq%j2*MrqRqs{H9M5|^h%-S*gSiB_Xvq4BM z3%XJ;m)FRU4^L%&Pg&XH^Hy7;iW}H7?ob!@^xR{#*P>VK#U)RfZJo@ARx)~KpzXyn z6JPVW&SLVG%SCWF9Tz>?W5>t&<8!F)&&}a@GS%RT3Wp;|<-SGCj5npz1?wSdLRUD= z3&XkX6Wl8+eJTg{d@IgE@&5WyUeERsYi`ddZu4)*4pbxcp zP!@0JBx$OSlllzu<}~EfeeCmk4~B_i`VN-3>3&kV#}S-fY9}tp`H(H7SMEN#4bsLB z5*!vf+lnSLXs1UX?@((#={;AT_xo*WAkc2Ak;FL6qf-r*nw{{QSYPAJoxp=aOvo%~ z(qcL9P2t;-NiEO?m^3A-j&KhRp;bOHx%)!DgP9^Hc^r5?iTG+$|H0Hb0o6ONL3N}N zHjeK(+^PR?o6NW_JOW%sTvRl*&$r<=61Os^rc>$o=1m0y#C7TD$_*K_l1D<3Rp@y0C1tDIJ*Q&3IOIlDU};6Y z*tR0Z6X!|WwVb@8DSJI;B<9-l%G!+YDZ3y5PqSnsHA8T^nkl!PCNUkW+7kpaP3j^W zmF`L-c-;dCm#w?TWJ#=B?Pxo*Ph9EqtQ1KEdzh>E?hxE$%Dp;RM$`;kNlFLP^M{xl zCvXm4J*v4CTcje@iWa)-nBVP(#?fFH+$`7}7a2I@Ov-J9?h`}(Fo%{{r^u;jQ}^3l z98>$^w9IRM354bp-m(t(y#O)|m06~Z(SX*%Ek6a9258p6#ocT%@f7xfaV4^kX+~%= zB9Bi)xr_ymOZ{oQ?$WSO81B|RM*afiE6ak^k`K)0V;}JQHFO$_7o*93@^+Y9ZunF- zbDOjR#8wBk@i#kt!vz>9MZn09?@JAA!Y%vl z1q6^Gof}HqOm=s&H>X`oE_&u2-ExC^_14iOdF|znJ2jou2duv=``hzgh*5L)jTOr# z{7u-R=yg}VyP^ZFEkd&{R*~uW>UTgDDz}c?umOP?711imdiar^a%b9n-)joAzPTg)}PFs!(S{dAkBNCZ-%RWz3Q(Wq;4L;y2^;pzAbPKUE zj7LJ8D*Q4z&!ZRU&&odT&DE5*&K#h*Qd-()gq-go7ORqzIHA2bw%oj5FoV28@&m-z z>pN4X(^FtiwG2iDC(ubQkYgz>EnbV!3Xv8^cv#utHYG_w7gFyjEvuz?t=P z?chfU%+V8Th)e=LWlKuaXmyVp z8;TB|p+Pu_7u+$#=kHbOh%y8Nusm9aMLd`gUQ5g%)umuj?m^LEnJ_rujfOfjZVRYBjIi$dB zc(v{{V3>1nye*p(va zn#e)vxO^<#A)$E#{Rn#Epq}*8?l|8X=AHUF%+Q(8&VKo*@ z&eyhmx(@Hbo$L8P+o`KWNs0@l_(Lui%aCSCH>D8P(XtJ(+ez7D6^qUw!Pd8|wM~=B zcf}j#X2szKM6kUhXrn{E&XEf*m*IHO^9L|uPxu(%2X6}XM?*U4Ltd}PqcsF!h=svw zYFe~tvr7i%oi|&(2g~ zHG0f_8nh=z+wlb2X-sCDOPW%5g!k_xL-nAd=3(w_7zaAd9LtgOi1YU>>?Fn0#LLa*=?b;YBmU9t5WuBrOH*q+13^RF;h6u^v zI*xu!GthHe#F#EkME2~#4TfSF+r$PXWEtn97WbG5oThj5gOH~j{Qqo2K9h6D4p)`3 zPt2W$45w|v=RWp{n)Rz>-&*`YLyRj_30hTD^I3w^x;Z^#F}y)kFK@v8a(jZ=A^MWr z+cvcwmb;(m>&BCm%%$V;Mg2dcW^&0*TheEby&vF-_1qUEUTGL{wbqM(9`EN}r+X(H z6wa%uA~iAa5b3&(`q(j+1HMd2W=t7vyI$?zgv~~HAG9arpmq#$8Tb231?f)YD5F7w z=SfK2w(C@ygQ(O8J{_t&kpY#$A>S;KoO>sB(L*eak-^fB0eQBb?L`DvHU`TtbX2(N(C2AhhA|7>YRJJVauH_*;ZT1rP!`-KC ziP=y6U2KNLGqAI*hM&>9?@jxnE4n_NP)V-jqViE)KU5`tNKQjBSb7N2HRS|(Tl{8~ z6}g=Y^@p-_1SSGmUdc8SZ|eWP?XY(Thu-FI9RH3*`9E7 zU%1bPySNb9=WFgrSmXTyqRzf~<>VWMmb2o~TQSl67(Um6!JnX8bbT`4qmt1Qq?g-9 zUbehp`U1D(0vxd*+A;5I*r)q8LGkoV&pSE|`Q&8{X!jwUQDIwuxXp8Ax~gU}O?~1_ zjU!@Xdd2RbpKK#qk>Tdlhh{^|Xr@B4&_1B!;LunkQj^?cF!W;+7)uCjvUi5zBcx{D z%Tjz%LuEOmf(sFW@Z42NnU=^@lT*#yjcaelzE0OA7~J~hp`uSy29iz#p`QC` z=VCsEn+z?*m5uYZ{@#=m*~@Idukm}Lbslksgs(t^G%xx}aH@7F2`LX;tCRN_2zxj* zye-Z$*>yfzY3ZF@JR?=nl3=)5`@{mQXRu7oB?ZzOZj}#gLI+p57Ly)D(H<$J`Jf8t zuKqJ-M(vRE*j`u2F!$=rMXFp|!*MeoKDrI0o0$4!$TvqjrdnvNj4D&qq8N-ZKYjXA z+^8Hsnl0#ESRIr&OPBk?l9+?8&U`p`RGr!p6X8;keR7s;+LQ3hZgXiiI}=${Jm_6; z-cy%@i48PI-XHsYPFkCBo!j9Q-8i3)C6k>ha8*TQ3ob1}QZzTqCHZ6PT`B%K4=0P- zpZyR7SCk<|65-SWxkTrSSe;^jP&ok<6w*%G52-gs03qp_DBL9u3i z$uo^-vQl2Aw`G-Z-B8X&&@`V!vIe3~t^GVK{jA6-zMj+7mmu3OSFaav2*dF(Yn7b) z+De_Fp|!g>$p_zs*0N<4=jI=rwobF1;{2;Owi*9`_@ zko|EStuiM!2!4VPf)WN&-7WP53anNgh>1m*NoG5CR3MMd%A-st_wKR&kd4;mipy%^ z98h;B&w9K}f_?lDaN}Vt0Rj1g{X z{<14d^%><$=nqMB;IDnYp9FVO^WuX;#}~D>eB9l zu=45i5mE_H={x~EpVL}5GREuomRu{06*;FksrYOqyQ8Z^uIt~fQRrW;IZxR z5&CWi*%AS^A|-!#PVjD+Do*=olb^2qTxXrWe0*Pj@;L%B3FhZMyGPG!cQ4QRSq%4S zv{g(e}=#OrrIeKMvYJ47=G@wiLbY&$W zgGeQK0Sh0KCpiN<-@Mlq)tzwLoOn(^Zf&I&oUvC$C9%uu3IZv@9v{uYx=$jw%hsEH zu~uQT)z0LsbK!oC4tLT>NJrt$mqZhfS)uE3R?NkgGQrbCGsg!!YxhN;$#>m^t z0g-^qQPN{m(p@~9z)?sadr4BdN1pr2hdJ!ESky#o)`l&8=_3A_=SDFet2K^k)78hg zRd~ot4x_$c0+G3#xGaYF#)X=RYj>!sliHR6;z z0B!DGAV~Ipp#t++&_@X7g>g_Pl=k?>nVc(?ugje<9=rXm z*k${1=I(H6rMUvfudVf5!K~}4sJi65X}N1DC14R`B6VIMSIw~Ykb^R=yb`pZDTgBZIRP0ueDwGwr};c2Tdo zo@9CF4?m3Sv)XreY3U|(Uk>+0y_9Dndz=>WmOD@DFoSzx$!oPauEkm>yPMlop7xOAg8rXWZ4x>?Jwe-GF8d#W};KOcFhIyE&S7 zhXXi~ArUZwd~tU_A`Ed7Ap%bko%7KTH|s9C*0D$8;q?|yD>sjVxoilP7cAmvW)X)^ z>gqVnEmR;le-qX{6eGl6~@WX~9hdH6zjeDD6mxRt7q=aMV)} zaV*n=cOOA#uRoqhJ;c|1>A)4K0yL)es=l1aH<*4bR?qSUO~iJyF>26_!0xzl2dL% zr{H;dxAlLv6^<4(JHDEwuVyo+BEb&D-c#m1cZV|vs9>UY1c9RRa7H9)g1m+tt?oU#CCeuYe<8pA zLLy?S)nMU+7W?|5d0*HP{dh$vly0oCZ27#!F84u}+0e+>%NgI^>ej^`nJj_ny$6vc z*W1+wcbwtXpkzou7~;y=NK9b{T)pn1W0D}g;}8gMTKeL`*}u(Lc2 zV4A}SldVxBfjFEqn{b67PacHs&3gVEA6`Xa8=lc2A@e=uzjHh3|9o@k#|@+IZeINB z`bg-JYAd1H$=Pr+chtMXTkN^$V5((1JlrxS4O8*7^3kY(y77t4KI>B{0 zpBxfP8&d0iUl;l%dNd0}d;jp0yhr#)`1EJm)wx@GP+kIYj8EFGV?rM;!iJ-yQznPV3+5^xffqWUYSh)Dpp$`N=YE9mt=No{D6s zqQ+TUYfwFNv2qBpJby&ceKWaen%j4Y*h@}-L_Jr&^o^%hvz3xr1oj}wDBR;C0(gIC z&&WnXJ;^i*7K#U;p}!mdy>{;@|3@4Dy>{;o z|B)~My~cmG(+vr;6aTt=Je*1H@AtCZ8QYtp;fDoHOz=yBZF&UN?y>O^5~aodrP;jz zc*FvC{{awoa3P<6VSs>wU@!X~A^L(ocHaErQZMKmzzJV~cRP?FZwx`88xwtDZ~{bQ z)ei65L*;)QL38h4dvT+j`e*K{p)`)%;8`Hj|A^`S{=Yj8`6$&0x6;W&IZ*c^G;5}n zJ~Ab<$1us#o%m916<;z@xQ&L=l7x2mw-~qsn=-v7MTB>;z=3`m zCc)3k8lKXZ4Bzk>-t9Ae)+fKWiXeBOqILy$%l!ZIU|X^0`Ce>|?NqIP{PDEwt(Gyd zY=`K8U#`HNYFSBdec)^E={W`~LoWm;cTnWcsx(zYhX;U&rLaL3$XZ z@B$7XA|31>>Oh(zT7J|yAkZQP^WiVp!h3Gt*nlV~Ft^9%YZ3lLe2?(ex1ju7iNAFF z9z+hpfBV-#e7=uKbGqu{Op$LenA9+j$d*G7NOd^n9gkd zt@Y6yT+=G#^P!$}FMx9*`dSi27lqA8Ei{d;+{^T0n}rQFI0F8Q4kG3)l zt35YYByUm#ZV$YtJR{&qM7#1u2Uj?(>WHIQVWa$8Wc|Q|HU7~W<|CbepxurH5&{R< z>)5^|+3Pd!4h6OJ8I-Y=*UtXz_OStnJY3k_zouos_Vx&B=ugC#;G9qkGtqaqwxyx? z8|mHQKZN-c>9PG~I)d@~F8Iu#OTlo5cZWdOFfSP0&sBk4{W$2O=!r zX94)1(|LFJk>%eD{e}63B&e`Le@q-``1Re#=0~vgMbxkYwVx4%QMTm_^$hT?mz5n{ zfUK+dzmTn4M13Y&0a;GYWkJ>V+(8IR&!)B*o=f4?yQ?(qAz{=cga|8~Fs z&lW#+`L7Pl|CjF34`CChfBn}#YmR(MgM1ec`P9Vuu5|l>{#rrhQ;p^Kk}Ln;s_IqySmP7JE{R#RvC-#{xRIrCS_~;*n@Zpy~O6fy|^MC!%Jrv4+y>s!S(*DnvLEdj2 zd|pm?SL%UfeO3JVZ@YhaRUg7A0>@aAco!b}uNd}U{~7&J&*$^T$gj10{@16N&;R=8 ze@1^U#1FKuh4^O_>JI&#&%A3BeLnMJ>ganl{(MejfqJ=rgI75y>~By^{>RThF*FS? zIHZ63PcHz@vNQn?Q@nouss01T(eRYSuP}~&y@v4xTo7M>NM$uXiT? zIzAfK;Pn>G7mUZhzDx5I_IhgLS3HV(J@4@=3|HAzR|#*~{4y4XB#GBcCVw3tz@WU^Hw+@Bzrh&Trf)nR2e{TC)w||EYGmq*W6eb-uE7{JWqeqAHeu; z`r|O-{U*_0zYD2g@RZ1}W8t6(%IndZU+`cJzUhhs0{k71ghTV)mZbQvHQ*>HVe+-- z0D}*Iw}s;PUwh6`EF7h8JSgk<+c$WqviF5Y-`}7i{Qvz8ngZ|IcYp8< z#BXgGAdYW5K>MB>%>$GD!ea>F65lYAe7$}1%YHBnL4SXP0pM@_alf5&cw~U!zSo|` z>2JOUE6V@21}ydanzHat$oH68hGo9T%(77U@Yi|paHZp$PI-)k!~cy(Lh9!?jKaS8 z9|#^On)(|a^*uLWiP$%fc!>(`w{I}mH%;;wgMFV>fZ^YA3t+&qzQzJ9;`OT0U-yz{ zf!TfS2hZ`$_u1!RvA*~B1^ea)0Hc4K8xL~k*Kgn$&V%&%Wh^fk^(CWTFoykgKX8oX zh_8Kn!8q>M`2vgw#_{zHI0?+JbnwE1+aSN;0k!=G-hy3_bqE*uy0-rFgP>6p5GS?*zDIgKr()K>kpXDZ!q?YZ@k)a z^zUPahe^KMGT7JofVRy0rL|wz0NzOX-VYwm))x$i-FUrA_OtES!|nB?|J&<@x$K#| bbYRen;SHgFd~3xx&iw7a{FnVv{M-Kq*IG^} literal 0 HcmV?d00001 diff --git a/brainboard.htm b/brainboard.htm new file mode 100644 index 0000000..68f7f6d --- /dev/null +++ b/brainboard.htm @@ -0,0 +1,262 @@ + + + + + Brain Board + + + +

    The Brain Board with Wozanium Pack

    +

    The Brainboard

    +

    The Brain Board

    + See my firmware board page for + information on a prototype version of this board.
    +
    + The brain board is a firmware board for your Apple II/Apple II plus + or Apple IIe computer. The board uses inexpensive and readily + available 27c128 or 27c256 PROMs. Because of the denser PROMs used, + 6 sockets are replaced with one and the resulting size of the board + is reduced to under 3 by 4 inches. The original Apple firmware board + was able to replace motherboard ROM functionality with it’s own + ROM’s. This allowed an Apple II to have Applesoft in ROM or an Apple + II plus to have Integer basic in ROM. A softswitch (flip-flop) is + included which allows for switching between the firmware card and + motherboard ROMs through software control. The board also includes a + little known and seldom used capability that allows multiple + firmware cards to coexist in the same system as long as they are in + adjacent slots. Firmware cards were normally located in slot 0, but + they could be located in any slot.
    +
    + Later on in time, a 16K ram (language) card was developed that had + the same functionality, except the appropriate BASIC was loaded into + the language card from disk when DOS was booted. The multiple card + support was dropped.
    +
    + The base design of the Brain Board includes all the capability of + the Applesoft/Integer Basic Firmware ROM board that Apple Computer + released around 1978 including:
    +
      +
    • a switch for enable/disable
    • +
    • a software controlled switch (soft switch) for changing + between motherboard ROMs
    • +
    • firmware board ROMs jumper for enabling monitor ROM F8 - this + was a solder pad jumper in the Apple design
    • +
    • daisy chain enable functionality via DMA bus connections for + multiple card support
    • +
    + In addition, I have added several enhancements in order to maximize + usefulness of the board.
    +
      +
    • The modified design will allow booting a ROM-less motherboard + into DOS, which is not possible with the original firmware board + 27C256 PROMs allow support of two complete ROM images on the + Brain Board. Images of both Applesoft and Integer BASIC with + matching monitors could be installed and used from the single + PROM.
    • +
    • An extra soft switch is used to select whether the high or low + half of the PROM is in use. This soft switch can be configured + by external switch, through software control or by jumper.
    • +
    • The Apple II peripheral bus I/O select signal is connected to + the lowest 256 bytes of the PROM bank that is currently selected + by the bank select flip flop. I/O select is connected to a + different address depending upon the slot the board is located + in. Slot 0 does not have a I/O select signal, so this feature + cannot be used if the Brain Board is installed in slot 0. The + starting address for these 256 bytes is computed by multiplying + 0x100 by the slot number and adding 0xC000. Thus slot 1 is + 0xC100, slot 2 is 0xC200, etc.
      +
    • +
    +

    +
    +

    Wozanium Pack

    +
    + The low bank of the PROM provided with the Brain Board optionally + includes a special program that will transform your Apple II into a + operating facsimile of an original Apple 1 with 16K of expanded + memory. This expanded memory was an authentic modification made to a + number of original Apple 1s. If the Brain Board is installed in slot + 1, you will also obtain access to an authentic Apple Cassette + Interface (ACI) driver located at address C100, just like the + original Apple 1. Input and output to your transformed Apple is now + limited to the keyboard, video and cassette interfaces, also just + like an original Apple 1. Software in PROM is limited to a ported + version of the orignal Apple 1 monitor and the latest known version + of Apple 1 BASIC (the so called Huston Basic) which is located at + the same address in memory (E000) as it would be located at, in an + actual Apple 1.
    +
    + Your transformed Apple will be able to run most original Apple 1 + assembly and BASIC programs. Programs must either be keyed in + through the monitor or loaded via the ACI (Apple Cassette + Interface). No other options are standard, though you could add + drivers for serial ports or other expansion cards, if you wished to. + More details are provided in the manual.
    +
    +
    +

    Example Wozanium Pack - User + Driver

    + The Wozanium Pack provides a  capability for user device + drivers for input and output.  Applications that directly + access the Apple 1 PIA for keyboard input may or may not access the + hooks that are used to enable these drivers depending upon how they + are implemented.   Here is a simple example driver for the + Super Serial Board (slot 2 only).  This driver should be loaded + into locations 6000. 605B using the cassette interface.  Once + loaded type 6000R to initialize the driver.  Here is an AIF encoded version of this + driver ready for loading onto your digital music player.  
    +
    +     PROCESSOR 6502
    +     LIST    ON
    + ACIASTAT EQU    $C0A9
    + ACIADATA EQU    $C0A8
    + ACIACMD     EQU    $C0AA
    + ACIACTRL EQU    $C0AB
    +
    + READVECTOR EQU    $BC02
    + WRITEVECTOR EQU    $BC04
    + RETTOMON EQU    $FF1F
    +
    +     ORG    $6000
    +
    + INIT
    +     LDA #$2B        + ;ODD PARITY, NO ECHO, RTS LOW/W NO INT, IRQ DISABLED, DTR LOW
    +     STA    + ACIACMD        ;
    +
    +     LDA #$3E        + ;1 STOP BIT, 7 DATA BITS, 9600 BAUD
    +     STA    + ACIACTRL        ;
    +    
    + ;SAVE OLD VECTORS
    +     LDA READVECTOR
    +     STA READRET
    +     LDA READVECTOR+1
    +     STA READRET+1
    +    
    +     LDA WRITEVECTOR
    +     STA WRITERET
    +     LDA WRITEVECTOR+1
    +     STA WRITERET+1
    +
    + ;OVERWRITE VECTORS WITH NEW FUNCTIONS
    +     LDA #<READ
    +     STA READVECTOR
    +
    +     LDA #>READ
    +     STA READVECTOR+1
    +
    +     LDA #<WRITE
    +     STA WRITEVECTOR
    +
    +     LDA #>WRITE
    +     STA WRITEVECTOR+1
    +     JMP    RETTOMON
    +
    + WRITE:
    +     PHA        +     ;SAVE BYTE TO WRITE
    + WRITE2:
    +     LDA    + ACIASTAT    ;READ STATUS REGISTER
    +     AND    #$10    +     ;TRANSMIT REGISTER EMPTY?
    +     BEQ    + WRITE2        ;WAIT FOR EMPTRY
    +     PLA        +     ;CHARACTER TO WRITE
    +     STA ACIADATA    ;WRITE IT
    + ;    RTS        +     ; RETURN, WITHOUT DISPLAY
    +     JMP (WRITERET)    ; RETURN, + AND DISPLAY
    +    
    + READ2
    +     JMP    + (READRET)    ;ALLOW KEYBOARD INPUT TO OCCUR
    + READ
    +     LDA ACIASTAT    ;READ STATUS + REGISTER
    +     AND #$08        + ;READ READISTER FULL
    +     BEQ    READ2    +     ;NO, WAIT FOR CHAR TO ARRIVE
    +     LDA    + ACIADATA    ;FETCH CHARACTER
    +     ORA    #$80    +     ;SET MS BIT - APPLE 1 ASSUMES THIS
    + READ3
    +     RTS        +     ;RETURN
    +    
    + WRITERET DC.W    1
    + READRET  DC.W    1
    +

    Video Demo of the Wozanium Pack

    + See the  first public demo of the "BRAIN BOARD" on my video + podcast #24
    + http://www.willegal.net/feed.xml
    +
    +

    Follow My Blog Posts Related to the Brain Board/Wozanium Pack

    + http://www.willegal.net/blog/?cat=14
    +
    +

    Brain Board with Wozanium Pack + Assembly and Operations Guide

    + Click here to download Version 5.3.1 of + the manual in PDF format.
    +
    +

    Wozanium Pack Source Code

    + Build with the 6502 DASM cross assembler.
    + Use the following command line:dasm a2a1emulv5_1.asm -DBLD4ROMBD=0 + -DHUSTNBASIC=0 -oa2a1rbh.o -la2a1rbh.lst
    + Included in this zip is
    +
      +
    • a1basic-universal.asm  - Apple 1 BASIC modified to + run on Brainboard/Wozanium (note copyright at beginning of this + file)
    • +
    • COPYING.txt - GNU lincense
    • +
    • a2a1emulv5_1.asm - Wozanium source code
    • +
    • a2a1emulv5_1.lst - Listing
    • +
    • a2a1emulv5_1.o - Object file
    • +
    • a2a1emulv5_1.rom - 12K rom file that can be used with A2 + emulators, such as Virtual II.
    • +
    + Click a2a1emulv5_1.zip to download + Version 5.1.
    +
    + Also included in this zip package, is a ROM file suitable for + emulators, such as Virtual II. This allows you to run and debug + Apple 1 software in the Apple II emulator environment. Just select + the .ROM file as the ROM for your machine and reset your virtual + machine. Just as in the Brain Board, right arrow will clear the + screen.  Using the emulated cassette interface built into + Virtual II, you can download AIF files of A1 basic and assembly + language programs and run them in your emulated Apple II.  Note + that this ROM version does not include the ACI driver at C100, so + you must enter the cassette driver by entering “D000R”, instead.
    +

    Obtaining Your Own Brain Board Kit

    + I no longer sell Brain Board kits, but you can get your own boards + made with the design files that can be downloaded.  I will + assume no liability for any use of the files.  You are on your + own.   Do be aware of this grounding issue + which I never resolved.
    + download Gerber Files
    + download Osmond PCB File
    +
    +
    + +

    + + +

    xB5GsIE*b~}Gq>%>=CeVflsT3mqKKlEkRcR)TCPx>mEwOek zulF)3O`sG2DZU8kdvKQ9ii)vroabq}G$Tn~*jN6<_NSMY_dfsq{ZF3W|I7WqnBCm* z)WVvrN5q6E*FE)O{|~R5FSRYvv#(99`xZD)yz=XShx1|yBJeIEppZ|E(x@C~aeG`5 zX2=FLSX2-s2suJZEfGXX7BC2V1zTDpCdSO3F|&P)qZ2`N zwjw$*={0gChze2Z@3J6aR(t@9Ub~b=z;Iv+4LFJAf%O z5KkInE2aK4vK9}iAJzK!OqdXhj6?`q&rOBsgPmtOv z*r<)6Wuq$d2h^adsiA4KII}!BRhwz6bJeN!S_D?OR;Y1^2ls06&}-xX{ey6cUefMB z(4FN8X<8u#oN}OrsF)Wzf?dPWQy^sF4#bn!hYBN$@=6cjpNDHs7QfYA#t?PhrK?<>mH4LKsW$}BYzQHYKg zQa>$p)c4cF7S7?cD2=sP(InY&%hL5x>zx5hpki~9oL(*5bfZpr{+|UWQVYZ|w~*3O z9Fi#Sq2ej-n%WuUYw}fFTfnx^&MoWABS*}eFP&NXJ$S}6p}NYv^2omRJ8o?|_?z#Z zTUcgpW3J>2i&p>iAk4Y+&NUFOeG>ZFhTZ1dC;N}qPWg~?6}8M3v^sg%C|~A?WKv0p z(x@$J7YMRbL86LOb~rRe@+pcWQmQe*$CyBX1D|WRRU%IXhoYdtkR>EJl@x)g(jRF9 zeyM=33=>JS;ajO(P=*yYtN>BsxEoglr4QO(H!>j;O-Hlg9biL@Rx*P{7-QiK#m}s+ z?LctT(4yMM+OMmlDhJi#uw^~)#dBZR-eW%a)9T=q?_lUWpg?F$zW2O&5hbwf$F%k* zP-dPtFVgMFn3zbOr8Dl#M`Rk&DIP#%7#Zp(;`l(%qm4BpQoLu5ky^vEQfqZhYOScW zR%32)Sxu)15=hh#qckG6iW|i)@lW&$9T9Je8LGHajEmjkkSH1=P{z`dfC|7Y1)y(o z)UdNdR&R&aqHFCU-9+I1-Ab5BV1z&`UZdn=;XY|Yc-nJE14*mHb9js2oe1qXElaHj zHaIl$;?48iY+<3KXZ*5lKK8Rp^2s_QH`|+&og47y>%x?b)!FX}XB1f$M1}gWyN+eb zlX#O&v#}hVcf*Lx--p?QphDA!=C*5pF|V$JlD?fCAHSUF{HOVE zI%jj|TadTUJZygUsk!)S0=zqRoqH7;_Z)iHT*d4AHfr)-JWUq~kfTPKOtVGZ8+A2% zqk%PfA9#oJKJz-J2Zr)!eE?LvDL{w@)CWM_DpzW!%LTasPI-+{O7Lt!&CNnoATs{2&=?g)Rl9=9NO3y`x_-19kc zlou7%rfnaNaoEIZOqay_+m@~G>}WjwR#nT5t`!waU;c-fSaj%_H5WI`bvwQYZ)uv| zpjqcU%l1t?XCEoYEV(d{T`$Z~{>OCL$2M`Fas2mkFMc`u&USLPFUBvyNt_qYCTWtI zW%U6ekT3`XX%HX;iD?khEODxeX4A@+QeH|2Y^xakgC%OaHCpM|LI8)BEkXnV5>13O zw!${DmO<7kCE5ZN0xo-gc0z0W{G85rlJ)$)zwh_+v?{G?w{qEZG5?X{q0?e9;T%;B z70O{pK~AJ(A#T7MVZ!T1@8b{gRs44(mql`s;uKubC_8Zp&ax=e?0n>+WoSM~gc2r^ z(-vA*<_QA-EYV9qD>lV8Zlg9$k8g?h#c4bk85gDmf&@h%eFD~n)xs8m3QQ|8rWF{n zKr3A<=hSi=Tr!rC3^)KlvYSz|8;q0DadUwn%;8=}MWX5!3+b$a>{;imW_>FvEUH$y zs=6bqjcMc5M|kHw(ABDwN4D%Z_AzWBk`3x-AT)gGxxK;Mf%|W63aA6M)2*ryB-q>ALXuNl91C|Uh z$vnGp%i6Q+$88H1^gmg;&A4iOanAU>3*VIY$5-uGu_zjPW9zSX3{C&{vaPjq{gPyH zNqu|m_l})6CUH^$SG;$?D4q;HeA z#X&-QxnBNVME8>e;!#3(qTT3KOm$%!+J@;eyqq+0G)a@(GDO9vt^p1qP-YiqNJQ`o zeu!fWQCmr~h0hj&1%{`#GP4DOLZ{FtP$UcsY+rD&5w;Bm&De}sJmzC=NTE1R`zS%Q zla513>J-yHj72b*aWI%PsT(wzv3t)D_Ml8^-f2$ZL@EZca9e?K36`mu1;E#U_iGcw z(-%%L!>I-WB-g-Rkg=wMd!@oy&yC!_4;}mf*e?Jb)X+nHV=*a_isfQ$AzFx^wLNQZ zKn=LT)?i;RHEFAT9jF7hk?$*QS~uy|_Mv_FsPty;QSFTEBb4KLta&|dRYhR00m+sl zNjA*$0TqG&AQKa$(`B~XV5}snlozo{NL6Ik3{{bI>6SzlX_S>d=?~J3M5Q!2tq1tX zusWuaxT>qG)h#Ng*5sKbP?e2;sWoq%r=iFHd}2)ZKN=~H2ahmqP9bDE0G*ZaFZ3RX_y~h4t68GX>*5Uyc|p7; zk{BPeSE5Rqv@fL%rbhdBX$#*Xw1}HcE%xoGoo^S~#TRK0+D#9bj`63^Mf7hv&P^j9 zkRG*&Ruhu0p)r#vS_tJis3A%K=s>X;u+Ah|A?i&gLEs6rv*#4Dc3O!wO$JX|4lp5Z zIEfDs7+E&xcFG5Y4FU0xNCF}j)3{xiOaXd`$+LwL3Ks@Up8_f08B~C6_6*T^Aj(+t zBCq%21z{ic z+eMgLLNj3;PGeQqd~raNPT!bs+{gPucG*Ist|*y`;wYoma&IzIBRSbR-59WrAcy4$5(PM&@BRCr?+Pu*`x9?(~$@y7gpbiyOz?x_i`p%gwpH z<%_RmgC7CYFHLT3W!h-~?SPz+1G@iLJyoyZLKL>< zOCcrX47q|iVfP~EI@*sWurwCJwcMK~LKTN1JBX5Nr#^uy#OZVp62u512@#BZC4!h+ zWVbqy1?CKI*sPbtpE+S3HIox&++sdtp2^O)LGzTEGpF&2!62+BOlQFX$4*$EU!7?L zmpT}PnF9~~ab=cc0b?1SrBYp`b07!{vQYNB}DxiWIp{}8THlhJz)v{y^(Y1iH_w1xank?Vv@9guP z-uHd}df!Y8e6Zl$F*v?z>+?5$QNQVDuj5m0!0K&5m>yvth7O0@;ROA?w=Lo?R?6vY${f zDw1570zU_;0}B2SKn%C@U^l!AXTSyebfOuWr79AR< zaPnHr8R8pD8}N{-Q7W!BfZ*cE*+WBHnlbE|>?0i|yC zf|e(j%$U&xEhU|Qyz}`{n0WkbYou=B#;;%a>2t{xv>ttFT`~n_l1uj9yzo3W%{?z| zjyWfDU8eX0WwJRryg0o&t10V!awMah{GHs^z9#=+-zZv@M3DJ`h68nnvL}r|Nle{JOAW7X-4JQq&_4Wx@;J(WI}1cpACw8-jgDXx{yM4Na@AJ-c>S;x<<7 zWt^flI7I8PVy{8J4oh^PHzqT<2RCeO-Lp+AkmQoEFbWpGjXz zJ<1jJAL4-Yt@w>}C+&Xb-DpO!cbkvaMps1NQ0XDrxlfz?3=>;S?1QVq1)DKmh} z7s>aF@&(DE^SpwI&T6LzvnEzcFi(P1xqGnS0y?Z&_5`0R->pg;^Ao<2b)bWp<_X#4)uWl>|~N3WRG|SDdvS zWg;c14u>OcPw96EIT&%|Bx2)L5l2%Zj;2KH?|$rckP@qWxU``Xh5@n^{Qxu|r6+G~ z4E?uVsgRvJC>2s_N$%v-PEPH#V8h~wh29Y)FTZwOBWnJCQAar`=m9M^4{LVX||Mkj|TmSy@`6YhkrI)sD zMjm>R5Ox-`pb5+E{SuXgTl~5>1cRchN4zXwC$|{yA(v?{yDac-*3HieT_SH2Psv^6 z9OcKzQTV{!M)*3p(fx+IDa7+K;_{^QnUs&FqXkQzDXd^C-L7fIjC7i7IA5R!=zdt{ zhao@sojUsSH83d)P{vG2;9T|^B*2)(41DU~`=G9w% zQ@+9;Z=ziC(U%^4$QE8&y)|Z6jk`E1n)Xzc!ANiiHw?VJS7yZ3jUhZqr?2vY;9Yhb=Xjh9|>np%x0d#ucTDh54Fd z5DOoPI13}4ESCr=XgM*`*06s=2=85^bBWjRTHZk~_uibO2GmK)8{?8$DT(!a{l-HlDcvw` zBu{l=KT?*<;brVq#YkjIk#ohP+??u-c;n%_uYc0R@YSRtZJ-&a#N92 zK4xqzJ2&&O{LQ5suYLY8l((*V_Vj}te?Ixb6V~rO@>6sDD-D;Pso&oC$}@=1MTGE9 zC(S~kecsB1pa93@Bfe{Lue;Z{?ER-tOyhvK^_p%|&x1U7o}L?uLphC0ad%vghh|DM z-L<^dT^m~OZq#2>4#FY%p!=YH*n8M_FmysW={~7$z%N z@m}?PWqjq0@eq=Pp=+8~b$b+(5yj)NG%w1jml0GRivEpau1YHsMUSJgidUmxcxqZS zu(Z##0gdTeOq-$&Y24C&rBSVemfCJoGY`poS6B-`(y*b6PHF312ZX8EI}&-nQ`csU zydwx~)`l~$h^?%2T1gs^bnCOTzqf57B5uU3;R}A5=z|FmeR27rr#J1%d^OScTKd$A z*;5yl1Pg`EhxdLo?X7k8)`!2P>sLf3*`-sTo^;khTMZueV;7i1JN9->w+NnHD2h0gFTLk6l!rw&8eNTjJtLeNS^X+lv%q^adn#ol+0Ltx!B$v&_B$(DWI=Xsy^ zc>rT>E&DTHvYsjMAJLBp&kG(7t5}o$Ql8A|)vyLvb2a1zZWq_Ch%p?K)(D&Mukmk$ zPJ9CY8Gni|NtfiScucx0-Ib|;B}tMO;y8X8k4Pgj$-)OER0x8?EO8boc9aPZiw~13 zVWGHyG~g9-6W=5}C;pgh7up>A@EhVAqzfE|hlMWrB<>Rv_?&o-41!B=2o2+5Zjk>} z7#4@g-<1K!I2^;*gzMsUa*Mwu+#z2}x8xG84{eP8(CV!uOGM^nna7wziYzf?ID!<# z0MNy58*>g|A|0{1ESN4RKuW3hnKXh+V*=85}Avax~A z3MX@-6&9XWuwm76{{^eSQbUo-e zA_fFfTz?c?VKV`s-5xHT|LzeyKJC%((b~E}XvVE<$86~%FgJOTKXtc?eX|At;a_a0 znn;Z5Wnz^W^I`&sgSd#n=@HAJJzi>+XsWWbF0wj;ipUZ54_V#$m(?L{&?Oc}tkW}* zD-pg>E7S{pg@K|_ky#EZL?*?0xvwm=Scz#dJ?4w$u234Z246dRMcHArMY_RZwNvZV zyR$ldZyQ}^Pvm{&Jx8zB>+8)u8aW5fs|k8O>#YB5;Cy}}oG{t%(c#QXS%dyd#z_8P zcpQw;>se#|8^-ngvG5&mTV1dn_D9hA2t){n!eN-9QR>LlolciH3k5?s3>}mjPM3?F z`22n&kn0Kra$z`Zn83xrrB0_m5D1&HJ1ony!kh?!;Bw{$fJtdot}k|`oT%Rkoqgzo zsN%0L4x|F8KL7)#(Fed};8Y(ETk5kU>Y8K1)h1kK?lqCQd$!XZaGSOS*@B5|iz znqfB#mtS1py4&B89PRLymahB3 zW9FLV=yrF-+~Q}K`cz+2a+E9j`J2zKtb4v=LwWnuCbVNKo&8Aj_b(=M(aY=e!)1>? zQ(MrQ^h|I6(~OZ`xGs7^79nFJvx`LIbRrnOI)c3j9-)^-rdE_22jkk86n{b!*$K}G zV!t_qnTdtqVcsH& zrUEZj>Q!r4{ISx#D!i$tRAi|AD$>+qwNk}~+NvVeb^@OIWnSprouj(FHZf`+bOJ1g z&3J*zG#mb(7qBk#W^CQGxwBk>O#xz~t@WjbSdr7%GbT(Po5prKG!h+!{igpJG7+6xC;zJ z736>;(UKbPfd3osogD6h_mdnHLP7G79`wfa8gHGyiQDY$@J{*_YUNF8MNJySY+t97 zt5u_O*r7WpDUJdm8lF=kL}R5wba82q&@Z4>!XBYVNC_Mes9*?n!lb|peJmejGw0}c z6;MVg=FumaY+mz)SeIFk8z*S<*LK)7CqU(dX*8!R1>vgX)JQU!?AUx6E^XTO;>c6+?Q8Y` zl=>>UjvHd#pdc4~6WvwosMf2p7yFxZzJw`bozbLkF!qB3+5vhPbZWiYd-{2h$VkvJ zjqcQTQdA%pWFx}-l9t)z958s~%+Mh6!3>w0;bh?wYMMcTi(0oT_!t>oK~>SH<|*hQ z{mg1vJ=;T41g#?00oxyx7^IGDAUt86I%ycN&47mOp0u=kvI6D+g-k4I8_Ss9ygs<^ z*b0yNJxj6TwU%@>S}klg;}dC)-nXmJ$+U^lbDE(RF`LtbyvH#rvXl{I)Os*g2&{wD z-LSu*>q5`Izy9s{7ny7QY)gIYmksfi_3cZzq8F;?fAM*8^lbM#Q^WX2Ej!lUY}(ZN z)2o(O-I`p-gLH@C5=-9p^#1^T#y!L&!;?P&3AJc1I{IK9*O~G8_B@H#f}ixTtQLs1v4zs zfTz*xR*qyWcP}ixVv%(d`DKhLHgMVy^VrC9 zE&?*7vLR2(SiY7Qnq$*EKEWWcaz*h33x@HyNk9K@tn@TkwvN~v#IiTA88WA1GPjVQ zDcnzl?*9Y~-)6ILF*&;SiS5g~|MKcrd%jpZb?PVeH66>h);+$Xfh)SZ7~Y&;`p=t{n~!x+&#bN`F&(kPapW<*si@tcHV=lTnh2ZV~7B{<2EHoLYXgLrZ;{6@`>vy zP`>Dk_!21TFE^_EtN3GTv(ds^#UpqKUG`rN-0*PS!;HNvNL6WZRv zzLKufOX{9UmDYD}Dt^?X(hu(D{Znf_e>HOks#6C1xf`leir+ei3c!xavjerZ15XKP zYUu3(ueV=OtM#;#o||^ljcGyAq&bypoo{c!P5<@8=YEM72nnkom?%s}I9D*1I9&A0{Q;bzo=NFzFp zuAzH~0U-IVuK~zTAJK^e+|-YI&y+3QWKX*(kC(>vaafmlmI_#DGOK=UT1S|tCuNc8 zSCA9$^^XN67++5T0nO}oHx%m?uRs_u(G+2h~|ti&T43(S{%|Je&P0n6R?? zuwZ^FnJP@duvAsX!9fYhlm~e@6tTQ}IOI)I8MYG7H2+}HoUrv|=08iGeBvM`fBi6) z`6^uQKK^(^QS@gY*6qmL{rk6gPU+^ArL#+BMJ#92ch(*_u(iFbBmLc3shU(#j$X8Q z(f9Yf_Ss1M9i?#K2*baWex=@I^aXZ^y(k@uGK>Sfl2Jc> zgAUP4>=Qc1#3I^GU#650&IAF5gp0ChTf`C!(SQhK8J|nH^?yX~i^gc~Z9K;Ah-?&M zkccEE=U2;u2F47nllD zPAv@IF-_47JxIMIIfpToQPr_%2=?;;!c$P0l!!%8vis02si0~M_jzqY>Ri7#%sV-r z;~-+cwk$ z+}qX$jRj7ms-h}ED|i8&F(7=JiK~LgN?$3!E7zPZ?Q4n_R|Qv>)vta1<-``c?)s@q zna=B(NA?@>1iv2Gvvb}b@H=oloxmp(ED7gb@9ra#UcE?O99ko<3H1s>P%%^@**1#W zMX1PjVk_*{SWj#url1&BKv$%Iq(_OZM{^Rsgt0JZMb1WTgYlIADQ$325InMQ(q!`>b|?w0DBQIfu~K( zm}8?5oCJav)kVWpcyU;@bBh8dvUOu`yLrtdd1kHIU{cubnC<30lbZebZ*H6wA{%$w zJ$9cxV2{{>W6!mTEt?TLV&^LBHhH{V17lha0O4tSJ#DT_^a13N_q4wTq=XrR?J@a6 zxOre@olK|5<1}710}xK*yo2_#1YJUT==OA^Cg(D5+RIhg9Gvvl{hJ z4Y^y)>h&O2{wMfGg)$UENmPJKgbKV8J%Tr(4R{CsG2Sm7#BZSE_)YR_+AVi0udAK@ zlc>*sMHx~@{JF><#LuDqYBxHDPoa1475phyK>D6@bFeINQDFiII#NVTRRz+Ih_Isc zlLxMur`D>?DxFd>Qgzi)sp`!}u+hKW-|DA+vOW)t2pOiBdY`L};&!nWehDV;0=|ZP zqBSoS$f0jU z&37s7?0LRVYS&(*e3iPDuag?(4a(DG7v&33C7UNyD+|?HZ8_pasGOCGWxQA_^f?+& zqDrtn%f)59UUjvX)Puf{6a|AlR0UN;iiM*pCj#S&hfD;68>CE;B}s&&3Asj+DJSSW zNx{|n$b&z3sQ5N?OFwbkh=SI)faKoB6c3LoSUKJ-x5z|(pR526$?#iX zv0c0;GC+Tu8$+J!arj*B@>bx)+mQKgAmWf(LPYVKXIFqC zyz!=^0%ei(?$e9O8u-}5u z_IBor`I!rue;=Bv$E&bVh*x5V^iFPr0a{3gya&`EA0{RVh|4(muISk#+z&s#1s1}8 zsjt@9sIDVCd+*)7yMC_0;I%QZHV?noP&Xu|v^))UiXULd5f+kJ)~^*_+j!TQS3;Wx z(WG^PN?WBgm5b64>Jmr-D5xk90TN9hElL};QGPU0ngl}V0|-?c?Cp2v&hDC56}6SB z`fB!k_nbL1b7sDC&b7_1^*VY@%8N<`6rrY9*zEEflG7f+5))H zjz-TP$*R-}kjd!zm$NDz1*njzzG)_6*euMdGz(m|0!nh7o9dj8f5eVyRbh#DDw>ZaW+&~P#s7D$ueo+v72=Pz?&}uh zn`YifNeMndOSr|UrA{b(!mr1dNdrM@ z|95?z@_scMX-S{=sWaP2>hGBqC+4X3rA5nQe>DO*3a6?jWDf+G@Fy@7xptWZ2QPI2V*<5;piHDGQDQ(r)Qx* z0ey97o3+a5bI^Yjauu=_(h0c_@(5%vK$`-LKpt8g5`*g#6gSOkxL@&bM zO2|pbDmxBbjMY0T>4VrI0^VrFJ$W{a7bnVDI#n3~))GU3O@0@@2*|HhrY%^_=BHpyunh zYX=g}Y(^qeHw?})MLWP-FFZ(hy+J{^M8kCOqc7CHxP7=_vSks~%KfOGQ#c|xOE_n= z637a_K!#tEilA+#gBm}kG=-$Jd|DBSaEr+Q`gHJN8*^K*m-R7hQ9;qYr`@$~oX$2$ zeCg6`sTRz({Jj0n3a|d{beM*A0ou z)7R|2uM??cf=|UyGj-8QM|nQObtyxWt?dF9!hYO#3B7{|%~q%)THl!FD=J4>D2uz?Y@gO1e}_>G>r08L=xA7lC3v#9ciw zM$85$(Y!dxsg2iH`q3Ac4x&;!5Au1TExI^Jdnq}A;B|tL^b47e0eZG6N@ibD+C6ab znPfU|uc`icyTQ(Tp@GL@O^n7W0brBu%l;eVuGC{8RMQVGz)PN2z-&K*L6W6ek~4Kq zWZ03tph<5_G(%u91}{wEO~*yY?$FuB(+^mP=5fmR=0e2e9LCZ?vS%0Ud&TvLo4Kiv zb*Xeqp2PJWz(GB%7lFIP#ltnvi4B@H=Z6~!(4G@xNC78$Ug~?gLS^dvXpE_k9@b>Q z-dv64fEzCBJ_Amxh)}QFRDctbdoQ!lPSO7Nj(#~Qt}Web392s$rsE}9G9fb4i@{|O zy^F!6j+3$;R=%1u8eQ|Dug{wmn%=HG@}qslDM;xEA?!%)Bg}{|jXmj~84D^1f-iuP zB?eqO0`dudIc5d5>&9z#Yh2=OneNnYf9*I|q3v{x3aYN*quwMh)n-?+%CJqpI?5%vehpl`j_>z$HI$vp!XNXhlkQO%o`W0a$wm9r1;6d$!&@b-c?K;K&!xQK`T zEdQd~ha~cb-?4v3b0^LG)@I!iu%@71MA9T86k0YGkz4^xtZbdp3e#BRs#-96}UH+wX$AVFA zGm&4-=_YZg<+l^$(veI?i3kqf4<36@_sk_+a( zt#FH+%Kn_leeD_~RL)BgTR5A+mMLbK9uanU+BY9%Cq!=8*F@+RG@#v&)|*qwcKzFu z=0J;!1c2COl&)5AiGsg!oEK{!`kv-B8`sj1#jbu3oPoc?KpKswVRAMsW^9&;v=)PCdV=6=AbB*di->qOYNY z4iBf|9ws&dRmqR+K>X}KpB3vyC3s@s@v+d4Au9_;gyXqIz+^Sg@x{AJ&^g!3EG3!x zYFb&XgvULwJ-yXwp=-WCJ654l>I}%V*#-(D#vFF3%C+yY)KriF|D%@iJ!31lX`Pk4 zmm$T{$Ag1CQ8EES<`sOGNveDvAAGqRsDY9M{|{qpIO^V2(kA(&kb&eiyfRAF&WKLX z!uBq@gx_b+_UifMx`rny!%jz20#f@o?vbifN4LorBhl-ToNvk4@b}HL}mBU_h(ZhkJ&Ry9?I@dIV%m-YPG|MwF~NBA(ggE zy^e)FLTdqRRwTMK3Hg>^ooApcklKeD+aWlh@8y1mTY9oCbW zRxM5t$+BCcu7Cx()+lZzW{dTi!j{1uGNPgHzd9<<|D=yFr zSx?NfHK*3RMUPX>=2DRqQVyBT3OyXl_Rz1a5Y;Oo;At4fClxN5T0<w=p~pZ_W9*K%L3x!+bc_?C7PzYahQX1@xL(WV|c5<`mCjtHH;?)r<7xyt{eq z>kzj2nSu{-w>1X9Ro?zpw!UIwPhZOTY|o8tZp3IDyUXD#`m`?9D#B6o>Wu2hYO6R3p`*GwZ$!ymOBE$OAHuGmH&wT1GYy$stTEz24 z*mnE%Qo9dtE(7@Y&El)o+gQh^U85g8xJ280J=kw(ojzK8d=@;>a7cc=ZDu+>Da)8! z@sH*&)u)x)M5P&sWu}Y0s2~szDGiNPPZpl$XGO5cjn{x%K}BUr>qtszMRWl-J)qKg5$Sbpvk}&@nnuS_K2mf!(@{C^2Sh z97;#`W-4~M#T~)uU>SWQVYmaUt*w_QY-Hh+xPlQ=VO*A~Ag{-x(CNK@6ML`jd=Z+E z5*WN-N}wD2S&n&T7l-kX{v)Qoxn3%jpNMcvZPm;S=9n?JyP#?kNdf1akvYUcomBHo zVce!Lqa5hQhoJJb^n}4;1h;C)4T29)XordYF;iE@D!@3>5m8?lMRa2OlcnX?+kV&> z=|O1yf=y)&;FbS$i-Okf7cvc(AslN1I;_QwaLr^yNnhN#o-7Q{aaVK%<$f z4+1X0%lY;JMvC-T*(6E8P?P)zomf(8g!NhQILUO}1ZiYQPjZk`q=M{ekdC9qUd$zt zjc(b%-jBK9VmCV8ql_e*RQjMiprLRvcm0UqE2RC+V8+}@nA`%_KIyqBL+Q34MX?g~ zK!%p=Q)QIfpTyP=glo&<7#cwF&>Qw^d3(O8tH^wrWE^ZQ54U$%s{0x%Ixl5BxUwAI zV}3xURJ1brf`x=z2Abh78`!M)R-KFGTSU~)Qz@X66umX>Qn41HnxJ8#6f38Y5 zDCd4j8g<_OBv}$*<|wb9C?NiUjDq3VOtsF3Lhdd|#+Q--6jygq%7vta%!M|}b;v_NiDa&?>Fa! z4|{y3FYZScDjv&^L$ewibL+<((uiq&`t(kl1dou<5{{i}>BRv@ksjbUY6ZGHXn6wS6W zp!Y@$l*lE;33n2*`Ymd;=tAyFg$`L=+s564^wIa#Br4E?(6u#S+l7@X%A$W2-BtbP z3A!GX2Ct*Ir@Y`(IuXnskt2ptaeZGPFH|jk|w?);a}B3uk_6 z3S8{^i2Yu3?pLwrHm@Uk)^o! zkMWti(S$~P?KTw}QN2IgaLNf6L|8Diz*_B7!p~2k_8t{VcfS;}cn4Kjbx*;m4M*s{ zVN?U%fae&?3b%1a zW;;H?4rv81oF7SFIf)6#z_hH3XDD(iR3y|wDVx65j>qnB%r>+&-R5!` z4cT3SN+6XUhRozR+~fiRliVeKiJ)%ek`d}gNCQOxQ2J+;zIv-;V%(#BmjZ_|HGJ7< zow%D7y5qPQ_|MVadCFGX{$N8(BBGG9bUej*q)N6@d`rkTqRa6IjyOZTQuokL;e$+#QUmgXB4N;*h{8 ziaqb`bLD{9deZ(ZZz?dKNpH*EewTSACtW3eAG?H+!^cZ81G*Dum312rZ0KgaeM~oO z=$qn31J<$wYWU(ZV(nUYZ4Jlt;$R?{XnHnTTdO19T>IOYcJM&Axtq>50lrpDU zQLMpVmf4Hz;9pD>51x*-DNuv*LpFwyo9YR|jd|p+a;_p$Aa}JH_RS_J?V~~>X1!Iq zVTg`T#1~;4m)2J9@O@WfbGR-C$q!6O-k4%WHZZ?D=};MQGl~{KHnMP=Pmxm7&h#%sB5k9uBsWAo98DS_`yoVI0j=9 zswsjnPBTV^r=BPdd(;IK!^0ZA4Kvxk|`j(StY3exLTrTMSA8n|89eqf$@& z;7G^iCr>3(ayBP8x{4lcwyY{erqY}sQ#!B}v-~9A^w?dPC9`TYV|=o!Le?X|Vi0Y% z62{4bw}`m)rjIwd=pT}LM*=;SU^Yx|9Ace4d5&Hkl0gGxu7hXd>X&Y(H&AEJ+X|ej zO~B!PmDHDfzIWGiHD2WOrj=qbi&eA4SJ>JMc+0`6;g;jYZxR^s&ZpMe)bSOuc*l9g zzU$knaD7CD1EHP9Jb^;<4AXE!GRf)mb31RPW#99I#~chanqzXsxykbJoddTak3S4h z*ZNOH%2VL3$RK#t4MAM!1GG@6kPgKVUZP%nNJMJ*g#;hWWsvBx;U3*>Tx=OjCh}8S znT_wbW1`sGkE-N%;k2jNQ;jAuHeiL@t5&f3SrFCK7&GS=VxL@(SX$zTx00tXzoJkJ zpjh8kTd>?Hb|;GfZMl-n#qJnxAMA3L{1Ptd^mpPlj?5opxU@f~aq(eg%8)lX!D`kvSRlsF{h)aaQzkD)%dV-Ho+Mr-pls8C_909)&>zDK#$#%>EeTW4`aeVXt-+jwIB2@Zo7 zgqGF^x!)5UkPYi^iN*yd-V)-D*#Ub2q23dIuJa7c)gy)3WtH;FggG#M14+Ga>;f`n zBk)Dx*{i+7;)3lN%iscZbc3CedXsV!_8wp1dB_pcV{`ZovTWVrx$N!N(min*1giQM z`RUn4b2Vobm!-#ela4O)?oNn$Vd3mNH?VQ*v-A+95wS-fHu2xlZ#SIOzm3ky;?78G4Twa&P z+K^VH6K)B|X|#DI^XbJ@np2-R4Zx<{H<7H;?#XDNj6{P2S+jii+vHu;Z!ZrZwPnsK z)9Z2KXymPoot0X-)XH-=lf(Rc*)CwM;v*P^*X4s1fU$Fi0899YEg0?td)xdb56QO^VNcR;G7o z!aPOvPTcZN)bUQtwkP7WB&c)Oj@=ePY_<1RgxBjWn@?FU1Fk1%_+d3o=a!UX6f}qw zOG+vwThgCxSd{gNzcHwnY*3b7d_s|52TAYSmqJ6IEZJo3G#|P+>t5+m#X)ot79A1; z@|}>}l**X@xsZ&8r;+iBY};jox!p5oD=3VF^-zSce5{<7YC*5E(;$ioQH>8$iq8=| zxWAUc+0OP!cJerZZOrsGf4_QM+!WUy&W{s+ca%Zwjkz^SOJ#t&RCiyV*0ebh3+M6k zi*jENwfz%!*)BC{AfUk&_Fpf4XZP4GDa z@+WlEZsbfoQ#jb*ig91=pmAo9-taxnM4H7Nwh}$s_$;$vzuxgz$O*5f2hdF?B(zU? z0fG7h@IC~0zYt{lp9Y5d#{@W<7fO>S1fBih1&l*cwj(&dP*_IK@<9s!D9#3PcS*^f zHJ?hyi|evp0FCd!w~ve$;8>b(;gjN>mf@w1^=-}qVH5Kx>i_=ZD3cK*Zu|4OA&1nJ z8ku=O!2=ap+0Av*nd9N`P+Eh?by7S##)t+=H^YVq-563Ty~JJ`y#~cMMvc!>2Ol<467fFByt~e{5vGOjpMMj=O`t@8$I*x8|-C@maMn5QB~$t*hb{X z@b!axtjiaUFA|1=H?@VQRfqc|8zOS`TJ3QmCdPwzCnHJlWk@@%BKOD~{MIb}*itrfN6&2y z8s^a{ZT-&d{qmW+99X(oMk~vp6q-z8OngilhIXtQpyGGEOiW$Zz0QEx@wH0uSBU(z z^8JSMP3#AKNa!~v9nA*!duj_@+1rmv2N~~J^mperi1O1P;nD@49vtZLyxuj zCH3e7vXskn?xM7rW( zL$jWgziV;<#*Ww0YzN06p`CI#08;6wqM(Urr}U&LoSIlGaR{Y#1mm}CvxjNhoJ#}? zE+qC1Rw#*cBE+@m@+#Ii+^|kAi^U?mGzdwUH;!K@l8{gvf!wGcAv&kqMJ*~hf?gw< zUSwcvju4A*;tp2$`XvTw)lN9GLuqWIhYv*|_ zDU{-YS8}?ghAy-RJf&)5d5~ZaPR00sg#l9eVCyQTC0#ZAHOtdNGUmNfHWcx)M-4sx zD#;U^S>d^yrW(I zB+l6CnaKlS%hXiwd=qgkGrj+baRO)}U}UOCi}RaNBK`Xw831&|R>zP^+``&S%k+1V zf}W9qp)C#*H9)GVmVpfp3nSG##YWHE7KehC27vPe2;$+fa8#k7rDyqFghNBk#Dc>@ zL#Iad{f~x#>)-7Jw9Jf5oxc4>u8>giYFYmHQ#uVJmExb*3^XiM|01dU&C8+sgU<5Z z+`v>1hk}OoAB>fEEX#kv4AL_(|1Xq5)#xGfY;HLIJ6C?HXnr5h!y-kVILwqE>chNS ziLk%M?IX0g(Wsv9rs6U5a=ur&!L8VtHy6|_p53}JHDB-1)B965%goiwG{Dpsem+GT zRZG`xG-=jGMAKjrvUxSLRkI)|GXFcXA7bKmxa*L|I!tD(*4U;=;@jNS6iX}hovz7-4B1!sQ`#7>Oa-h)dDOD zfX@i9aT-8F>Az7)yh4g1QsV!nkNyEi`i%mm`WFmINEfg|7}+{e{P zME>K`{xKr&Bl^FsS$~hne_68r|1==)M6LhV(#7<@E?pz$+0;nBckI6LCs|%U-7N4k ze~=jElJ5#eCxYRu=lp=i@h88$-P)3|%lR(+jC;hjlvFqj9@sG8dv18yWtPVE+!G#5GOVxT>@j$`tHS1CLAAPVv^dokKIXskinRCavUTTn znAeDXz%TrgA>dL8HGFGV(hVkmo))W|#S%cK+Lh8ry z$LRr%+;-n~vwrA=R>f=W1B;Eb1{j#5^@O8{qlBG^o&B@*GsU&cwsEC(@)WWJGFud8 z$RqGW@Klgd9s|$ninfe4OE=&n{NbpWo?3*G*p>*5U&m78*%gA{!#mFi@6|iqL0(9o zGqjq9zV=S7;JT zx8sDtzCs>iW20M^3=+jnOMQC;FErCuhKt!wk7~zhVolB0FKdoLCx*1us!6O^Q8oAS zw`)T(^am}+dMXlQ$rq2br4P|*L{b&YquxzB&lvmtYFa9#+IAnVHNO3L|l6v+U_Ac#&Y&mfO_icTisAdzJFdKjt7 zr3w-P3*>vp8uI?)RPDG=eqfIZ3MdX|Y1=P8o0lnK!o^ZEgKLY+e;DHgDg4M`C*_Vn+V=ImGgg$NMuv{Bzak z72e_#p$ zDFE@OM#BO~euMky7*zm-K{Yxi9J)WKf^;nJ1-}7+zaf8sN_u(~0QXOg9w3;RMGX*C z0;IgRVW3g@v&nA?;rlg!7pTU-^jiV|MX1KetU?bU6221x|Czo^W1`1lV)$Ld^o|mI zR||)US>?Uy?@NG|0C*z+@%LWB%*`qpK!o4^^0g#X(s~9)0CFH8#0K1{NAkDBW%e*#nf;amSLm=Z7&|MmBGH~kAQ`JQ_p`}ZDwZ};9qf2Hr&e;t3f_)FUFIq;65 zdc z-t~Py-t+J2J8JT;y7%-C9sZmS|El>tC;$9Z?-Kv(GyiWnIzVp$p70MW<$sPx{{xls zy{o1F4!r&kRLbA+>>m^APp?AD!btn?0*}9`l#H}A|CLH9XC#ZHfbx3kBDZ3QBqa&O zI$q<|@afc$wxA%R+buXLD5eQPpnjdC}Ktxac?Ypt-r8H|&-G?u^M&g$Y#^wV~z<`n(>dwdg; zSmfMcB~`fH;2B8w#)|5vP{P_juLm-BuDjI5( zwsiUQEf^8ul{2sF4^>A~O;N`1_z$ax|BH=TMtK-5aypbI5D1f&6hWOVui6gVqu-J zSYJL@ix&ue+db5`WMVWr+*lYcb_$LwRj$9dy)auUbMp^NWqqE&ePTFE667h8XguH8Z1FA_9G=B zhTX_o_%Nn$iZd}S?)3n`rKHs#8+bM~pWVCKrBY02-4E^&iF0ox-zzR}S8CJNJ1v?q zncXcN(rDM(B5HIRnl)Q$U#Ya2JLPb>JK;KC;cDk{{7tEJXMU$tdQBNXjHQvWhHpZ0 zskg{lH`W{v&X~-N>>TIf9Y3rl>lE<#AB^|M`$>Z5j^kNLBLy6R5_<=C%xKa(esP6T zgW`ZrUI{%-6VRGQKSDeDq83ut8`q1orKr=;caWbYY^clt6fxusI;EJLhFFq6y>1Bj zF{PfrzJC!KYi>V8?{h8)q8HP7H8QCUueB}p-vw4Y`hZNPPMD9Etu5435;TAevoo<% zxSP8>vrBG6q$y4-K^;!xlI#ZfFBfwGR!wfh-dUCMgD`kb#Mf2VR>v-;K!yZgDE2Pt zXUJ+AY$e4oXhle{;U1acZq)nFur#n5c!+|Hl-QaejGHuA8=vKM=)^aG>u`{?6a%c7 zLoHMIK8|icL0F+M!Fy^WZLrtjA>2iV1~>e~hWA}+2p)qAy$JY@sSO{lN}%~k^hY-z z0siM`YV`UDFOnZUvaVi6^TBdIwoLQ3WR^QPjs-stO8JpBK+sWcvD)jvhG`MoZ-5Q| zf*;mOzA|-X9(0Hfh2<<5___z35)o8Fc+}rCDa071UKM&Bo2m!9aO9wB^vY;j2vr(V zC|Z^x%~DEx!eC>ZF`GP(k84-=^{Z1KQC01=Q|p#R$)z)fx?EUkNE!WteTw=><>7Q& zph4VToIxCMTwk1ETyq@65W|q%5TQus&b7s}juRy4qFMctX1qcDAmyBSZfNL?lDC+< z5nWpOb~&XnH|6%AL>Gp^$=l=DT+0i{+u3vJZ6r&{=$_0rP(vksT<}DI&5%Kyeeh0N zZTYQq=yybu8hPBWEUYT(kn0^*N`ZNf!fhox)Q{R2{ejdo1<&?TyD4nFHN~FOqr)s*&5NaI{Wd(W6S<$R^Vu4#XNW zs_~P>#^<{aKn(eYNk5ntE`7D*YvN&+!PxpwtqU+o=ytT7gwtdYV#NlLYs0@}nNRvF zZk6^ZS1=YgiJdQ;4vHH4-tKol2Wm3*RJakTAd7F2CJ%kZO*R|pA-a8nQ@AB8tMX6z z7{Rv0p5_p)Gpjf5Dga}ahi{t#u@Bl>;J5Ba*1{*aLG_8x0-l5xEx5-?3h#8FmsT`y zz_u5nQ811~5{X}CiPmeHoI%4u;4Bw*(ti=T%~jV(3*CrDMa!--td6o4t8#V5&!o__ z9ZWeJT7}iWbXW^!mxhrR@y=3#w8qZI-%;F4R^OG^xYN|IN9ih``><O7_4c`z6 z--m}JC{o~n-dlf?JV{W*RI#^hX99GwYhDBlExsV-bR5W;GNGG?HkpHuZiC`^e(D4K zH_(8NkROr1r~~TlM!kRt^cCTAyG! zR#g=E5aoyo`D1L<=wpcNV4$}G4!R}L$@YdIje$90-*j3Oe>UFxck%h}1&%H2Z!S?kU@*tjCq z67wq%O6HrcTSbt%4;~{gRE3=`lm3Iub@(;oOZB=B<0EPU#j$_-I44S0R0m%RDYNg_ zO+m$PZ(+du_Gp>$^DuQf&-ny6Se{3l@Q)^)z8KTr%eD$v`C&J6G$zzp`LcLo9pkUF zRwRNnzPkXBc^N)xh+z@1i9ByG_3Ix5Ldhy*6ND6|Sebp{9iVJhziGz(v_L?n`~?<4 z@S(f{u_9#Avfei7Gh{_Wb7zZXsL~Hpym)EinsJqDt6RTo%2kpN+1(cX@|u!?ZCC3; zS}@9jZQl*FL?LzP8-wbJn08xzx9tz1@wJ27QT@lq+WLfr$mG9UIVS?2o4N*AAldA( zTVuJMgGdX(Mw)GTkNaA+qi+!t3e9tq{tB9y^sR!{Z0pbEM}wS$%Ra2poP~-cx+il& zseUj+!>tDKu2KQl(G}Kw{@Pg|+zrnLfSrv7DGcbWOUu<}zD}&;dp0eCFwDqZ?TwU{ ze4`?}i62hnD4u7MA-TLn_XU}{x?(q1Fb~6DqLdgKtWl!ag^DJC2>C<;O%@3}7mU~> zSwgO^s=qD_W^cavn=V>At@w@x77=g>F%9?c|KA1zdQEx}0_Ekc_ZWf--asH*h zUdq9&_23n=r>B~CLJd~tDiOv0&KhW@MopEg4)2kA#-yeatt?YUgCLVX;=-I>Yf3n* zWq(vr)<3mB0>c@NRm^a%)F(8p8?-DrmR~r$4%ZVSI{zTYF8EGVJBrj(+{ z@y5@UaCU;(joII@R7L%&V3{sfTAr1BiFlYbh2ex#bI28KKP@LW^V$-~*f_O@3=M%q zWc;gbTU<7Q6o_3BL(-{oR%vOE)_wN}g9Eh&?A9ihnDOlow?nRI}O_qhoOq}{)5TLvfen77!DS#!J5{?nm0TwfG@EPRg{^t5* zs?Y#Q;x%ueG0ake+kg4(`)OJRQ>ko{e2t)!qR4W+namQBsh2vNP%~@?@JHw}NY0vR z1Q50IS`K5|b%|^K7)uLn8Z3qwDF>e{^7LLJoTRZyk<2$vmc}YiKC@nBFA3o%4~5AX zPneX`k605s-J5}o`WMb`V?qNLD!nDwdsjwxc9TG_h4=U}=Omj8^nRZpduj?x)uza0 z4B?^VlTf4_`9uuA4qSAI`wj)!hw&@PE@6tZ&%bWpKV-UcRI&L9Uvp=KNa~pIxn4+X z;f-`5QI0cJ2GC9f8w7kKI7lw1)22cHrG%3PCDamND~vXbt3MB39JoZ~fr1H18E*lW z+~<|^QR@MV(S{7bMq2TQ)^u*@Tj=MIBXFRx3(MfD$&H&dbM&=}_*sNmkzO%XzMx(s zGlW~6irKlD0vG%cTatzHIj19~ZU!{^eqWLL8@~U9-$Y|V2@cHYrczIhG7)4-6kjS! z8IN%N*W*G__TW@=4V@+2Xp)KMP;Z&c!C5!~_;LC zyr;vfEa@YBGz%fCj?dt@m%lqUrnkfQPUDtCa&)A{MQE1ZO+O^$?D;R+_g~fX9SD*8 zPNnC*XG5)Wxg$POw<-r}q>9T949Zr2DPSyyo-rA=oOWFl9bq%WsQY4a!?kl4j=+n( z)}m?pfK~HP!csRo=Ww;+Ezt9^UG1yMRE<6S?`ZxW1`I+^dpmTSs_M|ksNY= zX(SA{!61-MC#WXfVWQs99ctQ*9?j3Q%feim z93n?DqbT=^&yVf$fn2oAaaks52rfTx`KI^8%uP~w`l34D*n8cSmVJ#6$qB z!>GY@xJ9}&%i3lpeReYs)~AY_W~H^Nc4Ie&BeM&$IjZHe=2eHabq60d%6vo!;P8aA z>uqOM5cB8-Jv$Z1B6f}Qmi@&cF>~15GWPHhd_7uNWWo~W6c!@?h(sT(8B=*1Q)q8} zYT^ag8KPh(7Y@s5n?+uZy#-fx3>S4EV=~cBz?Q#1Je-FsdnfkLEWyJF5@h{@gm>V} zF29c=Jfr0=+k8CD;HUd8XEUp5$H9F!8SJRhB>pBqS76ZV)Drjsi=JKQVmG;CV#m7W zt=f_9izK5cGb_p&EMv&clf`1Sn%(U&>o1S5vDIG9x>iprN`}h&9;9K!y=QlbT+|i} zxHED+!jZbt#>TrC$zd~03{HD9)8v}@v&+q%55Cw7({?rUdro_7T+bQS2N!HN;}5x1 z0+-yPD>of@%(j=seeKI0r+ag^r5bN9)rBgxm&>%WB{t9ab^NLVEjWdl@gV(S$hRmk z0YH=79qj{UU*?HM>7L#0wyJf~&rJL5UF*g;-%=}iO|9*5%)2>1`AUEKtZjrK;PV+# zl1K0-gPHU=lsD_=jGUwHjERJLMxAFNpOVA@#W^7z6OyE9p=?hx>0VkKGY&CjBIG(B zHv|8|$F~0{jGf5`blAZltAdXg-;%6^RmHOA6 ziRwO$CK!^Yjm`$wI;u66w8(h#B71%(5}L=-xz`BgVK~fF#_=E;?4GaEo+nNp`PFCb z;#;-Zvzif8J{!{$u=CwYx7O*{7l~ucj_0hw+Z+Bklc$*8-59j80Qcj;M2U~qLH^l0 zD@WH`3FjR!?ch1={E<)6hda`i05vg45?=7LhAX6^WAGe8JSY2iW!bC=3qz1*s&; z;ZMrydZ2p%I6_n-wsXR8`&?^y*Uj+*n$RwZ=L;u`u5bbn1H`oA&>I+yK8w@geq%iw z5U&Mhd+pVF>DJQf6U=toeoC{RdbP6B(&U=Mw`ra;h^kPoN3*xvLNZiw)p=Ubm96u} zhuKEC1+jzn>AqDKw~I;zxokh;scrDxdMwp8&;nu^9h5z5);C=U{)^5`UwZV38KCaG z1xy!2_aCC2LfSz+I6NG{~-fxuDUh=siHl)jV-P2O3ymk1f37FN^3j)m-9 zOP*1WBX;w0Bfv&{lw|iUAR-l2rzztE>rP5WqQF?B2iK53e53NC9?`J>M95c86y|MW+gZ2mX zBsx(xhc>G=7CClJYM!`Jy9uivR^1D;tx{XbcA?ct$g50Oh?PKEp?Y@(D+`G6MwPL1 zED9QMA}Q!9wgLU-O4u#l*eJI{6-bHzkXe{seJU}1rBVHAHxmC!)7$_KDw!AXCA7nQW)mC`2o zVKDj!e%1;T!gU~L?V z*>|azH9AFLRFdM0D(W?LP-JP6^BjFw4jOIzCAgj_h(%d$SOGP5a8=o!v>>_-b;0^sQ!s8p~X4^V;BX(dct9fel`MSVu0I+3nmWTnl z&7mn}^Hd%oTK=ptse`fxGRx{vFlGE$cYJb_=y4@ zXEojNOH8BMg&O75*;xPZ$gr+D~6fpXvvLULZZ(}*~3!Eth=y0KI#qKBrzo%YS41rWu2=$(|@dTyjj zqBq8aA#Qs7Jgt~2jZFzsEM-ilb{(`dr+BI(veVTi)`8C69*jl;j*Rdh{7HgxrnS*c zgg-zylLUaR_HD&-rm?9cH^Fd$BFux50k)21TIa|dj&D9;)j&B$;9OwWlH?0k9I0~6dCq9i%|%r3yZEtCC23Gaf1>f(2#_Z z!kCJ3jJp|d%`@ny^l=EkFcmBmjAS5aPjWZ4&frY{7{#%)xS+feuX=aQzTt(m#Di`Br|6<*&;nbxz=VHX~PwNTEc!ydan zmudUlAJFXK$#R(&^S3t^jp%t-E<<(L5TX_+u&4XZcD^I#gfWB;Z{`l(jEo3VyV+re zUvdZNW8-ccVfkR2V4cI8!kvy5zPY-9`;9$10IO%-0=o;GK*knC$7Fk2FZB206@^#j zD~(Ql<3XzokHq644zMylka~b_M#&pzb}|}{X6?i^Pf6lp+w8rG6t6Q6QN&PWG{`mzfhQ75vB}(YNKM1AvYt6ImZZ_d1P}fFMknYAMM0QDogyeJkkSZ8a0HYA$&7ild-|O5 zD`r|9N!3o;ssO%@9&ZHpQaYj0>P)@8$MbUCzzfy0R(?&}<-))qTi+cfe`>pvSX&lYwtCBcCj0$hL!ZhwRY}el33M*>}5F!Q%CIXSMzz9cn ztfRhDz~RhWmJJ1iQ|-mJHqBixwgw}cl<=e~DH`a4K$Ff6@{uI?%QAgADraD*FG*1W zJ;+ID(!04Esyt|5BHECgKG~DiU_XSp%Zk9Jgb$w%?pEqpbxEzcWT@cOpvEGqH@$jf z@$3C;i1SeybXh)RwU>KdyVB2={!a8jRPSib{zjcQaWI`zrIA0?yIvBgd0XF3VrTkc zFjfY&4OsvZKHO`+GN5OUX#B__(*^W`s=9C39NYr-jgN~w<~sV3FYW{yX3~3@(mq}% z0ZU(C9^OA&3IU%vPgom*jNHDFz`vh1fq+n1w=?Aj9>^wlH_obfEdqrq2G?bW4tE$W8 z{bo<)BBwoab<0M5-h6HA^bK84$$Y4Bg>Lh^6MiG3t+SKmYf9@-MOzbAw95RI30_Xm zd#+MadCpuz6}}tQ)XHBsb^G0b1O_A|K%xZ^ztYmiyJ5 z)cMqP+x5C>+jN}+c3gTFU^8qVrbhr9mZ@_zkqmBV7)No_$%;%w5_ue>0UYZ|(k{}j zswYJyF^-i~vsTA8*z6=g*5GvVErb#$G)^Nm3o$j3h?4=HO{BCEkwipNPnyZWq%FCZ zrX>b-G3d7pVywhdN4R3q$Y|>W6EFn>kb_85C|?Ft0FZy?@8bb*z^{c0kiCjX`Q9CH z0qFogLLLhTK&F*c6pxR$wn@YPfYRRs1>Q#oZ})ULRTU)`Ja*ezCpYVDZ4+aF>9e{#FJZt8$ zsbzz>-?O%zl|&N91WEMbSWprXRveP(!HU-d?PG;t%rVFgvlA?Jmqj|;%|69atJ%}+ zIhJDkxW^d{WHxV zca%@g)Yz=+elfN_T1ANTks2j!o`58I@@>ABPma~NLa^4a`s1X%YFoHbwKlbg9)3qY2qu6fS zhli%G8ojE&Dsk%v9+$a>%=g#)^!bfwJ5$-KJ|YiMn=E-#QIomzPHDO1_qA??S|Nwh zpF^!WI%8gQ3&=&VyesI`+(~znO=rAw(cE;Ouo-O>zMbAFypG;Te;|A;Tthbmr&9o5 zqxFS4)h@K_Ul*u=o)F?XMGJPF=cyEtgulK@e1-22vcat0nd!=GB%UF*2|I&B`X1tC z;YIxb@w$GP_@(}4=1As`{8{02<`e!S;ap~t|5W%iIFY$Ue8Jz=)kSDAzc9TL_3^#w zr}-Vh_l4i<7ln)Z72%5Rs-;L-5<@uFDv277k&?(_rIstPBqqSK1R+KsA0Y$@6chw& zEt#jMeY(KwX#uhae0M$+4DzIdWeGyp6B?^O2@DUWTVt|}-^53-MaKzD<54q%G6*m{ zdlZslTa}>q{p?oWI^;YZ=?VZ@-A$_73H|wLoC)n?UeF0S5bP ztDZ2$H0NvRM70aoAqbuhf%A3{tkA)a-#s_RcksHeqnhz*uRa7>4p4MZI#fp zX>HjbR6<=PmW@el6N5N=&JHh|rrN&edG5KBW#99CzQ51+J1C$11zZQC>E)r!4KD|4B|HAm4*Ua3z7_r?Z#CCR!!#*(d zJQ-(rK z)9ZJ<@%+^J+dI}H0P8FLzVw??4|oGKgN48SptUej`lxhrYCDL7$HCLbx0}z#%zcTn zZ`OftpT$z>ukLEhrc)bz8@LVpOKN}WO&)IcosrL}SGlYF=Q8P!u_;x_MRTzxRZDfn z*2el%qbcSW7zpsSd>j8q|5eU9p@Lt_pLsu*KSSy8hD`ECB&bpjb0dU-$iYwqZ4-AW zf(50zcu;MLv`0|MfbKYAI5$o~%83P7l<|x}f4~}OP0cL}Gbs=>nMWpIL zxZ1HVnJR)8r$S~SD`%qzD7VbaM@l6hDP}&Zl(lji>YjGya?XmYnS3YLdU;<|RcgH` z_{cnJV*YqNh;otohxo3wbF;w;;gA?0dqqk*_Sc;g#j>Na!TU=sK1usrC)`{ZFTj1P)~(olF)bQ~0V6fl9JME_xP=&R(0e=Rk4x=44GyEzVv$ zU6aFEzd5{lip^Z*6=Q-+}{B8v1WtwH)0zQdCOAujcLeZZPq~ zSN6M5ZGH@S#rtKixNJ0bfiAo&*d_FWUc5KhD^TfBOQ=1x*Sd$BupZ_h4uXQm2;1t7j-W?DdEV!CYjAc^MN}VWn_D zun6aH74zU1rljY(%_MQF+gwcnX@bd`w@)(F8B})?*V$$hWEbWQ8Z($II_}MXS>F6J z%_hHIW-psH4l5^KvnoaY=OLT*iG&fC<(+DUaf#pWJkKfZ>6xFKh3Vi*HM0aNtaWMW z<4(Epkvq5URUC`m;ac}Jc+8|WZuSc}f}U0mpD_G1Sq1HsjfOUxM&_W?;dABe=-|*V zpQ7jt>PO9OmDNiPL1>1 z)7!Nj`cL57%r4h1-`jz`+-~*F^loi}Iz}I3js+&Tqx`Ypk@OUtqR!Zgfs@)VwcFHf z=5Fw|w4^7!TID+AVq~uqSDej388s~*K~BMyPPpq zMaGZejLn9^7u~dvJfx$?K51liQ%8;E&QOwCM${slB{YYa|5&ywdsCOLM21>fu{p@ugJ9DdSK#7?z?|aT1 zjTNk^M8Pp~5%s=@2GrcZfjlUY@L^>G`uY~Kj%EtIA=_N$x5^9aE>|z)DkjL)sH&%` zMKBvlLyhQjGA)(zh71R|!z4tO5&`I=RA3E}8tJa_0$0pTH04zDU=o<7W6r>l>=Qyw zqV%eYh~pEv^nB7@^UWskPhWOH9bmptC3m38<@dX4aaLZCNdgPN>w+HTQR~vEl8KDn z`H*<_?$0c1UiK;|32EWT%QFx!419OK;;M2|B$}$Ne`Q9*Z@i>=5k^GK{pCWH?kL({ zDe%@wD+ZjJxoQ(FSwnlq_l&bo|{qcj~Abvo* z82m%LY9?*>u>S$2ov@v+Gthw_=Io-^7Yj`oF)(T%##qF;_1k!yp+>>~@%HO{7TmS32AWKmU^xA+ z%@6+{5QRhJ0({T!Kkz_q?Wz=hAcmbjmfE#vHYG<~VPY_t936ly(3%VM^kC2=CO*Bo zy7tf`=m1Y7CPoI#l8H_}a|TGe;dSL(X3 zY8dO>>Rs^?aKHrlmDQz^P_N|cRs5}P&l*3`^`zml4d|KndMMp5g=lAQrYA!%7S_rV zjM}QS5=@%Q;%F0aO2FZ4mTeMj4fWGm31rxm0@EqZAqf&}YQ;+*lama1<62Mm z2Cv8K!AaLJ`@KEA?uMho_h9VfOT^H#Z9M~%UkbMW;;*}R=Cv@93~R~Rvya{1FFCB< zW`TF-rVe)X!EeMy2PZPyk3DSnJ@vU_*Wec?+NKY-w#NDr8&dI!nRa=TethlSqx~*q z%Vkavehrp#zF0ZCbrPq%^*ifJ4P;K9gPE*ufU@H}&cxr{!LDLP!Vm3ox$7s$iZ z)8q;274ia$h7jyyT@l+xXV5h$dU-&#cz~cn^+5u)XcjKmCDSuBQKoD3MVh2Po@%dCwAHo>OYI`QeeZNY|Y82)eN(zdep(`_cObPN?7)=W69ma27- zuVWPD?cVH1^?$*SOyop;3dy0cLIxG+w~7+*i5xGn_>)Yj3iNR;5(qFY3TQ}=8O6+~ zRxu!82pDbxw#uln^NqL_(Yoz+&pp@7!2aXkdiK{ZpSm#iorz{elscNAGv57hcJG-p z2h!;%@sF#2`_;~12y$h%XMWzSpn-sD(aY8R;Sb%9Gzy>d38ZuRF_qodNA;QGi<)$ z(JUx{We5ipqZBfZY@mze)L+Ux9Oa+q2|g)+PEY`4Fa>77ESLw2z+zk=z^jk?kNSx* zKRoM){yE4O9Lo#@jKC;NCsSZ(rr27%N_@4E%c_+f^-{I6S}846%*N|_zFx1GVzcDH zqLImDU1KvbLa0A6Gt$cz~K54MPoL}APy5aN@5E?G?Ypj9p9uUQsw01)Z_F?s+PQ% zoKK=cvX&%3($nGAchS4pas4zxTM<-}z1AV?g!KjLyB#kj(R^}QClm!J>JM@AIIK#W zbIPc)PdR9PNO?>-3(hL9qO0g<9bA}oM)-}6pmWe2hp+Y`ip`$XI*)<#Y*{_~w9qnMI@-3IOPX4TUY=hl`JOztzuJ)8H= zKD77HmVrChufw4o-#=qA^Ufd74N3M;OTzqFC@b zCdHDk49c$GlC)2eu=-0`PeIDcMjNfgkrXQ%#FfpRil)rVdpi=T7RG1Uqn5ZFv!C4w0oGDCZ1tV@F#`ifo~HR#LLd#5brhrURWXiPC6ZU=CT43*fJ`!Q+Uiy zTi!-Do0kz!L1a5dkQSru3~qa|$FhYOvW&{(#JFWYQ6;9G)4p#y&s)x0=h(}ZS?dkr z&%`3XVs)_#2m-W#2nEfcm(VPt&=Zskbb8#zfBiSrTSXnDnwm&xr7C}{ z%R=Q%bS-E?8jLl7gn$WklS+j&A_Y-Z2uQ~Jp51NOx_k1zcc=KC=Y7A==WDfOEJy8U z?5pz{6-eXYf<&x*qzl`%_3n`miy#sfT(S+9Sea)dk?lLIU`&N!+L z=y+taEmI&))hJDzs#5nU$WjW0UV*wveMFJe4aTk|E~4z#VW(n=GYV5;Ai=PVz`$(= zF!+}kP%@dkH{X4u zCKl&FerAItfJU=8t6~QJsEz(DLXZAgOGn12L^G}Eps+fGFrVUpm-@P=G4eF7HAi$~ zL^nnTb)+zcjGg5&oM6thqC;KL|JVFyt)vt8k3MHpY%Ex8B)@8#+Q~nI6DKYlJ|Fej zfAz!HKKaM3E5F>i0Ius;?s)HkbDDwopMU=N3$}|N1MsI$fd1Dv`e($nau)TGDT08f zb>Aao(54K&B&^t10lB`~HG;&nd z@D#J*r7pFD4X?fqDaF^}UG?7aYP@Dqass)8P%<6~Tg)V-r&(0=I@tea72#uD^LQOZ zQVEU9KCo84K;=5`P`cFD4;Efy(ga|9%NKyrk5B4`tN z&{PyGBLq6WXexS6Bs7vDKqh^D|?JVrob&+aJv5cT%~ z;!DJ==C8_&7Dwp^ft6lJMA@?DROHkF4G|$@f3W2;u!X>a7b2ut3$d9c`uS<6%DAmDLg_)6;{lK#7 zf8Bq_5B<$s)rju&<6A7XA}A_owy2<~V#x++Cd5yaVG12)yW=Atqp4aj|i*5U<8p<1n7e#NhHla8UAv zKnVZNHm5sT4S}gpC3H8m8PbMM3gviNDX*a<=*sG1YB46uO!bvfFq#k?z~rbn?ja$n zYi=vnl^n<=;;;lYB?t(}LJh=k#I+@HyoH{G&mJzn4PHPPM!#{|asshOm*a_2bb=@L%LfHeaEEJXE4o$c9d(iEk0b*~WD1h-|s#nqE1*8;% z+AI$yyY}(Kf$%lLgI;J@+ri-d{Ds+rRYaqp1utnN_ z!=kd(EC@6m3{p0yO3-9SM-!-2`{Go;O|}lI76^USr$9Tp!Px-pU;vO`9HDXQ;Njn_ zotyuK%s^5HP4YL=@4i-es?+C>^OXVJNIe!Rt;(jQIA8DKA-C?rdJkB#)~ z{;MnAf8zGWPkNG9J_eKF@6UecT}4M4oNii2j#ZX#*#a4xS2PRKQz2TB`u-D(uH|moS@InsbSU8VzpJz(iN*Rr(&SrSVdiqJ&?J z`J$!he6$kPE=Oxo&CMu4ViUa^-Hd9Z^L^J4v9XWmu!GuByD5%HPjT51vt`YhhKa&e zSJ$P@&Fd&q@&tGt#{)g(*0*6?G723v5q!eE2?f&WBZWEs=!9-Ra`@} z2&A7(B8meO3oi~$Ryj-4Jk z^o(+2_h-|{Y>8qpz)pg~D@VGLQ@i|;m`@a~bovn7(;zy;7g>aGdQ_PfqMi6q;c6n7 z7b{{-ToboNogkJ(je<`i)`RZuq#D!xjTntLVuz$gCC0gvsAAS)du!NhL3IjpLxR^| zFmgs~jRa(dAli+3tA(x^fFXmWWj&w5K{4f0@M}h6E7vNz6glI$^ zxN5F7*S1UNTJKo@ky;-NDO9@E)>$mS{E4 z!6%6hM+OEWk?g=Xxx~2T@~dqO#JQEt8PGYK*q0;=}WcB>u9kna!Ibq*O}{WYceUI!LkflG_xr~ zHx&vBLqTt-z**gf2qchS-q4HD;#$>0{jdCaY zd^G`>1TZhG3EKh`)+6$7{Wx3lBxb$r1iO=+WJkVIcsTJ0r3@)E(&$_c|CP&T%&OQT zh5Foyu)LQswMo3m$N!Jhfu6lj1t`;ZM7FhpsC%^Nnr$g-dX3dCQwAzy|8 z3)UHl(R|1@1PkO{Qg-4D8!&<7y}A?(`25fLpWgwz#jDyN*klC=hcK)!26bbnvVt@H zAF=I<7K>3B!i7(-9-L13Jzn;(-xYU0kMR52>+Wc=B|iPygZn!IfgUqH6`J}Udg+6x zUyCw8SOmc+n5{Xqpsdq*A&*)O41m`Uj6WnBrUrF|{*b+5{ZLrUe?pRZciwY|Im8|k zjxn#ZuM1aD;{$$8Ko^YPw%pO(p;ysWdX4?kO7yXPu0Ekx?8^_)^W<@wNTA(}7!t#Y zd@tx_a0jy=>}B?eSb&)VQ}kb%zccy~c9(F=c#HfCsppJNM(_#3PIL!tFtN1F?4f)# zZxIX=y8YOMzRK)l_t^|?+UMhi2^3>!fU;Jbjd2Se-W`X|7U?8WBTuTv771h{iGG>S z4k8J}WMi11DA+}SiUQ3*0zmBmpa9OZf7C~u}VO(SmLAWLGP#JSkpPNji0mj|! zc9EtewLqbdD6m5PnL_K-GKEqJj$3pAmq*BhJoG{&m`EUTCf&-8LQ=j;M{7o%^=HT#JR{Q#HX8s@Q_VEm~$Y;J-RA2QK-7tj`YRl&G(Eo}TEpb=W za-O+;k=WQE@CUAq3T4&+v)q1ORNvjkaagnS^K+0yXXe3-_Ce;5Ic*4G*8is1VKWuF zAQr&er$GI?=sc?0h$(MU7dB6~7h`*&;Bo^dwl>SO%>Z^^$hc}hl{4Xp>~|OpIBwJW zt?CF_wUGkVAGo#==e9cW`06M{_`~4x-q-pcJosv7GU$G}H4yf8wZ3r2%dPlOz+s{- zqQ}wA0!DxNY5n(uR*JIwP*FtVg?p_(p7kdzWH1OEHZBd0v{tIUE)Wc|CeFV{w_|bG z%Lddee+har4YS;VT=_+VTjm~dI!>DphBE4^Y|j@mfcw}yo2_s_;VN8>t8)$RD*Pc% zNjyH<2_)VS3D_g%ej9HebRYIf+lfjbiE?llbA$PFCVM z$N)D3QHf73>C86+{SrR}H_FR_{gHD2K7RioA?3do?xB=7S z!$Ya0izBPhJQ+6VPl})}Hbqoi0Y1}V)gK%i6Uvn`x=?PE zQKZb2(Xz_Nc4wwso31XQ2Vl9p;aUQ-Z>uE}-85b1vC0tl+e>k`>cEIPofXv?4PIrf zc2w+DEf{%57n^+18Kh`)C=d=({tjTFJ1wEDd;#$y3ZNImRMqg}zd*)D8f%jqj&sk2 zdv=U(p^q1gI}Iwc)@@%;Po8rexm+5V^E=IC_N7+QR`7Eq=8a6sN5@dqv3;nO8q1sX z{@8d{p4jG2m0E?q9*<`45gOQ|=)+k$+&z2fRH?Lo`?=PMNzn;mgku711-w^}EAlQ= zv{ljw1s23!c$QLpv0Te>AnOeVy@mbYD<8z#Z2;yD1$pwHFn7{`P`1mECka{2nxtHj zYjRy~$og#cfKTEBZL~~9 zy8DxSYOn(d^rUf548?5CN>Jqjf zHKeAbLnKB*CAHj)FioUdrj3`;{~s@{F1OBrh1@!>1LzGdy=PN=sQt_wydYk}QU(8$ zEuoHE51-d+8kBzY{n9bfX)&dCv>lC;R(8I`?Vo zPgj5Y_)-n(w*I6ws{0kxErR3$rd%Kksvdb}ggMB(%VO`uKq1ywD8&xOUS(g6eTz8F zo{qgmT*Ft1Cq{F!FrBVuj%6^V01||*OS0Oace~%|v_S`t1dxb-Ji;S`s5RQ9!{SU9 zWUB@o#p5B1$?dZAqy(}-qBU}XyiV%K2O_Fru2&Q*{<vS~0x6rMl8Yiy+x=!1Q1VY#dhdI?^LF0ooA3J_ zZ`Eh^huPMZyW2}iT}Kh7V;8Ywq+M#-zw+B>wcc%;zcT-~J)0%L!N4HAvh(n^^4PEJ zXMwobPP~(~ahv_V$8R^Iv1XKVQtvY5LCpYzkxLruF`8-SLn?-F4X3bcbrHV-+36rCyFi(;i3>u}W-K$$ zSW;*t@KkPwJD&B@emYMV#!C~WO3BBTYDl#YLD63cUTJzG`-c7x?Pm6tdE0YayPdsj zGQt{j)cj`aKJzF#iXSB^;fhj;RpLilj~0V0VsVNMaZ9Bub$+(D*gfGK*a- z){tWJ%~Ddn7)vTC1#yb1;EHomz8G;XW&|Ot1sM-(xWSu5f5D1v%^eu#)tmjw_rM3# zh<-a1X-nz?gTE;tBwS0sll~x0q-#XTW+qrP&Q7pXEWy@Lw=ElrTuhOOG^++0HztgV zL8wN%fg7)a@90G8%TGRh|3mf3C6~jNN7rqeT}`9W5vvUlYjzdE5_+<}2-g8N9d=vf z>JKDN)B?PtfljkQCKL=ZU=v((Fd|{xdrNiD{#<>j-dMX!w}a#PLQ3U$pFhQe4DuDo zIFw~Fj`{Q6IBIn7fddXF!p*7s{(Ia#{(jywIsyU-$`5{&tEh@si7ImC8{HYHI+H79d@63vp)(D`#@63soDT$4H35|QPU~Oyxju0$U z6`cQkXtOMAV=aOU!Z|_b1|Ql&5Y7q09vBku4y@(>eIPd@(1N_?^cc_t*qvyj7hSm0 z_>u!(jwQ;vY~nzkqaX08bne?*;Vbm`=xkxub9E(<}dKZJqgU z_fU!FgaC1Nf1imYi-^0vnbmnIk**C{Wtz8 z&udr=yE%^q!PTt|SYv1m$JZpL`Dyu5_)?@M-;w>*1UjOi;lOZkEHD=Qj|AE$EEy6J z2}`m(a+IaJ3DW86vH?+$7;==WDWJ%B8}-f0D&)IHZ{=<%`j=z zA=oDD96~IbKvi@Nd5|MxbwO6Lg0MxL67dR5=S0HEkNO}(ke}S^`#(tl0059FNR%UE z>kTREH2WhZ>-H}fi?e*3RpJ=O$GAisb5-nt15lUHeJ-zd_C&o}`C-a{5d7uzgG9nN zqfG2%(~*?XwDNxIxAyi8?J?s$gJ|u@nwD=oX+1?8U%pWti1XT>*DFtqyi`HcYdd2| zU!JLK>E493IeMG)C7FQwpOe$nFO(CpYUVfC8S>ZEDfyIgCiW-t z6>5&2lg`VpDi>l4nH$nQ`kr)OX{}PoIpq(X<6UlS>1f1cp%DiL8qqKsQPUcc=iO-A za=eSp?8PS0BtGHYs~+(FfIpg{ddV)jOR{2r_AR8}R{V$QBhn$6=n)1bT$Dmd5sRrw zOrZIsP+L7{o0KA}k}S7Vbcmwpn4)AU5>}+&=k<6+Dq@FVovpNwy*6ZIZs|C334ALKd)RsF-*lMcwScMqMYZJ6KXY|@facjBZ}Z-@=I$ea#5 z)L@T8-lF6#T7X9Ch+Fvh3?gI{tiVG&bZf2!O#-^VLaUMB8WI5cJVYuB@}Rc*E?_hb z!KOuvQ~{)6xatsdp;SzV8!#%0uHwsS<#gBwng(eomvdAiIvY0%;Tt!jB$MevEnOik zzH*_kG9SsO_)g-uo>R5<6(1gaEYU=QY?u|x?!L>hR9CuKEbQt|$Z{&CaYYZE zOXSR)mHR^Ubo8`zI!7{kPqxPx#x|iL{|0g(+iwizhYE-N6|TbnP(PeMT&NUJaL1j^ z`UP%YpU+<^Ue+(?Z|S%4*NZ94>+$=-o+zdJ4Jz*|l%wmob^I3ZXZ`=9yXs@xILr9G zcjw%PeP534yR#F=uJ6vi*s)W8B^OM6MeW+IOPUQTOV^>%`{>x!*YyarvkQ}g12lYyIC&4vK;V& z9yE9}!1HFpFuFB8plP~oC3FzAkkveqiqE6FkeX3+ccueL3SP;C2&}+Mk}QMJjS(ac zA|eBaK9S@fDKrChjK!$^5!tI}Z}gxQ5lSBb-RVh*ux(2e8ghd1aH$Ioo4Oz&wCM?ndYP!UYC3EaM03H^I zjE@m1G?7|PktsO!Z8$Y`j%jp5gEpG55n;=A%y#X!?5p;5o3Rgd{fDoXR?@W*J-oEG z2+C<>12GT^LjZPbi(w!!Y#2fqKw->e)e0uJ%Es25FEzy)V5*5N5wOK>f{cAQlKw2X z{qM-gY=x~fU+c4V4vK%-uD@^Jsoyi} zx7vFvfKocz){$BJ2l~}yMHMO2q*OT(2(EpCJ}t*uqoipHM_2z!?peD`k$VaPBu|7O zsE+`(z0_fM-M^DZQ^J%urA%6r#T(um>aFCh0w-lH-t-#2QT~MY!#va0mz55d>1@?g zm8(jxRZTg??!G5`QdjODbu@?s4R%Pryotd^@mL~bnQ@7;wN!^#!0GAYWHv4sWf7T5&_91BrM z`O#VpGtLMx0@;3r@In&vj(2YTpO-mD#jOI&Kb`>}1n+WfN>y+pa#h1oSYYqAs$$jS z`n^>~gQ1LPeV9?f+bIcOZFyF5%$S6E>*httVK8zeCl78`fE_|3f?EtLhE0K(g3klx z)8IO2LK;qKGG9b+WO#lBOoe_#mL*34%eXb|+o=zB>8g{YI%9f@bEL?U^^57ZOiEE^|@19DZ>bes#;rE_^P5MNyG32+E1>p z9_zsz?yEmWtw1%%qhs!QPKHkFSL*x=kc>T8|Ko1u*DgEBW$I6 zQQ2RL?D7wD!~9YG+3+)w;mq^wDgKmxGW^}h^O-aJ8U0n_RqjXOAExJt>%}`Q2_V#T zI@8(7qlS3XvEs|*Hx!@6#`JKwyOR&V0hx5Vq4m<8a7t&Gqj@$1UI&_F6C0{8iLocb z-6nGhr(G(lYFNiq7n$PGZGM?25AnzOzw;D7R^|3_Lmb78L6af6?dcm*3`w!+7#W)y z%Aj1PnjtfKq4-ApHRu@910de|7i%MnYb(%D)kf9^b{^jGAyMsLTTC~R2_QrbB(e|D z4tR{x|I0EDm<5esn592koi*fHqqTUbzwJR*gE4&Qe6Xu4e)~OzVYj4FrgS;eUJw>u);COgCH7md;Kh}(bM#_FfG18&(X}ZiUjq9u(do$d_@`zQW07e zTcxklPf54w>k>oUm*wrjC~jd5H}COW;-g+#lq7?q0~AG5UXqrOPgDg;B1L-8gFM}W zuSFh`kkpMxUYaMj5h6j8+uRI=veR%k*+Bty3$AcnpoDNvt*ZM}O7&&EC4xkx9#VhS zETID{BmFCj19I&?e6^L@q6{0<(Y4V^V{qV;G>Rv5d0?QyC&v~vBrk!my0_tQ=_5wd zptT!yPh7w5a!}={ZWw5sWCBbi7q5(vBh9V@8sQ{kdoDDeiaX+5^VAk)@S`be^ zo(;lOYD_Okj}{H62nP@qFQNDr{H+r`@gR!lG2*GOc~@_ehw2}EqtY6oQ!Ny+_7gO4 zbgwFVkzW7EpgQ$L{_(oG`avQSJA#pW9Q~4fmO4jxiR=}k1-&y%vq$Y8$mus;aH}qY0JzFHNepO4|tW zr%8+Z&78Trch_Jb?VqT-`u2YJoH=vm%*>f{w!gA2IE2?K$nyvwc;C%FdbpC%d%3bs zTHT-Pfo{)QCSC`Z=w06-`l6qx1xN%g(cwTh9mVnW5cXnX)PjeAK8*V( zkAZwOY>c449`9b3#k|#cHnA*pZt-37G#@G~fo^|<8iMQQ z{|p-kDz4HlyjKEi1g!G{o%P+I1L$iDoJZb7Xur1$9lPc~^gcoB0xk3))(d~r{jmKJ z&OPM9M#zoI_z11{zekPW2N=ltKNb80-yrr!@$7})|6WP+e}j%*2K2lRyn*qnF@Gof zfaC5Nzj+z5e?i|YkVTF(0ISje70F?Y;qjQKhvzVHpc=_RfO8Mn?m*+?V5tM~|0TgH zU^TEC;CasjKLUn=< zSGu2P?g1a7Ks;H7x8e2<;FTi$!$nk}+62*GSwpmBqvGoA5&A9Ba-jAgQQgx-^(b~L zzgonqL=me`5;c6=pz#+(YhN~4f5X6PE@H#81}zsC$L1?=5o~Sy7g787iMC;mt`CTI zVV%3vM0-*`eGInOBup&>GLrln1EU^9SWY`n~7h$}4G;_bugBbk_Tk@+B4H zzGsv#rA*~D4BiOt~L2 z=ag4asP=;L0M*uBR34;6?d!@bX+>R&@+#`B+oODm_j276%9m32%1z2cv}5IC%By{+ zR(?(SGU{0KzTx-@H8d*sP*sDD^?1QMmHWVTE{`9)M|lPK5#<5!QRP96oX79O+CvSe zg;#LI8>WN@r2TQ>L1}+pc%|@{gtLyD4c}6Z9BBNea^yhcPn9DF8h@i4InZ=KIdY)s zQRT>irWxhPfu@(0BL~)|l_Lk%o>z_>ShrR=a-cb*968YZu5#qSh9k<616ytiU&8BZ zJtcf8ucb96JS6SLhGoK^6<)(@X?;QX3h=tt3&QI~=8Eu@qUVRgS4sOXg*V99w}m%} zjInK<$lMVADdue^78_ZAQ<(!kTwvz+H z;{&4u2M7CTjOHj!QHqgGVLUB*4H%;-;X{<7B#=`ni+b^v0q0*E?QxN?(2~IT4zNSg zZvU5>It!LrG>V=CqKn zGU&+yG0d{%4*GAWtvJezDULV}PY$7falKb0Y8;aY`liM9GiYP0K7Kqcw6Ztim^CMs zv;Qr;vrJC4o`8iG?BwwlZIbzh@Oun%$0V+7A&-kfYgTNZQf(c#u*u|53iGl}X~@pq zRw^pF%W|_~)nsAbxbBR0$;F)5kU-0v`XM8$Vy#=zGA{BtX}4%l{KuY5%9=PwcOX{m z$GAiwT_Vm4+-(z1m41ucTuq` zsn3`zBWA^h1az~mY1tn+)fyJhWbuv3F0))Q4U1=NSIscCIUX@ln-o9UGB#2Y`>f1u zGRu8Y5b7XdS2>rlXDS?zRB*qc-Uyl%i7JH$3+)w%%RVbZ zLhI-RkPz$Ubj5k8wMNsd^h}Ai6uzcrhBY?R7-M|>FytaK!<_oaT~8)t>>0Jyc!)hP z34C5;I8)rUf^*%R0XgkSmDJFP4k44?E~Qq?s&=vUqg18Oza)A&oF2o>wORpP6I{>fpDb5ggVyVCWKD^c+vwDV zj-{Efhdh2FW{2CHMNfW0m2TSdbj-6?JcnE3rcXo1J*aNW0&Xen+?1v3j?{i7&N`P3 z=8~lULg5Bpi8Ljd8Xq1)A{h%39P)CGnR`jAsGgEJrO{1?2Qm&j#cADVQoY>oQVl0L zky1LHFFMxW$seOx%qF%1)zdjrcA#WQn}-XV-PvkYj%*EWE$FyhycbyMS7XE7H?l|n zKF+p(kz3PBm}z@xb*Ir>R|G4^xNFGLpF7P=Rv+ozsqQUx7aBu1{sqs$h-><)81RhN zxxUO@p|bd}b5+rNC{mY|b~0~3Lwhh1Sm|YOoY641b=6q4oRUOIEhn2ajHi5XQ(&&6 zCw1!x&n5M~Vfg-?&1KI%@AjC%i?#gF{HX2xNE=;rm#QHsH+!#jWkhc1no(!;Vr(-% z31bF%@o6KpyM-?LL^8F1>A-UPU53Z>t<1KFw;|be$Gl&}w5~;MYvPc8! zZzg{aCW0BR%Vl#g!tRl{jtt>6AEogB*zHl-wv=ogW!A?X?@`|FeCgWV9(Yd)+u-7Qv^*RM>~1z8%Ic_2(aTlW z(;KO~4b{86wz-n8{><5Gqgv51K`>e2nwPV1*=*O=!j(>%07xS|AJtAAgLU+gx@p#g zDqGOF96aU+nZ!sTBTj6nK5-4c5!2pivNBj?J!5ki$z2~)$vz@-sUJ2zMtYkU@-#Ur zt*e)D>i4+1^cex12~$*<=b7kz)|pn06`wpc!Eh}&KgpZA_v;;)rH#~+&jT(ha$D7m zVaQ>*$j&8_CIcLqR%V)&aM_Eu#!MjOwdbAR9Dd>^7Fhoi)hshgGLMiDgQmzDlD1)? z+leBZooa{-mW_>BjZO(-Py;M%k}5?;c09=;en`9SgKHH3+Y~9ZgM~)U!*S;Ua)uxf z%FiAl1q#pwlsfCx=5Bi!6?sQoE~Rw_#bk8{m1fL}Xoycu#qzo4Xy{$ESk4k-DPMu` z;_k4c+p6&DW+eFPLSd*p2$8=!)W!U}!X0U-09RYftz{2FjB2hZDSyFz+3-vm94VSe zQt9vqS`@@JLVDs;v&P0v_dkmamx&`h$Tp3`e38#c2!l}Un=O!&5FG61rR&Z0?_;ga zu+pJ)8m|#G`I#C*iD6DW7N#17N1RVg?rCs=aZM`}HxK;klxrAx_ zSpCTu{~8`|QUxmmt|`)IpgK20ap6RDn@q}itCU78GY+a>qF%e9Y6VhFm^a!Q8`#un zTIAM>u1*DN zVR2yLMzj^_I~65I3B`%C?(TLxM7V^=M13;|TZjxKGS!(U7gPRN^D#07^5|x_+HgYw zhZs<+7E0~3!Ni&~O@<;Ry%tL5#052t?u9cWeH5YTC$jUrO!UPZXZ-R0bztHge(gD2 zNtuO!`3J#>Q4uRsY$4+K%pD_Sro<+Tx_;13_nJ#1NWZ1aZhk%@MCo*y%Wbh(cBp!> zOG?ad7>J|r`-Hf02DD~dT-sEXS~ig}J6Rp*0ZuBt0x$@#DhjGJTRK|3)X6CJ6ZBMc z^qE}V_P8*Zs3>V^piXZqO`4r{pUb~!I;T;Q?TCH}w+BJqSYfJ{i!oq`=>GIkPOQ|X zr_uZV$j->sbjzZ4xQ#7L7mxZ}Iwy}4-t=UYx#8Dvjr^-^oE9gxbH!b>nJ@Q4Sw`Q? z2iz|I?1#x;1k4Gt(Yf8jE`03CGt)cx$?H2ipZe4N>TrmVt`F_cxWvEI(*C*N;VBt$|ESnW+kCy6k5D%l#cQwar-K0Ed~tLYr= z!DrXlsmgk7D52e=#M768(M`YnscY;D>X(z^-cTM8XjkL2V16I9{92- zM!Rhr)7TRi)w3IqGY1J_K78>lCpyy`FISA{`ZVSGtFI}YR8eFn9<`S4#>sUq6B%!w zEJn6>0H^UZ^3ML+0!e-Cps(`2HqJe+#>v{lu=)o@?PQOXxM7g7s;6K4HquFF@x49r zy*bKma0?E26wFME?t=fWv$|$z+-!!ztt^HY&q`c9-CvZ@pGBU07WX@Y`BmcKh~|gy z$aRFJOHN=8+pGRO-Qy#U=2IV3Bi-s!s@aQ8na$~U7Y*{EM$|@PV@$0jdN|rAPStvX z-wX(_r;B^l3TDQ{#yK9kyCIJrAAhr)*= zVLX2x8>D2&tC@)RGWAd9YMDIaFzHP)k01EfP?wvnE8^qJZ)?IS0pM~qEaGd`VWwG^ znWNpmyg6bDoAB@blA79}QYQ1908A$GY>;SahT}_)`n;3x5|&`CfDkqGOQNAibuwBb z*SBsCW8}#MJ!PySEFPu0oFwwEpc-uPiPc04Rhc|%p=c?BvUUV~7s|+s;J@9@kL$r- zg5?RlrLbk*`=2a3C~q?5>P2|ORzm2UF|#Inh8d%qEl!kfL($E3qrGpN8`<_Hnl@J5 zxKE_y#3}YG3@DsFBz4tkv9Ijs&=cA0ni&Q)H~BDP*+*_vUfsGbu5QAqkHGixb1>iN z#xssExkmG$IJj1y4JQ-Y$DEuhjYDeLAzfK=Z+-GxJV~;5rt9N_e<2b#w5ORl~ zin(!;xaVi?IyA?!@AE~+v}3}w{8X{haTQS2T6I#JNE3_XA_aa{p?u*d&*oeSh zlF#Mk&Q)EkNw)`-)8z|;krsg%9co>_r7l0Y%XyU>ya&GX?zq$phC!>BCiz*qnVq~I z_IDazyO|p(KmjwiO?G`Az#@HML)tL;a9OTeJC8kg z9G_X8wPtvnykAUu{w7)Vxm=|>ccSXeVdt*?78eOXWTxY^VYMN(;nktlc^jV~YK@`r z77iS&JEGsx{!SBjADHc&xkzvNHz*AIq<6A+w0AalxOa`fT3{})7Z?C6044w%fDyn7 zUK-rT|-j|H3=!zV^sssXw0OxN_oWkz)(wqB$i`esQN-8ErWD!*H!5FQt;R zr3Z>+jJ5uTg(EQ1nqs*cV!-7x;i}k!D?m*M)9j4CT& zwpqj{BXM4kl-{icBVqnn%*g%lv3v2^z1~cDmSckbv$`kA0WIIZx{ulLXYm#mK(6Wz zFZV~1=noN%nYje^49x zCmG;Am#tGL7Y{@@SB?~mIjzf}Tab;CE=L+$vp@1Fp#zF~l*AJD#VeF3`z zCP3T|yxKp2+_kD(aUjYE))$D~px(aSty_AS6|h4$%x#~yT~GvA`*irO==I$GO!{(V zbK~p$(mQi4Vpr*f-^bn(d9%?IX}8m|iY(EXO!qFxebd-= zwd}gRW7tOa%GaBBV$vJ=@-Xck-DYYxliu1=#dwMn(ozKh5QZOQJZwLz#~z5bmrO_b z3s$97j_zC_YL3zw95mq1K(YoA{1a#O@2Mle`IathQ{ie3mlnRtnpiks&>O-QC0-|- zZs^AV$b&cRgYB1r2y7)1S1awQHzty>8!VlH7km+#m)s-g{K-%?N|W3a2$PB?qD^>h zHr1A@ZA`(JMD^U*F@4vUNL46SO;m=`HWF1iX7E^_*=3q%+Gg4`4rM0F`pA0I z`Z{AZ6?TS-)=3>|JnX#x6Cwg2I-s`XU_B5W!1{wwG{lq%Kf*}{CS>a`IZQ_xx#6e7 zF$W@xMjYVD8?zV9ed8^fMP06mx#F_$nOAFV;aMDvPO*evFiorCKOxU`&1LJm19(R7 zr#Zh7CzaBo+gWEoc)ui4DW)g3(N0ZS27UnusU=tu5%lfZgaSn=cM~Y#pO* z^vl^oB`Fh)P9y>_aJZ0q@8JHHM&gL&unY^|;L=RH@`PQA9lInAr{tedL*3AyvTvk8 zB+vMK8td;&M!*VDeD5FJzO0&xk;$?Dz?Hq3l`tFNx*xY zbC|rvOKle#fuA_SJQN$Db`GQIA(P%Tu24+{LFSaK=UQUaooP>J@;HfRJ_UUTyNCC+ zk^nHaIC4(@ggGj$0Au(VU1Bo?wpHW|-O?*@Q^_a1L?j``kHa-RRsz(8c1YpSH~Mm; zRwaJ%b(k>4HJ}_qnu`nr3oCF7zmzz>IG*)>)Jc5K3d7*AOJJ*iiP&yAmDc^L;;ic|RvJ?n~GFDku(3?-M{#e@AUmBIjOD0S(<(~#x-o=~s@D96?oQ>xu5aXjEf z<6wY?$>8In6@xVv?y=U1aMN9-N~}eO(ry3SzlOJ*`%@+U;Q;b42Y~J zZ1pBfBs;27xWw8@YXWT*x%FRI+BYo9=VO8jR>t)$Odp{K_!4208W@qm@58q_6rsH| zm@m2xRxY9S|1(2~{QBR9t=K?sglsr#+nvxG5>+iScs<0_=L3#~`Hfsu5sh5ITX)H{?zgB%JlWy7k>4jN0lK0?e6v9re``9O?(JjmnUn%Qg?) z5)PGZ)dDTT!&*fa9C(pfHlLp37x1D@#oo>b@he=8Tx;HXyJS|x$*am*#0K0F29@y& zS_Ya`(^s(P#no$W|8gPQMih&dN3Gnbhhxn&K;DG48p=1@R#aK>c5$wFMB>r&+5P<3 za8wqF;VGGkM^vtaRR%8)b|X)@XS|w{6pgEUK+PjBb4r9+j7s792rH&&=5~LtD=RBY zBTA_hxRyn0(5ByM&RwZ*Fj#yJFTm0qo7)Uj5aN$jzOIZrt+lXGJxtWhTd9MyM9E}L zi8Sog-{8JDHry$0o87#@eUx(tqq+>wUThJ(;qUf_mPa`orbabpRl>ZBbM;cRyITb-oEj&1CJqsj#R)1 zD+n(HQC%{oEiYfQVi~6*5RHrugbsy7PZp?FAZDaO57aRlC5go3MyG*H{kdv;*>zW6 z#cW4kq>w#gO`tpjI#SC6B1?*6J}^R92N%8YptIg zHa%hJZA0`vxTnuEX>`;55)UgY-u+!8%#)}0$JimGLqY#(mlrdG7iS!dQ4oskc}iwZ zKs4lz9p=BLD0CFep7>FxA92>R?h>6PfDi5+Dn8gkU7d|DiY5U^&@R+eeHJ+ryzsU1-=$nk~L4YkHQ%GD(lB| zDUgV;ev2nCxY_IWhWxF%k9&C3COXBMEs#a_^TCHw&3yjJT_&%&o=ybZkoNwlt>(y@f z1byD0@EPpq_6UW;;PCI}#}x4W?$36`;-JJNPS@&h!^a#H<{29f4`oouM#yC{c}N*8 z&dtX2BHmH?KP_^o@;uI9_+Z!l2W=S>7d<=+RHUnZCABtJY5F_LyFEX5Toj z+O4%q+U_*EY(C$(U2e5_&F=Vky!!#~%6>sSAfN%hUdQH*M&+}4RNUXD)}1K0{Pqt@ z4kk%U)M|CRZ0U^X(F|r^ask37;Hb%dSqUJZ(COJkh|2o`PxKpy!B>o&T2l z!nF3}`iRSY`K~gfxhP00w!nUn*DCX=EV0~XA^)QZL!tM|#rdyRPI|&DMV``)B8p0y ziJrBN(JXWEr&FLuphxri=%v?j!{E;+f7;<~zqIAZRUv|q%im8=g^`>QE$JaF|KUJ! zn-~!?)C1tuAs~$RC`}KjKLW$jqv{f3L24%<)FWctqs83=S=XWuIU;Ex1u4+=Y2=7t zN9{q(?4d(AVzMB`k!B76twbO%4Tu;Hco>Kg|2R;3#1MyYF&aY#y!G%w&~yf*yYygC znGw`2A)-*Zub315~j#4RUq0Q3F($3Pz)5Oun z(YVnbr&^^}rAns?O^&zy(?B8qrv_@&wn4XPn-UX~@*fS<>YoOBJWE$84mTE(7fPje z_5Cr)-u(&p9}V>JKN{#^pM)NZsRao}5#h-*_#|zr*9zYTH7mC53Pp`Jm!orQH`1S= z!$#<9cPZa$Qhc9V+)l&)g@KaHQ&QL;+BV|hEv0{B@b1e{HLlglucd8Lgkc2gmvU8? zLAFK_%=qZ1XB}6nK|8QyGIo% z&bqo6v5PVDt2(hna_aRhcrB%>&XI{B@v2I%wGzynD9>y_$Li8Kk;PrC$#cM%#ilZ6 z8e-N>pYSyWF}uYEnv)za5@r;=X>wc1Y3f|jsTnhS524o{WbX{{kEgxdLM>3Bpkj~; z+L&a_;KdHNO*Ip>4o*3IqoFNDI|$35P;Nll^tfi(Gzc33jS$5cH>t2%Lz^`J{Ifvm zMOk+|R5=UfRPrpNI9hX0M_Li~wuRN*$jmGto*5(8CpV1s=|3v?-HIVI<)tm~Qxq%a zp#Ht;@x*T)Q+mi+lvQequP7tXdDr6n#{y07Kl`r*ij>__$-ENT zG(fX>7T2CKIV`E;&69ewD$$KUHepI%`}t zU|ib>dkqP*zG7`{*oWX~qIW>$HHP)0qjCBW_^58GgFS6zJa5V+B7O?GosW@%xMd%b zW=_r(^XqW#MHZYz?i~ z)7ZlFzZj^E{^wfflINJG8cr=~!zZ43K&tf_pp_|#GktJvOlE6Pr($je3GaXtN-^W^ zX*_0qF1u+Z1Ehkz2p`T6)+jn(AzB~0Ktc?Y7(b#hhf zGsicdPb9CpuYC2Ar(FxzsL$=YVD$ZTMzQ<6m?V;^HtKDmc&5Mrz?g$cWpu>jp$k{` z&7jL#FRP;l{OW!IdvZ#rYJb-t1%4h^>1Lkc6=OSOH3_FZJN52oCm3!hjbFe=1P_Vd z-|F_X4>bC}vHSQ5IxG^PHppM_X2T19bzbgcPn&UB=z zTf%tpE{~b^IM=9{g+q07Rq2NKz?sXW06;UCPnfch42&R4$FH_hp)s$?xxpz`TEmCF zWAXmkUilt#xa`1#BQuI0UWYL5Me*DhbEA7fc7*txAgf1Y@&%;*m>2uSmc*FHvs;Is9+>%CD>&!-T>=35XQtqrTZZDs+r5_OOkkSkM&8f^XZEup3`8=}(NT~>mBuYt zJz=Xr@=rPQ68|4hRdHclQh4#|iAj6=rtSzr56;O4S(1#WliOi;w5v$MLF3Yjlh~-P${) zw(|Z4kt(4pmrmL=bgCm|*98ah#m{0U5LWaFMxVGaNnjE>O*chEqEwl)758MK56zlM zF!!eZlrI_#9HWzk)=qGuD^q7^R>je zq3L|-zlVP;MQQqtaEodv_6h0xaef|uWPW8}_fz_Yu#|g@XBYkSLuZZ)>)6f=It|oU zh;N{kW>@uiDTPdOi$eAm@F|CI-o%Gl^1sK0pYATA1hWANgsY2{TSVSac0{6N&BY{! zieYA)Y^Yu27;MV!xQ4>|04hE|TbOXxcIf4rPa@dBFj&9iU-n!08rJ|cwlPwKEDgol z5_UY6So%C-*@JFbexc5AkegeUz}B{v(pRediE|4*p8H*h(uzGcb|0jmDP*`9o;-K? zd#{gvI;I*)A&CwB1hw&tKYroZ|CgCnJ*uf;p;Kox79jS!Ny+j&V1@eqwR3YSQ~eKf zo-S8hE_tqG2?4_iQNj+N3hUHi2%&m~rF!asrO=hUESy~;seMJ4!km9VMb^e1|1sLQVI!>sslcZ0=L5f=}tRRyH6xRuq0RpA!l+tYK<~9 z1C@Vtm}CapJe!~7nm!bcB8O{85ug%g@<+;nz}gjAJy&E*%Ct?~=QQuKdbMh$q)X(7 z!njVY6`hy0jqdN}?zXzQUjuHkd{R+y2VQ$Y8=J$b?u$tl4QPB8j4{)xspwbyidUQj zzKR#ru-8hi50lv0d})gn?U_2dW>regoZ7Bh1vO)0U`b6&8hRJ>qLWk`QVvlNa|@*Y z_tPti$}?#x2ZqG?A)W#;tS%+(+hC$fD*33qZJ3dPJkpe@pcK{}q$TDG@Tg7Lg^FG(6cQ4(he(Lm%uO?Da zpI@i#QO<)`SKszFGj{DwYX)_-N$-89W<+d_d)EcTuC_;c*ZUlFp0i|MncpM{3F9TC&y?Jb5&gINg?el1+7onA`?m1_Z@p1o6%nwM8UsG2ar7jAtlpXNtCtu)6Mz`uhmwuaoJ0=~;XRrrg=|DXR7oBGc(CJ;e=DJ%jdKm!MO- zyC*Wuubos|`PH{Zn6^$Toni$MSye%T`h3ygOMXuGN0wTz$BhgOz;G43u`6fZt|M%f zTLZrQm9v|72B*WrD!=lq4~B6$IM#}piMaw*s{M7gT<*Y;DIyX6jFIOrNCGH6L8Nj9 z>zLl}U7y8d-JI6Tgr*I|CWcipriipD&Ad+}DlZ1;!8-!iQ3 zMXWcR9ft?z(%fZ|wTupb`2zXzVKoVHE^q-BwvU(?t)z_>OIkcKh4vlArCNz# zd^+6QzL`NXl5mB{@Nh2zUfkiEgH3gRFQY*dmMIHvX>S&+RcGa-P(_sCq?>xK6=dIg zsD`{HF+MgI{F}g_d?b(L5DBDc<$3A=v7UvUc7?d_Y3G(dY%V$b4|(tRPZzrHWUX~5 z+%E^>$Xy-+*#cB)*}r${oJDCv*re^LAxmgEz}Uzl;l#ES)nSx*h!jyu zTdF!;$|YnrN}+5}u%yY=G2mnwq@<_5tQ0pSIA2yHTyh>hcV9kt&(h?_ly}a(U5lP- zt=*U`a%>EJF12D0CHs>#uf zM1P6x8j;aYVUIutf98U!``3=XL%6hA)+1{=EF9TO*$;1ox`5(*peg8+-tEH&d^53A zK&MbbHcm@&GJ&?;{$qMpuT;~^8g$PT-jcHis5||_#SDxATgILNTwI2dm|YhQpo=oL zu{!}-a70vS?f4II~(yc7d%AlK_B4_D_0~;(p%aVpQK0F!pRN=J`}A5;(oQGrq=}gF zjMKO9p6Ow$n`m8VT=4DzJKoE34`UCn9|k5@~<`L^+) zB^q~;?1L5GXnex_>IlJ{r9K6>E28M+$^ygLOBawO4|P0F4I8JVvT1vm#yn~RI8_MS z)xvx~gXNwGYkvl8^8Q%Dni;hHOLUOJ_V2_}^JLzg3K3xei-1M~&g=!p4c=;MTOMK=PZL8SZ*ot42A6hXXs@5~%reM`iwix<=Q)v@U zN-sUSvq@TyXO0s1vWk$vZ`rM;snZ(8s^wnX?L>7%Fstze;ENfI;^ebt>)8?`@ zSGY}Eh0we4{3|&YoQ?Zz7`kUC?vFgefr)ATTF>Hsal3PJyYylx#ct>b!t~up2f2yW6$P1{0*DXCjJ;*B*w}!GYd;>2T(>(7T z?KP!tT}!1$Z%wf)rU%!n=^O4PKO-7S3?1QL=-I7VF>6QN-!Y-_+XEH_g32zvztK?+ z`WEE+838l20#9h%jAhLVqPgm(uJG)0QZX_zzJI61HH#7M`-j z4CtaKR1#e2U**bklu&(qZE7O6g;=uMR;^Ri3f{ofKvua)qJsHFTCH`{Dp_bq46IoZ zjYpL34#rU`-AjFwaR_Pz+u1to%bM(Nb43qDR;4me-M7UcR%8_#biRP} zJRx*&2{bH|2$y@$_qeUPu{6U~!@{wCGF#%l`L^ZRG8>h`KcbIRI!Dp)IBRpn;4vIS zhtOix+rNGisUsN)IQ$G8tJY zJiiGX!Htd~K9npnhccfG*L^o+2} z#q;Y}mspsL!-4R{jw-@|-d~ZO+{bE7wfVNVd%hI>56qZP`22DQd+W!+T&4N0ysNJI z-F-;Tr0)|pg+BNza=HKK{rOjeoH&o0_oO?XdA= zWmqRaVk`_jGpcT(89~IuH(@nJ%me-BF%$DCk3i$hi6qw~67wZOSN@ir&dzycG!#-!`kDHW+MR@s>Tw9t7IQ+XEDfOVr>JVN3cvzA;T)Oqh4Igc|A8v0@?^kQp zc;;Q{fYoF!e9x&p^xx=z)~Yfs~~~ z1gF}nfnzUhFZ5itNWfUy4ydNInu*k~=u3oit2E0g8)i@Tedsy}xg8!mE}Sft#}q_} z%#S4$F%r=S6b?|N4&R#HmQ6{gHvPE=l^7h>XVk{jfys-hR&DdAz4b6ua+fx4>V&wC zX->UX=_;q=s+z@qet56ZX{FnzShfLj?%MFueNcU<9?`Oj!(ofb>Sc=47A4G2AoChU z7Ym|gYe>$r{%FE1xDRnU`fQA)8>~scn_?q!Wh2r)qz<^|94ZG$SWpT{vzB-&wJ9l6 zxkK?1APtle24j!{G7sy6oZAxzZ}XHM{b?WFbFoMG@afylVa+%~PAAm0;cr1q>ZyIX z!fBdzn($ILN^2mFRg0~DLPO<5(>lpeXdxVUYy4bQ`ZGcmR#6OgqX~RhFTntWcq8{S zo4ldcGfqXhw98uHU2=5%jWg5xw%^P;sZ8DSK5^Sjy$M9)Zdr-JX{v}kn_a?x+i(5c ze;vw#@Jz!8PQOkiqG)ZV{mW75Y_-~cR=L?)YpuI}#jSA}pS~OKdXQuPJOW;$!Bn;q zgzo>ypPknVz;QRoBg3MhnY0&eoY%TpU0*kpI}(+2o_{3_47K+DkC`7wAWV1=c2v3>&P=wC0gzyi3`X9hbCy{bP0=Xo`0auxdC8r^c2a zqc|DYowfLpX@HI#&I-ZO6ksITMSuw_7Q_vKZz+cE6UJdP4+JEf)+H5W6JJ}Q=b2Wt zdD{@u+5uZ#|02{%XR9;+MQxbT-;6}VT6QSrL0Ps*{ny1%(~!(tI0&r907)?Voby7Ef*AC$;B)zD|2%m(1?O0>m?H*)nxa%c&RhJ6#VZJr7;lv3E z=13_sNN(@zvWttDsMi9>ZddrO;)=^3CFSi$#wF;{g+v~SPQp$p@OMh?#Lh~eLi0+5 znaB4v<>snn-699*B15U)pGvQtV|-XFJV;GT|vt{zs37 z60w~J$*}|`VcKP_VX+~6Do?%5skQQU1Z?rL&PlbByUFIuHW+Qv)AvyYR|(tmzdC~! z1UsasOY27LM*5(6VLPzls@0g@er2m6e+aUIN>rj28}1-tQDkUTCLRZvQ<@x6SV*G6K1KzCP|mACNYTSz!TnAS?`c^Vc` zwfPOmm8g-T@$?aXiReM`N^Vi918BVU-#R_fx59S!eFW8NP?H|$c+8xq`7$i!%OIGJ z+3-K7QO(SS;Nr+PdiqR%ZQz;(i_=S#xvumyMi({^t3O`tTA(`^LGmp8#XRPSMsZ-e zzB2VXQo5wPAn@w9WEwl&B_?hi?N#CsafPl+*fzxXNMOm7gb{T|kB=e2Ww-a15IT@Y zKtv86BoL>=d&Dg&vPJ$IuJz0N5&+Qw;PlFmdLs@m+7O%Z?vdiKAA}Yxa!3BOG^Ci|U@Uc@d(nIHQy z{R60Kd#@L!HM0gj!vpxbVQs%W04Y$HB4C9kVjaK|7Wnfe;k81N!2{8u*1_-OOucGp zbr_5OvQ{Ud#)Iz!PHxxYVXSBuW@FeTBLc@&2O1A^FBQ#ydfU~l5EVkGtswXV+|4Bi z4TRe4NdrZ7T1pE8{H_7nN|AyO7+B94P7ICp$Q>WWg`}>gzI473ajtm;&eULc`{wIs zU5ST}(nitbD%TKVveE;HbXDf*c6HA%iIYl-6j4gygzE%A{G%CB`XxaW0R-LghMTOeNSM0SkqV^%f~) zolp@GEmkFe5y}U|M_LujGuPH{c*$9;rMzF>uB}P?PCW7us#U3Vnv#k=(A9UhH$NCU zjPMwV1bSo#eju4+*>mq~vG$e5Hm(h@>3TZ=4J~%bKXnc{)ZQ-o*lrjxPQB7~J{!QD z%ANP6&fY@$eEQ()hLBZn?`QtBMnDegHJVhVTWfgZjnLftFLn9DCIggqbjPs zu)YeQ7-=TzXE?#P!}7vF=wxiGf#gLWxZb|D8ur@WMqx1Iy4d{ZJyUg*LP>$e&4W5q|wR;zG`1?8^#>;8^o9?MbR2w#skCj|yA46F+!Jbs+9g2oG^5m67tGfsbIyB0j zTnoK2F#B>@pGMR2EW6WqsHp2%!kKW%8p;3`8VSpFUXpGbzB{JwXOOvf0`VAHBO6R`pqtH|rNDjk{$( z7pD3??>5lnuRuv`YqoVPuhflFJ9FpJ6xgOq*9cA3JajrcO_D@k2C3$5cKvfF_0-)5v;})@5LVuUJ zE0YK|2$LuWy$xH;6GsvsQH$TUxRBX16p%_7x?DULXwcH{Om-^Kx9T`)LFTkFP#-gI zEFw!cftYEID>w3uyI1`w4DvKbinS05mwjg%!w(BW4ALDT@4?cx0B0(t2ac5Qzl0737}^X*YOZ3VE^Q{Sm+^5@q|9&o0&uiNJYp=3S{nap?p z%#}C6oH38qM(ciT>HTH)<2;UkjiQX`&Qzcti*NzrT|$`OvD0PLQsvR6;L1ZzDZ+Lv zlj=OQutGiVArpJy&=8XC2RSwP?jW4K5rBExAnW3&)o}{lHo0qxajR85jxRs%EV{<+ zT+78&xvx6OCU4-#;DF&nH=VnFr-UozhKxIh?K`iQ8HI8&;}LCkw!XQ)bv&}v1qy5} zt93feU2;{a&we#IC%(4lJK%eI1S97zKl)dA5=i7ObZOudUKSe0;qbcby=}L!FPqnI zzEHhs-NbLgxI?YRUHTv`ud5Wcq_l_UA|@px^5fuX_hV?S#B>&^{w~o|qzZyIsv1|X zTH>}}xI*v8K@+-OkhrOSmVJS->*VuwS127y9S9}-lSLi!C2_}-9Z+Yb-bz@L+roO% zFE&nSy0kS@wj)`^wU=@g@~;A4Nj@sQmU?M*n*ok&u@;s!wk(#FB{(8VoV>bnlbxkx z{SgM-1OTyrnUF-2&DOLHO$J)2m({Ql&|j_KVZ=8%IH}y@i*~vqDjZ4MndFuo+e%LfS97dts9besv( zjNl(DZGZH%VH{bmzvS94RU_6~jvfd7jz9h_h&}{za1_YG3uds{?Wv&1!!R(v5M65 ze3rfP)2(8kPF-`F`kGc{v5@mUaM+cD<85bTd3D-bdVD&|%6E?|Ai;B7fsat<31oEO z(u_l-5rdLTlzAFB?>$c&H#5$xUk)V%QRUnIQLVhKPmz1aFw9IBNhlLeh;5+25{>lH zi6R4Ugg8EwyVjE)JZLJ5%GN2zE)l~f7aK5^b=yDblZ z8{T;K)cEW9v(*j+orbS$v$h9zV`xYpiyrh#(Z0bjgw67Kmey;a!Ce7KsT~(>2)ERoeuF4eVuJ#Lat>y_D{A~!!~8JhDwL%j)29Q-z~hIw zv_FS-_VD~$+*#%%^|Lsbj^8oRP_P;aMkM@?G^O%j%VG)`Fmv*FQO#@+>>wE0y!3La z6;BFbY5E7ZCSGC;@Oxnxj)dyk0A1$-f%&?flPF?(VT{OjcZn;IqJKE>so8moAe}u*$AlOP%^n+G-gu1S- z?P5BOFd{JnT2vmhKNX2pnhgn$FRKQB``7>A{a1gmj21%Ys2F;yT8v0>3j?plz z)8m3XjpHGK`ivM7Aj3@jm+$g{ZQ{D)_C!{v?FJ1`H7uN(e(N(9$p_6*?${kq(v&2sB$lJJ{G(mHm-M%Osf8 z{pqR!*j z8wh*-QU7B9?>%>XhJgtFh4^9FN8*R&w@HvXmE4AXr%u zh|~!U0>BVeS^?>#l$a=!19=&5!mD~ayasQ*GIIn-!K!jWA;*<*<(8sTDshOmzY$YL z@YjcV4bkMKUkEABJOwo_>0+Pw3e&{OX<(ZGK8xo7A~G7M%_J8wTIP3$BT}?XN@CFu zL%F9SiNikd-Tx++`o?W4!$Nxy=IBFga1I;{hj4)(kX#6en>qm}*tKkVt zj6z5%cWJng)_@{J;ZuI;nbhPv$>re^9|Ru!k4wMlyYus^&iU!*0&Dsg?P*LdBRle2 zy1B}5csAFiTd%Da4{bkv%f7Iv=*{k?{>4tM*7NO<7uTUuB%w()`c>RS9l<)I9j|~@ z8{Ufd;y(1c>1XtxkXcWw=qp&a+@$N*kC0nx+~kTdI>bVL38Dn*K;1}>o->(jIyqF! zIpa=(oQyN*OgL4i!C4=9tc!>WSnaK4Y(X2LZJdqR>dU`O7azl}Pvk%ywRIEB!)b-6 zW+@o*cd#O&kB>-g`}qyRD$pm0nMr@c#>{!+eH8V{Ehry+WH!|_u%~)Py)XK zirRju{lLME7e_buJe~P5ec`k#q9j&vF{qB(Zl%-`S%~?_v!!k^2z*#{NuWLN{biU4bmsUtlh?07}GE?kf4>culL;^xYfHc@BB=CBlAh?;Oa zX%~0k9b_}NIk+|SjqrZl6FeF_htGvCOQW$VvD6scgAa&%%8!X};Zx+acs@23yPdcn zn~K>S$c4Sc5dpnaWtD8AO@h$0Y9Pbc8rn9!Nai+HKnyl`(9aC5E4%S`mxflk2nup{FIc{4!#<8lvU*!k0{gj6NaTN=L5~@rh zK}-azlNdcN48ZzMD2mPk(Of~{se41#3?7+!FjSI(GQM}Iq+nyPd~lJ;Bp4cu3s3tM z&k61!b4|HD&+(_WR#Hc@Dc*?Qj40 zT&Lgs?)Brlv#ZH=f^mN97xi6tcFWEYDZgWHla;)N2VUNF+zFiAJ@rSu0W?0FtWZ6U zBbAuOG_mLqt&gCnL2kee#9W*m!3)$|HM48HI-kDP-Rf!ew)%_)o57AM#4R*Li$-I~d3YYF z(%)ZuB&IS5~(qTT(6QmYU{S zcAdo%by|E;!4k~Qi6*k0j!tK`1(k^xj}?=<#W+}ezW8pju6Ts>T;>K@nE_>LH*m)lJf_@Nroe2} zg=tm!04{V$2`UM&AiXk*7oZGYfZfwYp3BLbpwV?coR|ayn(WNPCAlY+;zD2q=9h{2VZ%d9|g@_7byN*5_8{F!&?dgbIXjwYcewK0#-a3A#XR zD{--)5FrGq7vdaC3Vx;Wd&o!$#YvV-S9!T4N>VyildPT&zPfW^M{clX%|3bFCqMbt z;`^g>Qrvr9Pk;)Cy-gR_?>sasTb@7l>XLhJuirMi%*z)6qsToczP9VxdCA2)+cv!R z?6JFMLmeB(zdn3u)t=_+wo3NBt^_@jn?-+ zIuBkqLL!$<=1`f7lUc33*|nb$%doBN30BWuB@yHzoEcV0i2@Su$r`xX{Mkg*HB%Os5KSWxOz}{Xrr1nd5Wr0k6AScE$aU_X7Wj zGMx1cdPfbHj8`Z~RA-E5sqdGbaT&f#_0fHfW3E2lu(tGtvM&99=&t(MHqJVH?|r@Z z>0E4|ees>`yEx}KaU9<@c9L`4`L^^cO-2LFnxvJNNUR_0)-??*>KN(F){nJiBhYOy zL;;~~EuBP5KeCL1C`hQFfmHa5Kal98jE$8_LFy`%HVN<9fmTA?`F(%y``-DFd*A2z z{hsGJu3}JIL&M=gV>|sMq_)9PW*hgoZ&apSV-Oo6hN;aor5ic2TV99t`6!cafhi^> zr$Q7e(uQS>BYR6>6i~4`SyJnKjHvqJ;g}kqTR!5}hajyp5Tf!DM46YOY0pmOLt!)v z$Lmm)!f;5lcf%n3u@!PdGoghL8T!PMU3pMGCojm9E|1F-az!TPIsC?~ZoFYUbqtjj zMBav5<@qwEtT?XX5voR16qV{AjVS9Cp#SaBQSK|>zpAAJDZojOUM#2hojTX=ke-Q> z9EJ%v5E-V~fWxH%-s+!!TIc*n^P_qLWE!G^k^mkqnvqAMJt6{7<6Lu|`pE_}-?G$f zE|JYrL*GMq>*L++U=+C7!dgn8229bgx8FTLesgOuo}f&VZEsq&``$-H{g*S*JP&Xa zVMAU15Kbd(IQVI|fu%(#FdPdx&Y~UY_bCBKKuz^P!LT_LD_+!4beGYflg(#0vZLe( zdy1u#bed`7Q$i|`inOS$sb;&Ab|N`zJ-r6@^BdG5dIXLzquhuv5*e|E>?i3b;M4rB z$S(D%jwy1Ao`O@{e*Ssk`N)2CTHCKbn>|E+ojInxoPF6kYJUs9$)5#B8!xx?R!-qAPi%5JxI*+*HjTYWmV zH~vh9+zz)hyI7*19f)s8^=HXZbvXNZix`B1%vPQtA;zJ~q=mArT1(u94$rch#;`1> zp;{A*F&K^T7>EQ_R}Q4IEo#ay)T{pHSW<0v>~7VWTb{U}@|-@myw?p{4AcdlZ&cCy zYDCjwEXR2;l~oNbXxS*kG+No9Wo2y|Lhp%Y*=TA9{LQHpvLzVKa~#7!w&(lw+cw(v z^KRbu7+a_YH<__=mR+%DY+{o=W{=ww)yZ{x!Dj4Fn2*^n@am-q|1(_2BH&*x?+Sy$ zHGvRL6?*3I-4|+zzg@mL-yqE^(&DYEEK4u`?Y~N_8fp|c;+w8f;QoM_et;DJpA`@G zQ1TTSbVI4=<-L^)D_6Z00>8(UU@8?7OEIt0E!4#oKVPbuuPQAXS9q~P4J5wgT9E>Q zW>4mO_XCS7gh}M#bpDZ8Fuim*we-Q#ADWi-WQ5?_9&lU9ceerl!;~&Z#6Uwspaqwj zx^o#o0=z96O0Gs!O6C#=?_MUpc<&^+<3KoRnx@s5IIsxuBa_=!B?F?Lq0v%H#|w*b z{Ki+UFfzHOr~mtwZxTm|v)C$ZHU5~pm)DMU;Qq~>z^})hO6fE^%Mt#xe;S+aIE)?V zkLT&AKU9!Pl@iHn1JnS$R$tpVP;g5}qYUSRI@Z_+`nf*7FW=wQTj*Oo% zeBDs!r4Sx3jg|0mrUT0r+gmcZ%LuCiCM;i>WgQ{KJ3`f}74i~-0q$X8Tp;vnm=Z{# zsGt<&w(!m-WlY(t5Lx8~1y>HlB_NqGXcgTeUTh;LGL;OT$+xswbHqBgp5)uFWI$%z z#5zPl$mKdNgPm9tlGaz;pwGd~xLGl0Owu(MOuS+O^D^FxK}<#vjyv)k*y+a9tYbsh z=jh0FR3ITiumHgz1hDs^-e+oZYTx8!`cb5b(vqjA(#6FUSW36cMXYpdalS0w+*i6e ziJqqG9q*NNI*XK+gMLm`NUhKJXbCFN)!o^R(=5X=IBhiQjX0g>9b^cj0S)s9>f)jX z8WTN~qhZ}lP6zoM@7E*^_!?1Hpo^NPifWpw<~GxcE&cALo*)#9-EXWp0m{XOwsB{}7-GTl-Q{`W zed<*#gpje|J|`u?Tl6e_34R(UsbsHM?$ndd5>w=1;t2T;@h$_`Lr`FX&Ems>Sa6LJ z7BEr`VMwzWqc~JemXFzSYKFqcsS0(LBB&ce2*Z>nL6F2jaYCFC$qMSui3BD}qApr! zctyM>LJ`6Ly<%P*H-Ft<1y&kCrTC~+h0|hrvZm1|OZ8#rvzzz8XI12+8gzn(Nu7x4 zAi^mc)}Zi$#-Lf8G<49ws~Q%iRUPxr2Gk_CC$|wE5CqBy8t4#^NP)GT&w270Hk%#w z^`ZMNkp?}7zWd6besuiZ!MC^6>5A6s1A$D(9%tLhlTYOHDg5^P|NP^v*DHkrap`*- zB2r>vF}3)YRUNsjPee6 z3qPB<0Dc9o!mAB`XXdp}^;7y^>f+zfW*f+XH`W5E!O9nrrc`+7BGvM`F$(D|U_1-IMpL~VjM zejgXWCgB^xzp1Vs*e0$!{N9~?cm9*}`D~wK`(mF->^MHhe-=B$A>ac$f9eooAV7la zCIYmsQzv4tu(s+%x|FieO3s+d8q^WcXuDBq1R9t@qNM9zx^9iOsiU1LsFZb+n59fZ zs1gd>d*^Iyw%+~p?%v7g``-8czK<|QI1mL27z5*A8q9$Oa0}@02?Ct`u5;2!EhEdO<+voootVQUUO3OyxCv2*DnbfjO(fz#1-_P1cjYR{Inarp4XcOZ5124u?v{)pdp(BsbT zIeq3{I{XywDVcvQX|#w<9?%NImq7%Xv)Gy*MKVxY&ZsgsTjIE(r*eJRiG9GlBK@+k80;sm z6>I8f*ES~1IPb3FM0}8*$R5A-^*c`uB1$^tI%sY+c?DOi;r?=%>e?F_?#|CWo`1Aw z{k`|zgS`X4_^HawdtaRH70r#s>+nWqJURHS4?p@7Mr|`<=yq}rSV04kRvi3jeZesX z#;l)`T8AVe^%s+ZA|^c;MtwRPXFQm=9`#KH;_B&qFdTPL4&AWj5n7!Yv}K5tRO1b@UvR@BtL39WSh0!L+XTM_Gl6@{yMqSSez?FBVX# zBFn&G{+F->$R%?!f_wOW8|vYz(ZGU`#8wq_bbw+3ribS#012WvqS_2K;^9Vr8PMp* z42?;n(weM8gTd+$z!47@ywxwbYBN{u+UiVSo9SyaojOxkUDC!mk`Bq7tV2WH(f`-{ zM=X>b_m8#qRk7i**!aAvAznj$4)^UlvioqW$M(_7uipO0r4#S39DzUAa*n<2+b4;2 zA3yQL-Y2b7UqblVZAky-Z0B%Ohw?1yBZB~tPitQUGHg&bydcfkX8dG^%ozI|hsnc6 z?FkKxv>x(KQ`7V;Gpjqvoixw2>Ntv{iP7MwOo$9S?`Dpzf%9&`lJKPEHcPy6Jqn~c-10+~~zlZ!Y>hJ5oLtupXi}C|r$o<4W z(@ug`E>9c`9^6iVAQh&zy&!2)YX|fD*B@U^N z3|2Ikwe$A*`s?xQ{R{cU{H^?Q-sO~RZCt|I?#XLkXR_@n7ZAvHZ`K7IY2M74*gB&@ zuhUs9Rvm+kJnzF0SdA%M6$1)rv%Yh_Yd+FffhQGqSf21ge%5==d(BIFSC^_4t@Pq0 z{B#y(6?8VMpi|kL6nKVpS$e3buWc3jT%sD0;gySmldq4plIRLuQB|Swu zw!*EUkZIs8GKvg=8y%BifQ%~jTomq)mZP&#GMY$+$%!F2 z$Gfj)dP5#>R2=`^`ojKizjE*G!~F(xf*y^_NodRN%fxe=_jI@2|2h)w+;?^UjkfrS zFX5J!ACLU-T}4aj>`uLw>KmW9Wc4SlW{K92T3y}vw&LDn|7N@FV%xT^0L)AMP@*W2 zv@DU5ERqr_%M>k2wna;{m56c_J9R8aOJY0DmPBo{by&AWHn5^dyVV^q1SkfF!_XC| zhuOe@qS%w?2Ad!DU=&5Mhhg;|hGE#tfE8VXG^l}P9k3cB&MOx?xknf{?!dfMz$2 z{o5*3teins+E4=M3_ZA3u`BNF%3fu^;?lgJRcTi`Xv?Yq$;Yb#yX&GYHl0_6>};A; z1!h)Gslwo{D`e@ik=5%Z!Ds*}JC0$=VK)K01j{mHj92_N3ARZrOP-^=gk-T8L;9cXOK7njfk^}y+-C_Hi{$Pn2ngz-HBszKTnJCNFfCJJnIjh zyMA)l=nOn2b2E-FfxtSq{Y^^6YsMHMO>0Z%4?rLn(Q zFj|49*dXYiG^p=K~?C3=ah}r zB_Y+IVpQ1n0d9)WFpD5WpXz`%U zcX*rM;tzNaza#Jb+&Ld4DRvxbikQdD1=|Ht{ZYIn<8deV{7IcTgj}qnMI?UD(3@cpMw7>Iu9re<#&GEx$Higp z6j8J#Kue%4ZAk}`OWMh4e@LNhY4gbSs!irWb)Gr-)y5~ydoX2?%#hKw8d}w=ChNc! z66*0J!VCx@I++=u#Q`9M5(tCf$Q7U*!n8h})Wa2Wh3MG-Jgu5cX=6^l( zq3c8XHh!DF%YNSHtFbk{CRU`HIZdyU-=Td4JjX~GX|P~cK!qV9%mO&eoR{1(vjmpt zPndr)o@dxo;`{#h$-j{v&L3sOq$r-mr)Y10rNhBEm86A`=so9JaG&!mGw0d!VQ-91 zCI#^v?q+C!vwh((6BFY?OowF4rb*l{kO*v9c|2RF8^U-4D`0^z!zhy2F9BQ9&sR?TWbzUVbn2&bOTcT7koB6ZQ3Z zX7vlSymtvA@(~xS=uxd2gC$fzEt@kT|Ef1aI)%G?FaUhn+| zdiDW9z&pFTx&lq~(ki&*{9r5CiZg^T4?nf~VKWtnpccU2qy^7^h&FMAk$@RMfi>w~ z@b>}*!!KcBJF`p=2C(}=$3^|rC=F(fRK)8gh_KUd5fR2wBL#>LTo1(MCrNzf_AKQ~ z4TANv->Toa^IW>9#Ey4Q3?_!Ue~;H%yHVst4o0a>s!FpKeZ$vPR@RAr% zg4E%kp&Y;(lO>x1Eef=$4rNnY)B)w8cA`%Jw%7S;)yGJMYU7Lykf={n^e9KK#7Z;W zT1}6KL_R*m0@kzspZa1Y=@8RpZOk~0r+1gVMQHv@pkWP^i2dcyqpr1Oz%C;*(1O5T zh5{g|0>6vjzm+bl!U$Ygf%Kdz%*>`)Rp6il+>$j_DDJv~x8%AiOv8nBTwcgF>*s}q zhEFXwEmIxxVMJzTafzr7dosfm86doF4>2=6Qsg;u8N!ocl~hpz9cf#_rCnfHbXnCk zrBW<|PIJiLW*7CXO|kCf-DT+#fA9+ZUF1@r&@fyvFZ>t3N$kG6>??BgkLkAc?JzWmq67GI6LuzvcPl~goH zjvwn*!;>kFbSJWl#*1?}9+{Z#j?9?>PipwgxN$BY8#&#btc}JUyk}|9ui>9vr3Z6Y zue^Nv^uole-Io@nD3lS7k=Yh_qoZ5Ksesl!?HCHY5NF}uh?N{JcOw_a6N-|UTmYBf z8t%0LSUVK-$$!Dx83R6R!hk;MGf>qOjh4|iI)-g{@@`-`>oxdk+wg81`v$&kfHvIN zGh9huR2Ar+TB@p`%%**+5So>fsv!5&awMCp7lo0=049%)5%FQ1P-K~=LnIef2-^p? zeSr3Le4Du$hC5{~jxAU;-TY1!f{yV5K@!nU&Y zx@!ac(S_bp(w1#-bcO9UcI=PtAC8eLzIHp-JC5P(ksMxbciYXE=aDQa()s!7_xpan zM!7lQYm(}3sNkjY|KlaY@t75l^H?ARELP4UJQN>l-#ZBZAYQ^!1^<;Tp^i@;d|azJ z(D~!nI=72{x3hk6x!J4d2z=3s-OoGShJ3!I^^hy))GK}5xn|-1^4_%(-lR&Z3+z5H zc&J>}>#u_PEZYK}IlWvk)j^TA*_+sTs1AixfgZh$DX`$@{`dXA2A3Tdt8O@~%-;yG z+|lM=%N_>D97m}eL0d%6&ch?EP{Z*E_zinmK=lY{x6^UN7Kq!O3~sET0^5HV)D;KU zjJX@{#|Q8teg?PVcU(F=sk_FZ!L^24J2_<~eRoJ%Q8GAQ={%EM-Fc>a)w;8;aQoQ^ z-u}&XJyVElb{fIqh|EqmH8tg1dwzuoSQfz%AC{e=XM#_Bg$0^7V5wv((g>=UxI2Ny zLkS{oO?W7u2!E*{0>1<9aW=T;C20`^F*vc#fQaxGI92@T(Fay8FnTPM5VZE_o?YlJ z>po(idmppMzl+-yviIhp4;m@b5u!a>5DwM|k>D#8%@^KOst(}Bkl2qgey@OpJ&U8pq7MF&e14>dS{IY+4iA0W@dxn z(W_wb9NTE}EbFtGD=k0lk~#kqA1%*I?e9tVDB{T6I< zzK(Mbm*3eDUC^`gx$}P*T*)y;l`ScE;0RQ!%Aj)nJ%JL!YiJB)O#2i$xjTdEnL_5R zsBR%2?v~c2`;;B@W?{3mBfXy<6o$it(y($^_`dQc z^}6t8_;u-x^hxDy|IdV9gx*!o`#)5Er~E~^quf{0VrFN2XL7`Q!h6Cuow2RS?x{MK7>d z*)Lg)ZB<)`%`zSwT2X=$!!~KqrO6|umIsE=j5gOJxmeO0hy@ZNlJvqU?vIK<_NBy% zsD??xQi7^BDad1PG4z5GS}U;yF}-s>R*@8zk`ZCBZCtLSob@(U`MC8jw;%pJ@O`57 zCl<{6p1h@H;M7ldHgCWt?myR)4aMWMQ-ij(z3U%WW7R%e&Sk#^@i$EQF0n5~=>&K(3IpQn^VW>Mz0f{R(--eKB@1{^#iR z*bVg-ek*z_HlsS37PVLXZsveG0!GjgtiTq8La-1zni;7fDL|nF=5SdN9Fhh!=uZU1pBU-%k)#vGIy21WIfTA*w*<2qUAkMU?3=r0O@@-G zh)8>^wulE}t2Jd0!PhbzLTpJ(2s|uIgJIy!9rtZt(_ee;C-L4q1X}p|!Grub7)Qsk zap#Z7B3l%S!D48%`b2a*;FG*x7b|M^O%D0msAVKfP!LmD^uN@qy;91>mw2j?*dqWrH9T$?;B zK~Hq97r}TMsMMlqFHujb?L z=C-w)%AaR4V=pwW$a!eSg-w(%9pBoKX-cMQmOcBE1EXO=0Lza3V3pRkvA956JNQO` za&rc2`)2=+F2p}Vg2?sr2swLOU*yvIKp%>>RE>H@`3vj?|0I8#w~beUqXOu1b&-9p zKJuR&WL1`vIn2*;ynq2?@&!);jIF~bK~N8Yf3scnv2C1n_}=r!eL41>&mVXGJm>u0 z`DVw?VqX$l(R^k7NVb+nLn~{M)k3MDNN7z^**}fq{=t$;pa3d=Y=BZtf({`dZQ3SX z1WTua`Uk9)pw(6(t$&2UL^GACRFzgI{N8gj6+t53^WN*%%H`+h`906i(@)dLAF$a{ zNQhmJe&BZfBa!4EDPbAibxInvx^gAGkfzfVLSC;g9~qZXTSk;D$_w(Ud_%q?`{hHa zU!K}Z106R;i>>=DNNhlP2-cl7(&)r>cxw$ov!h(!c)B0_-L?72B9f|6U2^;VP}Ow< z!)q{uWujgV% zM~x#;EgG_K$8(y()L$JNnZ%4Wlc;jR^wxx-Y(pE(j%vOHEAJ(_D7QC*O`SE-HYUSv z*94qqm(dPK<|&M!5%|-kV2~GhLC9&uGK16tLLqbv&7HjtWXaGj%P$ET%Qc_H(D0k{O zc@lUD>T^wWU?}d>?X8l5Aux9CaUtQ(v^|GIxmeZ=ZhYML?GropOq5bXqiEayX7QWP z*AIA3cW%z_N=f>}JMGWy|85(-wrwDZaOcPE@xk3R^Z6kfLp>#^=Q`9=qZ{{(!zN~Q?*2ctMiR;U9r_h;yHGV+s^M$cBo^C zqwG)E*SMc1UQf>V{(^dw{TcU$`bOf-BE{UGJhKx#&1*sut=!0jXn>KIS{2o%DT2n(O5nY>lre z_2eJ?tGV|Q%q)LOnNdAM;ZcQ_l}JXWl3Ioe^HL_fy!onA;u5N+sA@mQM>vj8CK7p$ zg%!*AeO@mM4kU-cB`AMF6_jO^cESgFB=UKFp1;KZh4=AOT#~Rybo`Yw>}B>{*27M5 z>hZ)1N>Uod!SjZ~HI6*Dns)L0fK6a2U{l;GM{~>Q?Mq@WYWH@l0jCIF3dyw`5uYl; z7&CdlMOs&)qkO0W*_8WAJ^^udKL>BG||}5?7zRLI`QW=(g1l zUCtHJBy{(SycX+eve1y10J%KGgXPUT(4%<(hnMTD22C1XZknguRx0PB-8L%AZbCP7 zI~Vmsra?L~43g^6Z&Icey?HCn3cWQ{tVQ(H#)`FZIabI@1D?~^(DeQdKixB&4ssy@ zW3QCi`RHShZ?GbAEa{Rxn`^!cz|)ea_guJ4zWP+tj+qd|By4KsgXN>#vGPawqryY{ zun-^z&dauY?piWi%azO4R|nIonoa6r*~=Sgqh!>L7vpE+XO*)CE8wC0khz!IjrK5O z?5_Myb5CK9HOsWcw)8zbTbQ-l<=4g2<90lh!mSH(2ZxtezRe&mE zv!CT6EX#o}sL?!+BRr4we7;{%BZ{J0hOR=W1y=J^A-96=0yQ(}?qU{&ByuNGL2v~= z6cR-Ux*A23O+=O82vA>HLEnI;%c7qn?NR6m55er*g;nZ^r7t7ai8{&3 zxQfoG=(p;1^#kZ7Kg?G^G|43`gpr65CIlA(HN1jE%Alg4n}EYt4jRZY+6HZaHC^DQ z%nJJ!h=&Cu<6-DP?ZUkRU4V~XgpU@^Fz)m^ZlSh?C`+_7%dr-$RqKYuScm#P^Q-my z#nyzHSnsSsa+-Lo7}!d{2E47TCBVeUi%5tFC_$VI#vg!@$G_e6dN-_rrn=e+g0|Q# zk+Dzi6#t*x{`bm=>fHF~Ex*mT5A_VT8kJmAQ zsC#!V+7%@EA$s(8~ z+IZLc+s41~#_ja*2&mMXNo7l&f1zK^jKqT;j6HEtk3>74pic)iIYVRIbM(9Nj| zhaRi;0C|!W<@r0PcGz>o*$nUC(Ol15a88;rW@@*DTk+fG?J5^48$1^Bfl2@GZCa~k{9eR#ZaoS7D;#c63eHXZ*F zGcV3dXO$Hr6ZD0|kQ6Fq#jKPqS-cgmGON}*IXTdGvbvPchu5lIqp zm$J7H_4Q>kX+8iM<>JdR6f5Ip6kOC)q>@e+5N$_YQ17VsR4>^euA;8cgH)Ab(AUn} zWfK&*NL5jFg}y@7sRq4gG504BL-9cirUtQ7Y!xTgA-i?i-r8~v$SUqd9BGkJ)eUik z>@bGR1gE;xCJUs5>r)lwuDI5MW0f^>fmf^2f*sMl6;IrS6~l@n!I7DuI5KthDuJu) zRR(~CU7-AAt3@1Vf|>xfU#0{gF<%#!Hy^*9+7VEvCpz$l=@rKDz$lN6f@*@YW| zjvNm*eNI?tGXJ5w+Jc+7&hRPkrI<%nehnfHg2B&JQKg_8nGyx#0c>;K|MBWY{%cq4ejrsoT|H~SD z-vBZE)pDjtOno&D>+MdbBnH~@q&F5L&Y<2VDR_~@YcIZ(2!u?|bsPJFQLN;`xgDn# zw)d&E!L-}1o%wE0I$is7*c;t@<*oj$9pDNYC0E`W93DR85u3mfxPs$9tIeIv(c!Se zB1x6``3D78j0%T!j;1G379z0nYYfSOFEWSPbFL&kx^Yorx+s{GvY z-)5aE6L%2x+N?umMr}m>&a6#kTq05bIV*z7*VSqMey0H*VGpJQZ8#7lT!)GQ5eM?b z;^}>+JJ+ZKwT~Vy*}Y7>fkxFI;mwb3l&mJ~uKgoS%kEIVzZSXkQ7Gj8fTG~;VN6G}vs zc8SjMO8eR^t+;iL?$Nllku(wqV>p(L)65**tEJ5ftV<9Pf!f8z zF+r^pOL$euzUH#sCpBlOET=ll9c8K^87-wWKPt;>{h0V;`I%=R!i|CD1@gs$;P}Gv z3U%8H3B)}+3kB!}lWP+~ZG~0^EYRr`9mE`o0fq==B0h@0H5y2@Rch@A8y&16{q%LS zu`%VBYvyoo=k)Z@d#853)SYe)X4OEXQ7#PGJ@oe)s%wsx!SN=2NAQh`ofoRV81cJ= z#&CGxIcodHcRqYV-4hG8gtlfx{(-i>8AKrocq;>MQPhh5s#)!J`Te8n_vs z;Y=`^@cCmwYR0EO6Y?Z{&9RWcrIHd&xjfOhET!c+9McNvKe|MO28pS)#sn)c0<}gw z8g@^5E_o=A2Og*tsIcWIcb=oTS9y1vvP#Ar&QE*=A**z(nAzeY*w+df(!FH3TJknW zE}zY3@^phe+TtiQqP#8L_yrx1>*D}0;Vj;>>75jKy*fdwp%D57!ayjfC)+R5#@di? z{f3$`uJ%q(>$lJBedOS|xTtp5)UJ@j<&U%+UlWufRx{mKy)@Dtg z`)~K|E&6acjP0zlkGcXrbjsmtm#^$au;bmed3qcA0CqluUer2X_rBgTm6^+Ykoi}p z;c3eW>8xc!VqEUV7{Ux6Fvw=ttgMAiXx65gO}TR8n!z;Y)6MDAX*xZJWsSc8=J0!F z4KFr}r$t(XzRSEjo14SOF9){oT{-L3%Hpj`wKBd4r+je%{)w-`cO8}r&S|V-Z&T_a zg6zO*WFW^<_ci^;hRUpoW1UW?TpB2LJ@!04v}=H6&74yb5*__Y&&Wh=UREm|jTVc+ zP)cU|#&0DYjg9_=z97`&nUtyj_5t#otw6}qLg;Eg>{r!NOfD~XGzz;YG*bUeO_$FX0 z%>5PMW%7(w(C5%T{X^(s-TTO@+lhjJe$YeA$4F+5p#bQ8qz&&Y&`n4NJqTk7eG|-m zfB6O(hk3#Jyq&P_7&;B(ozOM`Z2EJ^3z7%eq2B|~`8oM!kQ?dWlHa=gDdWItsKJ^L-+Sn`2I5V*lBv ztH?dDwa7wuzhDC$)M~V?Ce!In{D6T>b#~)~?lboTd9BZAN_WK@N44 zXrO!3rvA>D+T8Jb8U((J1)TpwBTvW;YX1O!k0S5y_t51(!^Tk-*m)iD zQ>rB|W*C(JECB5-5?vJUfK6Aohyug5+|cn@0#S}7caF!vyP6Vdu4 zvLX@5|Mx}KLe@bJLU`ZjAU}poK%QkC?EeIFg}ESn2Y-a4T(=0hU`~CRUdoo~X~=5G zt}dc;Dm$6C%qu<5^Gi$isix$xJoj`{I<`T+1bLUJ^M0bPFQ9vT7R{uOX!(0YD=vZl zAyMD2iEexol>WuF<)*(7t%aI( zo>aVo!k&wYd#Su^RPha6uPyt5;yyaPve#lI=bo(#mlp=u6kVY3OclAo8n&Dx8{uE z8*-1Vc}8&`<=4MwF}`{S1{G&$*?_LiWPwK%=YVyuOb750#ht+SEA9fGRNSqV%XH)r zd+)$$!JS<3fr{WR8GlT0w~T*9aF5_;1+$Gy1K(8)4h+7i7#tXUQ!zL&__ktjV8dOC z!GR5*Q49`jm{$xAYfL;D%`+HuKeulPSS z#`s3&WO?YJ(TS3{7IDN^=dJ2xFu8wfpU%L-_2bVMTjo^axf|@p}?$X5d3C zt4_jR1PSrt;Zg~Ecr+_xh9>a4AUXlY9N1^=8SGodQ@ALC!`E1C+SVVYDcLz{M9Q8X zfaiv`!@7rfLd-;vGIrT<4f|KK)?8)RG*_HJCdV1w|-SESGdc13*yyGV_#2C#;|ZP79Xk@scRlWiHfc6!pM~9$1-kcO!CK>^d%+Wnik8Z;a&4wvLB&j&qyph>$K%Dv7S69)k;^~)^SYyEoqH>$z%kZ zj@S()lCs9IAifsy&30oMal}?hZ1M^7#Sg9!pH0@Os7{0vFfFzE9FWaJRdP3tr=sxA z6~BD_EvFcrTfk|&IIQ=)V;$-h0ZoO*M7ci9=dBsHoGVH7ExYF=!`9NA#BTRIA-33JjBzK`S58Q`_9Z9wJbNu{ zwmq^go>gTM?hDnHk9e%M<+afy%21|zJr_29UR((9^?3Rks{ zPD85VU0oxdlU{2rEyzqotOfYko>|n|%wmnr^{1d$k{#-rqjW!+mbGWqSDPWuzzpQ` zqQgu{_X_5^y#r#M30it+N%%F_QpLowBRxGxSu08YYEqMWi)KkO6iRNBy5{AckD-4U z?++u$0e|w+HPVdCYu6bO{aIKa!FMd3fc@g9h|Y*@d+Ka|3>$Z3zOnK@yW@gXBI$|E zT_$i!3OM!mK#tr59p90tS~F$ulEti8ytAig)F5+?yoWd6QXIJ_ zTkK!q%zvozQkdM?9)YW}qI-Dc&~UOP0=5Rl0`P*3+BzlKV0e{x#nUlAhPp44`Y@pywpxY`@Zo$NH6K z_pEkFn}2(%YRZphH*Mw6QV})zF)Fbyu3T~#+Bdr>6^*@Vic(d-tp;IRzMGagzChmP zN_v;@dx!mplJtS=seWS5o=I2l)7E=2vA4L6Hd{7=Snu*Ho#UipPFt?aAIaNhmKX0( z)c3b(eM)=e>P;Bq?nx~0|ED8l|EX-JJ7_;0#Q#Wx?>%@L#=pb;klnNlpHuXJYVOAD z9?a}ld=UR34$7(nbUXf0u(0)ycGrFUn=zRiY0F;YJ}lI0ZrLfi<`q+@xMn=?gBU~R zXb{$d(22dkH??XpZ;m;!a}A{tHfAP+>KuJJ%&++yg5>>nv>p4C63HV zH*&*yw^Z-Z<#nYpSHCL0`Xn>IRsc*+eU-gIyNz;3gB+$)Ab6qMr~ zZTe|7nZk@roR}OhwCTQV3ZYYSXPxk@3Cc^xX+n3#i()r)OUCm}4AoPU=7bZQZKg18 z9xRvh#_>zWtw!!b1#0<*C1fa=37uM{o*r_|vCvuY{25;Bp%}wvGIso`TZa#!7X|(< zGvyWIAT(o6SaSUsY2CNCQ1K%8!Y(`0RoBExEz4dQ#m1@CTt|Imb^hhBY~YA-EbvQ+ z$afc_np1QC#d>u{$8lBRt4K~_gXz757fKw1y|NP7vIPNTTXKXYS=f?IXkm8dX?Nn; zne~)iuj#$_av%pdbOoY@Rc$9xo=*H)sJ)6(v#F}%Ns?6)eJXIQ zK_?lx++WaP6_4S#{Z0a`BbE;=p+g9sTg8E#r(D3DxhWQ93GZCgaZ{(6BV1VwoG|s_ zXWzgKMs`#bcq&OdaIIT+Vh4L6E%n_*RWsus$8(x2F^yD>?a-%P9RzG}0FGAuz)w3k zIBN!2)b`W5szni)30*a?zImU({C44jU6=(Tj5`fO{Zo~F&o(b0ChU1?Y#E1=G3J#7S|jWl!`dbE*T zp0ew}$Cx9s!Y?vNSt0dthp5_Q--dGNa~Z4@@r{n^seYj!sX{PcJNO z-LiFy#7+?~d|ek7z;!?jWL_m?#VQ{r11+XsC(3xI8?_`A7|OC7_^BZg=BNN*g;?&? zVyzjlinJFS0RZ|BD!+Sl-a3*UbaFzCjfrj#M(h6Yd>IJmZsHP1`*|WtyI3bOF zPz0i(rj5>gR=_5k2|+?fB~9)6 zpva)*3MewiYqHnzJfGtj;U7y)*ujru!B%ofpHhL}@GaA29mi#onw-qclZR0o2|B9= zep2UiAj`nr041S6T-EF-LsN@T??Hmc>`K=-jzT26M2c;ok9y?P_9d@6KK0yLN5fynGlBca4pWkK=dZ;t4geXZOC{`?hXbBkI--M!p-h z>PZ}Oxt~GC&teqbNFAs75ZO)nEe{q=oaM@( za8RB*|D&YQLNf&$A{hzuHQdb4O5@MxjiGVehn8}uy}C%APGJX+Tt|CGfTJ=%@VH=F z^(7gjw7greBfwE<6&W*LU6Vn9vFo>B@@96czWtMQTux33OIg!B(45=od1*ppyvav} z?KdNTEot3dW>0s=B9NTN`}O5sEnbUdgw^tl2g!WoxV8Q?V^Ylyhg$AD8E3=NAj6}D zf}V~HLl?+-^Y}f2vsc8ghRX`81My^AcA7zQP$$EoNX8)tS9RR4wMq+jd0o30CC$0M zjf|bbMH5c}o^&h@u~@}Yci_o!S)bamZO+79F*GtqFNUueM_)V;mr!;P$$^}e4#Xwv z5es@sY~i;~AC9^7{66CP4&L#aE|rWpD@tJ03XAV5UW{(Ecxvwr$ZNfNYl4koZWgR9BEm z@L7>4Q&b*mW5K7JGmLIIBF1Yq!O4-mUXSk+IomKxiGMt~$^ zsLJZ~d14}9(0^rw4Mw5WG%TKR7Re;*DVvEjotCHz#ak_r>DxFo7@22H*_)$kSk#j2 z+bUv$v5xK8ap9qp1?T$X%iyrF7&F%G7v>mVM}_`|mj;K>=JQ^}4d*=ZzF~JJ2pdV6 z*lx~T6j>}W#dD{WR~98(hk}WTXTOKdCP#Kevp{wvVrgJmR~J7z_T1R!JGL$n&u2aH z<49d@7CJH;l(5qHgY9-D*9C=#1Di*y_y(d~$6B08t~HX{@}@4*B798Fbgge1*kiCh zfpwmo(6Jbm^GQ|qp0v6egcyMuzGq|&Go_p>nCqqnr1nkJlS4h>SJvajT(ToMJt|4_ z1iz;Eq|>v~6NF;HHuq~q>U@gzljt8tfdPLik~PYVtk|qGD(f4NK8oK|G6CzwcL`lC zvQ5;P{1_KCvQq5)|DM9}gYNg zvuv)9iKj-XhB*=qhFPJN?uZszYN}xv^ZYV<8b@c#=Krc>Z{JofbIw}G8m_ie<}cctzGOMojl)(mZAd&+}|1i6!#0B4bpoXUes+|HL1NRlP`=S~AFr z!IOO(qYB75(ua4u6i4cWmb|q3*^K_Ot&ygtbOwB3{M6eMnoQ`3MomH1w^?K(K3A5D zc^o9Z8z=7b%^>bS()ZeOxKQh{U*zb~hE<>mD<5&m_%f;{JSS4LQC zI_OLgXZiKQ9-~*8-3^;14gMyonwB5kY}(7A^(^Z0V^(Y*^juyGO=p*stTC0w6|aVU zK8XA9?n-9+BI)HkTT8g_FcV6i2R7pUT%L(ZZR=@oUCiYT`q7|eEJ$rFUoDPvk2!r< zuiPSSyC@Hy;M)GJYVXP#X-L0>T`Mc3R^vd-xl3L*OTsyLUwRgYi z+oOx#-IIOXd9N-r5QdlTN6s4=8#z33Xyg+7?&~>$bMRVH79?gk_ptR-cpgiYV=dO+ zv-ZJnUU zAX7e;9!HO-C(skoZ9PdUnJb8`NH+563ZNDM=TPGL6mUyqMreV(r@@3X^kjMpJ(ZqD zPp4$zmA*z_r*F_V=|=h%eVe{R-=*)- z_fh?SNI#+<(@*H9^fUT7{epf;zoK7*vA?C?(eLRd`UCxu{zQMKztCUlZ}96s=%4g2 z`uEVebp57E_+QqmH@1oM4!`f6eYWFVY|_BohV*P-4wBkYg0m|8x#DwT!xBd%5C(RJ z>LkYeSpkP6Mp`#DpowXy>Jp8q+uD_|b&0BxD3>!u4xkZr+CJ>VVA{6{snN=(?ZeWt zF}3Q(p7*tZR^rQ~o%1};y}#e{{(kS-@132(i4)#a`BNu{$6h&p>gZT*qA+$!JXzQx zjvn74_8mKEOBBZPuKbzY*myQSmRlx+NDc{GRl*H{MFjBE{}HF$4V z!E5Xc=)p+FNXAHp>yGd_R_F!$fqp>bu~h(13h<*`TO~gPNIbvBJ&$q*n=5>73~yd( zre-s}+)SsNsi8+>5hDnyt*y)gPDw@)y%-W`U7kh8@-tM(!dh@-Lyo89$i3m0nr802V?*{W-XwG2dq?bEIz|??2P4wFXcsC z{Jgcjy)V`)%)>Je_dKGl;3&Y{<+DW|H^Hks-dFKo=5gjyfJgIe#3K%}wSW~M3S@v$ zz)o(92g!#3s)H3^6j%go;vilJ#e>2EUh~4d7&hcB>lLzL10L|T>zv-0SE$Bbkm-Az zf5Q0!=P2h!qozlG&?Eo4NB&-qycs7#6CTSl{SoJY;f~2yV{%_iZjQ;Q_7yzyWnn#M zi81{f=RKUmMqNPuG$7v($R7vfcLMV1fc#Q`&D)53SQhFyyBX6rIrnhhWVjXicZz&a zkvkMQCeuq4-AO0s=Q-Cirk`B(#684ygMNZ<4OyU;-mH`a!i7Gx^cdtnmcASEZ%e-f z`OMNUDmUq;)I%vz=mV?qo)TM6|3SNLY<$0>AJc9;xfQwwJqn#8I@O@RwDfb#|1Rdg zh4C*)(8>HiC;fch4DIIecPjIKW`#%4^=&KsEp&a0gn8E=Tj6_%UbMm&AV0LiCm>Un z#uoTZOMgL$t)oXtqrkjbq6uszy_Jq%L**0D-Q~#%E6iraS%VVoveYe*&1{u7sY?2J zJH=A@MK%zXm)J;DzUX?Qajl^qzId4gxjHTN9I8lHwR_6H^c(EL$v>&bx}x%NnW%hPi%I$E8YS)xMs5g|~HkRlCOIZn*oJiaXmjwF0vV=F;gjb-~l*RB- zWkKcHSru7))H8aE^0qpt{8Yo(QqF~MvSpByxZ(pyr^7pyUj2%)ODj>N4IRylDrLJm zrffraYl(IjuP9p@OKd4^sBz_*@&Y<;Qu$K8-f>-ckvM3<2sSx97vhJYDk+AcJiVjqjs zSL5l&HWCy@VIw*dW-F2)vymVza$7IAVVedM@ugs}Z2Ew9GZVJY2i$bDT&oc+P^+H_ zY{K)Tk=JSzo@X}fhjKB`7mHOB>fyya)r1$@$V^L`21{6DmZc62h9#|or>{Iot6F(E zX`=By8cp*)ls@q^l|9%66_4PAC-nb3a-IK!nHGod9?m9mYGO!DEJ$tL!vma;}JZVem533WK0 zF3wGMCBM|`!sA|DlTRr&$%=KcUUSK(q?0T?$9g4MuO#a=XUy?l$$gzP*`Hc+lFoG3 zp|TbQcNOl3q543&bAxwuC%-v%1bna6U$+r_ZMj2fvs&#mWq_qxVl6S2!rjSIH3)ku zDc@^50`=GFdzF+I;dN>!{vq)tj>jMWjgOB{Fcb*Qo-dp-@UC8T``jkGSFY5L}A&qeDt>EpTkO}64lfkLrOmHzMvBaU& zHA9~X{yiubu$$-vjzpaIEI{$ch7*Nxb`Ufe2g>b2g-};279?5x>ZL>kKLe;hJ1_v) z$#1}a0zLxn12*zq@I~NVpvWR(i`bIz9gnk)=@5J9_{2!D?ZwE}5_I@TSqBEon%GlT zJr?mnt!R5y%!41`lw1e@9{4lxSKu?iE=I%%FJ36`+VnUX4^b?iU@*aGJTyT={~ZAB zkH16>Wo~41baG{3Z4G5^WN%_>4LLR-Fd%PYYCJqIOl59obZ8(kIWsgjFGgu>bY*fc zMr>hpWkh9TZ)9aJOl59obZ9XkGc`6bG(J9abaG{3Z4C-YiQHCcR8&V6{%+N4z!gw5 z?l!HmxZ+M?RDvKHMU4`d1R|&`QCU<(5fK*@h&zf#;|7XGMU3M<;)-#lqXC!T0;prm zxa*w6o-y5(OG75k8FG$)=FikU^eYXzLBmFkn>20KT<>gX(Xy3`tDAf4Hf`Ir@8Hp~Q|B&SyLI<`_q`tP_w3c% z>jUpTKE8eZ`t|>Cz(D^&gNJ-HbXdU0!$*u9^~tA!L7$Bd4#AkQ<3hv2BR(HLVPa%d zbj+m5Q(~vaO`9G+BVlIZtfbj<=FUr=zaS+w?Tdwr7B5-4YMl^Ls6f4OGuSL@bq z*tjV(Yx9=ut=qodzGJ7%*_FHdn>~NoyKn!2gNF|P^+?{)W5-XNJe7a?+cRg+oxgCg z!1&#z%lN*q=*rb=*MBI!ar4&gl2Z0k6Seu-7ha6M4Q4@6M;b;UG@a7LS#e(6);sAN z>6_^ddKbNi{yn{qKF8VM>|ziG8-u;U(a^}y%+SWr&k$?~ZFS+fcrBDFX8<;_o}mME7iu3XzHed&y;t8H13pqH4 zGuVYO7>i{XhYJYBS)9jrxQGH6aSvhm9+z+#xd_MKu^d-Wh$2MbJ{}+q!5r=8`8>V9h;*o$v%)~)tB8j6p8*}g@e!^i~Ln(@J12<8E>$r{m zIDmZY#Xg+IT%1G-+njCX!zq5oSoz?A>RfTE;Dpp&QF=;m>H7v( z+~0;RrZJ`orm3bGrkSRsO6F8U&8pZVA#JQD*5wmh$ad1B^29$_<@PqbG6kE)n_{dd z&MN0vd1$NRnfayph54EJsriZdfw|0l%Y4&()m&h{@CX06zXb#BzOmbGmu;76x5;j! zm5t?5gSG!&W?U-zpEasaji?#F^;NFc{_~xcy!~DN-}zhaBXd}Lz$8vbV}3W2W5CF% z(#n3;_- zY@=P{da@^jic-Z~v+MKOzA9Ut zLkO?#!6DiuhiHjvBkvr>Xs2Tzwbz2R^X7Y&c`8~Ja)za0E7c%p!3OABs}X!JdZIT1 zIhI!MNw2w^mF2eD!}{Cc1J;zpr!HrFFXILIP?6@MgN0Ocby3}bEqd|Z43aDkWT3vZ zQ@kTD$$xPTX#hXo9ms46=crG{HkKT=@)>oZuH++pM36`o86sb<&=R#-9GN5>u~z5S zIc&-la=mTF2L=gMClp}S{YIQNpL~KDAMN=YuMVrV-cf@HK zp!L%psW6p{a(C-6Ue0huPu38?@y%rp9p>G4_{4^6duQs+{>>EwMV#y+hsaOmOu0;E z%PZOlE!Wb;@>I=NnJQ0RQ#aKU*5?QV+F<}A9e|O{-eg88i?yC)mcFDGPLeZZg3ORVYpt{y+ErbgCCIYEa#(q&DQt17 zddRlgqanKEL$>rY&c-Otz&Osj1ZGqc=TLcdD3`_T!7e`YAdWCDWn2?}rfO7+YEx74 zVDY40?A1t$rA4%cw$NRAK(7R`C2d4EF-U}SJTt{TCYm$IQZQ zTCNT{N1L8@1+R;L-EIETyw)Nt?v@~Bs~W2&%1`Z9`Knkw<;>NiE%R~^=hRHDIXO7Q zk-EqXyv(sKuPV<-$9!|7mgGYI6i9Oz=>?4SI@-*#otd+n4zc91oS<*%GNXT;%IH4- zy(GNy?#MQ&vu3grv=N~^8a)m*e5TBw$; z?bJ?c#oB9~pUz)5&BoDYkGu33MoSQ+Y?-eGi4546Z5c$v%fvp|K9vpID*tcEt{-LBgMc(FKIE~4MPzu>LHv8;Yq3FgNefZH^!?z zxQXM6@80QKmUJ@uma*@AoD4b%`9uB|))84Uwqs+19V9?-Hkd+Mrg&&W+@w?TPZ$o` z$uwm`8>VHZ?U07aWNk)?o2+~3C4YUG6uIZZBMIr z@9lfL`}Vi*?d>8FeGT7)2!qF{7_f04?6+T{d#~XF^B3j<{uuQ!Khk|Zk0;=3zXjs$ zCf-nu9D2f%PuNgl8Z&FEIvmh4~f4?kwclFl5C`kiC~7Pqu^Co8c>x z!J`P{&#@J`@mYxW1CW_#!Jn(>Dqd#q!&teM1^xeLrV--&0=fw9&7)1|)s^p{8+a1( z_&T|A&W`rhWJ_~XV`6JOCP%kyZiq;m#Cn0R ztKC==4g~{#pVzaY+ReF~jw-v&YB8IP2A07nmKAdvsdIxc<*Q)_;2@$1)h|3en{sH z5nXVAAPCTc&jyb6@&v1VmgJr~s%6z)=x^3;%ZQmNTRetlZFXSoz!ATAVix;*u+A|4 zY}YJjd9O3cz4^+}#s#mB^> z+LJ0QNJt}S*NiY13Mum|w^1m|Yh!s)AnCAJnCPvU^&oB6%(bAx2iI%jv02VtL37sW zT4kynk1|thI-S$aDL1%l4Ngow68j+x2!DtNPx2y6q=S;F4x}CG05f1iGr{N(!0;ft()AS7aVdhUbuykPC!Ssb=wk z#yd_mrypq6nyVs&?{)po=OvcNT5)l{4cVhz1pEGrda9xy+$|1{?#=UAO)8f6bT*`6^5|vW-RtCa?p0|lIBbY8gJXL&&9>h4VVP7(#415l5QjvZI=?}h z^Et<5o;XzrHW1eur37>1`FFxXfzR_~EDAeIDzKDTN|6yrcVd?yUMV%|eYte%&^z@? z#4iOfm%5kg+?EL3UZQ3;i4O15sYN23MBF;>ljeeM>VaDyyLydMg8st;>HwGe-#)nX z;+IHJ3Lq|JxrbJL(f0>}E8vgh)$l}tW*#j@X*^F%I=lkiMpyB2>O*jPsdPIAc}sT6 zP#{YS&s8#0s5E=zfgach=K8ziF%dYVb9_XE0yQ)!c@@%7(mKMTP$;desB~A=0bo># zr)o_FRwTQlR2$*LupOw80yJa6HV2;)P0NXVPE)ms(#q*ck>^Bhp7pUl?L=06kff!R zg>zw&J70jfJc_&Gh@lAthd6q|z6#X|f3U0wI0l~OLSlf*Rulx;VzCD6U!2DW(dwXFnJZU@1qP+d z>2g!!AaRY%C~XYylblj@BpfkGb&}T}kkJMQC*vApP=<@6AY;GHBV)GZfW*ao0AO@vEFR*dOdz$OLKc$t0D5Icb~ob{g2*#=IYD8 zE4He|?!tlO#QyFA^UphP-~aA$EdAupuklyEa{v9GUioJB@sqFKdF5I9f+_wC4onSz zKnT4)k3uVp3Kta8{?L)osSxW8Ng*Z#IX~wMCQG2J@?neBX0LKMU7UM?`2q7Xb4hX3 z_M?aAkO#Hi+J5)9JlLc3wR)6#Dd|xX%}GxQAAbWGt(GeP4Q3E=aA2E+%ME5G6{~xM zdx5*mvD{nC01BfQ@gD$S?z3VFQ04BzdZx<&MzK?tn;MZkT?E(w46nx|3iXlJWLry% z+iVhbww<|kYapE-SiV5%tv6%7hR$flnE2v{om=Cb^v~{Ttm=txNmK&@XCMX?Kwuks zOR-xao|u2&&w~u26V4@?lJB7RgN(T=VD)z$3m%Ej7-zgscTR7!S}j&*64m$B)il;H zH8mY(r($;`olZ}m+2LxcYhs$3qe_o4kZJ6!Le<_@!H_+-Z||uO-~8LtneOPu zx>!T%*tN^W;rN$Z+EZJPm^Y6!ojm!yVZYNGil+8I|C<{h^)j#Z{CMj4{K0`}S8SW7 z*5)jZr@ziuo7v82EQJlRp)K1FJ`ptAQ?cy!gYRA3c@~x$y$48-1Jd-$^_tRSsP)wL z)s5Ttxc1bYa-B82BwdkgNgn6ydvM8Ca=mT)t?T#p4`O%iAICniFUP8^uApn6cA(B6 zL^q)lGpx9yeJpEr2mW5u=QeKYvpO0mqF(I0W-2>`1!joe2o(Pb$7#n4j>`_>SaO(< zqtT%_SVu6vfP1l4$>Qna(DEO-<-2?H#0b&wSl9n{k1W2lsw52pJmE=Pa zU)m2ifC;T|B2<;CNEMY@lB%IdsLhA?0~LL!0=xg&y)z)9+TNKR-^}dZ|Ns9z2E(5L zf#PYgQ5@F!JdLkCq)>!thGXqu!w4U59(d&ae_Z?M{oilBjC+5&_rda*k|-yIEtgj{ zJelo}KfZnMqvNlWUyhF~qK{_&aug5G{S6PC7?AYxvP5X-vuhSs?%A;QYu|Y71$h6( z%Ye{Za9k-NjqJC!sTPwm3+j;ir23+ISiPuvawo(BK}?Zx8QqB zc#=HDJs~`c_b9uygWP`MW%U*9E#;^d?BargSLxD*xgo*A%gKu1a`s_vJ=wqol?3e1 z(T7B47eBK?$=*7fg@&VzKtZ9yOYx_!mgvZbL{`j;8%4Kxj@*DV zFCmj>RQ4hEW1zQLa$~0a#xQW(&DK1<_z|JnO3Xv)fop)@o6{l=d2>y+&!TQSMn|UY|eMRZ$P_y>PISpdI2y z681;k;9$DP#wfo`J37>AwGgFUsrw$@jbn#&^)B4TM2C<4#I<=LG&o2}c;Snt`M@Li zfdh1a&*3*8j#tL*|C-Ig13x>Cn|mE@u8a~_7P=99i(@6jp=;d4M;Eq&6G#FUegas? zAO+R&ev9o6;dMPo5R<)qsdNV6|1U~bYJ!pKx&`skX*zW+Tc?9M9n`ffe@w!Rl$JJ1 z$E2%L7riy5)iAs&c_fQ(NVDWcE1)V`kE)41MC@TGv!@Dm=wGsYwZS@63d7V2vt+}m zfaPcF0SNag-fspj)jlK}5Dx{+dBgQh{4+e^MMIIKdR?6*>n$Iyfffmkb!TisYEd&o z%K@B4OI>yQwyMrk##dEjn$&2pH-Yze*%_Cdj7#vSGw?km%g535PL6?KvTy;9QPEuS zytOPh=u1Sx(Qqsr54$}TIWG^gwY;mGsO53LuaL*NP$BOc;PgCZt6?pVivCg_%fW0O zg&6Rtu^|=vZ#7NZzfNBLNuqL zb7T^EP&fJRj4Y0TlbJ*VC}FoXkZ*>sr)#)2i$9+k-f`UaFzT4&5m()WoU~1%Ie(p9 za*A249uX_ma+P?ZvR)9ign}aVxPpXgQbDo7u!7s3(2hDlv8AH6EXAU2A+mKI^oJTl z+ZPTwA4eRyFFFnqq$}n+5iW}Wt#U~a0^QNlkD6b9d-tEt?^<1{XY%pVxUy{1zIRXm zaQE>a4d5Q-b^bob@ z>3(lC7z%{^Az#StDab{ccz7<%ML3s7%4V{})9=kII33L?SPqpGoaIsqR0qw30zZ(7 z7HSP~j=Sm_jKx!gCWD6CDgQ2-1_Q!QGn0FF`8bf@&!^6hY8z`C4x3ZQ7N!6RIQZ!c z<7?N+qd(Yw1pym?~RS0Qd7kI4NrMA#s6 zR+uTI3s(&nk2=nr4|hTs23_p4e3swk5F}OFUoA;&)3NmBN_AjRZx{wz9=Q86)CX5D zTs}I_@4jDlgDJ4;Vn=k(-=p1Ly2wW=WldE|@b%@+qGGDa8(M)!a4~Q!GUe6sM){b0 zRqm!kdh2FnEK{z{VoWrDP7{g0hv`lCR(oBGy|{Or@nY7S@jl~qd1vts%U7|4Mx_i* znbt`GRiI8ng^W=%EMtwa$>`#ZSsXu&^Rf)#qXiQQ*ZB8%a+p8Qlhr)tg`ss$&Fq?9 z;$HS&z^|5ISOQ}jFU5k;#6!?T0?+`dI~r=AP*hgE*_yuInzoA!mcyt8t53<6moeqG z7~4IYwEL4l*{Va+^eKIa(l#_fXkaR`U>>rP4P><^b|l{FGw4)@;mswCSUdlW61Y5Nz)dZ0`_k z?+|o$;Nd1iXQyeCqK*0`Ka;41Imteo#G>5NL9XK{INNYH8WN|sk1CYR>?007Li|() zZheL7M#feY*PFv26${x9nDj__gv}TuX$Jpy;SW1)2Xeta_&MHU;WrcJcyrsrl{N7J zv-!n}cy%DY7H~BMxH<{A((x(anS44=(ESHqYBm8@!HNaDCP}kAM%`_`naYS8QZ+IY7ucvL11Wg@guT zb(SU;j<2-0{K@|@UiGm}U03|xXFHCQ*nWQb@O_RG``J!nKb!dZ@f>1f@HO8FqC}-^ z(<%?7ZI#OU2VrU}rcFzwt^K2aB-2VWm^zRuP_=f|3WcSiYf;s$`zR{i(8^#c45F!0 zmlm}FX)886_rB-E`Cwa=ckX@n9zQ>y`}>{qJJ8RH8l+y?n8q*S8_3GE$>f4$sTOvK zl{qG3nE+wJcDBgWOHJ2CO*%v^I+$ZSA73#MTvM|#(=%py#w^d+BF}lO1DFG)~hrSM*YkJC|QuShv1NOQ;kuAG73* zR(cbU^)}|4G}jk}OUv*q`D$+xwccdWTR_j|Y3A7O?TJMr^-sv>20<;Z*7Z#738n5K zDUw2xk^+$(!h7&hd=)#`w1{_Fx6Y%iQQMluNkcYNl?eGuf^ zW=|_DCo5A6qWmP>&qMljgl$Kx?MU5rEhj?DwPn+mO_<|)(ZJeW9HjcZ`W!A!5U-)D{dc@j*z*lv)S zPG(lh>70~UsWFigaLs_7PF8S}p2#KpI(AD9Fk42jqlaSW=!QC<)(;uI(BV81%(xbvY!>Qy!JO-#tdjO@bhK0mmk`^Up8Lj|aJr+Y4Z#x(pyv`ag5&r)_v%Dh6z!fjN zN5FcMh3ZiAXzCu44Fbia(Z^CXLZa}cGiVP!hXu@z$^~Kt2b5<&Vff{c<@&c!oUssg z&pc@^3+LZsF|Hh9_B}oqzQr@+r*}SFk_K6bStK_>B!2>tRL~qmvI%ldGi{-6>S2GO`_6>v|k#NCM1`}1Y+csUzyo}CxH9Jf0RM% z?U*sk4-4tNb&M5MAD-rtCCeiD_~Xpt*K1LIix;8MrGdqFFGE}_5Leyyi_?gRL?Dcm z@sy!Y-TmlHKAR!=EKJYPp8_E>jFn&3f2Uv4Z4Q64-0ts`?E!zgtonOoyQI;0-ayP~ zG|~ezFd7YnVFt#FF!5?42@^^#5a&1=*PhNitsg~4wZoai`pf8L?WN31`kUxY?HajB z#BXNy=|2RAA7_r}&u4zF|0VNRz3HO=Z{&)6IdfHa%xfpFxJc|+=gW$hx!MkZXGiCu zUVC3WVb!t4Qpm&Eau5Af<2U$x4LqJ(R!F5r!p*_y$bsk_%s#dRaYVrk^fvA7FH z;R+2Bxs4FnkMwOi*2CbYQ}EF#IOxnlCw_47%Nb4gV~y_09@Vgh*a$rEXY@ud3Bfs$ zM!(Tv1Pocoz{ftL%{bK<@9Sg249@V{$-P-V>@tTDbJ$`I1wNeGu+d;6T{D<7?4*r+ zgFHiCB{O7>INL~!JV1WH7v3fpNn?x{u)sXnt&_l6rrFPeb|uY|0<@$4 zQ!Xe1QbZ-JXo_8-iL5e(Kcb?6!mNtI$1sJDa9YTsmu}c>c&VB)#-ZkV(_X8wzMABKN~6*hqixw(xz3OTgbv@rK?N|`)U;~ zzslSbzFxTr8RRBpkT)QMsQA^_SS6xsd4mbYDepv7w)G%Y&Z4|*??i2~FhFwZ2C_rF zpOn>y$iwQ@xT}uIzpiH`ZRx;DBpU1K?Tg0~OR_t(56A~st6A$T1~;tC;Q10a&tN|! z1(Et_D@zNGh!PHUcLgD-*VRCRR5hH>s*IC(f^UPq7A)D}Zx zizkLV>@Xt&%vu|LG3<4NX>ahykc`2w*kF@wPe6pqSVXLi^NFmhLpGx~8fj>6>sYN1 z*iTfC&zwL0?z_j&&)^5oU!NGietmr6y70B?r`1D~XR(Ua*C(ros-K*_b$fdH)*Sru z?*LPN226>dB<`pFXM2zL2=q`{Hk-r)Y=Sa2eEEa;jDo(l@Wz^Wt%W7LPl zFaVK?BjR3hzc?mNh{wdH3GtkGL9~S#FjG@_i=|*jYZB&mxy%luFk76dKt4_uX2l91 z5t1J(UkvvsVB(!>4EJ`$VhHiGP@h1fW!(PXB&E|MMEl@O zvT#08e3L86>LxDSIZW}T3!f)KKbrjRTW>u1-1i^*CqDM)B{K8I^Ish)Ji7M$!QI;) zfs=|?-{%-r(Exsu?r!RGcgx)*)Dhj+)$ncMKYUkxY#V18zxTb^vE9V>ozLI)`QkgD zlbp|vowF0?%a?wns|Rh@&s1G#MJXHIMjK$I6BA9>RcM{IRa(_GW57UVV`BYd2~DyV zXjKhHhq9GF*g6SXB(gDqtV}QxI?;sZy|dG>3ZlI4bMO1!`D8!8=lA@6Cox`)ck+Yr z2l={D{#1Na9&H^}Pqm%OjpkpCUW{Jg-)y=TYup;&Der8(ukrrqLGhVrz23NnUlT9O zceie9+{)J@!~B3=BHZtXi>My%(%3^y$bvaMj$Xrct0~fY#CtYt(UESLd>#v;tV$NS zsAxJV(NUYngIrpY@=xJ~F;~)Z9{-)Pp~f-Fu0UodR{*(mhF;E^{u$7 zTOW{mnSmUAICl%ACL||QDplMhNet^Rk}R23l;sO?Sx)3z6$!QTS&7PsFsTVx7Fsk3 zey3av0^in@mQX4Q6XdQ(arZ106Xeins+jadzGQs+r5G&XY9#A1_%W*e4yK`@A(H=L zOFdXP$gzQgdMl|)F-{^efE_Z<8zt)ZF>cDUtW5DK>%Jj5DBaI1-5n2owX=9{Vf$FZ zo9u2r`lIRTGt+OMnO=D7E4Av4*cEnlcPgJ;?~_z}Z@M{ocmVahO-lK>pD(<-NG*I9 z@2wRy;3Nta{V%9!mFmP+{fjbR;0O3^{9m&3S!+jjAiE{IEw?9U@y9z-Yg5}(M^net zQ-zlbuM58s98SfVs?Q}9B~?@h#Im|g+^*~uzb^hbHIaHVCTL_r@17 zGshU2BUfUsIoHa}F9{S5xBA5TA+BNKQH$6p+maB1RkyZG({D%km;ntcMHNyAlZU;~ z)X_)oRA7HaSId9xU4*a}9c* zm6R9MBIrL{sXJfN1UE;t*wr5i<;sD~!|3*m0t`zhb_VvxBoR0Mz*EvV_4di;J7l|n zw?|Yj%a`A;Fde_}FvhUe_V#_~w)5ra@bQ*Er{eRv9kbP4W4H?b5bJtoyPN3kHDy2R zICS-`YHH4Z3gcQRL7k4jpVc=v{Mz*~oYXhv$Oe!Nle3vA1zHf4{LQt9Yal2DkRGG6 zGJX$VoR+Y7vXv22|HX?64-OA0_A%@G@lm?wn|df{smK}(?KrL`Ldj_%f%W3hcDPPh zD|9O>a;SM%ebJrxS@qrbE2l}}SCqx7)vA^a>(A;(6SvC6WvPuB*vX(_F3&cgZBAQL z{%_Jjzmeg;S?fEVjDYE(H|=4VL*AbV@-7Rf%)y+{I(NN9c?dO_C>Q7?*IXJj_3zYyM>d8BCaP7*X-^; zRQEQ-eeilhVVQo9LuH+*`#QVW(+`5oZv@AV>9=JUZ00^g%BSm}iTjPhN;yQJb1ack zsBnZn3gbs8jD{d>oi%@X{~i3kNm_Q$JiY*6O$OZ5K2aQ?Fv-3VW_p`XAPdBvAIo-* zWUP;FW|ibG#uHdJ5XJ!m(UFjh=^ue|&@QMR>Dh0;%XHIT=R+=?nAw2llsR^88K!N$ zY(C??Jh7d-9Jc(6KCACT)4G!vaOhI@a4vpUl11K7W>Xi zPAPa0V%T#T0mDXbjIw4O#(GJ|i)+gRA-W#XCma~y> zT7q$!jh$Rx!3Vv(Rq9jeY~MfC!4liV9l32`qJfTZb1IsNug;yZcnAkfw_`bqnY$@Y zi_S<=_>1XE+XBK#z@cZeS$kqI1O+y!f?U+Pb>LXpwnKv zEp0zQbR-lABqBn?Bf^}pPaA3Hb*Q=&mkzJ;warCWwrj^i2lu<4w$63z_VcTwWi2$H z7W$@>nj+X87Hx}Gr1(L{(o}2qm$cA@-Ezv>W1tHIA-bo#sY%h48 z6ZfB7#H;+nwKfqZ9U;&gg*63=7yeL^p~s-kNgXGJw(^n4CQMnRm3!v9F53bgMW#?q z+ABZZHg7#INI%GKOAe7Pls3Nypva5TZa4OWwr>D&NH6WVk(U$9l9TB9zKTy)mvG;C)6&Z&IEbVKSj_@QTs&}3iCqP77w)yy>=+}vEHGo3l zMF+{qmSoBGUYn2fK`2FT+oKYN#Yc3m|)DF zY|M=;Xr$q%siz^=n-U+lHxR{7gz2s>`=Cg@UuZlD9@>n-RmD+nHW#xm3}|TdgEprw zF(q$$*|A*yitrLS4U4WeESUZH7po!>GR#_YjAR~}ZaNIP0KA6(h`cd5GO$Idynkuq ziT&gl{QJODcrZEYb~Z-9HQvK03v20a#IC8!;sa*YqO0q9!}!I9=VOn-Q2Du^1d#QW z;8>qcE;qK~kLCe4>l}6;efk<H#vpv1MkhxszYH$(=yqjFV$eWzv zip0dJVwGF|Qe}~vrcWl-|G<2 zE;iwuV~nV4Ry^o-q{NO$0}Y+Td4i3Iu5*#tdF*00!@?H3BohN7JhlLYRG~kdbb#ZN zsGJBw$tS?-*{W2D5yn@TQDo-EbaKv`$$6f)y@uTc~eF6G?wxo zo}$i?qhGuty*igWvw195eYO|)RahQ=gu2dGwjx#GRjrb+{alc5tG2jYZB+TO`|wzY zmZC;CpxS%kA@ZhAY2nF#Uh(|M#rLqKT-kkrxt$XF{{3b+%vBhVMGVRIWO2O9ZBv4M z^{y&iXoKLr+MPXqeM>vPidBuY@sw+9_9g82?zHfruk{^L`}%v)X%-(wQ?yl4(fE?s zE4R=f2j}7M-}w*n=?w5oNGGhYn0@~FxzpVeyWh9UuPwIn6yya^vM}?fmk`7;LV-Ba z5Lip2VFp$6SJ@5ru9Wnx?hT#|?C7-5yr#E zNISmxCx@yfg`U|L*`T-6+;VRS#_D^t|4M?B&x?c3L~K=KLJFCZv^bcgi>|{$z;5yu z=iK1YG>^{O!o6uA0SPaI&q+N|?sZa`*gNkbHwLwZ;iWuHAlVl-j zXO0w4T~URw9?mnZtX^uVWSpm6Ki#uhKVhHX;RpyDgky$iDHPzLH4r&l*PFtB{zFpK=I@m~m_3KziupVjQRH^-=}#XdmlrgVAnNZ6c>g)vNC>{=T_7 zJG(X(JK%e*jEsuxXdg342A4RAqLpN&Mh!PnTov5Lb6>}4G>B!v*6u}sP-X1~Q8mb7 z;9xxLfa@i?&`y|n0WI-~VJbg~_#pBT74hq`H{9`R;*=VQeiCOD8Q?j{`BLZlCvtkE`h|yn$A}75cVw zcoC#H2gd@B0VGU%BBBRUqau9$7&a2RrMW4TxMUK15~->#ogF;c`>DO<_{KW1fW0CJ zdu9hi*4MYBaES^{H1yfXp(AQV_93$^TCE&*V~Yf0o>Lnh+3ZqnpkvnCza`8=yL z7q+>Q5;ZZUOSUE&`&lO9yq>;Gqb9KajSSf|p6XL#V0mxUSJWQXdZa67NrJaY%$~Mo z{foFj*xxgEhr6{(uf+h3hVn=)!*)~A?#n5qH*6$Ai&tqyH_n;XuO(2OiX~W`swDtb zLj;X2>xjCZHsr(NBqUg_!Hb7`3K#jcN1ERnPq9Lx?m;)atCW{8at zqt)`pt3>3=6(~3$j6e|qMc~xq8{D6!+z+8RzN?X>jJ#Y@h`txgIQUuPYnGt1!guI8 zgx!bRC_sDXX^~*ney|}szF&&R)W8VKQH8(=`%-Z9ya?Nphwx6jre->18QKhx$AXYZ zy&F;?JGRv0rKtE;8PM{PYfSi_)fu%mo`(N4_Z2AjN2)VRzbKH05{|mFwpf0G(3_IM=rCcO@#bRHRCzU&(=;r&iWs z8Q+Und|VWgJe6+4YSqgp(~3pH7GO=Aa5)|Qs9+V`unE#xiDV~nUJ6{3)i9aiEO@sw zYU!HO=A?TMdO2{4EL60idl`-jX=s64Nwu3;%&SNFblLu-9dpB5n~?j_{EW0WZe!Y@ z8Jj9OHq~kGQeh`t2{!2h`8*W&FhiSIL;H0YODef_ANFNXvbQ2umIji0}N7JZ%jYx$bDb$>sX{AJ0!UEN4QGx8c1M@0=x-|`nWz%r2ir%PdliVXq z9a!TbYl&-F8c3GVC?yk;Vjb%i79a% z=KI!|4}D=L#j-1y+bT58ifOrS%9^?LQI(weLtJ@E!izJ3iPj6*smj6{t3%Kb)j>7V zerHwY`go13uwt#bb~=xdMy*CIaf(RGh#W0EdO|S>{goa+^{2qc$N>!>eo{*N#qI}q z*8xFniPPiQw=I&{m4--oj-%t_-Ba6E$C=#Y@&SYtN{t3{*8Y12G9 z8rjT_Gy}E(T@@q1xEp;n=u5kwxI0GY*^F$^WSe6-(a%X@Fu)B9`RSxdh?dP8hv3mP} zSz(2t-CqR5pNXoTR+;O0aH`(oM+=T@{#tD9afptEjag(Qw&kiFDi4CA101=-^HV{2 zClkW4LoBqv&8vFi>xQ-{N!<-0R6T`%!aGTUYhop!Di8uOysc%i20R)j{Ll+EqzFCV zkGFrbeiE0530hzjF@rW=Cksh~-#{_8S7(Y(hKxz{2 zknJ+Er3$o$grw&we&~aQt!NRJZ>CvTw8J*AE zX=WI3%=Ugd>O-`K8`9-qwno+{`_D*TtA=;yRjneGm(zBS>@X?apG#uQ7!TQP<$W$W zhkI9R0lG3rL|j+PM(mh6f^x>hY}@Ck?Z-=(TxYaic8jcSU^uKk@~`RZ&Wl|b>unFx zcO$RWY!UwDBf=KyJE4k&ite0=5OPFa19)yLp3(AHN!L&q`^^d0Hh`~< z4-c&ASIx6KU<&=&A${=^PfGC+ET}!XV=Cmxd`JV{7$CB@KGvDLk?%F~7`To_4IRHX z`CzB|%Ko#=5 zQQZm$mX@p70AKFQyC0j~h@)mfK7~(lBA)mU_v!<#eyuOW7J)(u5R|pY6CY@Mlw)hY zE%?Sj;+E4+xcv^n2d;cX@}@4J9NIi;wS`8A$oq545u60G_Zh$f&YNy0@QR6@9;ShB z2OzVn$B6mXp!_`u&&qPy;E?`;IYGZ~U@0cN7MNsfRN?GE=%eK8iTW9C5`wE|#3 zVI53m2k)@|GonF+N5hpE1O}2gU#v(T#jlF^g=3#KZDbLu#$3y1Mw?hC>;}V3w;Hpd zWvI;{A_Nhr&GP0lc!YAu6$h7%Q(RX#IFI#PCLf%C}@6z?EpQO^RlewmEO`v>qnoDX?xr($|8$q?F`>pLkD#I;cwWOUtR zTEyQlV@*r0`T%kqCmdlFq@8|3n^&O$6YK!m<%MCf+G!|QZFBQjDkBf(vJMk-^lO>q zi1-zVKotHscqbndb%Q-(+>Ysh0DC1+IR*w&6ESaH5t#Vtv(3pJ+>j6AAp0LjW{{ws@q&ru2&gZzbNy<7wB$j-NYd zpFLjb*0Q{J+xbKIho^aH7czBvwHUK#?s)Ng|ALV43tk-Qn3H1Ttj&_MCKRW_D!ZDS z>$oo(p{pd(u9Ylg**G)`z_Rj^i;ti>l=w>h)6mtrp0j$E3Ln5V^{~yRXiw3$$Q)s> zL|GkA!8HM)48iM|$Ik>d-mlfR@CC8FhJhBqoYc%gwm!W9T4uc5QgpeXixsZ5p7n_NT zIzYuHa0APJ?nRTRpU(!8R;F@kBo6aK^EDMkZ@vHABVO88AJO-8H^TPC;zd*j%59KF zz!cJa49_JEauw0|j!b9%p3sk;l-zsr-APC98P$HND2-9|(b@#D%v~X*lep>^k+GX8 zF3K%gC`nyR$%8}b;;<+ek+sM1GR;nG&>qtabLwdD@7X&UgP(&$k%|#k;#NmhiHh?U<>QeTSxLC@w9Kec^%R9=ROe^K#pBDlpn(g zH!2VL$NSr6qCO;Ap#VMAqQYGxG2zM+yo)C1h!;+lO!7+^ifnmfNi_$7WUzD47HUR+ zvLT)JU}t==ad2=qZK)x>48wY{ zF3UXmLhvNTuLU(}UHvlbx~y)y>d{_3!*yDE5;(VYS=9bCak^Y#nl9T6HFTfxRF>e)w;kOZ zUlr?jFifLK8I_gdsbS-Eh%Gt!J(C$}bULkjF`1~mdp;-@4Tl*TeLiTj`(rRP`p4i# zZ6=hl=bx{MqApDj9TIylW9qS0q^RL&nmAojHHnO+jfYvP_KH#V$pJ}pR>j4hca|Hw z3P;t7_$KuC*GP~0F=!zQ9SI}3|SJYS5>Uo+6oCj^a+{>EFI=pJU zTD%&(y6o!g+U%O_I^AmBTHPAmy3Oj%+Rd7#?Q++pwlkgw&j+vvu?I4}-qo|oGdjB} zHh-a&etTEHUCwT+)ozEjZrj&0pa-=Fg4ZzbG2UmsRbxEt0ga*SOIS$6OnFbY!EW!)+?`8X`O72u3JU%Oxg zBl{NxN60&o1tV!Qr>Cb?ov1TqQyF|7Q=(o%Tb|#t$hy{FeQKK?*LZqN%}6Q@VIC$d zuU&mHH+@g-E^+(F>f4ZFXFSd-4c+MG4ZPB>Xk4zyMrqqwJ*zbzrhPd1vvYko#ewcLUa-BhaEpZQfW7^*3W|=* zUkJU!VP_FIB{4igI;C}Ut7ht)q_3wIk52D06!|xEY-gH~>0qYqJ+gFi(2iG~0y>4r zj*-1nWM?0bpuMweosu1#Vs!HGrbh1|_sxG!%$D7yVI`N&qy zlC($a!R6Oo2tj(jrrzAD>>p-VJA2r_X9}d2-HJbG^>+oHTYCTi6~l z&TrI?E6t}QEA3S_-o>D%+F;@0DFn7)IF6E%4)*^3JyTl?RZ3uC|LMdW<)|`mTyoWM zGE{;Fu33OO?Tl|%P2N-v`a=m@e}+0 zyL};Vd5{SAVyRNIc%~!(Ja8dCa2`I0N6)umKY}Q;PtYd%~)}XZgW$^5CUQ<6`xVJ<1i&V89njDAhY$}^Dn?{fUbZSF(&nRUE zQdtK!{L0;7XYS34KQ-wls*F5*%J{K^x!;2>KfqEmzw4qF#^wkoH`}+nBU3Yd9!BNX z?mtA1n{wS8l;VWRG_vT7;rnik2}Y@slMUE`4-wYdYSpzDB5*U^~uE+(n$77#k7xH!MVG(3fiYuacdZ>yHv5)M$v49eIvNk8TO< z!O@rO2&k>w=Hf2k93RHTt_aHth=i@;EQQu$G{SNsHlOHgDG5#mn7t(o9uGHfn9L4jfy{u@`H@^t__2xkd0Y*0K<5IY-#Slo2F~cW|6*Cc_b+Pug8srN z6yh&_!y^5~4;)x*+nPTAzt9f_`inkTu)hfXGX4b@Hv3;J62Ov^_51Amlqa;Q)8OgP zV-KyM_hMr7Ynl(FmGq4i4?=20C)Gky{X#z7At;X}Q74P6)KV^=(lYegt&5U&uhpk{7* zS_-XrQNo953^dlG7^Zp*#bwaEZ_@);4phlOrUr!S@qz~)&;uDy3#PH$cG4y1)=Gpr z101gUzU7g4ics0s!aLZIR)&&>bFUlrk{$D6JeM8yf~4@dQoI@QqO0=pbw-`;vyz!k z*XOd&C(`@JpwDNkkFRkT-`b__@}+OVrmX?4@`j4)cK=}u?(R#J)-mlOT+7DJO20G8 zBL1R*vC4#;(#=R_hC&RKFQ4fW`o<{6IJ)e9ROtO;B9f6C@=Ow%qbO1<-Ch(7I;X=R z8AJVHlrcJ`Pg5d0(YgGM*rD0|ki|WbHx{fT~p3viodA_hM6Y6ON z(s^sRegju~c?wq1eJClX)Y>*mhs$6hhLWn$&4`^@OR~H6XhFSnNixMWV`RfvpymMp zO}=HS`^wkDhHk5El5O5KcJlD{g`0PqXmjlCsbUK8u`4Qd!;j02$L9Wu8-I1iDZ6lIc?eh?u`5((FV zaIK?Zyp@%=H#gl#9groVz?;#b&6cj%0&y+e4RaOzf$@)9_=AN#uWz>}zd0u4jMNf^ zZ&sc{&Wa=nHRS3Ed{h-;h_AF%xx*9F+;lKpwL&9mgUfdN3dmn_`$R6-eU9u11?pT> z#!AS&oL)v6EI;HVWEK)WKgOQ3-HBV-m{s`lk5$q-zt5tXtwrUga^xGA)MqsNR=s8xSqQZb5OECezEWK7_ z(noRnQZ;$Tr3yWD3nS|{V)S^Rf9cbXt`c~XYyBMU`;L21o2Hr(30M7yyE4r%o%vd{ z&pEy)t2Y_R=y*5UD@A1UEh$NK{}~|{DM2ZNp6+y;LOSuJ!UKxL%rkMK+dE6VFn(mj zkyD}ou$j&%(`qpl>(dv;@D{FGNW9iS%1h4**6TfYr%zsIQDF-<50TFv+B=xvJ>6Xa zsi}7?!m@|4^D^faYeJFl%Clby1q2UA(A!KA%?*7f1vqd`!~&(lCy!6 zyPYwevV*g+@}G#Pt&Nkgv7@1bxt)`(13m*i{a0E=I%$1JCm}QaF9kCzotn9klbNFy z>tEX+`yc!x{ki^2|F8J}P53Xb|ML8weEd_=Kc)RA`+w5?Pk;ZjjQ^?sPx=0(`|mRU zrTJI*zqb4<#h>v13|~34=>+v1jsNrtou-tsl7KRmkgcr84 zjBI~nOl*HmOdNj$=6@s>=D!jv``>_#9-r->Y-}9(>`Z^Nv9tU&v9sZ`v;S3a(En9% zF#Zh~I2gVN?HK=9wCTQTpycl8WNa;AV`7WX!0@MM6zPQ3{tS6~y8qBR|J(}y)eK+x zRPmYV|5jaA-`e? zFOOd?t@KSD@tK(E{@jMfHct37jBLz*6A231x@plcF#Xlwvof*cv#~R2(+T{O>?{5^ zm8ib8xs^Nle+?7-uW>>_C!}vD{!a-kYz%bj{}pFuWcu%l{`XB!C*h=TWo{^7V`^oL zPygS;=rP5)V?@$!Q;!CM-q<(_xN} zEVZJI(^3!T%Zkg_3vU=ed9c(ta7@&-n%jnZsSfu8+DC+Vh;4}q?TXEBa~SmPVHv#h z*xc2H0L9aw^f8eVX+Q1mDp`WR&ZN5+K-!%(P{pIjfS8*E+c7ZA@5^^^0AvL`_OYre z^UhOELm%8_$8Cy|`I*MKKvRqmn^F^d^VC0V2VFuA%%}*Enhl?oMjV^wX07Avv&qfD zP0;G>KF5nIcKvYq15xRhiQ7RNfNnb!_-6sdw8UT?j}2g39sA%reuZCh;4Vu!o0C4= zMUosw47$Rm9z2VY3<0#@tlJ}Dy9)@ZtgNu@PhH6Kc;3*-Rw?N-7%N(u3v1ovB<&Y} z>?*cHgwMR>wdmrsy`ru3Zd*E?#IzpuKIPdG)$=cAK<$%x+pU#qg4 zbMr5HK(#;IfbOsLms8VCU+jQBzDF-&pEm2Bz;|c&E|b!h@1v{#nXm^F<7665c=C;VNnq=spzjhYXwh1zr!VdD=GexP3I+Iu|6nYK6Yee zJTw_k@6y}O8<65ve@TH$@viq87*WBi(y4PM)tbf7ZR(I=cRFkpZ|;naSLH-jty21DO?KE zUIIY#^2?@&E4xDf><(3r9AF=J*NBl>iY{^sfVAqv{oc)SCIU=YgRRgUW|kp_OS_AB zqu>V?*3;A+P_`5r{)9kfhZEc!qR!n;nt6(~pk{%Jq+#kIj2aJ|atX&wfH32sR&&VQ_kf()!ZPCSQWH(^eS@g|VX`<@*t; zpjC?@o*+P~Y_FM9?0ZO2lAzWpW6^T94EGOC;>9=xaJ@B?El|OErmTCTM5?Von=lp5 zlWPno$sw1IPVkNWNjG7`7Vx-@6~hHVuA8Lg#NY=jk|1~UC@QO(OoyFof^AwrH#KnD z-w>pD`(;_P6f|-odRQP~gw}ZZA3@ZZckUJ?D>=L(+HXp&s}setl>V;4gi$q_;|NBK zu^`NvcgSS(h%x5KLvcOex`ehcn&>Bn>|H!~r|A1gV_P!Z#Du06La9#Cl~{VC^N#a+ zD7lCX--Hl?q;;H&ROiH^;tlt7G^{*xQYhdWaeBZ1VhqKr5v@%v(rOk`WDkc&pnU9w z;b90tsSjXS?H51DO_bgfTQ6awDc~_^CADrD%L@sK+QJ8Wp{n+0SPy?6WAg1vY)jE!y=?PBU$Xu|KQgswr1F+Z@~pN~_}Xi63YF z4bGd3G2i=7|LY6{3fRGz>g>7<1il^92mOL3_631E+-z5zRk}hoYO)_ci5|d7JV*8! zm|ozl-(dyGzwSQsUAiV+2N9H(c_RL9o2A1 z;-;<|!DB`n7rUJYY@nx+NjLWsz{Ey8g z82;q);uxI%&Zfxz%7i^{&U9+XHuU6Li5r`Gdl(g5koKTC?6%~7I%E&#ut#LhJYW}D z$UeyTqxKjdr${ML$lWO%@|acyeCLSE?zBe(p3>k6`c$Nm9pA?ORpU*|LjrGE!zI4M z2tC^*{`=UW2G!+AXxbE}MP|JojS!b@TnKp|W*?|f<#s^s+K~aamI{%ekCNT3NX&xN zpkopgqGEESAOipK4v9DI8bxSF%P2ku@k=-^yi=4)*0)7Wtf;SZ7PcW%F!f!qc95oZ zV&>Y7tSe&#xN(V4Bj~+EhprW&4GLvaKnx;(;m^h{L*&J17Vt2JebVc^*T~?wzFlPb@~qltWj+l#`tZCWhW5*501H|f zCZJ(^`cub!Ge7sE3WXO(SEeTx1*0ma6y!#?S{c-|_En}aGgc}*OcWulrnC~rDo$!F z)#N&l&7;UaXO;>E)r?-+cw8nRnPsNAZhL%pcFn$wZF3#!{;l1Bi*x{sJD|ZWYz1H8 zyvLo_?Z^&w8C?zd37F&y!xsry+BU{f8~%o3^g11TC3AJnxguA&yGTF>pdPz9DD-qF z&Eb>jZ@ueWZms(MQ-0o%P1oFap<5w8&jF9cGXn9E3c&`oO zG_bQcj_P9Z0{IQ6(aHC^*8Gm~&6S;7 zR<;U@JLWm1CaL1Gijqo)8`!pSO$~hp$NgW7`2v$C^_UG21nAFs%*lvGa+0d0W zy|WDPQ9LB#zX8JxsH}|$RG5)eH6!#|4*94D5(=BG!Z`?{dTDqGBtf1#cqxspj_k0H zE+40Z@J%D{^x{7R;%R|a_5)xA=NOjBhS^Dk_m|=&DAG;>w`V}TgG5R1Xm}GBu>6?6 zk*pr~FgLpH5(=D6%ctRMWB4Ux*3(hm*2@XtGr>&Ptlv!8aj&TDJCJC4F~&sAYBT0x z?K#*^1B*n=4yT2c)5sD@Q*7ka39hh_WscgJsf}2!$+*UzM~#lD3#Rs+1-ri*y}BOa z=+@CUlOXcF*EdGQ=fzM6=#w1R<9D%Q`)Zylt_TAT`gIl%Bqtcq-*bTPbh84O5EUWX zV`K+VfD9lFlqL8gF_(%fz5=HfVjUIK(}9%OOERv!1X!*dBUhnZ5Sl8-H4c?XU6ka7 z*L0pZkt8&BUa`_azDdeN(v7D|`OBn_q<|YP&-VA%R*IvHqgd#jIvCXigxLfI#0A>} z#Pw4=AUjEf`MvsBQJn54eLD0GT@DQauGhrnP(=;J5B8>r%=3CHjtj9vf!TVyPr`( z$cd3qbM9MACi&uT?WE_%Oek=EPlw^Q1l^_pU4Th) zhHvWo1VF1|BobYMXn{E52?okx5)|gtO6)C>kl0C;J&$ulI8nc{jF^>v@@SC^O^|el zOJH00(`Dbt1o$o$HIOGSm@zH6p%hpo3DS#KDF89_(f9whKv{+sZMPX^2z6y@g2x>T zW^;_~4g5|U<w_*hloJNTg#IR<^j-z zbt;|!qo$+o*7VA$-W7O-!rHbfP%|whL8hU)Bc9OpRljYZy~R2*cjh^C9fUm+u~H(g?R#(v#tVuOmeqiRvF85`QlvLNX>uu_>IF2thg8D5|cM7eqrLu=B;?(uOnR`Z^2 zK`Yzxx~9{S%BJCY>ajlEk)K^zq-B}8pA>Dq-eWt*nc46>{BW(b3TuPy`<%)2N(-@x zq0aL0L$WNo37rb2zSTlb?lSl-xR1|SeZ;Dj>bL0`3M$yN8ZK5UJKQ3(bi%U;dxsaG z=_5f+&F`f#gobtH+@>XFOcv8774$DQw9+G7I&X);lRU)v1$ZILsgG4?1 zTX{kr{W_9jVQTasJ>qN3$ilL{HdNTgBvj97IoJG9H*vvJh@!M^BKP_cS4fkqWwWkilLCG=n4Pi8 zRshs{@c4nY?-#>faaz)j_wqPGToQp?a(|=k)%I^pfVZY_*0!X{v=ld_@ODWb5S4vX ztAB($gnDjT6UCj{7<^Bm-TmP5ThO;VG)1o8cmvy$YeuOtYdCtM@xWUb$?hvnRoJE* zmbvq3X_S?!XS<>jkA>aB+`9s7e_rFhF^%;5?gjyiT{r#=SH{h4Y-f3q4?A)i9mmBi zRbL9}0I1re#GJ=*r6S`t|5TN@&o#L&^dVr3Usk51Qu74muydr)eW$Z+3mx*q2cs1A z-c8d~-rHzP2CQ;hbC0|NY!0XcGdZGGdBmuIm!5g8komC*STH>a?4V1{E%`b0sX!iH;`rYjo}Nut^wR0v1O)KrZbT@N>2=3ebXuE51!8P4~)&e?3&mswet0D z10m4Q?Zv2EtaCjkH%$KXsrSK2y#pafP+hrAzhY`)$7;!x9S8E%{!xDoElRor8=In* zk+IX?SRs->Qq>kesW!S(uqsa2&PhAhz8PP+UcD+cIB4DOHf=P*^JLO+^GoaNw~8#z zjRJO*R{LQ>=@MdbFZ8A`&66$^FvTzTs)bQ;|Ey$aAm>Sgf)idTsAQc_UHd#})zr&p zpST*^xY*cOzc|0OvGtzpSmoLrg{y{}=tr~NCoPmWD}a}`$CjiVl`+PpHAkSJY(@@B zkXMkG5W&Q?u$v=h-qH73>J^ZrB!R?71TVoSfN21?ptqpn59)XMY^ftSc6*;Zb6eDW z|9pHB)94Wqge)Cuh=P}M8&61}V}R@fXCYDkC5w};pVTh8`#~@U_;#b@K(rnL-2`Ab z_|5GbZ%biB_k;6;VyTWK2=w|D7OxN!Ix8|X7*UT|oQb8n&~8ZYFmh zr=tCGt%7t#jeU&FN^y)$wY?y-H8wMT!Bczm2?aO@`p=;6sG^l{C`F*OK8}9t%e)+U znAV!Y3#&$mZ}DL>sC05Z#;euE^MZ^)Ilw*e1V8Wn6LeB{Y;Uz|5__v(CuycL5}8Yr3}K}rZITJdO2na<_{ zektIE!6S>U;!%pgLS>ahY>W7E^SvS+Bf7Xw(RjK1X1V;T<#%GLc}cpA^$>#r@h%VX&Qd?jI0>fBM9!tx zJ$p^0U*3t+uE9c_h`*x%sZOA@7x4Fy+_oWq+LUvzDT^#B-raS@Hj)mK+3br+=v%Qm zS^@i4C@%&nQ^aL)*|O*YBt1!B;NGr8hEHwdHMydeV`6V^_9UVMU|f*#J3WBA(%s_G z{R7L9r_p>(iisTkHGjX!>Pc_Xl!Q%$VwGap<7zDbL!LQE_SFxcG`pGe^dMXKuu}gn zsone;^sEv1QWNknvSFOzOj3&F-3+2Kc79pxPq9Am0aePvSnZUb0*?y9#{rbk#0T ze!wug+ww;Wt?w}+(*s9BlX*CpFHe8NL*$nx42lw`&K$uqUb81gRk|^5KNl~Z+Y5H* zr>F^^DQ85rYO2};57xwHal{PC0VF83oieOvW+=Dw2DdF=004$Vy2irMCf5$0ql?QS z4MR5cLbl1Bw;&Ky2VnZ{paLs24nkF8!TiHYc1hjXi?tDw0?V@AfJm7_3J>~cL}rJG z^4Y+LMPPiZ>ADP)sercPd<64xQk!JbuW4PH1R?L2)US2rUoRfC5-X8lu5Z@QH51~| z`eWzoEuQZQIPMY;!~P_vE|)y_#P^!F-9PBOKHTyP!~yKbw@#i{@kpq9CSAB|IvO+_ zj}=?*meRYl>!H3M0_sEFQRy4yJz4`~aEgjZlVZa>6I7_h2KN#9Ocy0y$661Z;#?0% z*QGn~lkMb;nL#7+9obDqVIUAz^G3DnQEdrt!|VIwg;yUwa*WK8YV}7oabd?0G6eWT z#1mMMFxrQn13>B%$WBFJ*Ze8DJMX4 zx)w{x1SGD|;&F1Jd+6>T>k?&Ad>DyQt;FY9Ay@AiG|dp9_~usab&$Ft|#6PbbzjmK)x#;a{rZx>ra zirsSa2snWE%J^+8IfM{%VY&^^FU=+_LqsiM7!oit8=_`65$7~haCYZ+UKb1=6hov0 zJ!1G>H6oY;04^0l^RV}(C!Ee@t;?9I zVC9inkt1jUCq2-IQ8g4o^#&4kR1ZjwnJu&(zx17V z>2}~wLy+Njh7q3%$o2=LVU)dbrHOaPj4?9rAs3*xhW-6p6Z*Cxldp|e@o3DYt$&jM z^BPK#QyCjB4^n6H2xN*f#Rt>$E4>F^E9RqlMq~6fhrM2~SZ40okw?1MnI)>DSp7Kgzmqj3Wc92}QN8(OqS={9+j?}yORm=|&^jWIa0vJQ|x zM}mxow+;YJ^6+78%KddttdJ3R3!Q;Gwu5~!#4$`ZzGSsj0ZI@wM3 z0%>ba#I)D$^p`(04tnEN>MBD&84LVQ+(>hq6@Pc1Kk0aFO{TLhoMWNl^LAfM(aPd_ z>dC5*J3o(z49RP22p7suzr=f;#hSGBc%NSG9&!AE6a&>QiMQf5ypP{G9^hn5k?br_ zM5_be>Mefz90#`otn>Kfwiib~e{MgTxA%+W!#7dQ+YWgj!*{czV|3$i<6`~X+jsxs z!J)0mwPkcDe#>4;Wlu=l3_(~tpu|ZshXp}+s&UF5KcTn=W(lFkFF+6BbgwTw9=4un zsitqZ-dQr!-VcL9e0qjrHrMdSojaQ@|L4itS>5I9*#+Js@8s<(eQYJdui zCTUccdbvbe0w}j1myLDWFun5%V{J74ly-fzWgZfk0uU58$Wd!{Os7P(a1oYgD1ue{ z5Wg*aL(S3Z^Z3^Z5JUeD07O8$zi#3Ig|C1cxT3j%1j^w~^*;Vc`N!yM^tFZd(LtB2 ztdQ4Idb5r8_-#&4muENL%j`AxCi~=l%3Ju2r zvC#1N=lzwj%*goXU?Xk~a!f@%-94%tpDo~P!n$IKpo%` z-beEI)dA4?@JFr=fcz(SwG!07-UBr_~fR8eVd=^bHBZP z``gF2Z97hNR~=ci=d;iDv>d6fsax9G^~on)t&R0xZg_QHTWfFcSlQ!;4*l?nqelT3 z9e|5&=!*oZ!i}m@#?Vrkj55EBkHifk0m)bzg3SZLY76D7E#(mMLRC7Mb`d4UuF75J z{~i0MbTgwriExgfB>8HX6kUM&Uqg8~Ck4JTIEQi{=Kh$Yn;B~yi8f2pTw#>J*TKpv zLc&V3@l?^ICwQisvH)nNXi>0=BY;^}>P^)YFS5QG-=(r*`q$J^R6PS-_M4+1wEhLH zaT!f?!xyCqr@(2Ra}v0c$xL~Sc3Eu}8)e`?&N%E&J8jS>BqQ`lg&BGzDVDq91gRW2 zLlYaxq#$X75VJ=iKZF!Rb{e~G125Dh6Ts@g(-;P8ZAd4p>elM2spZ=^57ksB#hLOJ zYIP^)4m7WLW6j9}Pn@W}w@&O`{gdY&sq=X_i#u8MDb71<_ODz2`s?4Dy|F4vo!PkQ zvEQ`q9euI$_~ri1jW1_Q<#rF}Hal?D73uu%dk0_GHK3|8)cGvTKi0J(E4aCwYGnHb zGt-X@{0CS7OrWtaWHx)ffgik&L&!tM>>iM;nu#dEWZ@~vqjjp|jve(-d{*Pq!kt0a z+E|#qgj}ON4YTT(kPFlyhY)>|{yCCR2EV7uwHyGRe@?ofJissTJ(!-SfUOi-d@bol z<(9}_1#6GB&q`geVrz=CTJ2oW#PP8dd1MpCDoGSmQZOc^jXEu5 zFjQ$U9W+vk*P*2ZVL2}d4nFARx$*!>%@3pS_V7z#-MKIhr~Kh?z#lC4`+R9h3i*9b zzu(7mT!>OYp(^oM%w%K`4#{jLoS`xqqgP3bK8NV@Qoa%VFc`5ps#6mEDr+nu4zvES z|Dykfp9We^zgJ0#oFeigcn;#mPYrOU5*U$FsvX{CIgGdl?(f_CSZ;dA&^eJCR#f)9=Y?!pk0|s>cIAYG<9Teet3K0|JrI_ zmjOwU3mTybM|F3}UOZ_wqWG={I$cbsduH@}$3a3zV`oU5i#OgPcYFlzovWokBJ%h^ z@73@n+&Ol3N*3#`xg8GoH@}^h$(_`9NB4udKr5h!RzeR2P!i?wYIXP_`&#=pQ@g#L zYv z8)GFo&(4n2N9z+MX|{Yn`+$96WN~yse387<=GtmM5&tNwn-^J-*c{mz>8jilIUE^^ zFlh!njf_xGpcuW3ageEu*mSW9TRxHurbHnrGNE87m(L3fB`~oBYYAJjmXf8x(qehs zQf3*(&#EaUfjB!yvD{1CDefG1k-NbeIA3+L0$dHUBkBfd5O3AOtrP7)BwEKki%0KS zLb`#t86qK|RGLP&PuNNg_wIHt8vcdiY2IlvJ487lITZybOfdzg`ILgLXth6+(f z6R5ESH&t4Vlr*xMF0Uh+FVt$fD+*%0cEWZ>G3p>yrR@7pAAa`f#>0<}K2P?iajK=E zc;D`)#s=_POSjBf`C9kbAC^rNdFbiAE!lm|%eq@hp{d$fpsmob<3GauwmNmo9Qgm+ z#xKwh(#O#(bV1#sIB^!0Py^EG1(&egz1I1durAZ?+$gkr2HmDYptf?Mu&{QOdsR)F z`};LJ0TS@dpv=_8;Y z0-%;SCnAz4IDUOd5ZnQeFsUJt+XD1aDFkwd4mWr18U~J|HZT(bd#c^N`V< zC+FyPaBfP!eADhnm#gBpk^yWV+;FUsbMb=w?X&AvHP3I}mEUot^Bf(XO-k}**yr&t zo4ZmDD@$7DJ=}X@>~GC2E`f7rS2xA{^N;;(#jzh@T{ociYcO$}Vd8=Sa27TCqG^}; zIewR8m-Bg7Pq-)26@4MumFkf!<_esQqyiv}E~>^?l0#AIUdA0HC~Nkmkk1!HK{rE@ zeT_ba^?C|MhRd+wkRSv@Zbl9njZ}!C;v&mpmW{9!>&v7=Asm5fQ^>1)fa@?b<5gzn zfY225zZQs!M$`BO!ugJkHU`xZ$>g%JR@TCrS=t~bl8K5$DnT0@ypyL4(S&4*XK=(7 z%ishnXK*2Jk+gh2LJxcdDX|ZahCD-eQl?9?B>osJH7MW zd-h%IyEu2YulR^WUiYgk9=RMm=lYR&xTd(hV?rh1=@A*By-{Z1^ z>AUGNgYIw#-{phna+4YQ%r~C;YP-fVr*Yxng_e|>NWJjM_LVLv_Ex74?mBSf`G0+8 zg0bSnul9d;_s925*^dGr_5vPxz@v;KPJB8s+xL||PdGSWMItn)D~a;Z5LG;ZK2Voe zIJM3`9h;NteR~LKVKlzU5(FAAkcloS9{NA{ns^gJxk-JLJ^j1RKGjlo2l@ zBdsD7jFX^WH#h~~R6bIO((o84fTsdy16Kpr14{v4plld9l;yJ|I}bWIm`>@HIS_jnSSxDxkZ?2-9ZL%4aAMTVQ?+N>eISbTe;{CGdHxX z-i?(!5Uz#8T9-uO9u6xgg?sDM>NDL8{xta}EBl?#F+}Fv8Rq!{%Pju{XT^>PiKN_4 zxa!qDzp7E~1(x}3`>|>F&Og{W4Je%fl#T#OCG<~c|BMfFfsn=XG@!|9S=Xst%yOfN zQ8H?kN;C@!Qeg@bkz!UjOeMoc89qZYRys{>eaMhXD4jA8k^q%}L<0U&I-53-&D&G9 z$7c1mQ>h_@4PG~poRrCwd{(~dx(}cHcsR*p#6QQM;+J?YFO_dyU_4q;6DU64#RC{r zV@v6BZ5504)kk-u(7F!@n1Qg?3UnYCe>eu;!%4S;vIz_E5 zWtM6p*fOnBwOTIGEknABFosRnux%@8#M6dlb$n%Q%a(pHokcZOQd3PwNtDhs&o#-( z=0cM+=gCD!ek7u5T38(=4mm|UljJHP9GNDw#6x~TE~0H{C;kI~4d4RK%q0NmR?YSH z4Br}aldgGSXSnMnRz_xP%>Zq!8>bHn9`>#Ezv1>v^GOiMJPfJ;7p)IEaO7cZy*eO3 z00(m=`>+-+-mk0RqPvfTwRm-*w5|{)^irC1yTvyZuJ8ht;^0xXm)9>E1I^ z;xMVS)wQolWljgnLG)+h7=iXc_fqnf58 zt)P38c+?mfGDb2Psi5mgKoX!7Np;-VTCe;4yy3vwIZuwB*EBIOHjnqdnw*#@pb2B_ zHT*Kt$x&yMGgX)=94>fJ!6{4?Jl6|LpzV$Ei?Ed@Q5{#D@HQ>UIs)-{i8V!Y=WF;= zt7gjGDrz-}|1iERLO2&+giVu;gSg~Qg+;L*Uvvisteox~sdZjm_j^2N0f`+6Iclwr z9Az91X$+1{SvaD@b!53LKJGhq8L=;jcW;^bhtHC`_tVzgL&wR6f$pN}`soKv46QdG z@VbG42bq)WMZ_@ip3`h1w9l>@13berr^&V_+2_AmN^g%n{jGXx<^aq5=>+&M$_OyvIbgtEV1R}WIaM%pWoGD@U4R`^21EDfu74{t z|3-|U%q$rfz=1d@zbZr|{m0L`MDIA`8!b@s+AhZSRlUAP#i3L%HXr1eI(5LmCpjj+ zcV^gsee+GX2=DdijCZp!+1|g7(in;Ge=foQsS?xq*x%?4m25{ltC!GK^e+CB@;iD9 z-NLtMe;S$8RE_EmsE46`BwF+}L0A`u#pH4a%|3kBOKQ6Cx2aQBjOVshk_` zu-clkh?OxdYuKc@`H#NsC={clLaB;L6th#UBBW9^3MpVDb%JWzNF-EMp$2C0PDPQc zA`OTBWK=$1AGopes0GPMfplIrDYXXF6u4WIB;DXPz{# zn%B)G$jy_#Ix(8S)0mvZS1`fYt0-PVys%O|>kJA>k2mU7Cxt7*f^bvt3ex5`y8e0> zBWhgY7sc@AeCOSogRo^;b0U8u4yLY?WoB==!(;YQXCuH3I_!qdIqu6@$B$h;?$3#q z?-+mC5+5w=bN`<^m~&O-nYk94!$bHU$zG9kj31=x;UV&anf5RF)2?d2#m*gNcD{qR z;?3{4ioV@t@!P5l%#Gk_vanuTFc1dDrmaphf#u8C!^4(M)BRrekqt%9h7Dd17|y60!zQ+AQxwRY zQdz8PMXG5kr74-<2v!w23f+WdBv?i|ts1Emh|kfV%TWWEufV0#kAwaF*iRJ|&tuz> z5we|hnX@PDX?xZ_X)oA)c05NsYD8v_3z2DIRyZjv30_XXg7ja;s|B{H>kQv>ZO7M% z6JK9n-+TRt-;X$U96Np`Cd3Ao0D-npUP-_s(-`eGw2+AkS_!o(&p;_m6t##~Rze#< zlq^}9fkCT{meOpZ69ZJ}MmMDyR0^;vWQ7uY|G5rXcUs?R; zLVDx|XvMCK#=J3<@&ar0=cGdQh*YQ+e-PXR513wsC}4HOs*Mn6LjsBHzWR*tJ(a}& zm4qUDTkZdoze8v|lS|2E!#i?R-HT(LNY$T_%XVRQLQ%0Pr2PGfV^95?e@Q-gs6t4B z$X=5Y(j!D6p+onR*>kE7bR^Ty^$U0DyUZXrsLMOceM*1IT;eVZH|QITTuNYUS6NOw87EgROd#dISG`AfGu@hQZ5Q{Ra6(5NQN2SSVBXa1*LHA6g+n@Ofo3+B z686zMG~2n|!cHAktEtu2a`nPYU6ZPbewv{?LR=Nq#I#kyld5{UmZ1vr@+m`}f%2%_ z8n;%Sr_~`TrBM}12|4eSD%8$=Ns*HvXiy6QqMeWtD_N&p*Q1~Ef=DS(3=F5hFbpJc z3-DEZ6(F`%94R~qNYE4Sa`{Gef=OqGdLYy3?AJYvkl-LEi&#T~6S(4pfP*5P{k%t| z!&_hCEK6rEjjjtAy#52uijl0rxloNEXuBuabpoO${o7ptRwc_py-^Dc(jRg;)}7DWRqbXhB9EChay-%ff<@5*u%| z^=5ieq_^#6+q|2ne)A2IA2@ZBtn1ADqa8J(BBVkM?U{cb{4=V{ocrv{%w<%Id#eYS zWFat#ohT!2Ck3fqYLJ@847l?fM;Na=d2! zb}tYdm7qS+3D^mflTkSm4$|Qezz}$xDiFE)@-mQtw9Y^D{3!Tyf?)_Q4!}IXFhz(6 zcO;?q4)A0U3RKvIHDdKQ#vp!|S*WZeS}QA2>v+>JPGiX7V|Q9BqR~j$Ww)ZPoQ233 z9+6$wk6fKvF=JMIe&#MxruNS`^m67R`aH8@ETaF^Hv45~rCu|4&Z?Rp@5Ob0HmjCC z3z+s3agXewpJIL%{Aq~HcT2nmH|u4~Ttt0fN_a|idew}0 z%F*PU?@k5ggyw}8#1>X9iZAgl2|g2Uj=dAuA8wDG3LFb{hr43O;~#oI4A=t77?VW(;ZX2TO6C+YrJdyYXfc3U5;Ju?cQzv z9f6(E1H@b23*H-5_X0!Vq3FH1F&e9iOT(dn#OJah5FmCNYX?iQ6fg?JKfk+RsXUF^ zk{XGVuXGWL3a5wnNbHKDr9L8!Qr&34g0BZ5Qtl*tw9n!T_}YCk-^z&7rJDcwVi+z5Srx-SGsq7o=tedZwnz}Y?+sFi5NEmLQ&)~OQyB_<}}ErH<2 zgb+1B2;&fC4Rj)mL(~M{i?VzXEFnZ$t`KGrye!}wjRR#PO3CCPQF$&@5fh~jS` zjMc~_5{|`OWCX(%gI4nhV98%DpA&7ES8a_?H7XfCUt3=pEq$#vIPE7BS%q5QPriK% zunc(4d~$UZ&DObU3t!Ig8dZT{(M6SNwM<}HdV>emU&r{)bY}jYxs!RDTrv*j4S@2~ zfbudThJJmVux8IBpR`zOyeu!&EQ~A-J{Oe8z42guuu0z#Twz(^TNT+7IZ%2ic;0o< ze8Fnh(m^CsV~%x{_x`#!Rqv-W!nO((No6AD80t&A|%lumfa z2xd#E*P3T5^V!XQB0AzQ%Lr4sAA{VF@$L6hU|wCNr3xSm^9k|=qKs@$7839xCOYIa z5-#L|c6#?k<5nZ+4^#qsHZ;rDZu`V`+a|SP{i{+ZX;e<%rb${?S-)(wwM2uNYVAw) zrD!ZOs_CJD5q(jO?IjTJ17itGrb_Ix@2Mo96)TAuUCf*rU5?pJ4c%s?af~5rC_tvzu6sjTBk^)aLrg1MJ}p2=3H!Q zF_9*H9qUX6U3OP;Qj6zB&sNVq&ry%eqpRI>0+|Wn!HGW6Hvqy=yf%i1;V@xf$AFI) zti=F6K>RCeMsW_DL13=HasfqhmJ?Uj=5o6L$az}UMDiTZiRHGrb6b(z7vU+?9!l>P zKjK5l_MCEKcqH4uc5{b+X7kd+lNL1g|MBV?T-Myq$-R4jS63T&<1dRAT{zMutuf-$ zxn$A{hPmsv{;1;VkXdakc5nLOw%)b?-nwpvtwpczT{ig{lU8q^Hf`P7)7S@W1zcB) zxA&{bQk6m(p#gR_qd97~7aK`R7C`_zjgE^lOtH?+fYBfUM!Ga=IY!fJ6Z{L9CM~qF z+}Lb9ZH3kw!?daDwKA>dzX+W zlXF`1Eru3jOYuf-J^#M!efB!1Xr`Oh%}ldKdY(iyNApQ8$x8*&z?*dDVpEBSkCIU? z$k&l|+$6pcE#w;bjeIA6j{Jzb!n1`M%u{N57LDi#OVb**xRBLa-T0}=VR0;XkpCsT z>SLQY&-lG}KKuL;`)r@@e3$s+{BgcG!6uHAhKAKw4C)xm0*FGXWTFzrS~?1Dx6+h- zcv4$YG>wQAI@+#5rKl=M6i5T6DIcwXM#~?7#s)*_plSe>)qGT0E43uq^Pc07W!e_` z-jC;f-@E92p6B=cenfQ3oUg-&d`+UyCyBnG=p{&A2o}e_)zTgV7364x!SCTX2t*YMt5@W_ zUXes3h5TLt#sW!^i1K@Q*uj&EP$Zw#{9c7Xi1H|ufr%-ygnz-HTd6WCRW=eGL-hm! zX_6yEaC%Nloe@z$M4}dN618-5S}Y`C5RRlpO^KyNMRTYDwL|^2x<&0#RlM(roN zpppnsvjrZG@mdldILd2MlY_s-lY9|9@;<34O#u5{2kD^aD1441DEPDi&Comn4xQi- zr?504=yrMweTFvBM`5*=n1`0YKey^dJXkPM`^wOKp z4a2IJy-7@}z*1Qe0(6%~OPCZezhqBB@4Yv`J#-)j;e7-%iPd*8QeNtDaUVTuY<(=?;o z-RO2tvr!jbxcS8kzX<4v-h#8>CMYaw;msr&DnC&V4sl;O~Zzj51-U$_5 zMY)(RW(QmY@<4hZdy)7ueJfMSenZ?rci4~@UYkO$tkabZv!O66ryEm9PN%ajm&-4w zIayAp!mNw+qZEfwiiBFtDh|csR$Pj#1QltHl2y`5lhWFtq?BNhd`_!@T5n+_rj?A7 zx6&xBWV4x;mP|ODNW`+Zyt^_F(yW3IZL`rnpN;2z2%DV_I!R|BtU73#mgc3DFj)Iy zt9|e#yl!cSlw0SceB#`Gq#y>u%~LKJV%vzf#Eyv2;<_QW4ST`QPW>lhkK;w%CcLwL;iL=eML4X_;XLjS{`)H&@CPxO&*|@J9KWNv?Lx-saMom;vveGD_d}n8{xI}TJMcm@$#n525Sj+)(+tgEn26d6H~9|(xdUw}E2yt< z`_kC%v3Fn6=OccJlEu}yl6U&Rr<0?kEpR^ZV!YzvahMn@|}0UaBgQy$xSBjDoo zN}P}7(5}gtCy8$0Ela5ap%Tq#rM75~>FvOt1f`gwfmXxM+#954s|(zV(pGMpwAZwg zdt2I<>@$66Kft{&9q|v^M;bg9B%(N?UUR-8ksA}66R#)s*!Lz*HT%9PbCW1+LYo~o~Hwb@ke4OaG< z@In<449Xh#)ghy#oRQn*4!K8uPd+YRmKj-U5w^^fjd*>XvB!Aac-d$$iuc9)@9|hv z*EV_$2X7wL0i~TyWI!dAAWTci9E>uvM|1*P;XSjQv!-!XV5;H-VjU+;K;_fpw}~cr z#PN|{7n5Mh9CbP17HqY`Rt~oI6Mop@9zTIsfIj8qCgX(5fgE8<8mh>k=CVSnumVca z*E}31Q1?-f96tN%o+}sb-_%|xtUM4jvx3Fm`NqPYgX^*TPqn@<|L{+KwBhNs{heK} z?O6BxAqTr@-s)Kvught1Nbx^*j-J;U>swB?y>;;qA79piHO~z2{W8OKqJ~gWL;WURiQ}Iz8l&dIgE~2KlC4poumhu^M)a2n7+X{c|!wA(ciOXQM+}% ze<^yH&Y5!7RcJN+Q|r&kjh2o6uE5Lw4+2L+eNi4z;Pyc)tAh48;OBMi0nW=WM^5k& z0rC{{-0(E%fzo9*j2(vJf4ERY#<6euhJJtQp4On=ytH-eCHxq!kN)}e*gro$Id=Q> zZk(@%2fW~*y8}I+e%b>Ml#RXModuAYapHELYyqL?0kNRf!{8IoQ1oxHD}gKWKcY8c zridrT&keRk+hPv~bJ0g*YaDCDC!#NlHoiFimG+FATkc-!c`~{>_O(PCC6V<=wQQ{` zDs5(8XSaK|NxMC}VQ*M*IUOQbjR=adi#0-7c@UeNa;?eQYcSTlCCFi`y_H$MGl04S zCjw+Zs^??{J9(#q97;gxRwzZRJ2}PAkZo;x&B(WnfS*e^)k?!vG!NnfdDg1cXz~I<- z$U68DRaJwtBAO;qi1B-|iLFiw)zw}hu%*f?Vtaz$#_@dT*GCh?5vKDL%qF9+k^eN~nagdrBx9$TGxi z{p{&;U0vs%8QQMT*I(SW{o=*#+b$Zef43H6%R2*IS2jF%`S}5KsT|2WhlY0ING6E_ z+-(vfStNqQS?vi6zr#bO$T{Rcqt5CoL# zUF8u!X^gnaY%2oU0RX!ZgNEQ|nqL&MFgw7&?aVMUf~3gEaFOV#IS^fjLJ7{)_jOM| z4;b}s^yoa18T2t`Bnjt&3?C+jrXI{nJw)FT-#lQ>A`_50Zb@U}$*+8${d)a}EglEW z(y1?cKc2h?o!35u)>Yh{{{Gtmc;cNuH>aJP%SG3%g2C(WA?5gY?~i_S>LBi5|AJEK zT7*m$+|Xprw%pWXI$-Oq9k31B&c*&1yB)LoEvT`*M$;mt)__`AJ46^S03g<&_I3zr zS^y&CR#b~XE-I070NesslVHu1#VR5!YSwxXheBXPhvreup7d(oZtq84qnFF+OXI zfsy%XX$E^~o%?>D{-Ic<1JOIZmqx~Qedg0WM_w=Q`EMxH;`_6awz&CZF zwNJFqBq8D=#^e62Kl539Znxjy-`2JtuVB{io!n>KhmctX(lD&mwlUod@z=;L`9b8q zJRTXVFu$t2me`cslz!d*hO#65meP|xp&U-1R0h(Q!VbcM)u2lk2+Cx#P$B`sv07H3 z{Q^2mN4UQ>B3NS)&|gWSxNe4KSY0ka!D^+c0qP88a8e!A2I{xe2xX!InVLwCbVwSI z&Pc{#X+-)|dL$VoPK|Dy2UcAza?9vZbQnl3M)UWvq~;N1>CyR$QCwh^mqBo93eYJy-A-s{ac<(pg6bf+o@| z2TdFg17xVgJ48GL2luQ)n5V|h3pSo9MVvLTh!5I@oxt}rh|cn}6jsS+)@S0+%gg)M zb-mfSp657z@?Uy?a$t97OLE%=y@Aose}hh66n@56wYUAGW8y!vBsHviJt6Fwcrde2 z%0rl=zei{8Gr52P<<$LJROIS7jeD8f#O>mKz>yw1y_!L3)oi0yn@pn37vhe3QCc-z zC5GUU3n8=JW(Dvv+<<+apjm!3SHqem#QU}Yahch-;4oFR@} z1j^JO&id?mWe8QqrdO@QU6%j0=UoqRMTJo&D%U7cwK}t?A}=pi^6FM*t5o)i2TGoL z4-sQJl)Lg>^@03A9hb*dOOxEBZmrmwImw)q%oQ0)0wuXBo7Jii2KPC@L!QTf)JErV z)o7G3`A&)FMM(@vAd%KtkxCWRR4T2C2{q#?(|?g8X>~ZttfDLE)jI_z%d-T_9%oqA z%ZNe76R*VU?TXSVD@vs-#^nmRqC&{1OeUjBjK}Q}fW!bG0Z*oaF-cI&hC<$K&|H~~ zr?ZJfJWiBl-7a9sLc+@6R*~8+LFsL|VrAwM8~`#}v3ILIDj}#T^;@bz#jGoD@F0&v zD%(x<)l1Ac+iXK(_ zj5V>=PQ)9})a|q3T;4N;YN(-9xw=yIC-geVROdkqzt+G$)7~q6jv6**+Dl(yfn}Dh zpC=J_=T4s?_Vf25Hb1kSbkI2r)43^7LMf23$d{%bqmK2<63=%OadbgD(5~gvyS_Rr zbE;61fgJ#c@ECM0Y;n>qI6a!CfCS+JIe_qYa~htja7#?5f*sRhINDBF8 z&F;&C=Hcd%W zP_3|>)n+u6$%?UxhbA*;_4BaW6y+gn3t)pp4oT;*Ng|sp7Lij1r&-iu1=gvDz=+=D z)F;R`KLK#+)=V~nJ9JSXv3>`d%|oRMQ5{4T=n_!$c(JSc^)BnFw@SLHKJ`>-p~)^J z;6Gm7*3uPe+}X6QzP%lz@TcEQ*SykF(1#thiTL7Hefe+rQrcnYTDh~WP-x38T|03e zBb7L&t!UdaaZ4W`Ze3NbY%WcnhGm4{Z3w~tW4v5oqqwdxeDBP9W_D&@GjsR7-kI68 zy*9S74K`k97VlsjD^Y?eqzD{vNC~8|A#zQjRRI-RsR=X*;?bl7$ym`=rC7M3o^lu zXfPOwrj&b`%ZU;n@?l>`F-;ui3ZU{pIdczqd7C;M@S0?1IeZ9TMLK@YQcDdN4-BR# zvgj7|*p(=b(jW-f__e8i0PrhH*=s!Pi{#}rUg0*F{KJseLvR;~CrSif-~@(Clt5R- zg;IyTCfMvX)=~R30u6Hc?Kp9Is2{)!9^C*KQ;OTqeJ$|CR=E$q=FZ#L_3X^-d|UKu z)TcVW|Kd}t`tPQ%VneL$YOhMZ@_6Bsar)|7J^crTPw(jh25la_;>tl6NGOaSv$9Ia z6(Zpfdj_A8E->$xe869jxTSoL%q(G+xO#*hvDdpyUZOr97J>%rH{7h`<~;_YGvSZs z?Pp)uezv^9rr#hOK=tTZK(is{WhoCVi73-0uBN4c}yRqhs7!VTf;`y*f>W9$s! zG+gNJr$h^Bou|HGFFMklMJEJ{4jH|DAV7R1d}#DKME3Rl-lUuy-)XvO4ARlHq!0KuVwo z!}_%xu68hB{HqHSlun_hMl^X6;_q6QT)C0q<0nS`iIo$_u{!74z`!;7z|UWK*8ahy~KXm4*TGVyJxxGSLvxg1gh79>eU{vj;ZPmqaVDTN#D`jRvenf z88Z0OsSue5PSjn};0aF*u3YoiZ;lj>TzmChd!qWrDTm$h-cPojKfmqA=h;Qu<}X-r zcKN}P(RW5TI!>_8hFzu&G(DTop4+tf+*xqf-QccIv)@M5=)V?O^ z2Dd}y6VBSHoi0*%Ja~xN1JG(&?jl@Qm(v?FD?7_7E6dAL)sl~RB+)ID@LsGU;tPmn z-%jVsW%$`(e}A|yYaI&MN+v? zNvpd}Q-h&kYrO51)a#AE^-FWrr?WlTUUOCUyXJ=M2JC-{)aP#L7`~>QqBEri(+k=>V%w#1n_`8&;xXieVs=pVMN@ zOfF3JCD~-Gqv5M*vnps<)Hg%mkXBb;6|RatI-O^^3ek|BS?5d^m|;GTsfaUfl14Fcc=YcmJo+(ehsC6o zG=s73Pla-c?D&+z{?XrUGgTH`*9{^hnr=G*n{6ksuqNp5fy&TJ z@6f0r^tj7(!S5UGZX0X2j7?fWh%@@_bYX^Y^uwkm=PG1}A3Iko=D^IP|@)uqs?o073m zG?&S?R5#@+6Mi+4Uh~+}Uo1%xUo81+=-=Q(tvzSn&}_nnk3N?cgLJoO0|UTy^imx~utI6&M% z*&mP<+qTo_0k*u^eF88m8nMAyL(QyIcB!SA+x)>9{VJZ>T7Puj>T#XH0C zcqE)kW(@ke7M0<$4!x|*&{G*4@)O-KQAP|$Of8x83vM&u=ZL{dx{gpdM9I@#6_9n| zERXpi{L%hOK+iFBvDY3biz_KtU9yH0jyN@T22L#w z+z}LnK?^|;Dx=pO=;=3_XrJFzXj_Hm@s~wMW?vdSz1n)p&bL3j(D|p`cELZf33BzD z)90;Zl6Ki&o85cZ`SJ6Ag^Mn0Lb`2%YNzo+t6?|ZtqiKHF6xr*(Y?A)_v=9BCeA94 zsLwNBmwQN0dM{l1m8w`876Y{8K`-(KymemI+bMg!0XZegiW7?P-)dM}Pz=U2at`efEL{%^nX*xnk#sj9v znxdOan`8+0T9V3`b#h+bE3@(;+>2D~w!D^t>ybEMb}7EiorXXRx|IQcSY;b4 zQE3-Ioy59PQcYTr=$3XZ6Y$4|w6$!dS{b2h0%d4GVXI1+w60Xzv@(_isx^ac3^5_w z`@XY*BuHpazVG+F?|a`n>%H&y^FCkUIv#M}6w4F#EB57bLwq(fwEgr@R%1#d-a9Ay z&vFhAc-nZ@aP~ACcP?UNrfgWyIJibqSe(rI8u1@`L-OWZJX9c{#15)bDy;RbEy{>6mgv^%5%39m=rsy7Ft~ zBc-Z%_tQi4JoR`vZ$#s?NSe;)(vg(f!}Ua#a!Vs?xHaAA*w`;)&< zdNwBqlT=8qO44L^m(rO;C0^#-$%>KZYSz4k`JG!fV1bMnE9#=6ZNi954o~ z^`%pAN_bCv&v@5*H-EBlvJ~Vg9{kk@#3n&=t)mMybcw%MbyE;B$c6vBF9-Y#Jab{$OhK>?V zn8G$o@I%>*%R|N5J0p6&D2~?NA5j-F{o06}X8Oj6Xfb_hq*Z15FNb4&OB$53f%fH|0G-Iu~)a%ZmrFs>@_3Q~KUd*1|)n~Xpm_2!T3rPdfM9s_u4YeD( z!z+W1dQ1AR+2WIyOUulD=nrp`wwXJno#t5hxOCJ!V_q;@F$KlE7|u&|?h+3Mb9YEf z%#07lA->m)$4xV4TA5BC7;c9=FLoA@=^EZ;`zP6^At=Ukf`ilE$Si_ z)SRTMvSdc3d`FU5pG>84Rw|XW%nr+x^7({i%9dq@F--}`M3e~RaY76O@y2=4$0$xL zCfm^{W-etECuw(d+L=s8D~Yd))6MaV@oTKsEUe;z@B*LUH}ec{#NysdtEymo^GYd49XaIC^;&Uu=fIgjaA z+>m)l%7r9J2$@PNP?08rt#UBf8Vt}@P=Yi7t)T=_k=-U*0)8lScF14!5BNR)sIHU; z0ua!(Zw%H;j5-i9{97@FwOXUL=ndEFG%VK;(!hljidcr2MA>zXu;gk)Ce$AuMqIiL zMYz%R4JWMfm@&X@$4jpVG7tfyQLi-{-C(di#x3sld{Eg%dvIqos1Ulfa-&|ZEw4QI z6x-6@E~h8Avd&rDH$uM$uW*-%k9^8e7m#lwNonSO1-{=+=%UelqvrFEf33hncBORL=9!rV^C56Ec{$sfpNkl-Bjkgwo9 z{t`&!0tu5fWC!^XSxbIQ2FWJ$I7rrEPa|2T(XLMmHd%DNd5+W z_+|ock>|-m^mqn6E|BeHH91a>kQ4ZCBIF730?rNM?0NEo|FK_nv2k2g_{{n@j@S9I z9U7XpH)#oJYp|mlH><=b*C<*Cp6jD=ooN>-rAn>1)MY%36G#GuJ<@hvCJO^cBlz zuQ@H&Ppk*9&UW}(hNUb$hjEs^Pj}NKy-dHc_E~%BK15TY-&=n~oL{0>;mZ;tyM%el zry|C7`s&rcApT!j?^+*&ofqM`gUt8Pg+zu1XpsJaOx{gbU~3yl4;n$lj0Xjl_|w@6zXC^)l8OwFa!3wQM=M%vXO$zc3ZATz@3? znDHhE^0~J0Yb0bl#^Xd?eMCJciF(g~Zoeej{1j3D3ef;Q>$aXI+J@i3aiU@T4u5mw z@sZyW?R<;q<_ys;aNyQ2_r;IfpnO`!vy~kmvJw@7zz6e-efJ8PR7F{~!PLQT(48s*nE_{j~>u zs}l##R@y<+*s*TU_wc0N&UbuE^>^O$@8Lwy*uvkI9)lA!fYV6h4mykOqj4v6zF=?{ zKAv7QxSMuaKQOq5Ub5aWxR>@Oss?YOU5Qw~FL7()HG?-(sq<}v`^?=#kSI+UF6g#x z+qP}nwr!q1ZQHhO+qP}nI(_@UGmCp;CMIHLHH*qc^YHvmdvQ7|b1L*V+O7?i*yJ9Dk>Av%vy^xs7}s z3`Vp1_xfZxfA3}PuwdYGweJ z=$xyEub+XA3SFO(j&iU25|46!*jmNho#NVP)z&zLI^4wg3BSj!`f(pAgu1;^YfJUr znfAOi{p<`L_}1bZNc-OPrRa7b>rUeHa!O%Z>dU95lxKspmkiMBAKM#K_sH>iU?3_a zwE&X`tn1i}>#QOqpfvmgb%rr{?YFp~vB>N{3y0rerA!_~6RqF9^M@8jtgr zJn>Fuj!(mJ-~KNth-#J{wkQ}J=Wv1_Rwsg$|CgNtjsVb1D9%GAu97My9SBiymJLp-vMO;*R0F0qVj z%IX!g;v1VNqgo*$3-p5*7&nM6HZ2u7LR|J3IE{mc9Lm$2KkLmGQz+Hcn`)YQ%4@`y z4z#c>a?E_7<$bR9NZO}H52WuYRrb>JElgocRQLL=v9BlAMx&C%>tPfPCA8hs|3E|IiRjirrIAo zv>P{7Ek#d1uS}-@sq{!Y<}L1?$(ZmMdGJE@$}H5%rrdU4X)wB;uxo5w@wip>>`jqr z5pF@VZF7tG3?2O-)V8WB53X6fNEC6$%H*wZFw?yWnSou_!JzZnLgnFUUQsPIjA5?+ z489>3+x1s9C;D^I99gIyYUUfSACbGIGp02O0&YGxe_|Y6A-Ha);%q9kWy?((0Sv~4B z)f_&3)Qn>`7mfb3>#m01l6W@u_L8sY)?!|^xUOH@+2FdcIfo#+0i5snc*kYde-584SP3n_;O+vIAXz!HVJ%|ElWqj@x>jlvF@l2Ml` zT(ngoN~oSf7<0+QZlo5h24*Orihe?<*#x})zPv?UnH4k0Djv9e+_Bz%#}$bG>2W^W zmdkuYB?@CYDoq% zV!b}Zl~ldsK<%cW91lrh8A+fqlM6In$!30Cuu?TR9Sqcr>khog$Jtj{*mtckZ5$E$ znyb7CwhC4J~=K>M;n1r+O7MaKCXtC{5TB%$~O+;8kyGNN{p zj<}aXE3|(S6(;39MG5M42FkBPBxUNI%p9@27mu zRxaGgN)NsRX4it%&$6XbY!7{QYIudxwkRX&+)z2D)hMmXtsSswNKyE*PFa7IZ1P6G zQpwU$ehB4v%e$U{i-H{r6ujoeCTXJ1rdQV$Hw+yzglZ7LxYkcQ*1%w8BROxhx=kQy zxftO8>sdQ(B;43$pa@!6B?i05cNFt(XSNiblAN+^uYR4yE^xb_yi4jqNLFwM9 zWOq(ydneNHNDDHROAE;%vn1X-P&CALIp-XaSu$osh=_aGJ9uyqeFc1x^xx-c$&hQb zy69QaDHq1qOGtT<9YXp^ORXA(9*tw@W_x&9uq15J&k$-UZ5#JXCccp?50eKU*uWm+ z4Sk&Lm_wsS$z`+_4@&Zd+)5BtkVsJUU~;zer{Lk1XfxtL%Gbe9q3iF(lMW(AcrF+L z*{g{eMZ!sd3R7;52-W2scXW<=3_jRxwg*V`WxOoqVKZ zbc}R#1O)T|$gV7}va=!C=&jnYvA=77SKkbX+HH%{7rv=it{r+)2z{40FeJ+bC2AX= zgnaj(Nwj%i&fM(W%uHj`n+g=GMMa{-t3)6fD>*L?KBIZ{=^dHRYF!?i>!5HFonx@w zFw0@aVxN}HVwgvRt4b#82KWGym7ec|D#ydhaL+Jsmq$5sQYH-1<-qrdNi{cSrIQFp zO#(uTlQ?Z>J%vABP+D3PyA+5;V|lg&x`nxTd&_;aW+#frQ%$hg21+3lS1@c$Q0Dbv z?H?(e+0dO{qOUjWp{9&$N@9g2k{!qtNoaHQBNS&OgQ?L9nV%A=IMtKhuq{lZEvU?B zd4gW@_Jc~KeG4Q3stvqZ(Gn$YAtrB}qA8Y0^;HY0m&94h5s|7FKxFw9G&#zkDmot6 zAhA1g4(v!_0MdOZ>8y~BwGLFJBhm?nsv1%t8%JJ%xm#r(6*s6L6wDW}Dj<qi6?IQa-Y-q#E(=q(__FxHaTwmZ z5TTS}PhW#ctv2a_a>9+Em_@pVP0WLT0Kq!`FUNqIuBbLGfqDdSu!Je!g3e{9E~0e+ zKJ-mavL-aiv>@U^2)fiTQA=iQG~HaZxm;a&1glzOW4Yc&W4}iT?Tyt)9m|tkTbe z+HkU;h91{yC>>e+HU2iur_UrLEc8d~yib~?_QKk&M8Kh23oMfJldUq^!|)p|hMN5_ z$LMx=6^8@mO6%D;H|5PmTSJKND2>X{Tsc~#zRPWrz?a3A7>5?$hs7~4m zg}29wpLlxV4x+=>SzC^Q^$a8t7`>P&^)4o&chD0qORj>a;~kMV8s6pJuHUM{78uLa z_je$f>-1kh@P{~favhUfA)OFXlhH}VAR`)4$eKQ;F1X2hAnJRsssSsVaHXVv;TC#v z(3L$ZooMSlQvHen?7hM<4Pmu1Q+i7RdP|aB3nG3?LU_$sYe(FgQCJIMZpk%COi6T8 z{7ee;_Hd#x?D1W;#Mnq3Gwk2B7p1=47IK-Re^7>O2W(>uW!{-h1in0ABOl-x;E#qw zEH||#Tp3sOa)@SujApV}x&}BMNp`{7k8{DoZbg1J@%W(N4~{nbY{km&CBC8XMbGXvzTxo6vt9we z5#bG_Iv`PV`dE(ddN5*KxPdlzESmr)E;8Lk@W=IA5!u}-|lt3 z;q}Dg?_qu+@DIe^BYUO#h2$Qhzmfh4Hov$20_z?28E_F}k( zpbbx!M2JzigE;sMChHMBIp&VN3Np`#1NIU zLq@3eI-*1xKRipWN)f3`hr`TEv&!ys8L275?ApDztI6;kl>Z(qs!XO^ADvRYpIh@) z@B&aKNHZ564Pjk~-%dxx%WdhxFd(B;FDg=I_FWhCVHWdG9aubxn{r&7(pW_FX zt>j~A*75}h8_M~o3}dM$faxYH-+J^j?FR6bXOWkK(~_ zx|e)D4RmXDAMarrrJfS#e&H9DDkwe3fHJB~F0-}d89yL^9e~I70x4E(jSR)>T}M!u z9WbsaMPKSE* zs<-Z>u1!p4q_K&NVm0mrm*a7|R2)~g96ZT$w7*MD3cb`gj=Q6?p^S8SehPhfq|A$u zDukjQ4w6t<5&Z{{87`5hGUP499}naryI%Fx(~anhfw@F-s$LiS8VE!(i{XE`y+AMk zE0ca6|5u2Tk%8$K#rxkxIp+URJxd!GQ>XtRJQq_D)BiZb|5cFFN!W}CWIzzueMEg+ z<3q8Rq$d!nr+uqKU)hGQ8(%|R!6@*(yJbI2qO%d;H8dM=BvaJP{;hjO=>8O3%xx5wNcA0{^J3uW z5G%C5f)&LJRTcOHS&3jV-Rn`&cC~SFI}*uLe8j;w5!^O8(3mq)Zfl{T@@__g?`vAR+%Vi9U#Y5 z6O>j*Nir)H6m*m&iU4W`EaQN~|5G|l4Iw#!;T+w4yjb$qRlVKzzWnU*t`r2YB8Ej0 z3=HIU>Bxw`8D?&6hmURwA#ZZ3_k~s<@4F*%(n{d;5P$|&sAe_5!A)eC@p@~ajfbV# zd;aU_NXd8@%F_+KGzq-(5*-Z}J2CU34G&^rQ)^8lza)bg=(&|WN^4XtIbNs}i1qL$ z{Gy14j*l60lKKjK3ktwAi;avkoyydkeilt5q}Cr z!Uh^-Kag|=a(Irn>R&F*G15&yoBN-1XoA7j2;Le|3L_p>X3h|1)zED*EquvY}v-j$rh1+GD7<4Ah;Niu|4OjqL6-61~`r zL5*3pRAe-d#~wB9gF?^LZj+0b=cjt*?haVSpQ2M+Pi-z z+PB{DetErkjrO|d_I!W9d$1eqdEfdWa9B9Z<%WWU2EpO7`3#LI7LNLGu+iWk#w*S< z9vY3xr(u|9qvSGpN*OIISuQ3jn@wf0ddpdDYgjZ9-qSLif5v9F-|kV?8R%RR!R>)JfPrN&fN=*yuQYT zJkLcKl(QIGT0NDHB3tj`*EJ+5_K>|#Ie0oC6>wC#KRT!%#*Of`<8$LP<2cD{+qJIR zpyH}O8VjnZMOU4Yv>67X8Dg&L*QFU?Dl+DEGr-QeN6azeQ`;x}7am)WChd^$*Z_lF zha7tkAzugD=>TV5hm9CEWye>1S^Ys`19u`V5 z0J|+*n?elnW)GoffD)%q@W=qKp+3!c=VoqDvWJ}GX)h2<$FX8AXvu{3oa9mp{hUgB z@};5hDcRTLXSHeJM0Uw2vQD;1wt2d4x}jK&s+7x0wHOc5(#4}{7B6$sZJ~7G(7wXz zo2;WDc%5tw2?y^q$+{|Qqr%0^&(6=x&&bcl&&1DwzInH0w?V)0bba=x59z60KczqYR_)*MGzT|;(e9<% z9u{@Q{>CelCV$(#Ln`*vY$hX#M#)K-*0u??Rl98oQ`8-dbJOmXsWVU!E~V2k8U1rO zcn6ZGAspNvZIc~k4XQBbx$LLl_h;`QR68%5^q#Y1Yk7DldZX4KA;y{v&V5?IYe3sb zh@C3%v=~(rN=p@M8$#Qxz~bQ}*b2h;Lk)m-sv6gRFFSP+np8zx6Z%u2usK-9PZSB} z+asl(e&M{--&>UVope!F^`%NNfU&8GBZ&2wCQmFP@2Eq2oEG8?Qyz5(`mm4}oR1fB zw+USrqsTV`qc`eHYQ;e;uj^DBroVVGyTk2awo1OhSNiCt$NnOIYh8lw?5%7jj8?6(Y;`+bpUgS5nQ zA!m@r%AyYfjAKsUUuMLG`-N%N=TH5#c~p53*^y{IONQB^kd|2Pg4>3u_Zi`jWE)*^ zR%P})-bHXl$bbt#|q!Mh!s76{j=W3-v#)RTk3AqV6Y&yR_ zBKb=s&^hU2v*8Bbr(m~#kG@|4b#n1bxiZykzrFg)Vk#!aAZGlT!4<+M=QQR$@*gvN zF|SBt*t}QI-pjQ=kljX{=|ed6(iH2;bgAuH$hO&h60H}PPrwzCP$ssLHM_EN!P@R> z$`oRC*Pc-)YBT3FGnqUyWur@JTjQZ%3kp~>%WNqJl>TIkG8w~VH+g|BK~U#tyl5E= z5nxe?sbMIOLsX6mM`1$uyrnvIICSKb>A%z=1^pyGtNFC{$_hl{3u7jr5KU9UqvC8t%>i|5ynsd@*$z~ zzpVN=mF_MyCcNXW=i@eD&Id!50He0y1&|T`=mraZ++yS;*>)HInmJo9qOd~y*=lfe z!LqP@UXw7Idikxrm&Ui)r=*>R#48%_afY2~qxPWJMzx)kSJr&iIWDVytqQjl0$9Zm z)({!tnEkB$sWTfKRn1@OP~G8Cq~(`7bo`&xVL0_btXX90Q?Wy#&C3Z{ph?^rwU@vg zqFOsD-7-@&o*4W-(5aQ-G24)NK{if_=Y*CHZlDK`5)G2!TR_Y~F@!;}Y~s67A_g^c zbFvmal@QfuP?;?K9Cmj(y0bfKVtT%tQ>|P5RzW|BK4w)xi&hmc!&mCP6};U6$6=?p zyO*YrdqPzyzB`{ZhV;k?y$SIlaSIDsP5)m?q!G;VJp<;Fdf^F>0faFWCg(U9NLtxM zw~Z#)>?F%m5HOQPg~d^P%LKVZ!;B_L?Tq`lra-1_5M~sb&fRPq@Yh&5`O@y&=GM;M z+g9(+ATRipel(e~-TiBh$j)%&IW6HFaiTMtnE_|ssXl(d$I*K>loERd^6|kV;X2sD z#W$>|dnhb2^*eY%dc(BX*h9N7T`N?sC~?4OvZhcUsV zBSjHqv<75da>%g{{JQ9>p-}%)?|Dd?LWG%p$tN~XK-L4mi;F07b-_Csw9<08q>Vkg0US)x@bQK$LHY&%{Pl@1 z=fqe9u8A3a%-k~c#Fqh$kn1v<&NE=b!Wo8Lcul^Lo6y$UuxF41pLWyCRWx6{ZnzUj znrm#st1RuHYVFEuD}VQAcLNnlm5yRP&mKMcBuGzFQQO$*bDQprQ0VqZ{fa4?v;Vlo z+$BZ^5k_!<5zRQYe~}RQP)rP!D!Q_P1h0Vv6;GOxv@r@_dGNh7F=?33Q!i1mPf-&_ zxF%l!kt!h{)x!k$HBoN=Ci}49pBi16J1MoqPL}s1GHn4gkg`7U?ZE4f!yn5Yhf1&rn za$Tv{5|5^Ba)|JXxPVQ!h;Jw)e5EQuT99VTX^IXu(OT9)`0_$Q@;4U?CBAe+ML#Bs z9nu@A>o95+s{EFI(EM$;VONKV?_wzW*5=_{-?_`eqcZO>bydwjDe8afDF5ZDD@=+2 z?Et!l^QR;k&pk;3)H_4NSH~NNw*|xsCU$WlxvIS1!-gp?Cu|K;i`^4CD(oZ%EqR!N z+VT-(y?Pbjw_}fCA0OwmQBYL#F~(cbOL50_z4w8}4tb||RR~$8Oaf=>nPsC-rGN+f zi{_G9?pG|edm?I9y-4W52PwQ|^E{Ez4WtcNF(QaP%rFG?C-*5xuY+P@Dn;2!rrWAr zTlogxHxkjy6C3uz33$Y?$Lx?)ihO(u_20saZ70tu8dEtW#`#Xds$Xj5Cnt`PcU zHj%(F^z;DmDZ{RlCC#V={3ED<>_bGH|3@K-IP<_WTl~A{QKABl3?)H@HxW8#pt|C8 zEWo_pO9_N5){?wmXc$4GtGa3t57$Pm?$NIe{NdjWt5_rBH{xMwLJV4)S|iR+4ghJMlNsY{UbH zk38^$1WS9|{M6PEWG)hS`d>kqgA=N^hw@#Lw|=f_@%kpY-2M~BI;JKng|Q-Ifi@Av zA?u~e7HJGm80=gpaQ3=ZV%_#JuotOEbye0jcxVms6i}=S^}&&VOy6DfyD${J^v^uCr?jcNKP-YzP1!uKhxbxuERu1l zgm_-3oXvyESF$SG#XV&T7J1jq{DkuS?<7m<0sDSZyTRL*A6?UXlT+KtRatPDQGDa8 zwwEvSVwWJExas%Q3Gu9%)mN&8{$*%jG;C80Ao{FRe%4QHxyC>%utd zV~T5uonyD8$Q#p+9_&VppJ15&(|45)NsfnejIL?x5Sfz=t&ISt)@+f#?aGk#?P%J{ z&Df2Lt$vLnnyP^x0}h6AWx;N)1$hGt8srXBJVMcx_rZa(k#5r@<> zYFWCD!#rx1O=~hUV9sp`dW32zAh?s#R3eRq1kCQz4PT2Xt~-s#SuVkbfJL!%v&KVR z{-p$upld)fXSmE*vS#*RKi*UGN+WsHZRn@~#NMMYey3Vrmo!I=9P#aaT0r-pCiGX5 zdVL+BZFc7C+?o^sH8w4%d6`Cy9(aUF;$_!=RaCU>oVmY!@)R7T$LO4p+>*ISY^Xzt zFoL)-c)VEPNp&nxV!_m*sp9@p)fM?XYb_|?BTTw@y;=6LS@DI+m5P7haGrqSnAaFT zy<5ni&*pw?$n$a)rXTAFAC}+x;+$>sfA)*S<_-Kj3$yck+c+2x->nb&+I5c)uYA45 z^G{Bf`^r!Kd8(M$(x3H}0hWVM@-pOzQZ&19F0W~6@wcYa*Z)`7OZ&yKz)On*?-$9p z?LhXWHMoA)<~#75@=ZI+T?2pZ=eXUl>pZRMY}FkZ!!(AS>JNhEUpHVt3!&zq!s;>< zjZqKbDfJd1FqYWgjqb67HnDd~2cmV6(*IacElS?PVpb>0gxaDYDk_`Q+U^C!q#zQb zM|a1v%*Zua4e3LY3%;}wVMuzGk+IRY19H}>F$SrW7@rBmI=P-#%Eq5iDM>eCVNR&@T3%mD*U-g7|3A$))$c9@#6ad+~b;!-HE`s?XPSJ&Z7k>TqKV zuJ@ae@qMK8J^at!uc0ucx!&%wXOcL(w59B~OT9ZbD`JT|ims)=^pd`J-WhBr(oe-4 z>PkK`{_jpQP2J92k%&QrbYvF5<+SwhuzL2&&z+kf!%8nb=|E@Wzg};0rK$vx7gWeq}~SS;BC*-^l6!s>0SipBTA=~5go4zwoB17 z{^j(C(zopQ;GB7XZJ@~*P`!nJnz&#+4*7RfRm40)loS+)KUA?MQ$U5|po=7DfJ0!= z$mu@6j5@(7+-Vw-2Fu@SP_C9D{BGy?l9T;oV;PES#2ZD3*yXByIxM}Z>i!bLx0QM{ zpLjfN1hKnpi^DZBdj^dIhh&ZcGMJ7z#j7M43?g9TF;Nt@s1moa7%5Sn6d#24`?b2P zs0sF~tQNx7%Y*Df>eLwqWWkW*-LuVy{vK89l`%cJp%P6bp)M_paIxB_-1i=>N<|U6 zq3*B!f9i0&6Ck2Aw&w^+@^Gr`Q7R;G4R`Eh$>HzI6&gcf6!AlSbM62zy6nEoLnKa2 zjM+X}Y2sY0Dtp9bJr85Jjkg}j8TcIr9kkB^xoVR3c)ksZ1P}}IZ{r~G1j;_N{Mos) zt-lOB*q>^ztXp+@PdZ0^Z%=raIMD`X!~#`KWvwIgB)H;27f(;^7l|v63+0QBPw0qD z@e#{lL(cS9@!&8DP$8K)Y~YI21s+KDsTAXMCKiz=BI08R4qv@yAjhIs{_H_Vbw@ls z#$k(@TZt2$ojq1_xT3VAtcjAaCCDpd1xS@LAgE1unv}_pJbD#J9J#-hp{&rXo#p*l zxPh``8cVxEkAoM_u$7Z3a+>^uOvTCLQ2op-(#|Y5MO}FGCp5%LWJ&KDcz7(JaSoMa zb4yA{q_E-}K~T8OdiMg;nJoT#Nlk`^fPv-0>QtuZTAjT1RZn9_jC&X=Hbr^$#)hUK z-1is=Ux4>~XMLp1UfL_ZPEJ4a@=>`ux!b4A!a9A|^j+S{@0Yi3I6GY@d-7HY)U)uYck+nTpmi6z z=yub#CoTtTlIX|JbVz^o5&r(Q0YbflKHd0bcf;SGY zdowx03@n`L&+zoEm_kFVTCG#T!p87Kp9kx^oEk-$0(}srlo)mDvQ2sHA?`VI9(}sM zD=W4tN)|s@8|?gSR2UZS=`r~fmFPUx+>k}B(tg_0vE5AQ)e4!Ng5qlkygX12J8jcZ zz%c;@g1K*kob(nCXBsgf6X%-8h-Dg2gbIBok$6m2Mli=1C1~tX7l7Ew3>X8J2g%kP z=+-pV1s;`8A1l)4^%U#lNwU{y&rI!gi7WVY1W^E?g$T33$*)QeGQSB*O|HS&Ke66x z{bYU9{T|IyXQl$BSTUw)9BbQeZ7H~`G;e1z@=j|jjbix`p~b$&1y0lePR2??uV>d`%=yo zll%BuEA~FdjpJGSQ2u5yr?-8u<{?g}0L6N^{NRBjn~CaN(y3aha=DZYGj<`Caq$Su zw1R6};}n@IZMV{oZnShvxf%6=tDU-2^(Oh#v>{3at{*@EiE+VDfFfRVJ#1-Mf6wglon_{?v(W8oliF?$CSa6n)HGUy;dE6vai(Z#!Un!jJ;*$HNf}lK#BmuHD{OMw)IR% z5~kp45XDM)yS|Vq|mb`7|=2D|&r3 zGTwetc$Y$`IFHeMno>(F;AJ_GwUnrrU7{TxvT_HrB2t_xF)5($VU{e2fsJ)on2jXo z)+D2CIN_hj|Mq|`!V#%O}S~zf9NSo z_-btPR64j7QOe6$*x71)eO=g}@Y=W*U&70Ga}u+=o2{z^jjER6%jf-}FbL=Le}1dr zlzX5Uj<*^LHrhJ=f*B-9<;N*I@uZ9*<@qV@oCwTpySeLGZl)ezkkYekrq-@ZuF{rB zIgZ>2Hzh|1b0kO#>RS_VkcJSqO;AGL2uO=_04F~wgA5HRlw}Atb{=a(UPv0;Lof(H zPUSE*w~`25!QJ4JDH&1Q)gF&AB;#`5_5QiKef8bF{hY$7A!;Ha;N%9QIrmy|Gf9R_ zX3*^KR7DsZMuq4lQZYo3`Ns=pkcaFd**qWpzIy-mn->BNA4X{h=v5N~ckg){7IHZr zDt3nx1^qR~^TabADx&|!V;inVNy{6<8|{mF&xe>}mV;LV^;@{lWVgqb-YRE9qb%1f zM>o8?5C4Fl)T6&Lyk**BjB?^}ASm5IiNC(V*%NjePBc@LJdZ&!cVx0j>aRmPg~Ww= zNkccY%$cKP8xPjf=7c+-j6``u1fq0Ef`-CzqHRUf7Mv-=O+;9_jY(O3U-fMBigTCs zG{$pPx;por2MJh#kgKf3YbBci zW!sPFp!8zmq7ex`suMBEdE!Y5JKk`PNxKft*gwpKpo?E52VjUI4`0jq^vd~o0Rc7sA}`J74@@LyhN0$uJmt9Pv@0KXML_%N~B%m_V7;) zysw7vel=c1f`l0ZIIw6z&qgS`;{AEIDWKRQay~I`1UywCJ*%3+lUQiBcF=cF$&KQu z>LJP-(ot{!qvA$(H~j0*2XgFE@j__+rUaD2C6(K3$Gl>+;ju{3%hDX*k>=$RCF-XUYZ`y2Hg4DDmJ+Q0rvl8tZU3$j=I6`CpbIiXT0XgrbKQd4xrhMY_IH;;%R_H1T3~dNhkEp zGGrbo)k>8d$2i0*Dp^EE@{vHVf5v#Hocy#L#99p`Cm>qnw^i;^Syi_Kn}=kqS62c~ zLIj6Ae=|7ea!o9qqz3<7D6xo20!eW#y)hO{j3TR6J(sNpFcQ(D2l{kD3{Qcix!?v) z%HLT097PsFbDLvP;66SI<7N{J3oO5zOKO2#42tuNrsan3Z2F$9Bw?}?J|@$GCQN1v zM5ncYsdYk{fk9WjvYVH9p?f>BP{@n0q``~@98@(Sq9JP)ObL$IurablE?77xs!ni} z*||d3?c{M8HA6OmDpy*7f_0AF6|qA7MFZ%2#}!HfQo*sYefBIICsKr0E4pBgVgf~C zfx7s^{{=%-^)rT1EtE%Z&sM^)TS52)0_5n~$kn_Q;+a3+x=`aB2Rk#=TJ1&@iE9jq z0otG|!V|zlL&MA^Gm@!JnFDg)x!dLDyj+o4`+9|RYu)L7b8)lN+^GF=J?9j(!|zsg zQTge5djaR5jU#|tpOdrZU)vkf96hiBMwp;E6|o)G_4|&6b%)ahlN+vnn0hCDuD-tc zv1t?gZePOv^%G@j6T(i6PT$01oin1Iar(e|V)}*ksOD@eR(M<`)4AY5cNlOK@K0(4 zH8QKM?667=20*u@kD@3*t~h5Hb(lc`8WRQFohWKr3napbr7VATarInJOR6#8b&VrT ztuGu1n_-SWLrR34(rUtjQ9G)MC#TJCLgo<-$E<*n(O(c4VnqBeXNZgp;ei@q_T8QD zVK)4UuUbY;zKqI?`(=l?mw(G4b6(c88!OA!L0^w>YA%emW_HX(I_#AC4AA?S->NOA zqYd_gKYjjc8gzVmq^lSX;xmk<%1elsE=7r*V(x?QLFchD)0|crH^qe537CNb%&_A* zCQKt}9%XExL=Z4SyQUrXN!bHLIz~xrgFhr0rzC|fLL{OoA4{^@O$Wquc6?JFp-~y@ z7J~$=&CUed9i^F+oSwxif|#KPH60~g$SUdJcL5&1RZ}IN^A=X^EDZL=DP!*Xpl~Q` zUMCoWKjr8{TRdds7C!bE5dv&^qX$CRj#nGlw_A>2uX#+jZYv>-Ggf?$_dWeZA6XNH zB=#RLf2F2HTZmZe)GJmbvF@CBhDow&QR=YgUTjTbUEuihfq_0GNZA5w%P#S^t$X?W zT}BcZv+-1~6!|hZwNUla@0CWPu4QD{+s7_syN98HQyQ!+0joL%6bL0UVhQXRO&`L< zWTUFOR4i#>i8?A@pp`up1kpB}F@Nqws46K^(UCDTr2^8@#K-Z(BUdFcCr>~^tJ>Dl zt7*4$&retH-0vP;D|6>=dVU}^McO#^py|I(j0y{}B+Vu)Uot*2BqRB4xXk2WjC71^ z2c%91;kVi}AZVfPe~7TZJ(modIQlW7$Zt?%yh+W8$FP%OaI5F`UQ{|UK)!j3h&=Ra z__7`)ZgkxdS&cGN7QRCpV!6p|FwUwPUw&CnQrB{UaHgab zF1`#pP=QL);aY2l8mP2FI1(hnZfUhz4Y^L#K^4UavQbnAm}p?-8RnL_(xf@OVL5!? zb4w>DWPwnB!@Yaz?DFsDr+3vc(;iUL`Cen&16Pq9>W8(<=2$(VDz=`4D=eeL=PM%) zjpXb7$}cw-XDNI>Ei`|TG1jb)qOh|~xKH?yV3q|NV&!6L zZ?Rc;iuZFd5CC7-xvuo~9TpoFRx}E}Ud+bFZZ!n8d6RvAMxOI~_oy%RL;T;OD>e6@ zwe%koup*OLX4aF%_t!{l6>G#o`}~|x9wY*PxR;IOlopZ3X7T3HX2EN1Eaa!i?FgfH z%iPw@!+IY=UGAca6uE!#7{T=4Vx`}FaDD9iWKX_8>+1lbo-)nK{t;;Uo$O4=#=~8U zkv5y7$d5*=S#QhG%PPVSc+RAes01&!>(KZk{5<%MPOF1Il0yX{;vG(=R@d3FCwGWW zG->O*BE2$#i#UMYFAIFGr5{-l6#c8w={Sz=>?gLI1$YT0)IbQjz!p*kYm_nJMP-)G zxp@3oB`s>OLX3P zqSLNx9UbNFuI=@naP(KWhFWWLak|y`_kq+$Vh^S8)N6Xzr&D^aTll8hNOc=Gdb4AE z**iCu{>wQK_Q7knMbi%^>8$+Ox*hPcDu0Fg!#by1Nxw8bGF%6s-B9ZJmv z%aVOb58N~gVUR<~2*C=X!oO$7Q)jHORvHQ-;tDUTxWWMihOFtuwU)Gw;Pigjo&n(V zG2jYFq$S=OA;p>jE4&sJMmAfjiBjUS5y-426cz$Ip2Q_>%q)M4KybUmn6OK~w|I#i zUdy(KDK0x+tNp4P+ea-wV5gMZ?fKq^&B0DC#-9YPbbs0-hT!og{5UGfFWp=HxPNXv zl?DC+Q$(7~qudd|@Y}Ob5>gcf2_JcSJjL=nXGfC-N1*};h+fTHXGESg4s-vO+eM;=2k*m0=B<~QCJ8tb48-Xs?x%?px8vkNfT zKdIvZ9*tAFfl|m``hk!nGa;9JiB$3mYu=jYjy!lk;@4i zsLLLM+vyMgR;jFvV{bZ;4`Lb=5MQRaXUr3(IP4 zh(3S03!^B1X8TXDt3eQu|;lA1>Iq7~3LYO|2oGP8vOaI<7irNlrW3UHK62AwPvjY;A2GJyeE zkcYXC@>e+r>S~J37Q6K{BUIyBR;>_j))-gISEJ7RKv<^vYUqToE4kvTwZdwp&mZK2 z8hW~}Z!t})l2f_S`MEZN!E{L00vkb*X-n8DpQC17?|&W6R6i@x=>M(=CLmRTV}yxg z^}g=+rBmU0eZsG}w;Bx{d`8Dq_FI)%LXerx457t0;d{IkP9xN3= z|Cv*J1J!M8j@u^p((%*(gz;gSxU5QGpDKkI{-!yUniEx(A8JG{XID3q`x%$Vt`2cJCPwU?@c26YC%#4BGjOlG=0v- zG2Z;!rd-?#>O?2l<>n;vF0k{h#^eAW4+r0&NOW@AUS z@qMwRRQj(0h3t>|lPNtB-=qS4fR)1z-8&1|cfCcFM=ZOXPmHO&Yk4d{pn^<)sm1k~7*N{rx9TgrG!N<$_DppDcek7Ms8im%{m z74cxvgCxQc*4!=r-qAoMvMmlhKbUYQlwN0hW<_ zpCxMmx)WvqH53vG4OTD1dXog^3Ryc@Of2>0e2reOt~_6ojMJ=p+a1<9*A~h9NW|Xv z@xK1mSPnEGZ`%fVb?Tqg(2on*UNXpkM-{iXzg^KMe)qC%F}!6lm*ad2U{EcwgzY&&>Afo~g+D20V-bm^mMH@Xg&7m@M4JQ2 z|Dfz0pe$*+b=~f&t}ffQZQHhO+cvsv+qP}nwvFyGPIZ4jzP;~%_C9yyh?R(l8Idz1 zbJfat>Ye%oZ~$i$ApjKwqMHm~L4vDe-N^+;42DpLw{=vwxKVQXq*xrs+5Ix7q^8bl zfnzWAzQ_9`T!;dbj(nl3Xl8Vx*SY>UN4B((U;35)vRU`W2Qh_x#mOCv@-k48fnuQt zho%P@galSX69664SZ9($Ns7Q&vIvRTN+U^bu@Ub?2p?YJFNvvs@*M&O*OxXT%95Kz zaVT_Eifo#ICIN)aP>$HF);DH5ZG%lj|x$}x)C*MT32=Ovnpf!Z~Ax2@P)bFUEKDogKg zfn`w0!@cMsTTXA&(akAV>}26n?Pv>l&v(7%t7UG;?D-czr6B3kQWjb>FbQcdxz)$b zie70qh`We>Bx}xbEow?dCCrnG^_G!fxmmd_$OJe?SVQ6m`0q%lG>?{C>@fH7nY-{O zlkNcNB0*V41og!fqlWU8L`WB_5jBlr(skSoj@%jUgod{rWXK#eXvKl)z!V@A(!KJN4uV7}(R} z#RbyIcR9Z2kBI+$PK=uP77)F_W&9@HF1^}1U^{tw@F;RfkIQDgx-$ySAdH!r6xhoA z#bK+6y=7%rWYQVulm;VLFYrkN{UKaB_}mW$HVCpNS<;;&jw5sJIY5#!xK+(dbHMTj zuS&`J(!IV+Uom@}yE02Sg`~FTNedx;or;p)Gu`zrX(cLXZC#9=6nl+NDYd^Z<%H|H z#nWgUb@r@!l5s0#rpopGGb9q{gFD&;l7(%>uX09aSEiI;4PlOrL(#i()>I^0;*HAu zB7vP%3>!9hsv>#Y6hbQJ6x3yy?rtS|9#oYSRoF7z#J8Itw&Bh>nWzcjL*}3F*iK?T zL!-ymBNG0|A;o{}NVGkMcbtdE(tL1}=pt6dJnS&XixChcfUBe_BbSh-LoKK2__=S> zvca3pv2gKKV%BFZa2rI#3RP@eK{bW>;DNjZE|Dz8a!0{Yk_@Q~3(^

uIHpN~c6;Pf zpKhM@=Sy?+Of$VD=tjWx&st7%#XOEEUcD+!h2)WnQ<3zea36aDfz%A~LQI}yhp#Kg zUwT5g>Us8{fUE&Ds-&fN8H>E=}9jE$Jghhx8`Ba7i*ab-Mn(tE4 z9|=S)w#Jo8wd!C3X{PRG4F%gblIKg#!@N6~u1#~zyDZIow?+i*uU z%(2h(tp!SDbO? zAu1Q~q}9!+Hl~_aI)9BCoO*|jrt%y-cJYXT2R!v6xOnE483d6?@xS+wkZaZd0O3%| z6%L`UK@HS^GbtVG2gN@NwY?xDwg75&?SYuMVV`c|gwj?wohq(e=&7Q7Nbx3+6=S_O z2*1HU&0Rcy8Rd~eYPub*$_~RAsv0N4O-}9)KUTVjPK=M0WaW?eR*L*0vB}-yTX1{y zz^Sx)8pqqEsfpvi8Tfm|I#7noKuc@PaV`Ma@5fs6c0xY)YU{jv;W+#)b8e7oFyADj zBVikX9-wxvNzUx!prGfd+m^eD#yA-j85v`@rAj{NJu&*zPD$g8QYA7YkT69iNFb=E zfHFoXeld=;(Mgj=!Q%us&N_;YRLcB=o@yjwry{K*i8&vQ8wSR4`Eq@GQ$g$AuG~Tj z<#ANL>CH1(yO-mhH#>f17~?(bPeqJLe;(z|&OjaOpWTlAIj)1kw-L#77C=J*_r1M7 zwS{-f2GQ!|bX81!HRg3{2*U%&e5H@=B;TAuuNi^ zz*)ut&MqizjHetkdsD|YsfuzZml94&bFk2MC=b?K)#&I04ox^n7Lg*(ZgoMUULzEpEVJom3bn@`bf z{55HDb!tbRqb>>D7}uU;is&_;5k8}$TG*HtKPLxp>ToO6N1STgXxeuh2Yb!?a16cTiO+w;7JI&l3LBYZFr_>FPu-#0i&f8it z&bbQ-BxfC{v|GJ6h_x+AV$VKPeAxQaAiVJXjoRMZ3z;8rbQ$}k^{aZdhL5f|zg=TMqy zV08i$mJE82YMw;O5F=fnWc$}$@H@mBPlWtOb9<~oy3T0)vQ;;fIpE^3%qjagxZLZ& z&9>y0$5*B3WGpkZptRuZUA?hYue{4Cl!U?0Zl{{r@rT5n0xR1IGWVzJii!2{Y`l@swyKE$n%h#0d-QlBnp40QzFNn|_th zc<3_gw@TdYI0x{qe%Gh1do)&v{HHjVHX?7#(2v@zC_QQj$3f8dt4IdHjO4+&NQCgAKdo}@_qeZrmyy`;+?=&y z_M`(R1F1B<=~UqH!Jw0V4+rZKqB`4rtTGPnqOxVv?jyVw^G0Hnow#l}uFt}rFff?y zbyq%6;Uw$%*Hf)&R=WH_*y2sOie&vMfx)MOH8URJ<(4aup|ki`IeBX>r0osD6p>FL z*P&?o-Nuu5{hO_V5FAH0`1;nziF8X{ddVCemm`#sdK}OPkDj&cwmN>E`c1ybkf}gH z-P_*0pH|bZG|QHTYX*I5~9BzYT_BPO(j6r(GRO~y*cQQ^fpqj_y3HOwjiY<2X{tvae-DMUe&!1f;>iJ-ZZ&SIKUv9wFiOxM$U@5T-%s* zI2`7^lzuN(FPYfqkeuSBOyv64VQKKo#WM5#n8OFV3eDC$F|24eF~_V}No*YavL00a zHE8CPA7YKIv0}T%Na{;hg(qB^)$DIl(H|*TYvR1=PKn$)^f65s~hJ$oMY zpKui}NGB_Rc;cTj*&a?JgQF`9#!tUmkbI+)Q5MJD^`|Mx8Rmp@A3=NqxHmos)U1yy z9FhT(&mz1U_Aw3R)Of*JS#jtyUeWtC%tGr{`8eE;JL4eNllaOLZQ{*Z78omYC-bDY zvDIH%ab`Jf$DhKl*h8{2G31_})t)iaBOk448FpCwy#NQAnQU{@k2I7@_jhN^Bc2Wi zy)y4~T4c>A1*F;(_Z;Vnr)4RYa?Sxz-M^h~c&6LQ@co?lIF>>I^%&3ftZ%K4p@lDJ z3mioM0PDf+QXjY}mjDT4=cuU$FyL~HVIy}=u!AjO}C9R0A&ZO9Gc^FTZ0y@W0C1YOS-l5B9b>CE;v1F%7jKc%ZSyuMy}A2L z{%KO2V{UVbsc=f$yJL_JDqy_hKU&Y$#t8MVp)}7I_)6=*w%SGI-;|ARu}L0I;m1F%M2_qlO6P^@+SZ||-AQ+Q zbt}muZWv+$`wIFC;P#_;{{Ra3-RcuG!3%6>P1m2f^o^Fay=N;#t!OTq;rf4PhzfrT zQ$DYR=_GYmyDk*A=ITDawc(y2efqW0;~U81rUiRM`u&EALh6Xkk$|A}KjB^_ zsyuUE&msa9-IxREo-4A8(#Pc(rSHl4lt9ndrENvweNszk=Z+1?<2cR_*0Li|TmU&F z3iZ&Y3q)C^3h0Zto&e&yVy(C~R&o2)-Fzd}bUiD^5I>1S4L(t^+SYQF4X6Zunl3Q2Cf zVxE9`hs@{(O>TM>U7*?Ko-ETZn)d4C#fTZQ8#x%GL-0M8)&gW zN35J;wJrV>c&bCiv{-Mum+M$ABTtg<;@TIEF}cKVk_WA1ua7)S)N1!6AK^I0eQGQ3 z8F+&J+2Oj?CwN$GW!;R5Epr)rJ7{38IH#(XeX2(_7jH^%`M4jII~>ehXT2|$>~@aa zRfV&3+Vczp(*~YEi~_Vd3gZ$Tsu=b6rbyuk&tGbd<~-okJeJ9!g}ai;Gcoi8R4%yV z8K)70oYFQ(;O2x{(_-#|L+du+@toxPS9pVa=aIOa=hnEd3!!at5O@j+qxH4*BW&*L$t_ zD(=Tkl3%qXn8;wGkTG6mcc?a#8(G4v!ZKNaJd>WarW&jg_YaEBVM?`?2cgb*v6okBeAs`re<{d2ZZjm zYf(R#u)^KiyE}Oz@V=0dT}))>hWsm-@Mns~pBmU-4BP(z1CQbMuG>@7E%mK9##j|` zl#zMC#Zb%}`&oQRXpbCA5@#sqsTFB%?YuRo!*6*z%Kb(?4N}o{3A8EKP1QJqIEGws z2l!^SJVl^Gt=f5zO223vqi2TpqTq49EAbRMydoIw_Tc0>>rU|QtF2rlRyGXGu?!DD zJu9BSvYy7};J8ALr_-%=-wyQ)8+#dT=8S=KykG(e8O0zx*IbtBZANC) z0T(&>vstgwyWfenqC1E#K>4H_TaQZMo2L|jTT%p~y4WU1C}CyVN6a&VIIc$9{bw8+ zx|0Oz#ZPLbRlzj6mk24#Q@7FGQOHw?2s!#@x;t4hjiUpD&2!!xwubiN#r(n%@~(S> zitXj_``e?-X#RXl$x-)*y?A(v(N!$Zy2-H==~7hW^(k9_tSs0$7D^RFGAd zAdK{{dGKF|r}0OFTJvf{a;od?yaUMmYsM|U7;Co|3>Hgegt7U{azDq4^(#lyJ{$N# zOG}%dK34?nJy@Q&745B$#wsTnJDyyAB6y}5-~J{~x;G$k&m32>{4nt&d_~bO^v@An zM=RUld7E>%9N^=z;=XH?`Iip-Cif%{Oq%*v;eLl<;2#gms_I&bG`C2yISulG*bl;~ z*yn_sZ1SnBqtLulf2CYYn`D`nc;u+h71dpMFYPeJaUmwsRl+))gYTbO^Y0z_fiUY%G^6UjC04!=xe9dG&nDz*?GCpbT!CnJ}B2Ubym~#^9&4dtiv@fscqsZfykM+ zb@{)}E60^d%2#K$p%hWqd;=cldpQ|gbG7*u<@(*Mwt9=(+@VJuGu(RDVLqecofW2= zWy8V9B1J5t^6B-g-Dg^d#MUFugVxMtku? zW2iL640E@oDF->PQ2Az$R{ftZ5)T$#+e%mqh(ixUxBmdwT=&Kcb+!0|s-lcHVmhw5?9dYgt=O1G`L>Y?Uq59!Ry-<(0J9W`UgD960~8K=_pFahv?LKtZzg{1faLb(y{-gVY~pps(l-D->%<-( zJ^%w?smS-QU-0bBHj`^4ed7byrytU~u*~rDINE8Fwihe28RtFdQMNuwIp>;|K*K)g z64}af_Vq{h_2tmo&dPi^f|RTCP07| zi7}n{6~^gLZqIcz$Bm>zj?JE@+OkY+&7KBCb#=oLj30XPOS@*b*KXjDVYg@%Rez&lN_|>*ipj7vnjs>-;BXUp`u>&)Rd5`BDZsH08%U^GP5bYl_Fwk6)Qb zts9@vQ5nK<)YGtiX#wxoc2@JDUm*s42Q}y3HMEw@M;SRrY=hX0SEac)V}Q8to|WdG z5cN1NH3(vcK;a|#vCk*9a%FB#uaWZE%wnirZgO$QB$5SCPI?O5w6t4fJC}6eXK!3q zR-Zg8eF2sBrr-(muSeB1n;R)DUdH_|WVll8x1x?e$ERBNt4X~N3ju|NTgrV7UeX)O zrVq1fj-d6$N3LoA05ddCD8SvEcl0&sz7z1&_m>g*Q3BGPxFf%>(zkqN;7gkuCYh5e zR}SgO6%dyx>-#7zk>EBLHy&_?U*0Jh&siKySM z>swhFG53hTG`E@-p=O2Vws51aIj^8?Y@)Y_EHSE#akik;ETWbcNh1d}@*nGCbu6Rq zpHKd+!-9oUt14Tl1t`tC2}&B_h>)K_X0lhahLxp9jKy z3s|w1O$S_(X@Z@hm=U|&dRJ;_%j(lSL9zuAN@G6Np{{9HdM2echNBsd<`aTD5$Y>B z@y6b?%$l~0x-h^IU2cnPuvkWnGq@IDKZMs4X(rjw5tS{-uKlFO-HWhelhE|9I~?U@ z6YlsI4SMsb*%l)iRRDWd`G{$j>XIO7-7-n%0Qy%nk{FmsIs=>@^|>p*_OyF}G01~y z&Oomd6YV7>2%l%2VkHYhWO?_+EgMj^md5@_r27=(<#y-|dGwo$SF-#_yJqo3n5R3k7~`lk?1{O+d_=fmsz9qQ1aapI zdJ=1#w)=d_e$VGf2PbYw6{F)eR@djjp3GsCq zX!Et3uoUACXJPYltC$v3JQ4uhCYk~Nud9F81jxsMZQ8f};cz%qe> z_p_5;kKVz;&L4_(^rl15BCg-2es)M(!c^=rtXcUQ7tv4|DXzJEIxX zaaU)X!5cqOv{k6%Ncv>AOwvgrs^zi$YP6G+%JimlwApg%>tWa*e%_vyMWimoo@s~I z8L1UX#s*3B>r(8s8joS1dht>K2m+j9bs1>iVBnIbnlYX!#iy|47Pl5?wy;oC_u%HD z$LCLB^Jasb=M_Yno)9hlxVu**M&FfpQT056Pj2G4e+xgCtSQ5Cj4%y$XfpXccl>L~ z#s2^h{da=D++V9StS!yuUOsTkP)zP z=y;kZ>o(HfM=jI{l5%}>#d*b%^DJh$VU}&&dy4DI zBY54j=W%mUr*v={wvni5_R-0xTFD2Vo6xYv<@K(3kD9$Z;x~xybFZk2=sKLG0@=&+bmE+RIjZg>>~aio zf-zKLV~!1U-Wl;c`akYw9(Aey5>7|bsYl_>P2*-;S0H<0l{`19I+C`}f!95$fzo(~ z#X4rCV$pdF(gBogat&qpZ^e^oWW{nk=z9$EwVu8k)Yxb2%sItKJS(dI0MD_8rfJ{sit1wn%HY!i-kIYoyIV-% zD6i#=4EL*xMEPElV7t;QkdN_%~5+;81gcNuVqEm&B9nam13wsEBs} zJ!_tBMRlv-tw1mi0M9i){2+IY$C{w^^~FezD&L8QO=~Wc(gbk8d4nT0V^Q$c)}1jD zm3_RNdR6#Jh%I@@+k^EK)Ks3OsW_{m_kjfkFl=5NYkF;V2inIV>vQ6a61oL`OLK^_Yr#ut3rk<;AwtA!adv-tX z0*-(hu4g&G86LgrT}J3#>JK0oO`tE~RgmQ5f=A>ls-4tP_S9o3Vb?zPAcw+!B)zzI zx7XGP816+ZK>+sp(#!C-R=$-ayw_lsL-(2a!2Iii@eS?dI^D{BqD0!*3amf^s;&L| z5Cz@N2TVvsd(`gWkH@k0jd{iQXQvN?H|#!5Udxk#$2tE13Zdd}hkh&3>|1$~{=z&A zLgauy-L4&VT}CC^x7RD4f7TL3dj9~x&l~C&I_l^eo4wQ$p=jiON@KA;l}$8pu32b! z%YwNK4ngD|2==c*_W$_@5`?#bhE#UVTKM_l`)wP z&2VY2^RnG{6W{*;ty0OUUb+U{{Bw}KJ?rNlDl2VIu7+JUuJksqRa+r|Dn}sq73N+u z@a?k+Zmi-FPKwNS^gZ!iCDyBX2rSIR9B|86TE4I+)9$vPErMZ`^dsBWw!-40IoTZe z%na$%Z*+Mc?oJPUic;A%12}0|r`^vVN|z*zfrDRCmWcf7qO_UR{588aemT*l*}pRR zWDiCduR#5yZG62`Nw@%mBt;M4fBN<1ejS+G>-tpS;HA`gKkzE{&)PBrCWEA2ZQ*4q zSa!%1*5>fmi${RE{J!RxbJ%fGay_XId(~LwE0RGaP7P4Kk)@QgoxPJt|beR`(SZzbb2rzUS8EGQ1ideqoW+QXo0SKL@vJPL8WuT%JEbS2PYNuPe*%0_w+d)Jv-7Rdl^$RfL6hDFlS?g;?}B-{sl8tKF6 zd3mDI9i_trpJ^W{JQ{}jVKjR&xrYne9`$la(n$BdNdTNxSzuRf#kM$J2shkU<`vmFjw)mvN-s8(YLN$r$^mis1es!RA^lio1FBr9Dw}9jYUWQyU;Z1qQ zp!@G;Zrg|z+TAyoZ0v9s2b$s~1)P!4n%@OUMK+It%56JSxo)3=Vy1-x0nuX>#eW zVW8$_lo0bs01NB~t$fTgj!h}$x$9D>MRhwKV>YdAOZuLnCFJhx*=9L3{R}GI650E` zD?Lz?g#@;E1Cw2jf#ID?QCMV-(QVa6)^pXV&QXMQIqB1>UV1B&>9&jZg`BoeP(2ND zJ~h1abvuHft4I5z-;rL)q4;iHHWn8EP0mLg0bDP{Zwt)QOLbvu@|?4(_pd!-6Lvn9 z6dYo%jJ-C(JB>ctaSp=quh5@befFODHwAE~7{^N7(Cs6$@b#DWwCQf4I8eZ`A5PVw zjV&pR}8iIrYyq>wgO(38e2EL&)80$WWcx#d@#9OPpy+jB~NE z_*a3%ZT(k6*2ow8N67U(K15m6OmQB0{OaDfX&iE?iIk&`o|TDjCr0XjF;@(Ql}YQ` zzdDqXk0p<_wzs*7sM{>cL0ozt>s&^wsA~Qmir{GX4Gc2;(khJeUXwI?m53Y-}2rDIhAx`1^X->2&MHtu-k1J{~k@O0$Ib zMn~-rc9VF@-ULt#FmikHe_Hag2LAw-yHAUkLhs@ZsM#MO5rOChab%D(Ijxa}XL+)F zIQQPbpmV$*!n@XRB^l)V*B9aV#JaQ#k~zu8rFPPQ+4*q8jEeIyviH(IOyF8cP@Ma* z;HiwRGm}=V?pQxpIrX-#3&$+Kclfs&NI13Y~BePV$@P*hcV6#Y0eS1|TLuZ_<@+iS} z8RxA?FK$$S$Hb(2wRdO2T6x`*E(f8e#o)U+h{MXUo=-WZE@XN9k{4oFlEY@`d3j0g7sP9-mt2S zZaFwK+kXq(i{`VtV+7dB#->wcPkF-dXG=jwag7+!O6wOkDnT^e@71g?h%R;hSAj!s5^O=Wxt}E9`Ma zDX6=!lDaq#6xzL-MFg4i56pX3uZ65_uMt{%gA|K}8+h&d)sKrBHTIFJBp1PVr^>|} zbz|wvF^)C2jifApgk;wSI@L99$I#@sc-2lab~?Q~Nz?QlN=tz9-Q1p0vw%OwtX|zU z`d6xWJK;Ufr(`d6%b)D)b|dBEc0EpdRoNrXeA0Rx7M(oBqzyEMcJ$n8YncgF zX(VRb*pptr{{RY`K$azsM)3m4cKHEafgar}&wOv;9b3Ze>8U_WMByh+QK(y-^(oHx zdmMowi6@Tq@s8BMJ$qD&K3s}qGe#tg&wu+{uS^=j++c`A_-*& zab_7c%6v1?X11MgZsW(D5NN;M&sz1{UW1N3Yv8zxHmmJ^uT9x4&#cNa_$q3w)!Q;} zbSoJlD%R_?_5!@8#$FRk0QXiagdDOC!oAMraUUHB=QYdg(JYY_Va5+0wdLh`t!y<^ z+^(MIql>^&uM0J)!1xp4Zl~gCKG%B2!o-i2nR3iMaa}R^MW9D1j!zTe!($ti3d`|N z#2s?aNlhl%(kQm=^M~HYHRY1rPb2S=Ssl+-EOKk~>NP#A6w}e48(N$&^Y(3Bp0%s| zDZ230#CoNb+TQ8v4(IN^{C2E@yH!{McMOW_eiG_;m;V3@yiE=5!^;(^3m)^5tBjiE z0SZA;kb2h!EqnLsex1RseO5_#1Y?Cfbjhps+O@^H2=FD1dMp0`AbV92$<8tLp_GyG z<+qgT;>i+;^m|fl{+EVgS^KN{p$%ZkFnFBSI;@ik)mfkye-cyEb)%_9_JU&K7SGH@`zcac%R&jCBouo2+ zs(NwA#YZ&k@)hH6QP@);K3qXqUTIC?|9lWtAmTixn-k*hC)AiV~x>A8$pPM{m zwN1xjhl*ZLwh%eW;OD(zTM{CS@Kh6@YUw;rv4k_mbGTx-*zZlej}tCNX{qwNl%vd! zuSk?fcwKqM2=uPH>r=S81sY9}W9~=5t`|j@&tlQ3>GKat=#gfQ6yA)g{STCn|%W-S=!2lDAsh?$!KyJnWh*>bB`iTod7 zt6ZCj^+mUH_mtwTLGaH_Z~2a>AmHVI#d3Z((}ZF>=*5{2e~z+eejC!>`5h_ryisRN0!GdJuquS&)Z~i zpA`IBJXfzZ%wd+=5K=7n8S7o0{kdyhCTms4+98ZT94Xp4`d5}~I#uqMG`9MUw2(@< z7;%jKE8MN^;+Nsah4mI-A83u+rgo110D!K1WhGLRi#l@3vW0$brlx_X#cv96y}-`n z&P{Y7ep7*7RjK?;vC^OH`g~^UbvP_IP=3AZJ$@nhj_Fv%W>F76EMV2v`f5q0`Bk;x4HjMGHaL)txBfsTZ(ZZ!QCX8_ynW}9jdE^c94mmY#J?e~Iu~!fs zxz9@X;(R?#$>D!4Smo#UZmo}@ucAIPt7;z$?Jl?qra1}dIRd_PKXHojfnP^!(5|KM zF&SShwvn9YJdATxYe!hgA3CgUjP%b#NO)|XMJwdsK6-Q%!_yUPX8ipssol>KWt9XNl8YX1OtJ!%+68y)IY$s-jR8zo^}we~)vD0`xAgWi!( z2R-RC#}ukhPfFxIgr3$z6oI2SHP-w-xtVpj_pvJK2*q*{FkJ5GTRsiB^88h9g|@7K zjGj5{D@t3OIP{%6v)K19m=TTx4r?~}$rJwodM=!Gsb-2-W@b4gckN0})gs__z^>ai zcvnS_57`Bh5Nwu>0Yn# zB26wmWTuK!1w+VC<#KDywYY?~Q;9emNuzW$?Ih19fwK_f+NKUzaodATMcDG8;gydR zs-zQ;aqHT+TC=hBG~YB+0!TR=W|MN&jBZj&{GfhS50pIxNoS$>vI%VLrAA!j3^3?L zaTs%+4SEm63!;{ALnZ?|XWs(2MUZDXT>iDym&wYKaz8xH5>?tn9$R(CG;L$rtQioT zm;(Iq@w~OR*0PokiuU+wA zur~3-XCYyd$DHG(c^{1YHx8z6O2Ud|4F<(c!jy)H>}M5rN=vO+dH zoN-POxF2^ldO4Q_D~uX)$sP&boc6A}v%_+IiYl@L!k)F)6>l7shk4q`8saH*&lVfnQCtMCb zwWN=N0X~(%=o+Y&B>B23cXwp$C^~nBJg*852ZhIaTCBPpS{|V-yi<9^#=Dz? zhU>*lGoGLWUOp;HQ+7XL;XFUFz$^7MwA)s>B||8QN6f~v{9Pcm)2?2{aP|b> z#6%{9-)ARt$Q7$eE#bA&<~ItCvaZdfXSe?VUc9JQsO#D*Lz6Vcy0Vm8(H}qE>Q^@o zv&|#3cFE2uVAijr-L`iDz3N{STg7Lq+}qon#2l6X01C$k99Pl7`=`d$cat{L#X6E; z35x;iPd+Tw3zBF005B_`Vcb$@)~e=bOCO6h4oNZcFn(H^KN{*Wj44ipbgorTA6icN z9EvUnSbS%w5HL_@uN2|qTm7Re7YB?A<|4P2PKtB%r@geP%Oe0iu}_(S(n$G>WL+mDlqtA&olc-lrMeX1Tiam{nSAlBOP8aCI-bAZDrD zl3U)S1Wp0tn%~g;SFY$*;yoi&yR{p#1ac4sV8%GD+iwhC-7yX; z44V39#azeU1Ik>J{9?lYRvX549ZF1$nx`|ygk~Z`8 zs@j#J!l`iy{luNmK!2rdYDpBRj+hx=ygFvFuFFTFlyid8f}^poHwRWqcIeM@0g0U| zsVAc-sM3`qowT&CTWH(p@_{?;`~L-)g@uxdn#1YjI~1v{4XQdU7k! z;)}qZBqr|iVP`vlSwYxy-o2V_Sp0Vs?wr1S0DmHuS+=Dd$q)NVC>Mtl3m-#n*rKhmqI z$v4WZjUNtO+*;nO*78gx5-9~(=Q*#UzBNndd?^ny=0*`Q&s_1E<9rU@1kh}4H4`bi zy=X(qADyyepcT*jQt|BmD1h4Affk&kZ9)84^`*Na>JfK)o@3lpiby1N80MoK@V#nf z1x8LPnaFzQ!^VH?m_xa8px|fjjP$QcvvLp);8&RV4nVqe7{>?+#d;mI-zXX5wSHBc zCoD{)&(N@TRAQH8X-dJ9Iplt|g{h6f!RUDWYes1Y-uA4!*WJc5UVSxVp`K~udsTRM zD8nho<6eH*UcC)^mx`m^1eo_AcCRp%O3KN#_h+C|YxJy&tDPQK(D^L(hb-e!bv=XO z1cZDs@o)fg>NDyqC@BE+Bd^l8ei&)jc0UTdNj2OuLbnnIK;s0c<381jNeH2a7~?pu zoM*(3yx`SrBNpB*@+$Q#a0jh+8cns1j~Hi!O?JcPEzx`8x$Pxl{?yaW#sKq%G0<_= zw54f{$fCicds4P^!RmsN_(kJ3$fk@V_Ri&l;f%Mn3*WS zw$1`oMwq-iwiA%2wRRpJ^JJDGfIz|I)=s&m+u7R1HMwE9oP+IL^J@1|${HD2FfcJ+ zNd?QH;8vG2x$R*UcYMlt&ss-`NDYzCPkQk-*0lq{x{wU#gGe=dBR9oT+V;xB!2*$q60w=GJu+)36)IpeQt0QRV^t(8KVQyI_R;+-Ykub8YZ z;tSIohc)9KUE3}}Vm$+KP|Ga9;zHYj=zVAd(7bo5L@eQo)>L+8`L>+kS0|=eJ>vtP zmv9Hytz*2b#1cuzOxBK%sx^hkm~yxwl=q~@j=JN*QJZjMkgE~Y^ai<0xTn)@e2Gpu z$ic`pvi>ZdWZ4+mp8l21Ufev=mXXUG_cW|Kom{st9Xb?gN0>WjrE+(>#HEKk@M^5` zGmzZziq_KDv@P=PKK-dmEtMH^oBjj321#Kg0^>P7YrWI;McQrdB1eUOP)hW#J-4<7 zYi}W)0l4zwjC8_rrZE04Zr9I9s$B4^yY;nL$S4r?AO-tVs z%XcydOKARFjE%(AyPX$H)*dC*FMiHY{JCsy719q7_;bK|DThjE=em>T#AhU*diQYe z_h;rA^b~Q^W-rFC65YRuKeDav3R^~ix}nBN?Ofl5JY9dF>RMXb+{xt$K$%Nq6(^C; zrE{9Dr6#AUTxu6t^Co@mj@9d54m>5{XSBD~bv;fgr31|JD9``_VC_`UHC(^b>oL(#x!6@Ym< zjw)}52$TFHo+4BgzGeg8HI+wbGpd75vauh5d_RV@calj+O zLl_6P-j&3^h^#clD?P%ri@{Xn{*_Wsh}LPerKFM!bCO0Y!JMS!bLt^RQddaqHA@>i z$k}JNid8*BCPjHajQkI41QTjHLU}?W43T8xY0o0MHTa8S$87fUM5N?5UMl2T?Ut{n z-rCs8ulA_+l_vyan%0d;MoV1`F*GH}(?^D?sJ}$WQ-2ARl{w=jNpC(rLedUr$+r-Zhemxq2XT+>OLpFlHS0^ z831V-M!-Ru^lxRU^LEtFjuGYV2j&%QLN7jstLTdlG(xKdV}a|Cg;eG zXO1M7gU(lq`WE-VdW1e0ZC^1oO&FKT2j&WU*CpZI0k!>d4KmzBvrU%V`~VbW{#Bo| zgq_*nOC36K<+aZtnn(hoF7f^BgVv=B20Q-%-zL41*TJ%0c)m{$+oihPBM`-p054j# zb>TTJu4aL)VJ#DsCyt=vk6{kxpV~9*c;AR;lH&N8WM?3E^{jKpPKK`D$Ys2lh~%*V zRBLWozJ4pJlsRIed~I5s({hnlJn=?Pdbn*<_;@sZizhpQ&!uZ)E_js#oaTl*fi2J0 ztTmy}-X8SfW(oORW|Pqu3CEcp{ou)?eHz!x3Zx+ZLb?fcTN_+`qUt0*?cTh9!jTKYP&EPE$!)=*d7MeZvOy#aWn|o`GLtG*Ui<1q|ef@I65<>8P=VydIXyOn<8N^ zA#QP<)t9NipKT4Gw z>~z)3sJQb`sdG!m?;Q557^q~<-)z^J$buk99pJ&tr9AiG96JQ4nLUT>(% zWYiCQt_~}EThti*tK0SWt{(pIq~W7DBQ^CIJs)Jz=jL%z!^s!!VO(W+Zk1<9@gAw9 z+r71v{#;B+Wyb`OS+HG+)RV#Y?^1E?(!Q<|Ncf0zLRuYnhHfXhwVGL2yR+q)ntzDuuA4H8^T&Gjd1G@f|4BsGNG9HLtT= zYZnci<<1RdGO&{bb6Qf$8tOvalENhr!!!uYRNbD`$DJWRD9dK2xO7-cqYi5<0_~pU&<2n8tm6R)P&ng?m-}Aj zmkvQ6N{+)%6UaR2JhbnDlUJ^EbX}qex96^C0;IPw&ySQNdsH$4rlXn{iOR6S2CiGn z7R*b-4)g(7lg4S-Dk|_cOgB6J6q0?2jwCtiMHd2zVT^UEb9eQvcNT$vbopcJRPJrV zDP--|i-A@F^CvyPuS~SvAb}&{urb@UdCi1=W#kEi^EenC@@vz?UuBR+`_+e1RDuto zu8cjkxf4y=#x>l}4zz|hG021v!1Aq{^bZp2-ZSwYkRrH~&W~=yV7qw#0BDZ&=QI5J zu^WD1*#7|Q*K?%nbH{1+C6I`={oL_h7CJYYX!@M91uR80;#}~Rt=^laxAy(g5!N{O zWQtu%{{ZchhE&@E3`P(0szHRM42(!(c|9qUF$$|1lb#1^<%I;L&i6j22bIQyQ=7XR z=gBJ-iE;tut2@QphJ_(x(0~Bwf5x^VL`aAj=aPL*VtB-nq8?Y4=xeGU5;x6%-DiHs zmree^nzsi`k4mX0%{et{6+3vZW(VWAjoCVroZx;HVR}?Zq+mB5)he#;*~M&eNY~Kq zn&s9Qlo=(IBK=SQ0Is^fh<*svd?#gXJ?kUGGX={301IQG^{kHpCjEa)1mGLA9=*kT zFYPYnd^e{=ry$&O`BYxc#HuPu&7V9(+gKjpZ;w$9}~!} zqC~rKBFfyJ)x%p@++NOOwvC;=tF`e=QbDIG%(x|)tDDlbnRK%4Y8QF#MtQGc=+B<$ zF}yRY0s~q;PfvP;Xm{bZm`Eh?o-0ak73xwEzHFRgt4pt5NPl?wJwf!M$pkOt{l(`s|f`7#^D&i-Q_h&qbm9?p2SF;9_P z9m2M)rVQNUuT$E*{A?82^cf`x*PkS|G^Ci#GfycekQGPpicgwGEuElr$6ADZ>+*Iy zk<;+2Dgfkl9M>v}O33{Jl{nLijCMJn8$?BnGKOv2$ox%m37=Z-d}kPa95~KInfz;q zh!M|2*1cRW@XyNlraZXU=1vb7J*rsTsxVd|d*I+zWyw5s_o)J(E&Xt7wI3|bzHb8S zaQJR5ZJ-9yMcxAa;J&{~i%aoc)}7%g^h;hm>BQT|RrVG1Cx;ntz79a3h7Djx9f%mu z>0Sxo9UdF4U8T4}Vuu()c+P)Xha$-!XXyJf5}P zS^O`EMn2JY?%;J^1$I_4BBF)h0l==e!}`UQlshi1_q!fg;NVw@%;fj6^pnI*d?$=* zUklJgO}*n511shZGx%2tulPq;(2v?9K44Lu@bE{yeHpD^TFD`JV<=gV%h(F#HEDdv z+;tc{9&<~brVhN?vp#Z~;ir_zC;HBWp7iuNImK*v&c-W!S>?A}B%HF2fYum0M-}g3 z=gmzs;~0w-1gn#(LHkd9Kgm^{U!<_f#87mtgq%j?+iUM0r(S9c9_!nq9`JeI zPB4EO=)7sI>;4*jJ50Z5Zf(4sz>%Dh#tH9=^Sxs3_C=P`X|k}+(#90<4ss9Hx_=mb zzUx5IHJwjVj_O;K*dqV}fPXVm2R(7(Jxc!oPK!^P>Hg0PVGv*i8OW$SL9FUpqNu%` zzBY}`*bXY72$v;ufJT0maeRVfGab-|8^=w{o<%S{4^qGJPMzROJ8PJs78fcbSu>de z5s<%?dFo%?==#l_)swUn#0x8qqaciXiuP|GJ)P&nxG(gZptd%l3}_DwKJL@sAReC8 z<@yevAB#LqV`t`zL|FcII6nM+eJWEKm2axwTlj)KWiDh()n;QL>UU>3_3Kg3dwD$a zOC`*O-ng|e;CK9Li9Ec3et+*1N(rKh?52FA z_a1<`;Nq1@1c5~rsQ`1F^rVflz!Ak1R&_oS;qfEcG#Tx*4-Ln7@cD(1Iodh(tSJ65 zTARZQX_IWp0D?38=%T)P#WwDJ+$8x?tD|`S(^{C@{f>Ct@EDMPtyS@rv^UmUB|vu% zmHUpgQBeew(6u>5=+6ZZv+ABs@8ZUP8q$UzBIT4|jnq+I-81L&2{PT(X(V1|FlvHl z0*Whic~EVwNzfNK1Pc03!`8O`3HWT+a3Oi#JCwi*q zh2755+)Cr++~nX@e3IBEiYw7a$p(3)ZadLM0vRDYYgqQiRg61P6C9*#n#yQ_b6u?Y+6HD(!6o5n4mwOC=P7M@N1EctPuEpWc z7wLMn#hiDM+e#S1p z#W}x-1XpjkI||(J{(?0GdERn|g;1lX<3$zbV<#l8kEOs=l{!n6*wDPvp24uvtcZGX z$g0~5;F36^ii&b_S7+E^DoS+kCQhmXmK=LlSBNaq>dZ$WAqxY?S}3id@uQnK{{VEE z$!K01wA6e=wo}O}#M#R)UX|&(f5MFtMK0GDcwV?+MHTGBWAZAh?V7g#010#lj5AMq zN4pBpwfH~bw&9-ax||=I0*Wf`%#=}oNANF(d^@hiEOA}PVj-7t2Mz1ixlh^$#8K+8 z>DG|M=&2!MTO9H2MHMgNCZ>>fJZNxv=A68O4{9i@k-(2l_%X_qKf>xI7wn<>VAQM{sc!|T{A_N&!uzvjlmJK4_YX$T1i~$X9KQW5F`h$T#wSY ztybNwWrKWwA4iL+C{u1M((xySt@Qma zJBTKcr0RDb2*;&)+Rm0XZ#Yc59@J4)f^EgNd@f^BRT}4aIPVf`@2fNBcwFg`01bMlv&;(M5Sx+da&_a)ngl z#>Ay^pp1i4^O8FOMHHZZg+<;8lEI{=wx45?MvYvKK+RsY_)B3a!M~kJILHEuE7ih$ zC+3`0{`P2D{2J3_EDiO>M-^#432Dom>Ng~GJvsd-qPo%Z9H{hr4KmlmJ_KtROp-$s zE~-x?92)Qs0%`$X;thv#&Q9*R8UFz5QAKg%KZ4Hu7x7W)mRCfmIbaV=R@@D^bvZOq zUJ54z7#)>QGC@WJ*A0dza zG>Jzw>7M~){{V{oBIIV(v+qR}xyeW4Li~D!#p8c7c0<6Vk3*AQY+D54iYcL0_}Gkj zs&_;0W{N3GV5RXCM_Q6r#lA7zQAJ@rk7o<~Se7l9YykR-)V0y%o&g}0SI|*Kb6Fov zImv7}GzoW{%7+6z{c%eA9J{e3tB-8aMQI4DoV6=YmvgW1WxGPZWin|F^GPqmC=0A#Y5mv)s-AKBQAJ1< zw8f0kJ7E$fP!*WtgE zW1btejmnl*1mt3hDr2!y+`!eeFzV7=T+1u8pD|;cx2}8FGWtcZb{TWetrS(FPygA7 CUQcEK literal 0 HcmV?d00001 diff --git a/Forum Pack/Beta_4_gerbers.zip b/Forum Pack/Beta_4_gerbers.zip new file mode 100644 index 0000000000000000000000000000000000000000..47e6a9462017fed4d02e216be97b103f3faa3b70 GIT binary patch literal 33726 zcmbTcV~{98vIaW#oUv`&wr$(CdB(PF8)t0Wwr%^J-S=L^-Tkq5<90_iqq94+vMRs) zvgM_KL7)Ksv7FT~sr>Wc|Jx7(U;~JmI2xKbidtBkIOz#G8d%s0+8H<+>#;B}>Is@S z8|X39sVGAN00-kx7^VJgF7D6(fFMtR001D!f2GL(mHm%B1c3Tq+3G$Hka2&{?El`T z`LARv$qOhci!joP2`c{cHE!4xM(%&xzr98#mg`p_Jv^uv@iqSen8@iH4SNwWVK_NH zf_(26UB4Ll%$B?LRLz!GMrQEQIHQ}z{<|Gp)-3GWqgBb<(EbB<;{uD#1;e&HJ^(aS zrT>9p%Nvkh;1kqqFRE+U2Q4jgKH7ah^BP>SH$3mR`5Z7rWto77B@9cJWgTKjYkoBb ztlF9~D-@0GqUC8UPnzAosvR}n76l3k0N_so06_m=scrZVLmU3X(0?_T{!f}GYjD-t z48dN<8zoz?pv=|YlEutk>|Hu5l4(j5AnRhEDoR91_K+Bfq6Ik}(KuegG z?}t2t>4U1uJ$u&O{x$!sk+$>N;dA*zYPb58@)+Eca};l*j28GMG!A9i%&W7kf2TKvU#^ zH64jH{90c7hz0_vb&Fq29Ni4Tk59ka_MqfuDD`C`VVV(*vg)z|tauYmm$|0^BCLbL ztWkqdaza2$c4g2~sIO|&lCa218O6^}AaBH(!9d^5uK$D ztFL_PZ|ht7==w{RUUUt!l@_*0}%;E2r1~2olYm0 zj=f07y~^7nDY2&wQ7EODeH*13%u1?Nn_NMhLPtyx{qzQKr=NSgB|ogl%aC3+ULfH? zgle9~T~$d6>x&Ys^$$*%rqI|88jAlu0oHgnk7qUe0aIcWUNZbx#WV96(L z8M=&Jl{BI5+;$FAMk6t@wU@m5jNDy8y%f53Yh0KjtoSCIz!qj%k!N2t!sX)^7`%zuimlNXf8^PleX{e8oI`|O3z&*etmEFT6}Vnp zdm>$URE+&h$irgv(x-p=0#Zy|S8>&qD7Q9<51}-oQm-!8d5! zk#BHGs!EBnF{qy@%kWCv!*AOwoMl{nj-F&)rDI|l`^z+a&+-@1q=+mXCcCTWrPTeX z&WhAQskJotJs5%6{)8JS^Ijk@Jh=cMU}_$Sg>BJ2Bx=Y98Y)VDBk?NPp&nc!ku9W% zT|zgcKj_t`f#%S)1c*Zb&<$N4#sPi$B~_Z~H1TZ&M--@fiDzmp?;LNMWusnGL0Zpz zkQDf7iA)BRL#+iNc8-w~V9*^Tda;Qjv#>lIvR5bm_b zo{22>Sh!;E%H)iXbkhdMG-*eSK(x=$l#%;2uT=vln&V`%(jTfobf zm<4YLm-_Go15TkOQcg1H)hMT^-n67(J)DXF2CTul022p8)-GcJrXpjI^$EDc`~Z8@ zaP2W-?5}i@CGBjnLTu&;eWTun&Sr>F`I9qVNO2|7k|qKVgvh-z)xejj7T3KpRG1~g zC9owV9)e!= zkI|~p$`$SaIUf4oe7NRkzh!ZXNYynOfKD4T3Bc$HMU`IOT5IJ$HNQV|&?eG@bwuT} zM^?lz80|b^{ToFsH9Tj8GA17j4A59!0+Q?pAd*f&%l%XaUV-%&ty=q@%=_TZs-mNz zoKB=yG~TJCIsg<-p7$bSngNFE0|*EaayaAsQ_KLu=k%mMRDNCcDWWaj=XL3(S7GBt zKzlv4%I_LmWY0;DF(y~YD?qbt>26CatvIEHp(1uZ(oIQcrR=&mg$_g1qa>ctcfM_{ zgjV~hTgN@6Cg{=4w@Lm^-@YJc#ze6fHpxfu(Y&+DXGn-$cd*tdGp!I5wwoG7IhCMT zB-^`r$|F_Ds_T(T8>M#+232Y~JaX|%2)1G&6E3VaOv5mJMrn<&T|$AQBJ;vy6FO~n zU|?n~EFfUi7&Jaqs3Uc%L@e;FtYDhWPj9f>tvZXP`sK)tb1Vrl)_SE|-S_rLd!~Zo zEUL$2W>r{90zmLB_j}>fPEHA2>H(p`b6}T2Nec?*>?#9P+s5z|iY`&rWT|kwV;I z{;3gZ2oLTN#?ypei^?=2Rb|Z!jQVzq<$OU`_F&j0Tsy4Yw&FxLtPOvNil7xzi;sfm zlk-~|ue%9tR=19$*wB=|e8Hp{!P6>W$rE1JxQN8=FYdDQ_l9-Q_0S?!Uo+$m3?b3{ zK-3pP2NiTRv27%e1v9$1fP`c|#}sA5bR4|Bf;l{-R765jW!N-UO10*;v@$ zG0IISz)e74tv@2-2hi%~Xk7&g06_6y;Y@i6DOzQ9<^KdQ zZ4&!zH|SwPW+-RfMjcWih)Fc=U8W6m*zoOv9<7-*%&MdU7JD;V@JLu9irx#n>)wt^ z$vv9=u=IWnICDon+2;(-IJ{h^MxMU(Y=1fKp?yZBMy~pzd^up2+_|^*5N%)(*L$ib zdLfeIkn4uy#V-ywmb-g#LptN{eNUg`VVQSz@=uA3iV$ZK$2G}}S5p>H+ zAhix9P~p|55F|oM)tDT0Mn~UZdxyrkNU1xLBrX$VY=p)_>{7;Y!pO6cczLanZk$`~ z;M+tT_xM|BPir`b8a}wW`{+0igzFHGBu6)fS5tC8Uzgc8yWkC&mT)}VGOL&-IBWM= zp~p2lc{90~-fQ?j1J`qAO<@Dryj$GmwDQW*=D6(FsWB_qMx@ZRz%(2Qh#cQl zBs2>6h#BlMRg5va}07(!+y$+<@h46A_U{vq&@d=bUm?4!iymj<&|tu~`xiFJq98KK3B)3&hmm zHjPd$iAPj05@OLjh)3ATvI8sNG7qA(x-`TN*9il5z>6Kg11+G7T?fWtnKr77&Omfn zT!6q6gI!h_zz)MKEW}($bjbdvh#2oh^eD_3I^68soSqD;s`tbc%f~N+QvX%j8|0`o zX0UdszIG@Kg$P`8Gt8sYdL)HHQSa59O>Mu{x7WM-n+d*9JAxb*+*jMbR1)^D(E3QgW$s|H<U2P`D_-rPC5*2c!wZt(@J1OH67-ctN*QBtSch`s37oHqMA)|X9AP4o}q%@*V zU93IvOA3S)7j>yk7P7r?F2HAFPjopobe)I`FheuU<|e*(UJL8420k!@8;dZ}o9c@U z#0BmKmu$He-AplfX0Qr|YQY_IObUct7827`M3!iUS@FUVwq=i^!J>E(xfpvETndG# z9QQD>8?K=%7TfPW59?r$MIKs`g2ZuIlx$@y7q4&jbBEPegsyU%>i$!a{NrW2F zndhmuwdJl&-mRRjevc>?zP5Yrb2EeVQKq89BK`?x41`?i=aW}s&x^!#ztod@v=fCX z6mkTXXXQdR%gxzKA`!TSQ!S-O0I~wO8NH&Yt(+OSQgAM67u{24M@^A0d{SPW^^}_@f4A2ulouE`iKv>>6j+~a*O(_a z#ryjpVrt9C-%G%&&VMb@8TT$$_a?S0TxEXj7l~PYGFg%f+&G+JSylDdpe(X8{q^UX z=`$FP&iSH$LdE`ew{Y}==SjLRG`r<_hJ#LJ8xT>6!h{Qy&tgzmVa{^Kh(e|utz=Rm8PA0eWmyb?$S!+-<)eXC$v&)qL%Zg&Y_n z!y5h@-rfu<`U1_y+9V!tmO1cZ(q^ykNgF!WQn{8htxdeM_Telk9j2(XoG5LgrSn)3 zL+YcNXcnW|U?@Z$lunPEeBsIcGPVhgEY0x3HyFh7#Bt%LW9iYj^D`W-RVL!F+*&{H zuD8B$`mQmYm1dhMYWOosW<(w`Nh?yMiy<$yu#SnFR3-gw3Ue2*s+x2Jy>1>qmuHBV zTlAPxs|sBbm`SNLNeGC+K7c$ zHierCKX)*n-S6GbSb)CgQc(xaBGmAAIx0kzU?Ab3I98lJz!XiW;NH)QP&MqoaEAP8Nz5p!1Yh0A#Rv)wA)EEex}3 zuQaIvR&_x|r9F#nlPzUDkJNj9ecfB$(>w##kp*%EdlKmq{_=v`nsU6Z@NBH9Qm9cX z!;~5nAmVB3U6-p*K8}yZWU=%C5ZTX*S84OC6g9woE90EB-sqvwX}k zBL}-geW_5QF>|wXv~{@zql&Tawokp#@9r+l=>BlM#pvKPiyNIk>T`QwHDDMqJ=W#|kCMy()6+UF~*C#%HSeL`*FAt>gYE;E|vO|M2(>sO< zufeeEIH8136h+@Di2g3XUD$9tg*WXAp{zl*1+qVMMUMIs4SyHd7g#Ayiose85AWf@pRX& zu1^-tKCajFX6y}DSIJ9m)$h978yxr^?T9P9qfQFzY^iG_ihpqgCAym{&&&xLNsO$% zSUxR6XRt_ODq6yH!*_=gw-SB$8#1^>92G(35GqaN`obzo%~9m;&K^EnhslUW3jgpi z5_&={lP#g+_=_7qjkq?K_O5ULCSSp8KIK5n#E9RadK8WBVZP3-RpaYEv70NFkJi7^ zPYg4f>K0bMK#mIAj^Zre9H{YNVq#dKP@N&#{+mhLv7HlOnRY=n&t0K}3+XX!;MK3F zTx`-I8tthiBF?Qm zoqDOpwxft%pka)+SUqA8zAOI8SV6QMgWQzv7N?6Te_7aX-ZDV!&l$m}ZG+QLGq#0H1kreY}tL zcB1R5PqR{7=av+HOT}!`r4{w&E?0`e>qKbT)?+eUpBjW2qswIiE%0XB6y)OcFL{Wx zlhO+J8)A!ph^egZCv>oXu5$XB7EYN_cZ(ooNZBT_iNfd*K77hqPoe@K zGX%%F%4X<>#zzv83t#@mjTh(gj^??97S^O;eh#IBKda3|_p>qkExtp-@?89PKC2!4 zf6oiaHd#FVMdezp9szt353hyazbCiEbPx*ae-udHa}cXaQ=KO-%K*8%|xACw)}j)(Czqzd{P~@*!6s$Z{+fRzdn559n9=}exKO=yxrXV zd|b`&b${%o`uQ}*_Waxug!p~Zc%vCYI3m747EzMhb29##~et)lY!G3nA%4@2(T~|+X#qAeq z0}u}kWbGC;ft7#f1?nv7wN4Ynt=S%20C zu&|2R77r^pg9}! zzl2HCzK3Q+7`8=%_OvYGX<80vB}rUIaAF@P;rGX=_JP$TF{24`kx$21CJ^P!nV(Zk z{?*m&(_0f-KbOboE$9JX=ww^~t*FxBlQy2P?x-v@?~$pHs?26jUiAVc!YL?F$8h4Y zTA|B84Q9X|Ye1-;S~;dc!N%{{lz@TnTdZvc_0@#KLHzanm7kkYLBak*Js~X%Tzpyg z;{7q!3M(6A46o=6A?zE*$UUwglaE>2?Fp_S;$FV5N|eMT!4lfL9S;%;Z~%`YgqdqX z#Iwbj0&6KBF|;g7E@y3zE=qGyz8%k);(D}g)FpK{7P#K?w}e$x`NygqJe{%ITc6y7ipGkNq0*bx_OHx^HdFA$Th~OrB5Z-8ZgS+i)fVYnKA}twW}!Z4q(=Y=63^sV{L^}LVtN!_ADj4x&t7z?P-ms z(h}A<9_Iu2$$VPOALF;mJ-T_k6fzioUm$Zfe>CVD`|^rm00yszP(<%laT6J#Uuo%u zf^bGyINwpt*SGjO9*u3eiv%qTD5BxVZWsgzY&}1`F?o zz)Fv1e||GKm|WR}Lmmts;!bNS)#alHwk5}6qL6(PafRP zLxUxj&q9ZQ=bw^RB~#ckYMQqdZ^@7370jQVcz1Hdp<<@TrDcLuYh(>_#;x8Y<&gzF zhk3F_`#uWLHIe6NYAi{P;atE~y^^lh}if;jP*Wu3Rz7cbakJuw(Nn~K8E z+UwhewBQLI0*Nebchl6mk#ycY4a=3N4nJ9Vab6$m2>*;0Tva-~L{5r7J}ZkLUm%os z@-yBbM$Ll7{`%xG_rAsf8^IU1!B;ecgLgJOT~$VKl)H@Q1+vI%6i$*Wpz&|CVT&8| zcF^AoFF+u6BX zsX9g)MvoUlkWvx$NQ(nHi2M{T@lOn4@*gD2jC==OW7Z|}p%E)cK?{H1=Y4X^BN8-& z75LuQvgdxIfJEW;AyEADP)C8UV=0vne|IvpwEfBwDG{2Cc(-hWcuYMxM~_7L@OvfD zR;#A=?4*`}V-U)a-9xX}l5o!z-Sue{G{=K`L{vU!X|q*$jy7lkX|Q;gAk%h){B*E! zGO62_EBM7CGlOuwt!kD$CK3r7r+3}o312JPIBgp+DsUN}!giIZczlO&_ImbEs$>yS zM6=GmvmPB0<3t)Z`xW_p&pT5^I%LKLnC8%P;_5!s#-1Al2`B0o(EjHgX{?#K_EqoV zu(AVw*gb=&6Ux@I1VH-8=8WM3{@GOj%VuBrVlR|4&Y{Y6Rb5Oi+9QL&riw4OSC1XVa-kM|Z?#FR@u&+k}eNz93Qy*}1$So{S5d6?MiY zdkl?($n6+YC&dvo@2vFfmF62D59|ZgaLw>JZoM%huOh7o1E@Rif(eE;vzsUOZJ>ZQ zAq8Y;+4|&0L+K~bjVUISoahwMk87DJGSAbL4bFX&*n(_iCt zNz%y%Xk=&XiskI|EV{>co^yw3;h0$pm6RFdu$g8TNgLL>S~54no^t7;XFw6CWC)d@ za;Sxd%BrHZ6VcAsv+>UinJw;4TCu>e(4bG_FqB7jI-?x5MWU-2f^Q>+$`18OEWQWX z+<;aX{()9XS`IkIRk{U2lG>;b22s<0>1jyOk}xEFj@^@~QGN5+%dLe@s#ddMe1$kj;v zL9JhIgA;mzIIO$+v6(I0-25JbJEgGbINH#$933;mOA1I!TvxLUk`60 zT*Pz}p(p)S*sD=7(a8$?Ggh!jAeKG{ zGusUg<@&zpQ!U~-_Jl+{&&5O9cDaf*k5&W<@k4611=bN@yzCp2wF$x^f_DLLXU|U2 z33JEAQD?*#UFh*tLw{DRUxY!N18N!YhG*O5z~(zmwx=%nv%v$fcB=CIp%(F?fuqwV0lxN%O~3T zOb>Sa+1@S>x&0lIoBbe01Wmuwn!|iEMt;Em73|0Elk@HW1^RP;s}lbm*#DoXSxW9d zfqj)YhS+|3m|!>JZ(ym^bN-o_M0TQfs33THY$2`y+qNUw{U12AQW*uNwH>ERGq%v$ zk8U#z^>x!OOWKx&W{}d0<2TRGPu?Hg+MBIA?+xV68_xa{a98|ZaoG)AX#yCnRVkcS zo&ZmFNC9x8&EJ~979chLeF1r>HEJSD=98f5=R85tVL_7QUQ>fBSyI1C-7-%#pevP7 zKp{%XvrH*G@nDODpZIpI+?;Ai&CC)F$~z7lj?l~6*Fcy#)Rbq5$>Q+b!kHi~HrXbH zrQ~9a3SX+Fo!eWF?~he|$5Q{@mW$-iqdGtU0HVE*1Hw$07m>#^YCI7pe&7*=|U{_XOFr~_JlJzxKFd>slsirq};n0&tuJKD}x82qmj%KxUIFpR5F#Z zvB)d=un)-t`;~?0{xv+~#UEe|m~khYjnYt%n%pD|rJjIM9Fh|H7)1c4-nrrW0jeNp zd^KzuJ8R)gxC0AiP8J*{{Le!YVviG@V?Nc5gmhR`eLy{I_8GcW8XGaxxBw;cS(z^d z@1G2SA+3|G*(h@K%CMkm?ury_8~5&PVbUGXs6^31xH`lh*Z20r&}+|DlMQ#J{+(>?E7=ABRY3U8t;AVC z2t`PQh|e+@VIBs`AQX=PT|l5Z7;>T`V%qMOfVod?)RKL=cygqTz{HuVbUExQiJTOu zOzucDXTd;@>OS7nm>-I$p1;Yu;ZLo78Rz4(G z`K}p6RLE_?#9p``iB$$`r@+7BOBxaeImP-dYKKkR7o^Rh2OTp29h>(85NAj?mKo1L zE{^G8<0TB#$`34wW8!6$X`f5eZ&AaJid<@nX`?c@~LTVqR z=LVIc$=2C5+2P8o8aOA`8hCx+&JO9tKYM$+yqU=i5V4;*ryuECOCve-`~f4-mU*VG z&b6|QQL8T**vqg3(}flB-L^IS@*R+!w=wAO39%8k&$ z%4m95+aJX(jIe4%`x!-9722R4lO#5QFxb5c7alVC;syVJ|69c|&q3vzmIs9U?{ zY~ZiM3p}rcSAkTe6dj%!?+H2AYf4z;RboiC`dDoh9b*$Gg?fZNcL#9R7t_?|7v3+z zjx#sej(?0;L`$$R6ai_+d@~hfsYgwTBsUA)GX2py{Du-F5JYFf3FLhgGdsc$1ZNY{E>qeRNhAV%|A?3p$9s!UPjW2jY7JzPM-Wm> zcmCY+eXsO<9mrAklz(2~eShD4@9BM?@cmr8ALRZ#^?Z)_eSiGC_59rZe1Gx%y!d^T z`hDd7n85xV;Q0;S?)+H2+7TR15;?>!_7Yh{58@Mf#18fonM5DLIw8Dm$ccMQeq#wz zHUA*Y?|f4Xdf8w1dBfS(b&CnA>-+t<1!$V-m$i!xle)$>@yxRoN24K6coA#*TJYXN zr|6xh=wasQjSt;Q6`T7y91|tpMmA!X0|^a9r-mtJS;PwOp^e0MfrUWv`zTmC9kA@K z2qAQWCdXzaza2q2aeUtQK~K`zafm3*nOp8*=xh{P6vD3Huf`F>3+|L0?=}J00D>BNyuJuWa)PKd zDXI1%UY`-M-e%ibX51qdu+|hme4X2SG^qA7?ek2fEPS~3*(fQjcumFXwzw0)Sj1w0=x~= zOE+LEb?%QU>FXOnCJ!hW85HU+>Z=MJ1fCEZN|YJv`P(rAbD&xed!(mMEiAAT9&c>` z&jN5``30=Pt4T&#cILM&D38Oz?E_zBGwPO8heDU~Y zpfB)C-lonkx~$InK!i-CiK|W8j*hGfw0vA%5fXQ->8>JK60|BaVfk&?Nwf4+?lN&- zylDn8OL@+c(v7d@vMHzIi7pGu5Nf_89dB>!^ZGThG3`uQn4p*%GBk-$`kprM7Lgx? z$zxbaBN4KV-Rhjs(R~+6HFXb?()c#gMHbeuH9btT))A}N@IDPk#LMoCL zK8h5+Q*0l&=k&F0fPp6n0m z8&nPB7V-YVRf-|!_5xH*bWcJR&juBu$897pXzM+9kI(w@MdB zEw1+Ay_j(^r_U1Lc(|-9yFs=EujUdPu@!d7211G+y#OtBeyb))9Hx<@jXo}ZP|Ls{ z#$=Bt?9!2A7|Ec4>30z~7aG`OLegU$@v;a*4msDwsJ_{RE77SXzaY0t+Qo4E**Sjd z>!|@9d$p2$oodpRfS3q?iAd?FfAgUEFHTw*;X|}Y1>+dodjT2UJr{q*51ADT3WuEW zEC4vm)UB&==vs6n{9M>@Pw@2;c!Cg#UC`BxS-0 z6cx3wI7);2a7ZB(a1zo~`aM$LxpSuW3gdZ-SJ_(|8&(pvIrsveMkYjhiJCTas+2;Aa`|f&R~e7iuGhjxQ{Pn zLjGKW*1rRqk5ZU_k=4T2yLMB zf+%jZFQSSPk}Gn>*5tv75>r+L*owCO4**gjR8UZywjaN*L|Y(UIlp)q_wD9^0>5LhBJlpeJ4~eZAD4uY)vqS9h_}`Ngh52 zi#^|oY`sXlU%L+!q5Gg|cc}RGkLA*p-V@rodATn>g1Nd)z8heV&}XiLaprlQi>Vyo z82zg4JV>e(N6k9k2cs$cs_fpI%qTTFCtG}GUR=Ct@vjo?KI1rs->WO(x|7U$-m~m_ z?xtRxenRZJYd0=Ow6S?^|7ID1A}!r|t}5L=Ti!Y$cx{H>5A}Gyj7N5UD&5AmxerI& zyYY7JE}vp*daQ5NC%5e?DLb!&Q?;gKE{kg2cveLlytwv!#}h1RY@4-AB;K`lA8EBR zQaC%YPJ5oO(I3sR$ECFgvT0_UEBvBMvbYW-r82{J6){h@ST9d$pYaVd8{0n-@yBl7 zEIqH&cIh&-z#|W_?Zn-UWa|W)i#S{Un zy+f&%ME}4_B7F;+np452D>Vn*aOs3<xPIlGC}JOeliwR$zua*|fPcg(NGf9iN1#)5QF_kLuuT$Hg3dmpKXI1GkpJKEBAjyZ%F8 zYF1Vop3?!xJ3fH0c%2KfW!KUrmzzuBD`O zmE(V*mCIjtUK5-2?BTF!lqPSFwC?@nQ_A^*Y9JTCTK+sk`Kx~$vDeeFWyBe726lB( zD;?Vm)In^PhH@RDJIVys zgpCt}3v;)FY#)>OZoD13Y-8m0+)(X8qHxbZDby10r!|$}O2Oux#AVk`ceAPWn@3o~ z*4GSn8(nA#G#7R}y8i6X%kMgkIS|uj@9FA{C+Ckpx(!*SrK3K-0T-l4V?Lz}s=h+C zn!^NCU&KsZg9?c{&GwDx`)GLmR5Zg>1KT*#^_uJy|D3!<02jU0To>OL31rfo_U`RX zb^2pIPQcRaa4P()(X~io7Hoy+syg%X&Zn9povv}xwTmhLR`jO)NT-}C&-Kr(tB^)C zp3CN<4rup%`2+ZJ{Q$l4EQALu&zV<9ZlzY-GqZA>r#dr-XHsCcBvFM5Z!&ys-8voY zs2VhLq9(vVt)LSuHbs4z0Zbkhhr6Mcb@v=3vpp!z50Dn0}{) zLEF>+Ca?-t0o@%#se@WUG+eFh-I^BMGU2TpwS!Mzt8uO~SzFhNIImjbhvCusG#T6a zZiAwAEAdRzm9*b+^0r22Fi+T;O1ev*fx$^$67}I0kudn@*vGx{%fe^eavmgv^4Oq8 z4pcMPij5b9R8FnSvj7fqZSV2N>5X8rL3Jq zFB^A)dK6W;{u7x%L`ttryEdSr-a{Lb{9H`)=0#dpYkF_VxI5~Gqr>=|=0>0=gQ=f9 zeHldiOH2g7P>#&;1IRGm*&-V5b(Dp-#KFYRt3c>}Bd)u_*p3>7wx1ISPw=-QuHL8j zDJbBkT0hoGS06Z169RJ9}~wHt5G#%rw3$hU5Q9gUokMRot$+K$jI4*h4#NqOX|ok+vJX=gHC z?QKxJiEs+xPf8zBJ=Jio^PdMz&=f=#y$B`(8^Ld`sg>5Au2 zrds#*^5Ay#eEk=_bg~n4pi&grX`DfNFp^tTga#thP)gCQoJ!)I!RK zDl?3*A(%wwCL_8$J=@ehx{HGVaMfjI6SZg)wK!6hqjVsJ1;?+=;J!zbri?v|0s%J7 zLo{Oz##6CT1q?kwQe%DYb@C+mi%>{Fm5c*_tg5FUyD!uxqjun*Clb@a~)%Wo|lQcN{sPyS#%!eg^1@=sve+_ zShLt7j;*dgt*(v;0!(bX_q}#o6%`Ps0z;JzU-`#Og%LWzE<32SP}L$0-%kwPO>Bce zz-?MUm^zF>f;%Ufb3#d?rdD+k_2@sPTe3Vz(X_r58yB}nwrMI+P^ACLNu<D3Ui3ANpn$ZyRCsdC*;<%~C*mN0HsU4DUrQjJzqSb=*n7^a$ z=qt|VEC?uRsvxG`n1&P8Blp?sLHdP0E}uJ1$k+U;Rgx^w7MRxu>AbfSHs)iM=y&01xGER}7o4?r&hDksLtOGQwU zZB>*TSbi&ZLM9m(7nrMNQ3Y3`NN3$)Cz(g+bKXObd*8&HN3-#zX}gk&aWu(~>nNe(#uc!LXb45MwgV0(fgB7DkVuO5MQzC+Hi+p=0xszK3)ir^O{)nveDPronxq5 z@7JGbQLHI&Xu7ar>_idLry?UeF=oNRgMB!LbBHV+7?Gf-0#$V6F4?yZUfke*3!j6{ zU9aWqz92y-urY6Xp=B+$YGLFTz7?eKI#iJSC5GhpzF4&(fy?7ra%)1R}9>5?D|enxiy zP}C%NI4bOg<*_$b$YZpmVk+*k-BT4^_uZyf-SMpVKQ)gB6_QYLRpX1vzC{%v-%%Hm z3VHPY8_kjB&i0g|s?b|1gYDfLYwkGS(;=A4^IlHhBDX~a36ruZUM)S!3_xQ(L)mx2 z6|9%zWgncjHVw~$?)|k-=dTD!#8A~#l|bw2^(x7^LGJdo>Yu)9En!&WS3S>lKbXrK z$jFm720sY8;N+Q9h`6fqMd=xVO-Rq_$5d(_HqAy_1q zVwKAV-5XhTRq_*}Q!}^vli>1sRZI&hmHE&5OpH$$8=NrS{vLQeAv-mF&MRlkA5oW| z!dTIP1fndyIHICfbar*7HV)o@8*)e~Kk7~kB8juE_?_m6zt8I>_wmQiVJgkXw^2%s zC8TMej5wR7Ii^rc3_4K};L=JsL!)h#`<*B}%CEG`noQtU)vu3<`E;F7X;s9KP!e(% z6P`dDF;Ys}l4WBjc3?;=MC0+PV#BNLh~Bk{0Y-LoT0%P9#Ypn}K^v_kl09n4@8$_P z@*7?vQHad!XS4n6kKSoL!&zYsSbg)|8-5*Q8=~tr@ZQhhUi_QmdE58A9@}-FWz(t6 z=ssfe*&qEn!cbd!#=b7qaX`7%IJV2A)??M^wrTKQHTEQ{f;x!x9%b_gb{^I8v#JUdUd3hgeX_4EwZC$(2@NBvM z#&0-zCTk_#0p2uGOFBxyN8WShs$RQUl9Z|UvQYNZS1v*^Xp2kbCII2Usl1{2e>ywI z;7Z!IUB{SAl8J5Gwr$(ClZkEHwr$&<*tTZH$?Uw}w`c`cZnV_rG{JRg$mrwT>d)Mx8r>n%v(9n$0)L99Lmo@7{o#tV&IOi;N|K_} zZb@NCfEc{Y<9Lj1&k&FyajONIar5qjV;;E82Yo%59v*KyJU3%5fL4_IZphM>SBa0A z#XZqlt!>EwSuM*C5K>BMUXa%TU_2$?j@an6onf){9Gp+Gx9VvG&IKzEL-d|-^&D4b zq~mhGyRS6b3OlD*UMOO+u{48QBWGLxxtJ(8{hga-j~o-LMi80^xti|={K6j#_erQ; zsT2cZ+rpVy(QB$?5!j2B%41h4sX9Ndg6+!nF+!skS!A~?-7x@O#8$Y}jjAcZod3Cx zu6Ok@njE%mEGwIAhLH7GOniTdc)#*%>PqRY-Dl6I7JG|^@4&~3&)58RFNeW-&gUGD zvDA(&rRO;F)3~Pw@Kl4ugB_}3(_&&Q9}lFL%XK4y52%}b0uD2IsYZ1GfzA|4+L`N= zwgg2aucWOCgrPml?|WyogB~EK83cl>d1xqR|0!aTGbAoiK_eqLd>#uQb0Q5>xy9~$ z5PUJ+W};raYgo6qylxKP3Hwb>ZX*pZb4Hb>qGQ#4%p}9b6n}{a$=5RO%4RXf(Xxq6 zmhU)b<&AYGE^(Mt8gvt@2Ajd}Z7u-SfFY#Q5m-wZ+=TY{XFA?fBWz{-lj>H8kd3ha{yHk@n+GWAD^*mQh?MsJ;jr>yc z+f1J0*>-fRxX+J;xttKFFzqch#iUkA*(fvgKmoVKaJ+k%NlXS=H-ZF#$)VsMH9sdO zqHP{p{g@-ZfH_=6@9o~Vpj zHL=|OI&7~6yl&`1R*@{~!eyfdIT{c%W8-d+!SDMB^XD)pPz!VUt~8SSXDG2=e$B*D zm&|ymjh)w#j^3WtPBKGVOQH0|MDufXphC>fbIAnW14LV{ox4uR2J7+4Ye^^!oB4I3 zDMEUn1X| z8JkX(zskew>^m!JuJ8sNFB_a$noLXDGvIr)uX_hPX0Ufq<0=tm zm0sf?ThP++IEjwn$W*A52a>8gKfjelEzW>xFBj+O5jAuu!kELNCT!D=+drrfd6^V< zz(Q-%ldZ!8g6(NWE7YVBU|MnDjtp(+C7gv;+#ft<%UjcvJ^paBEJ5D7Z?3_;iEfMJ zWl7U+F>Wi6q<->iBx*$%3qlhK=vhIc;dXI>UGa6%kpr43ii@D11|D4;uhPD58A(nr z47H*>o3kx+2M;Uwl;C)HZO1~)>tm)sl_8WZR{WX}{P2M0s?6{kUyzW`LNLQTP+d(8 znk~|MEPjOup&mK7M5_lBnCi^X9BB?~EATDl^qz9UQz{rF^PjmE7z4k{69RCOu0hNo zR}2%5H@?qW@og!VZ5V_jMs89+t*Csi!4e?SnRhxs6zw|^&og4+fjq) zxeZU^edM(A%5FhUJP#ZiU;Ls`RtKl(3@lD_UJgol$7ICjyp@M+A$yExmc#_dixS8b zMA@s5Ol@?7N7Q~MCZ<~12O)F^EIv^h&{?|`caXYSe@Z#k?U?}^sz6dMe}5rSZUpqUUGTbqcqrw2Bs2vgJur^ zmX)8M{d!4M7+c-auZ9O^eS8IeR~MS#AQI~_i>4>mhW1G%@J1`sVLUT0=;1|+$r<-v zm72gkYC>|3sAeK%e#=TO#*7@!Nug9#n*(RTyHzW7b(avf`wkp%5X!?z$4pJu?M*DT+ z4DmpI8b1vh&Q!|+!)3!M)hyJ+lypC1O>wj7EOm}6>uS8=&+Y1Sv6H9K+;q#1;qFfa zM1PgI6Cd&K534~#bE4K(_%J>_1I3=ycaW}hp6Zumg;#5&IW+!32{hSIc;vcMHPq(j z9<=ai$wLl@Wyg&pLo=AQ4xC`DU1PsJ+uy2d60PDbN^rZoZC7oInrPL~Inw%AkRLFq zL{gY);>RXEuG&3|#EU)WDJHgAZTu}vFc~L_Q7TFA$vb6)Sa#frCU@Sc)@e9uy#$ZE$SHn_j^yWIByKIB&}tcYVjKeFx3Ln_oqKX zpR+2rlNAZ-chm3R%lYn>I{~sBQ&t1y=h{ zA9Xlm2CQzfH2uZ}!4RzRv=PKNY8Sl*bjCc7gIav({bFA+fr4tZ0Ux(^5>%BrG~mP{ zClw+~D92VklQmPwK_*;SV=eW2?DCsS@4Us-%&~DQddi8N9_ICsHFjzbfh86@Woh!7 zPcB6aY_LA!tl@;G&i#e8w#kz47&aB`N4bW*NMVf`szQ)yh~qbf&>0^BPzZNJA~q0aFpa6)4t@5OWOyB4Xo6m&f#ej#6Pj7+6s!o4^c{n0-YMF9ScR?Qha`)&iFZP*`k1YVMP!`EpbYH%Y9841H=tH7@3;>~>4nwYe!B+Ncl9Q* z;|`H@({ISTj$f4S5}VK$^ZwH^FE9TDF9YkR*#zGU+xU{qLn?0|#R4LQ3%4C*9%5D{ zpMJIrP;h5CvBApNx%!FH`*4w1PgdZJA=RlP7|Yn(3>LA&(r^bM#hV$hwt_J&kX$I2 z;`Jv9=Ul%A{N%Z8)9Ans~3e4%7cU>P=g{+~o8zqIo0Jgr^nRRL7XH^^hEH+HI*UjX&N1t!6 zQd&f}nqo`88Zz8V)k_mAT~yy)jyJ0n1~-6Wdu9+H`gM)`t1zpSPqEC)pz*^%`0ad(=A zBpM0fz>@8`sY1-uu*c+z@0XG7ig5Gm^U-hn34xc9u}9FdL8*ngrXu2=Iw>C^vFbAE z3d|656r1zyzR%1PzjBviohHW8v1dYC0wpDK_s3B*~^_@f!~yi75VNQ9sODV%>2E z2C0HY(Fe7W+ygtWD~3a!p-byaw@hy8Me&6$o`xk z1oKG|Cr*5l=piQ#cyeUu;AUbsfh@j^M(Z;p3npvd3sj4qsYFzUlniCPg;6s3E}nfN zMi2VT;IeDR)ZuqL{NA@nNiI=7AWxP)fFwjv2AxnjyyZ?tbWXSo)Qv6aBL#j^AWaL@ z1UXZ?9*tJ9MV&NW7#?aZlXVW$ph4bhR{>&w2u7Y*Jj`Dsssbea>Opf_5B4>!Q&5S^ zmWff4iQ9B2Q-MG)1EOC-E<3=-tj0TF(deaOVtIgRq$N++OS>B*e)Nb=kC^!2DKa>A z`)VUPXv1ttrGE`E^dvxULJI&X7iBql32vnjIaHIe9+mIHBS#YRR;2A&`OPn&oACoa zrb+m-3N&f@o6&C#S79sRG6X+Fc9VTKL&5R$@Sot4WVXUS^QIOPg``7NaVUt$=6n1S zyizC;U>XEUZq3o;?@;hB;7BBrAE4yrV=8+BHlS97(09tHk|;mQiu)oAdwBEWgunhl zZJbnVqypiMW(J6J%F*^xlB3lNrH*EDoe!9pVW?Dl6hsk?qwK7Z4hhTg?djJv(ioFRo&ZI+_xq&WAcsn4>WRu(%AlADUiQK@`Z_SmA5V z9Y67_1zL2LuKXMDK6Eh<2L9b5N;uxkhd^$jhfh+y6gNYiV@<=)vH?fc9pH(Ysqu&8 z?kFgRGc6Qgqpwd|_Tw8r-}5pnJIl+9$Rpwz3jCgU@A4QGwZbq-8%JYAyG=A(dp3pM z_)4H*H<$r+d|~+^JHlJQPf`Y<-y_R-McpY_(wpyGy%+l6!W~O&lv>4G>51)+0k6R$ zo-8*+`YyX(^PJ6$(-g-gEJoQL`jE2d$!mvE0Zbx}W&_P%2cb31gQ01ZS= zB66m^x%wV<7nECrXT_~W?)Q<(Kv;~}*Yp;fmj}jQ&XNKYvc*!a^(H;IVRqgUk=h|y z%F-vQ79doAeMp!`rs8BWa~ZtOe87nwrl9}$Py@s*vq-AcpS_$OTn&+=kj}S zegWuGf#-N;+@vTo7fgdG)w~1O#1V2LlQY@_DlUJl=BtAyt=X^x1wB67e(iST|S!{}Q;Ud%EU|xEHn2 z8j<0eTX`Kzp8b~JWNmm}XQY?lsRJiw=@(=>n!NTW@}Wny#0!)*kFmb&4?d$R(A@*e zI*4$o5sY?ic0p{mA93k79jyc(lKSqp_sWU|@G34;pFx$6K_e_{q0JJKusIHISbNzO zaLG9rL~)!zw*>pLTqKuGi`V0~%QC8>rmoa>ZGbBV;knti*W=lP9v<$!jz-w1zTW5c z7R=~Lu?qHSUVl3^2Kuy^>cJe5zUKz--HIF>yToH{wYCoMyZ#)!V=4|qcO*%jKVfpY z?~2GK#>#vxZAn7>Nx6(0Ov{qJ4#nmHZQuiAN(vV8l$4aFA)}8qMzsoJ8*^7BbwT{< zq(NRUO%Fv5f(hDVLAErNxBD;7tYQ{VO#LuG9lY@kc4i^k3p|uMtw|Pg$cRBi z=lS>X$Gv%kg)5&Cx$PceP=4K>{>WQ!6B-A6FS@g)S7NarZTpxIuNGd|i za_edCKx^e}Cu^l;WkD@O(22VUL{sv<-?AGzb;iOuoWtOIij!^ZbX71O*9DzVOzCg0 zrg)zlyhAitP_shL4sKDVNje9&YW9=i&3!epei>5Oo(^L1xt_{BCv|C#7Sl(KF}APODY z@|)P(eNykw{LW;a8VeV;hv9{~*(T|zf~V=FwT0Q4vtg^f3jxV0JSVFw`=k1))(0;g zGfe9Wmt6cDGN~ZmZPHgFwq|=bEQWLFnf9@(ObV!vOD*}<$RkZXUXVPyFdV&&v>KMO zYX=^^9#vD=i4_%Rvi1`xnXS1#nh4{bYl8dWVDKIlU4{o_NLQ9Vql${Y3n1$^ZP`ak z&SbR{{D5b@58On6$)@C=B7x;6TQX;(dugEYP07=+u;fo`F<~WzBz0ZGErJ+Xv(t-0 zIQNsoMgG;?*J)f{_GnX4mkk39*}G-<+7#70Pr2G05d{S!q_$=gL-xzP zt{vgRbo~qeaw=YDxT1Wo)NXL_9e{m%=I{d1FCo(C+6{#Duy`Y*2ghiYvUZU_Yiv7S zr>3qj_ZT1%X(|V_zJ3#WX64xOy~3lSFFxbc^SvGd(c@__Mtt*lZjhG)dRrv6tYNmB z_M>grQp;FM&GCG<(-jfG=llb^zVt6ptS7@&IgJuEHmkq(51QzY(J4(o*S!6+bjmut z8yzutImPcU7C9Sl)}d`o?3QKOo|;fq#Z$ATC4}w}~D@S%i29NKq*RcHWms zBCb)PO6eKBTNKTxubIBP{dA5iyZ@+~D}}fK0{me^QjTQ6Lk)y^_ibQ0UYyArK*Sre ztbI6}&e}E&la(e6f}kqfR%l+qJ6}#{M5o+!5x}YKxvG#Cz+tx9#bUq5LEdULP&V7S zV_@B{M5v%4^|0EB-67}oJtr2RxA62OJ4QNeO7%sEoL#h5wzOkEqSW_ z*>9@B<}I8o^=1q(G@Dl?MDp@TmY<;@YLN475EF}MTg#0^*s5f#To^LQ`e`&wIm~RF z**J(MHYZ$S%vbmIJBnyQoF4`}bBPaJSnk6^)IX%G1EbgTCb%!0xFjzCyUlvIH%O~g zEMDsK!|3N|Y^N3%+rj=KnVzk)bL^imtA_fiw?PG#d9&ObJu-Eo=k~hJ#rIEPyGn=( z_*ec1N~~(SM+gp#8zT>eS_n$Dy;cLq%9x!`V~qRG1e*fq+n-f^bXN?cwUF`L()~t0 zR#h^SwU9Y@{ltf@`I;^7P?FCG-|r^}?ui6h|-tTd-RAD$^dIQzEco%~J8}j{< zIS}_74R+k%$ye_Q`>n+hJm}>GjcBjC?Dre)Viz!U%v2tyV|H2&VFPwn?8JL)*YR&+ z#KAi$d!H6WuQ5k`!CFzGs0R0hoFElt)@A5Z3E%14*%2T_uJs+w5@pmk8^>{_v0+Wt zr`xBC+;+vV@>gE~mM1HTxq6T^*yDwX+BW5_FL zTLBA{bhe+($0=$lTg(s9T505sx6uCz+Z}okgfZfllwrF}aKWoJ za%vz25gzHVo z;gc=M2Jb>38ap9baV8IHwUXrc^%nB`woHGG2(~ND{w7)MXsH58 zeJ0A{ZC9i>RBW)Cw%3uR9#j{AGT_Z9-I>^0q$e{y3B8sXGt1F9azTw&i z9TQH4AdrgK(x6vbem%q)4B%%WQb7p@1SBLApmS4xIu3;b?^}V1MisD-;`pShrq1hv-J0Yy*Cv}If7^2k-c(@U=C7b1xO{Hr`yGmHz(iVzRp$s`9vy_MBmz&Fy z8&IpMB!y^w+h>j_(lz1;M!S5cPgzvRh(OkPgh^5^LQl3D?AkIr+;CUfmPOd9iy`Tp zF1Wxa%K-J{NDef;VnXZnY1gbiv1Td002>!cEA82(Vn;VnOv0*0&D-a9?|&GCV(Nfm z;$9|E4uVb!DP(?sC9x03xSeY!JwlXo-n^=jsPjR&l}=i{tdX!+R~V`+XlcdADABw+ zmY&blmi#4;Xq{3Lpn%c`+iCBhX;cuW0$!%SFCp;tcD>J4Xm70`g1?tjbt@wr9+HDU zek{(wK-6N}GL_g_Ok%hK;pdyl*1YUb1c0E@TrnEB+gf87ERNuTbGyDoD zom|>D6X+RZ=1HaZqt^lB|sdniPXttlnZ&8t{v{v4W zLfB{@hy#OenYp4Up_a)j@9^-FPT2foAGQUoFnbL@7F#Kvgx61 z5530QYvU>o!E8U+9`~)YETerRIh zgSb3X{%bM|mr>zGb^>LPYwIirh*m8qXFm@&7TbP)Q@OZDi5 z@SN~rppG-s3E-_G0%waQu-wPD1WQed=Dld;m}%~Q@tQRUN^5;qt=4t-x*!P9y2y_M z#cJdV>ARjyeey@nfu@tK^ueg8S?_<8C(v`S0$=pqsOjMkZJT*}3mBS@%19WKRwM0Q zSlWxhY7doPMEeuBBc6|*&lkC;if3i0JUfD++L)v6r7D%u?Rxq0NKdc{I-z{&we0A* ziPj^qm2<~nv7WhGPZdwJhoPHtIghZuq1N)Kr#0tl0|hTpRu;1kgV4%D#@-Vs*G}Ut z^i&QM+R=Yfx?HNm$Swq7emrRTxhv zi(ISza6H*_J}vLb-`Fq=ZVffj;+U5ABkMz<;Mc_TA&qVY*~U+1Gixpt0ZPmtfb92- z1Wx2RwNOA7ZYpP|J4_|uy%<6lWp}-W9IJ|p98)vXb>Y<8>0i+`RotLFX#DxRR`WcTzbd)OZm6gvWa~E$=;k{vE3G_ER!ScX^ z8bESuB3E2C>IID*c!7?U6jS6v>p@SVKWeKYI$^1~ueK*Y+Kem=Z=lcrn(8P@_J^tr z#<236wFf;!E(}CJEUnFOCgHCl7w~{0&lX>m2C-}+4CM8I!C)X0^%E2bcl5Ypk^Clw zAp~SBTvzX$b^J&FeCq}=3$P~<@~zTSZ3}X`*x(ybgDwhM#5=;~)k6Cd30)jAn}n7^5eF{mjw zLLP=*L5IAQrlb2(-abS@fqeS>B;kMY;i)Kc)Sc|$-oQO0u}sVO)+^&})9$q`**Rkq zDIZ6ksK3dn_@^7S>`<0ls0%{6 z%`b2C`}I9lv#9TjK*ud1^rC8$NHN(qzk5PgUE#Lz82()OOjj3a{b6FBfHJi(({uv` z?+VlOR6fAsTp;a{Rrs0vz}tU~@IoydQ-yBWzQ<;Xl!A81GeFuJ25r0A1_?a2i5RmU zXOor+qjuk0uM-z>Mff=KxJ~Rt5C+-~Vk%gz@L3hUqe85MV+{)I&Q>ZgVZ5pnMU`j~ z#gjWlxYEMXTz36}ecN3dDI`wfKjqg)_=9#q>iOCAXKTmf$aQ>m*&#@S3_ys^usr*6yHM@eM&qy-Ya?uS5X1W*rK$J-MoD4qGdWzR4?5ipkFvFJCnQ z{6*KU(FGpW!|s)ONX89&JSjAiiP1jRd_;Y$-{`Q)7mM@o8Vh2Av|z)A-ja6y#pvl0 zu?Y241dM%P9i+~FO1hJwWC&4Tr=*J>)Fl#qmj&@`ZB#q2K3r5A-7}E6J~Jf*i>zZ} z+J<)%M@4YIIfi|vVvrVWP=Ot_wCX0>(E3L+j!KvJ?d7v=157-FHY%m(Vm1gq7Q?rC z_mN&I3CVkD=vB68Da7oe`EJHOw6R%hbwfGbFUWn!|NSYsZ98Axx_iNmnh`R-T7h>+ z-+`GBSqs0$&EoF!T`~K&@8|8Lh^>KceWO?Yv7y1O5Sw7obS?eZ3js^~F78E$4fb#E z7-UIp?tSR`Yvm?}ThUpN9$!Mkz>V=8dum-`O~K?pA7yHgbV z2gHX7$8lXv)J@PF;@IvH&eNkhFacC6G~-=c=0!ueJj)PyjWrUei5Am<~bK(v-#GbT~q5K9G9(V>1s=xIxDeh_m_|e6xHcCAu9(Tuxp_T%;8t*l3x91_J zs(Dduge#penIyplC&oEg!MD04nQviwTFDBvjrj=gaKz6_xo#%Gt2dVY$xO^3RG^ad zW*`9>ZH_6mi3;{|&%`QK;2yCe2A*Z}t=?fC_#mkVARt)SrkC!cRE>0UWh;R-CBw0H zn^H2pZ>6Q?#^vekHfKtu>q{Jp2tC%{6gvq>tT(&b)lj+pZRo{F-~>GH(B`}WoyshX z;0{ORi59wKoN=ccjsv@MmUY~0MGMy45cLXZbaONtV0G0y*5G@R7B?zW@4>5FlHJYO zOkfEnz95v4&_NsBP6x8zW@gH?{i9lQ4GJ#&K*t*Rf-)L~M+oe-*U>!3S>Z4mIyNm# zXf13J7+0)ooU$tl&ZGnQ_+2&3l3&kI?$|_i&LItK$2^Iluk%v^F1`10(}Xhw4F`yI z*Yqqzy!xW?Uo%kSIZ}F2;GsMwsaW>j^i2X+4ST4EQP{rATzQ=$lJkimSx=oY_|3<*IPs5Ld@B3@mdGYXOKPYR{#$gBQBq#11 zWyL~_Wb3Wf$qpeU*t!mN_XnTTkEK=huC~P;BW?Uijz4NVM-!#!fFDx#usws^jKO}$ z5Yl_W9toE!2M|9tD`>Ade-Kmw>BGR-5V~eM+ ziv~0v^F4xzg3mKopbBvz)YkdGM3&?+;~_sJvbe{VoAGnOd*1A?>!sVze(r?UOg)=GQs2{@6xHT;F|uffH;YN4K@v z-5$IYuJYd`S8h;ION?%==)GGZd`#twln0a5hu}UINu-iX>GjVwtAPW4L?S9NKJX)7 zQ+9@gV(>APf=M5=w46;iHno_1bxeu%cVW}e<@=jlR4uFvVEAxot_}%BHt~WdB z^tgRSJ?ac@;9VSlFa@duCKy@Y85bE26D$O33=NGTs?i3mz_t+)`d&Pjr&w)t-#KR=^lg;d@yH2DERI|;Xeh@|N2J%&d zQJug2&}?ojM}7+Q+b50jiZSQmP2f{OdTn`3HmP;`IpvC2*A-oJ->+0#rUksBT?`fX z{FT+QkHyso6AwD#1?4iBdI7&H1I4p>tf@)VNeQi(=AhTC(_^N1GO_jM7^^*g!h!O0 zT>8>a?dAR{yZH8CSyJ$p|HzM_6i^4-e#uc*y;zI3nL4af*fPLF*(#?;lpg@{V&Qaa zGa|wyOSt3k%|@mYRv;~VT{Ucc*4u_pEm2b3QEGXnsMybi5s(Y86fk+-0$s$1)&lrb zMI9)v$xPN+I@c6;V7VYaP`O%Wyc{isYvS1W*!L2!yk%w>xc*RWghTd#--#FIoJI55 zu<^*hAdlbC9@9n*KVqD5IhQnbjo|0*uyar%B6L=p>zk3L|z2@b>VqLAt7_f*nm94jv?Io==^=zQt-}7(oa@#B3vU8?@IVt za!}0;oBLu6ht3mt(N!p4Uw!ny_zGzc%f9y>MIuukkfQayhvtDheNJ zQKr(7>p4TiIyk8{$qi#&9Pu_k0?B&aViuoA<@HH3=hI0j>nAQP_p?QyG?qGsDZ~jN zLt-=4RVRU%{TI_;MS;2t-}@Sv=Vih88rpB}wpx@ATMJkZebUfMseBVvdne6uO>NH; z%(buE3aVW0KzNu=&;;F+e28me2b5VWw8bB91&`{`G>$8#exo3e2wWw%KT4|*hsYwr zQ*$I;LiI+9gZai9Lz`$Iq+bvZxe8prI8>F4XWu10D0X+)qK zNqB&V9sby`1+xl&caIdbnp7eB)Zf_!TwI(#+p>pQ(o{${pgKT+!98p*EJ1K0!Ir|! zl?@3YvqQTp7OA`Qd@!nW7!yo+#@37kP9`O5ia?t19MN%X4M%^_Qn4gCU>MnCd`Lp1 z@84A1IETscrEak=M%G#KWvvfVUq zoi~&L6gG)3GDU)zC0QgKN`{pd>OX|3aq`c}$ zr#k*F&PfYEZs+8?4X&g}-E{LEbper?@(vbofYQ2VB=q>81Rex9bBtkv=OCgU*Eg(z zt4bE`7K4>%(C}<_RxNs;D2Ul=2O4e|?o#(3A}Urx_}v`;1mTl3m@4EUZ)Ta>CZ|pz z$ysGWZVuuYOK>u()Ep$%y8j`a$bs#FcnZhQ&jzhZzoV=Fk|6!WnyQu;^(33xs+Ir# zJi$rCeR!BUCfu{1i>!{6OeNQ-oOY*hc80anCbi#A*9`WQ0jpO$?VTx7B^!%}vWrS= z&%SgzI~~!N3L`ARx|4>?GOgy5!7<$=edJg#glbOT7|#|!jzP-oDvub5J?NHgVM6mh zJpvO^4)D%KqB^k&TbBr>)^_8()S0rP3h#?GvD*UU9V`rvl|g8PSreJhMy7$n|AQ-z zj}Ndkm30qiB!4#R-pR9J$|je936O`*>%(ltgYQhYX>DO`*B^JoyX7H8sTvbWdBXr` zU{h{(c;G13b5hPL8q9c_eYYHk{aPneaK%XW*d!WG=vGZ=)mY!9alH0?0HBI2) zc}$JvU#=5EQyV$$enQMmLox#D6@G;Qx2O<)&3 z&~ih|wpLgp@wZ1?#H#8J&fW;!hBviFnCi6;o-Ko21JaMKx*2?me#K!K+cFi%j6RsY zyVP9;uVIG!P6TraiJ3>K8}E;)0#(|~*JG5T6U(ZVTvN-y?w3QVwoW}OmcRtEF|MW! z-(yX5m+JnY%JHu$a&Un~^!N=g_OVb6zL?lI$oy{s2fUbK3z$!g%p+T{mIkv)r3s-V zzG8kL4R6is*LDzjUF32-H^F94E+yO>wWI@!6F-YRk5pb$S-S|7IP=#{D2C<3zvnLG z_@C+z04@WRnR>!0RO=R6aRLTf2<&V6S3WEhU4C;CbEx3o9lnf^iF{77-2VaoyVy+8 zAP_v}%SCty`~Tx2RQ`Xv2-SG(k{G^kQvvv#&m2LFxpHsR0m8vcAO$!zL?|#Y;_rX_ zhr*4>Zcu_&y*}No`RWs&YIgW|x3b3g68W_CbhY`sUT@=r;qhv9eZ0Rsn@1-rdOO=& zJUqJfugC7fZQh_aK)@jo8V6A!>WP7t+RI7!%kID250H45%aC`KzZ+{Cu_#ZMuZ0qL zdyo(|5;z4(jnsLs2_@&ik>P_(%-TtX)8hHVx%rXV=aa|!g`O0|C>JC3kxJf;3?7My zmGNC`zxUoMZ{a8XjBtxSaGZeW<2T1IoRy$wFC*K`u%kPs>nHtvKLAmB|MvR%K*Qwz z=VcWNh6G56?nh*0<#*N~N<(8Ti28abG#xaneiNV+^x?sxma2WzL5B$?(t=+EY1Oih zL@DAT%=9IW1I<)Oh2rLe@sHEf)izW(Yav#-+OI>v)$y{J?JAe{y5Kgx8@pK#HE)oac!|dv=-xDQMF{U0KXYz?n-XPZN_z7ZmHTS!wZTY zYAv%{)`0|wUp<;oz*CHOpmM<3#0Brab}-fmAkCHVCp1K3gQb<9(j_PA9xs}j0$(l@ z5>p7O1_3<~@PqLbD10U*@42}@Skq4(7B)S|6)9hh7tc6ZBSOB}Vl#&noCd}zHY?yJ z#w#r>f9ntaxj%lO?to^zHs@K~yUq?z+^AJv3{ei#LYiEQHZx-b9D{`fNi&0WoTbE5 zZr%m_`&@wm>-)Day&)wd#n%qJO0sCQs3gXt7KK?x2oae-uE11~32De?m$c6fXS$z~ zPF|3=b`oZ03w(}L%L_p(cdZ%bp@d-i^dUJsFSVetQo13pSSmjf|yswr)dL z(sA*|&c3-foQ>`e>c&n&gO!`32hP&Sb!4F zpV$t>V&bghk-C`F;bCcwa6A21vsMn`P?6ON+jex}WVVAA#M;ea_yCVFNl4fbFM?>L&JEf=Not6mYGL>* zj{fBk{MYsa=t|&U$A6M}4*uVn=l@p_`G0f%r^NIB=6uNtfr|XK{}JbJ`R8BAf90S5 z8|2^9#{Y^``Xc}P(*EXd{K_r)XVkxkZvK@z@O9PyJ@vl}{ofIs{|x!}xXZsHJHHgk z{~q~&!Y}_B?(eyXf5idA{S(|@Qxg9f@$XSNeC~Xc+&5 z`q%HzzjAN>8TId`@V`=Ji2qaSzr5l9jQV${_Ft*#B>y4xzYN>|jQV#4@?WX?tCrz)c-N{A7A0WD_Z|bt@=mQ|08VuXGQ+qa{5=?D$RddD^=nr7KT*LU{&jbP|JsMXMu%SiI{RNl<);+@ literal 0 HcmV?d00001 diff --git a/Forum Pack/BrainBoard_512_Beta_4.zip b/Forum Pack/BrainBoard_512_Beta_4.zip new file mode 100644 index 0000000000000000000000000000000000000000..4f6fc963312b22cfb9d202cbf3c9f352271a577a GIT binary patch literal 34993 zcmY(p1z6lp^zXg6JEbiwQrwE$;@ZXCwYWoZD7F-b;_gt~-Q8hvEAH;a9WKB7zR&&N zo17;(Gn1SrnM}T!`AigL;NbBA$nUFC1-lyH|6f#T-~gWiWB^e|LrXhRdqYPP15Q>p z15s0FLjw*bdna2pRSW=<6_Cz&m7dA?h?>dR#T^X*1AhYp0KA6-08ZLH-B?zcbIV;lK04o&wrVgd?D410A5N4ithcp2TvDx@p!p>DqUao@_f5JJ5Cc?H)wBqof#WZIO}O-J`?L*&mf8L2Z7GzT~W6i z%|0toKkpCFJzG~@9V&h5xfxN=UtJUQ^nAKK<6t^=yd1!s8UAU#=gnJeciS8Nn*Oa( zoH7um0Lp4)@#aJb7Rs#1)c1WFJU?4|{YTw>-v2yN`jNZQ@S2<1pzZN&?B;adZGOFA zuk5PVoe=5##4Vd><+3)XoLpTPQ9TbxR;(RE0|+Rn0q8Xz z%8wr6-X1SBd`Pd=`4um^!rmgn-X@YfJ(6!bcP;m8g#Q_Iyu7|X*`MpTx4sGgL_I`c z)boBxa^o)a{rRkb!{Z7oGB9)NOXRbBx?UFU`+%S)&S6i%U1;BvWq9qnW1D8M=4vsMG_Y!k zfdsXTT>X84Mf!GV6o}U8ow^EqB^6vTT>NpuOgbK4z}vqTyx?%)+*`UU(0s>s(Ow#& zmxTQtmyiGg^S9lX)Y{6e4XZk-ymO&m!C14$7l%j&fcCaRzg;DJzh)EO9mM{8xygv~ zd0BX6EsL!F!drGR|Fb#nQnX;7(B8jF&fCmaV%iHJQtTvo5LV)TCBHrz5)oMNH3b zoOT9P)#c}=pucs5S9F)8jd;P}Rx!*FjGf`9?K+|-luOI|^-s)zzX-!mH*^*AP3Mx` z+gTl*nR>y*O{199J^pbN9=CTZL}lwyYo48UZ(?>54EJk;oozFmx61#{xHy=JQdb9Z z;2nh?bnXTpALoQ1!zg>V$9IkcyTjv!Ja;b>_lqs;O;*!c9YR68s=#Z5gaT%K;^1F( zmSQVGGZ6|V!=X1fX?I~Qmd1P|bT|rq8J0?S&+WI1(XZy`en4C8RQKoKg@^ohCk&^K zf9LnlQ_?Dyohd*i-GG)P4(UzMKc@50*XPGfnBrOt-m z_66j}TKGRt^}jvow^%H{Yl?U1n2tEtFw!eY$e7`P#JbrBlMQIQt^6*jkY+R7yz;E0y*;!%s7AwHo-1Bp@%75ESqeIpua$X4|4NS}7 z@M!ppnd@a+A6t%lApJ6{TCykb_IVVh{W5`bOaomj>g31IkBC3L-JD(||Jb6R@OBAi zj&)e!%1q5(yAwtTk7;nPuNibc*bR=yT4%aO-(Fwyj8#c!m(=3s>;L7|;u~60`Wso} z8e1P%mSI{RA?DNa(rBDI4^rp0pg2M=WKVP@E0gZlL9)acSdhLSm%cyyA9Jt5RXygV za9zb|Jqyuu>#N{1nkT+k_KNjFlW-f>( zc#Qj`JNu=zp}~kCoOdUuyd1f?Bx5(AWJ!WaQLVLRSg<0IEIr?-MNVLFj%)WeJgl9I zR8^Q9`KaWSK@P@sb%*{vr$qAMIGAZEf!K z334iNg4uqZGzN{Qj~hNx@P+`&K^R{}@74GK#HmfI_{D&M|{Ww89~7s6Arv)p!*X&YhW) zUz1x(8BN*|^k=8|q}bJsOBz=ErDB@c>K>c~|LmS-m zx}llYmdPb8+%iwXT>hMCGWE6Gw7-6rQC?O3cYiok+JZ>jv|s(3l9oe)l&ZRo|8OW5 zBBtsk*-VDrTrO8pxjONjdMT!LX?aRU!W=zGqxOdw9os-D1v&q#xlrl)&E`hYjUU7k z`C+JCw@8FcJSFKxs==+-L1^Q}N~$Hj2>X+gy$HB}@Dh%G46gm~bLR<@c4-oZ&-iKN2!wcVxVW<$DiH zdH%n{LZS6Wyro=g_2u!NwsQkI4BLcOn)Mfvw!4hfDr!tdh9yundDTlViajmjkFi8* z39%kf>1I8XjFpudd8Wd$xIT>xU5@GT{?;54=IpYDNDRXW*m29!1tq`M#PN@ag%xB? z8?{vMsTAf^R(mbl=@nyC5=KRdGy#&6v(k)eDm9{%7FOYuHHS|C=3(CQmr1ZjZXbIZ z=c+YvQ9{vVU{CS%aRFd>Z{lal6Z7tbjufFB*VS&71^LuuSOc)I88*I!QuM zJd2C;7uS5j@Q21Gb&QIp_U4qU-}|}-zs*+GX6v{&Z;xcA0+xx=ZojSQdw&Kxcy?G$ zY`3zhN(>iy-7Y|n6HCLU|8 zqUdbz@bqZ$cvzKl0bzW%`(Z4a>|@Akkm;p;4)}^D_~q)jE>gil+YV`^7cH*i+caVfj!3lpuPd>%|0m zqCOfr%iKe=>aSz9RCqF1HYlUh{5sQh921E}U&ruHgj3C1V=PinI|?$E*#c6I2*Vmw z7i0NyDs~kDniH#W>;SEKEd<4?G%PCe0a1c4Y#a|o4xt!wwr`zXI&MM;9rrUgre-F(f9Wy$$u3NfQIypfVGZlyGhfOEnRzs}o2zQy(=%kCK z{34t!Z<8N$=I`?W@JgI8@k;3A?cUQVLrep^ii&T!qIQrkJ*QWJw@hxmFL{19+j~}*BVq4xB+!Nso9k>9T7lmrbKM^`X2qpf_S*^)Ju-UE>5jkvW;cVWrzQ2 z#j~%L1k{*=dsb%t4I`1oYN8nj zW6gZfFX(_{ROj>F`HNG==umE1(1`}N=FZs8?(HZi-|auAC_Y<640@>?wh6p?9p<+K zgf~mqt+GHZ3Ark87H9DrJHN-zuuw-D7jinpI&k)48YX(|EBSddF5mfc7IPZAnc+66 zFAT?Qo*M9U+BzZHj=N@-nYW9ZnG8xr%6RS5bdFYKb7b6A-a`Ixe=P* zQl9trj-+4yAYMq=VH4$-yfka$eY-l97`((#vL~SUX|s~n|5|39v7zWv{;{>uwUnA; zA<_S3BKyWI7f@=>9r}8-q=jCW`9!;~uc0zS0Lq(iEI&SysA$0Dd|IQF1G}7Rwxy%& z^#2|Ez`Z7e3&-Te@|Fl*YLDT#T#QqR;T0n$BwM1gdNWN-c}~k0yAr%_7V3 zx+N;k0KdoV^(}H|Xf!s-ZLas~<{X_l=boR!(p+GfxNcX9Qb3p8lX) zf}R|#seaE5j{0x1uvqg{Z3(SN-dO&<83t5l`!4rxUUx$FgGgd#ZLY=C->`N9NimPm zFpoM{S&K4(q?o~2!M#F{KSHXp=en^cn?7+%;Ej6_+n#J~v2V?D`*ys(57KOjepB#K zOH1@!yB~h>!@apsRd7M&BRRj-Y;Ll5Q5%OPLBDH~)?~SAv9i9BY#wCaOV`mOp0#i{ zC9bz9T{IqVnO7gGhUIIJ52X5M%vnC9uVXHl*xeLmOAO1adPC?tc9Yv<=;XcnraL!J zP?cX&SxZ!*<}IgQP*O=DYC7v%Yw-);YDY80qefo$OHudVFFR_3a_yx@*4lXRy3FAp z@(h^6DwitokkvSp#+EcFm4f9o@RjcLaKt6BI{pMNJ#>%<^QsBUVSFHs=>tTaENq^6 zfT%SV92i1;10|Lw4lj*K*o9r3gDIT!C~7(P=j_xE?6>r#hU0oXte!umv{%otoPf)S zR$cp&U9@h=*_%xHf=>?S#~e1=Ft_WxP0i1_a$h|@_xS24Jy>Ca`+JsAB@E4*7SzvJ z3ltiS0(MIk@grroJ#;qjuaEmY+rrFmSjN3{FQN%E%yWgNG)yCB)d%`M+$!R)103?btd`ivEXHOTJ@6rN5O9~ z6YXl`_68s4c+lHXCvFB5A~|3umTuIkVdq2{qTGK=5!=6WAH`}m+<0Y!E)s}aE2~sB z{MF#={#@{4?OGD;^KUq!P27av$D;)}`N3o&+FXmJpfF(VF721mn6iI!wUwi^rh!#5 zznt;<>%w>81m-(_%Be;ixUS#onnkwq&`sWTk`x9!M0tOOwR?D?vUm!8rrz7%-pz1$`MMe4hn}3wk6h9@bE@vm1k2bnhpFNLV-=65Fmfh)vcv4B*-Mzg% zJ%75soGFR4|KZOb_;Hzi>o}Z7ApAc^%5C< zi};>FLDgvZ(d+f~ag0=9eSPI`%6N|)71M^9-U;q8vZq0_Hf=)0r$K)uhtU%8%<7q2 zSoC1!5_g4a<u7)3zW>2j(91+5N71#REB`jXwd_tlk=SQrz1HVVD9wLno>`3L_GOjfJk)mAM4 zrH|jdHFH$xkFg!31Bo9+=}#v=>N;r5?d!cho}P|nk-qJ5N9mPJ^8 zy4H%NN3UY&G)$Z&hMd*Nnx=s5yau#`!Wq_k!zM>gn7VDx` z=wJ517BZ`ivIel{eU|IHeRX znsTg{cW{3(NID_Z(bC@jaQ1lnytmi!cUACqDtGt+6VHP`)=Cil-{DQ;GVi$Y3Jf#( zIBDxe-7M+-7+c#M^NM7833urR=4qo+*1+jRNs?*hx)6EODc2mVB1?V_KBLy4U0L!(ivn%jc=XhZ_S?Zwua~Fa@EE z%+43CXU{N)1sN~xW%>QNvRYqn!eGsJr0Ba6fc1P_nkAnFzvl4sdgq;0o*o|Bemcpu z{W?Qv$H1R*RX1Lo)4kwP>mOC^MQlso*vrq(V2=n4I(4w;%Uo?@=~~%v=Q6VZ4BoUo zIy`;nVZIs#oYoXv4nGk52rW2vEz0q#w>B@@^zUABEh-Z+EpqBAw-4t1)>+leJ$uNn zfQZhy)D}Fh7<_Y5&<3<4Vf#)?s;7^+EsOSPZ%Z$Aq~;N}DLu(ldN!&GD1i-6o639CLHLpaK&p{H<4=yF(c+3VU-vppa`ymxkQ|Kw1UKr;E+*Y z{_AF`_#S!7&!plTxz?IEB;ghy>r^9xp=gu<_)s?P7XUMXvTJvA0dyT5 z27NO>ZRQ@F%)A4X&Sw9bV}WCrN|l8)i|o?wL(%~}WR#GLwu%(Mr&?6Vrrm5DjHpm; zg4hzk!#_j|rHdmE6`OR|Shj}U-vzxXJ$Q}x@yf>UA2#%3=WX=1aTo;zXr(BE2_yYi z1cG{uyuaN9D7%aiF2l_h$0QB?1w8+{5amVrLkO|hSi76o?(?6Y$4$H5uwwa$2{}P< z&Nbgb4gaFo90Rs(uCu|OnFKS(tSyi~t2px2IjwvRO?Z>WJpIorhpdu4SLl*V@s$N& z$4!6BBJoOio{4rH;rlLvO+T3-U3KuK)qR&HL~V*Jog%3{&N;^9vKaXT^lR6|caWw( zs$);Yz!$^Jb<7RL{i@|I-k?jD(+XoA-7x3w@kqt9!qM=_#csgvhfqL5>m2@q@tT_r zMewEJts^C8b}d5}J!jGP1OP&kF$B75#ZxJE7Ne&bB%E_a308(j!OZedA#|c&UBpV$a1j7)g9(bC%hRv(^-2H#J1&9rP z$;89Vs2*|Z+5FX)@ZQGz6aM+#SO_g8XXnnp-xm6o<7U{7r|x)guW5#G6m^x{`XMWT z39m`029dS)a zdrJY=_rwYqN8@pRuYC39*N6#L789Y{y#xOP1QQ6rLRSG18RFF3P%9S98G@DZuUnNg z8zq`hHRy;so64A8-0Q*wa-%49SA;&dARUtlsAa<=g^?Yk-BBwTRjto}PnOR|WKmMZ zI0y=p(9Ju&<_gMdyc<+JYe}-UAD8il*g-b6Su5Lf22SKQCNEf%?Ebq>QC&kw*d=HH z&+oYH6AG{9=j;{Y_qOSlOh%<{Bn6unL)NZ)-<6Q7Ym`8!T4kjU7n05$60#DwZFBiu z=n`?t2OScgr5%fhK_2V0=>>#ff&i(_g<_bHaQ0J0Eq|zDuY-C3{D1~r_GgBM;$_&T zzmKpwkV>q{0Ro5xr`Lv;>AWPQcL@*nbN0fJ5oL&$9Ft8JV=UEcit3|bcE;{^2rftj zsQ1%K*{~s+fWX?*JeWm?h1@q}QPifCt^oJk!O^hcyK=Av_9^)Nh;IS)iM|}Q61KFT zlZwHPCZ^HxVi@%Cc)kAJ?f#1~2_$|VQ;!m{^$|msAD-tsf<$axKu&W zss4bcvW?D%_E3t?sKrA6)bE+0Reaw!LlXzV{HT!oGi)P*A)1C+Wlh=Tacbo}tVn)O zU$Zn1@vlGi!N(A-71UC;@&z=ax4Y~Ve6Bd#!}t@yD9ipE4tWNBHZG1z0v7;7uWkJu zyO@zNm;^Z%&}4~-3qab@wmxeC#Poh;T=_lpl~GYa9un(_te692>z4G_urPBLfZZS< z5LC4@^b?~J{vyC8ETJG@@L39AlG=^h&Z-+oO-6H2N^gE?a`ReF@?*-g`!e$r7 ztn#1z8|)&ku4kqA*$Nxi>Z>$D(FrDOJbFqLJe$e)UsCUqXG#$lC@~(x$Or`v_mJ;P z5)cFehI+_7Dbd2GDYP_AqD%~xoQF)XaRk`V(9Uo`bZP_y6035EIIOBBHN|5DJ2!o{ zyer2j^k0W7zIg6nh#F(Fgis0_wA#*^g%6O$0*FS!%?xAyQ#Y3#0)h(EJpzIdHOwLJ z;ifbaCxA_1b&uj&5N*)OQGTR)M#76VAy#aJ1(e}( zZXDn^7KzNQu_i-t7+~a8K7yD+lIEBsA+uz4La`!wnZ|aC8Sl4yf3|_qvnoPB5DKiR zqKy|LAdmvRS3vZ;v-n>8BdTYu+a+pc&F`nlEoSi)m1poYMWf(9lI9zjTgksj@5hkF zzbE`kvEOs(uX)JkD;gIIp?|i1$nq+Op7_CWl z?Efph%ZX7@fR)Ja4=S~AGjQb*@RiX7ExO>d9h&goMz{^kBnRHkG(xlN72+3lW>#`x z|Bv$zP7KQ5qdN9m$#n~we-VHBXhqS}LXJmdk8QPg>XAb5|EVK97Y|^9X^KpDuuH=_ z*biP3Llj!QZ-^AxI7;*|8R|v`9uJjEpez2~!H&lIAe%$rJbV>A z;;5_ZC(jIw_KXD%gJeIG3w%AC_J+xB8^_D;iDoaY9P5sdXiao_{!Ph1FQkjPNTIo| zjW}f94!^?&fZyq007kcFlL=R&{X287!d_@vpN(fH=Pdq#q5>eAuY_N?PoA59D5EX9 z0gO139mEQUBj_PS^D#@Mq>I}jR9)D$$Wqf}s#t3f>Z=m@kD@2S1r}Klt}eA_akN)~ zYY6688Sx{Et`E#1YU&mGqz9?*vUK#d>o;;VR1NAtF}<(Y%sW{2w_<-m!;J!k-qwVz zM(pDl8C+S2W09^Yal{9~8;iY)CfpsPI#R7>)B~KDT1SLZUAQRDtCCm~30B77r4L_z z8pgCS`v6&Tdzz|QSWz-}1ggfw&0EJ;q^k!W>zRh{pLGIS z3C(c~>Q>wyOe(&|wU}(+tvhsfCXSdeA9ErUn(K2a-2*iG^=R!(*wT*l_xFl&VEhmQ? zrRCL3Hp6EE`)tRKEH0Inuc@#3xtQ#hBn1}Va1l8QpP07;Rfq+34|i2FbBm>jkZuTn zd@~RJ_g#ZA6BH{m9s_o#i#LwR4j1Lwb^PHA>Hw~s%6+!N{8k#g1YbM{zk&XVo)~!xIaf+vd599FB$Ptfjfl&hyT9F1 zw^bujGVnKymyQ?$|ESSxz}TKDrvaQE{E0t^(;GA2a2WM?x40{f?g=v5W z-h-Mkr@4Nt5<3}%id^a9g&O9EJm3^&2Jct3xC&{wczpu!mw${Gk+MwixR(c-2}(9j zcXgt!19{W-e=M&_^2^(*bLNSfLt*8K9>{J8Na?wSN&64UG)A4lg8xqQRE1(4g;BFp z)mLG4ZKM3Bc+^2;gY>fgy_RaccwF*9^=(V{gj60b`kqLopGtl=<>ip1M@_qI(sD(E z=;r>oY-6aAmYInzhe5F#6F5BJ=JCg#DFc!0ngZl4bg#EE^;QOcN&;5u?NQjzs1$_v zp7N#ys>bnR=FywK_%s8_;29m7si#sJfYVyP_@04;i_JJN0sX@yH(kTjOqXm^seIw& zgCv|N14{I8en3IhNMKWWQ0&Q{2BF)y??t=}9ef)=P|V0Ixf~S7JCYwhb3*CDV2(|O z!-LF4+w@6klAhd=6`Xk(IDu0GcH}Jn%2+|9)blgmDUU?$4LCMdaPeoV@xz_o=JHWA z+|WW7wOJLxUIdtiErFDzY+4BE9`!F1Px_S;ieQ zd(PqFTB?cNV>dl}2Up5>mrM#c)Kp>rM`VkY`x%_?1!ufgXW?LxyB-NYnW&X&EtEzF z+P5PIQTDTy9?zZeWtO$D!t9-#RQ^oNp!3WD0i%cgbD4mzAefXdh50pjmZ*3mt zK~ZG-i#Ld8_+nR>GT3Nm2KYqh+pqW#k$`oBt+*#bF5LfnAuqu8HaC_&kvzjxd4_ti zSRUk6lFXNHEy%&->NmuM5eVHh2E9vDs6nM9ya66|_qRzkYT$>R$4VSbEWY8nuMNVv8 zNzEVK+G_QPR?}&BtwdsaX(&(;AWoUQwp~iE+T!&kP#OnVRgr*G-|Rw^?`q zO3<|H-u9(*2A{E;`b zAIX2JP>)T`LPtk<08w-*M*L|9Q+>OMcGz)8*pBzp0k`SU7*9mJ0LmBx?42|%aSR^P zn`F2Y>n`f9=0skgtfl+4nIg^Sf@3y@#Fi@gyGG}iGF59bmVi0R#_Y+eUUMEr?M}*A z;x^^HT~GcU0NJUnHrSw0y|h}|gLp9_A)(Y9DL;QpH}V`@Bm%4SFsArnm;GjFJ#|w+ z)E6N4Vf3p77PQ0tnuCN*LDdXx4#UM+nAz=q4G2a48FNiL$pq^1Ge9x`qZjQ_r6~eV zHu)H7Z^UDFF>#Gl1b3DQB|Tyj?qI)}%z%6>gnd^`=tpuW`>4wtb4dnEjAna%qQLeP zH6;11_%wh($JFP!1i5{`a~)y?`I0SEUwH(=HeuI5XLt_FQfBrsNU)w&*%t8ReeAq{ z(@4E&DKI;b%Z72YG5qPfS;^kL@Vb2T=xI$Z(ByhDkDYINh|+hR4;?)vv~5}h;bFB9g(BZ&<>nsGOBL9$$-<3|*LPDQ}< z_Cc$dATeLkXaLJt(=BixVffF5N&>ihsiXnV1ZVz%-YtpOYxv_1zbs+iK&_qurq2QJ zTMgWtxafG>E&Akdx;bTV9PJaEM?w+2#qk!~p3c62YW}Pg`j5~Y4iFXluT%jv zBL?>oJ;6L>Zx_ju`-uNa?$v`>(Qc>Nmu?%vCi1c}@A5>Lm!>Gg6bM*l4 zPN-LW3buf$W`_DvZZD6Bzz|~Pm0HST$J=Q2<2Mu`=m#^28XywCQ4}m_8f|L+(TNzO zyVxHC2Lp242_Hqm!kD~`fvg-#c(77B0Z`np^_w531q?7Ha=@Ai#cAH$wz-dyG)ZOg zCw=&Q%%o~IBs#M&`7HKF&cGII+Od!q4Ng7)LPPPOF7Uz?xtlueC=iYL4 z6$W(VF*-1_ncPB5CCzmsG3K|CM&kwI7$E{C*$A_Eav>6KX zbUuOR_J^xO?sszfa66ZF{&s)#zX@i~$LjDOm7o{rpRc#e8LPirJ;TDQH;6!y{>_hv z*Z<+mzNr9t`K&f?C*S`=FHdh8eZBAnxeK+iGIT4{bC$GZNCMS7n|LJJgK?S?j@un= ze#-s^aB!$x@G+3uxQ7j+TuS1*)MP{emGx7cYYnQHy77&01ipdDS8FwFde|g5JCJ9P zIGZfa{8EIzZhf-|JGGv2clx`D(skg#-YodNB`a{3B@>OTxm&HZb;sD?mgfU0Y5pK> zkok#2H52be3dwF66-KD$V9|sA?>^VurA5mc^5$u>vG#&F**KZSA> ziH1~snqkR~k`fsRfakkN*L*nCOK=&*yr$I*GYUyA7=-`V@F$n0mU>!u6L+hiAX=_r|DL+ZUx%wn~NV=-`-X#z;9Oa~n- zRK>yL7)G!mn4tp@(0G4@M#{FTDy)nP#pp*t(@0?SL=0RHNM53_LmhE#C7TV5tI^jG zCZDuhr>yK=aO@}n67#8*&jm6~{FuLV-=5$Hd(_zI0VYr&f3Mv!Vbp7YFk4rwyya04 zt&P1Xd1sd2YiZcO`P zdTTlnh&2NTKN7TfkD$p|Dz=hegrhY2Oi)REStDlU{N9{$37W=`Y$vDcvWi4dOxsR| zTPk6gj?bD`kz@kwnFJW=1YV|FW80Vh^UH#ulM$+}4QeJGsY*i{bSrWcM4Hbylh~}V zmzE#OBPuC6NqSuJtT&Vom&TS<$ok?PpJMdNjV%>*20#6>+3k>lgjYre5&mFg184|b zASQ4h2RR^)bQ7tP6Si|ZGQCqiaU_OTG#MxzhE==@fPs9U!cY7xtV3&j>cegY8#rlu zq6Bn_xCuO5V%paveE)3?Pzt(?=>jcn=`ncLQK{5V=MK|fm4M^gRqIIPP!i%=d*e;C z-QDi%cY+h8NA4@vzTH}nOX?l+O8Y|_F0Fm4MaHKpLFFB6gZHnQ2Ahgkr4&bs5iXAF zRKix+k89oA zpmQIA!IkWi6KShcD`I2m)%?at6%TUZaR+)j_;`>!)peG^W+XyLA;>PoInEjA*l?c&6t@fd#6Tn=}61UfWI*e3==l8=1qe@rN;( zSwRG9yF0?J2yF;+xJCP9WvNJ1GBS+Jkf~CaK{(;C=u~o4U8HbBf~4}*8FsWnGfZwU z0b)N~raOF)&BCA|`h`l}!#}L>O&k9(eaOQfy6g`>6q*i4pEJCerJ)@8)y@=kcfkmx zTVjq|d#SZJME%5|c5G1#7vB(BaL+hmYZ?|FTvOhpt@D_x9vqH&m88xWqBiXo>O9Gjryge}Dmo>voSrlLVC1tdLp{J}g3H|hU7 z^_41HPRY%Q0#?J#;Jf$_6;rbk0ITC4q76koSzNLR@e8bae`^%s-|&ReMr9`7FehyA z7xrc)Fp=VOhDU0gZ*!~MBxiop@nRd{E9aTqMLVA) zArUu*#Ssmu?YRwACZ55fj{W>fN|^T8%8_(}WHsPRBpzqV==feDRbX}vMYncfGIu6Q z{USM$xYazuz7108Xb<^MdGw=JRV8S(G=+fxoT2Lw#Esx8Cpj+f-Z`-CxQ%|J@bAoc=yhU-<-| zWK2JPb*M4-mfH3;+gntjfm{gNPHMAmuwpx8B<93)t;PH z_cAo5;H5*HniX_OQm`_VFS5FkU`&<28pe$*&=(xrE=>MTtn$()LKX5j-vK&u*TZR?QZdw^S69XLaY?D>V5xo#;>0c8}AAp+7mGeWH>uoW@=B$^Wv|Hda0S zi)^l%UE|jAJ(>T<^`Z0rjeN5Gg$)F??tZ+=Y8@)EgWl&1Lk#=Kt{d>x`Y79_Q8B1I_%jy1!mrTu71z4Skd<^B`WI}0G$w+pYeh+7`7yLZUxb@UA0#(?;0Id&gNRu0I?5H&JAjWXge-+$Vx02cXL71QFNXaZ zXxTw(I{F;3UiBPBrE0Wmnz+uRrh`BE=VTOC3>?)RGwAWYKSgq zBn%L?sZ0SeYAXB57$nmUX8$D?tR?!PEY`G^q~vp}Yboxg8U|-a?0lGz9xt)?~qeialSP=mW@S?s3rtvfy^MUO3y!B=Y4 z2tZhW?NU_#R`KbeQFfVRg(m+ENt$pb{DJj5eVhEdWeRH}TU7sBSxTr02&fb0T9VpU^M;iB9z3SKb9nzC>b=mn8l!q(4(+)CX%bf9MUTQWlvKy zRQ>1@Q;?zi!X4{`5hCyvOTv0XX%Qew%=W{fQx1)G47AQV3W;~SM)Nr=Be0TkVEJeX1_)`goD3k$M~>TwZq1T1ZY zN&6Oi6A_NJi8|sFQ%FVrGQcnA{2Q0#=p7kBG+;UkO>FCNi7M7b8a)@EgS_waJ`tcu zmiSowWhOX+^7@)IyUIv~s#2JrEI9`#WXBIn?WhBY3cE*4E?LQ7F4C1ND1vd(BzN*0 ziGPR)C^rkvI*902#2-VJR#^j+0lHDaFbMsDuGR^Nhu1qp+@1?r8{4A2=A2&sH-H7mw`Dq(6;gLfk z(~MbU37aPDPZ+Hls2Er@b94fMi0|^kC|1Sx(WkJJ&}wtPgR>jI-w2Z-*>K~Sr;*AP ztpS#qdM^;?9sC%JdeZGs+*-;^W2;)#RpV{BscYnNzdolUzl8o&14{QlA9yIih@_5>W6X}?e)b&);EEHrl9^e(3zH<-!5St58@xo z0>vK`)<^EyMZ?*bdFfn~o+)imLS3iP)lmHAf1RZz|MUi#9DUWsJXnCkMb1kb7x4i& zQkB3A_e;Wz%1d*Sftcwasaa~<-}3PwUQNl!0N!>$R`sm$I+d(qgph^d%&JSZA}0OpCLZe2WeA}6rk{twsL@esK9in>pQkA+a9q_ft9TjU z?ozIR<67*({k|@Q1WKp*JEhP@aYnqNLoFDfLuYfGV-+bNr!0sfiOG*!6@TnQESGnM zI0TpSfjJz-vOKU>e4VE1;)GW+Gy94Ex1NYb)3*_%7g`AtM==Srf;@fe(a*TpCj70V zuSNY7aJ|m$<%D+);sCh#Hsa-5QfOGx5|Ok{B=4L;9Bh#w)BziBXjjcx z%_-5ssG9S|Dx<>U$W-~1I;#*!&%G{I``Y^glM2{>?=#+k zQF!F_j>Se9&?5ow)s%*P2=Bh(C!8~b8x0m_fY3R&z}SeEyyKcA8(7)hmPB;04lqC8 zC=$LNNtAxxo1~^!7$X_#VpdOB7n!e%WCNRs_nm$ok_hif@HpV%RXiPR{zrOjy3C$qiN5|*$NVK#|OB)g6K5|stb9yMdTQH3>D!PQJ_GxjyUJ^ALk~=Z?1{W~S zTq+gRBY$Aw)s&L=`~)mP%p=`JCD{s(G;WQgZL1!ziZi4mhAGyKgW1x7LbQ~c5r=}I z@svhI(ym5d;hgB_E3w9wksKpax#>cpWE|y%HZc23DC==tS$*ydH@;7ZPv&>AiE zvnS8hS6+t#JuK1~#Pz=vVmCXI*Or~v7gCw}3rWsrV?(In4p>d)pisi9P+}R#%WS+= z-K$dKp?t?6HAF@WJnYmLp>|j;g`Dz}Kja@dv^cJTb9ja653r9b!KG}^okLYkm{)d9 zUg6X1qRl|XU25uKemv^%J__#5fqDr1 zs!epE;;QjDitgRQ#0Mb35;+ZrdIdE{E#^a|a`VBMZ58TM9E)mlcC<{(bdnbPa znji-DEgW@q3;wB1Ol2qW5IL;~UQd9jOG=I;XR2>B!_1icRoD458k_{J&-q1LXj`OO zF-zG~Ef$8->RIOjBN zN%CYgId}8F9(;wXk<8a=KGxn>Go4qWw&nc#A!{2hPJ;A+q<)1BJTYJiJH0vMP79-_ z9a&uJA&m8%3PF@f!=Q1B#SbTbn_M{D*HF*Goc8Od#OYXk;H#3upc z-@z(UB7*{XVZ61|Y%DEF`&$U3(X?`bj5<$ricSo^hE96Z&4CoB+5@@`Jg28k9c_ZE zgckTkNy0a8sz34oeC}Q{!3{Z~<=id1IptFtxAJTNBnGGhl$+1;quN_V&azV-PmuG# z_?!9^NS!=d(E3%82N0Db6B)n;1HLkU);t*O_l5j{q)ykm%)hhuGP`a7j9X)NDd_c7 ze8p?^Mr9D6!|echl?i10AbWZz$dJHAXESMK4#|qt0-exxkA#y%u87T ztij}L|0EG#6zS@E`_&QiW`_|k6-a-fbMqN&{%vwQr$)w?r$)+67cSMqvhhO#DI%-X za{5qo0Z#|!kkr#ZgsgF$d^!2^@Cr%VH!*K= zYqi_IIQ+m0B`*4gRjXatr+zlq(4arZMO8nqH^`*xGj5IJ#9I*iSTpmVe?&$w;IQr> z2>?BI%mYt;qk!aos-2!-r$7I5z)OGww%g5k47nt?qPmW?KK(Of9BY3&m}8ma#UdGL zk`2^^x*Sf;ImN(dk2#?77yd*7eJLDvE*t>>S&)Nwi*XKEiiJR_Q07elo0RIC7V!F0 z#B8P2vb3+-%nkGW658Q={{ntubG#iaWvRGz90Z7;WI6Ezu(2O&P`-W}p3-607G=N4 z#^n$`wm-V@PgZ@Ra5oj(1SI_X;UO-C;GR6A%&rT&e@gg6R2hju17^5Vtl)`n2yaL$ zc=XSr$^5Jq64FkY*s#@dUc2ozAk0^OJ17P-qeH9nY6(Aqp|w{tJNd&dbXnn=C%(@8 zmNWu)aV)soO{Y@kgBrD>M zjb0d!Yy$Wl9DQK45LX`M2C+t$2D4P~@(_DMdahah9NXP^g{Q=H){j4q2p}^=aVBWO zZuZBdy!P#XR0Vqxg)<u6TV%D-nM`atv2%LrKrp*7<#P*P&?}-QkhVX*D7{^6{Yqp59KhdXQq26S+*n-z4YP&khdA&kiU(>Rirrtq+|iDh}SA z*Rn2f(OP-P6(>^WHdZC)Gs$u?19LxFw3g@tW!2D0PTF*c>54uDr%L%s}%XLQxI?W$gUX+lV5-hexv0`;Q8s{^V%$qNq+eGLv?bVOv*O$R4R2 z^(giuO!T2$uJyDV!~q}ykoQ{s;2@PlyOsV@Ve=57rtYpC38-92P>(a)AHC(xZmjiF zl~j&~+Ie!6$|26UK-^yk;FZ%%H0 z7Fs?%fBS7>Mf+-m>v`Ch^AKAw0XBa7(e?dx_$d5)jInHfT3+v-vV-;ech7F#+~3?$ zSC2wpCp$dKoz27Z7iMibo5RgL4eL7$b`h z+;lc!ar|(e&EyQmNa)K>q9?yJ$dC3vy{qUW7!IM(K~%hrfsQ-KcSg+14MXb92H2gG zMFoZ5dV9RKy-iW(^`Wz9JqZWHx_@@bO3%43HwNTfFtbe*4Pwjd&4YFhh;L^0_06B} zw+>T3kDk1HcYAaC@Bi)e$=kb|Ki%B@ACFGYum2LN<8};8_w-X5MD+8Y*SLLRUfRGk zGI^a|y!wxu$SYo7{S;bxbAS5P(@^2f(^vPeuiu4*H>XM*30Pmk*rHq661H00;X!s? zhAE;mY`@hG!&V)+;9P5b0}HWka0MRI zg_&jzKI-HqGwbj%Rq8YiMuCs78w8nk(cuG01*Yt_g$SI|SM#6F-h~ajRgcE`n!^Ta zT5Leu{=qV>YYk7$`*2#@7T&pVY-r<;DP+~bk5TUwq|w)#h!AMCLVl3fhh>BJ@n~G? z&co`2;#C`bOkr+TH*M8}ou_*7qn$P|mqmk*S13D}9=sn1yH9?ct(gUU3?vBm_(7e2 zyl@(0#Rn4JP3y1|kMnM5QeZyH5X?rQAF($P{kTnAkO<7%*;M@x;kYu1 zw?XC^9bLw>f8uc_@h=XEgr0i;2cDGr5Bu29_5KfnblP6gXanFggD3-(@PTEe?G@EA`PEQ7LsqItCb48Cx8 z3_nIpc+mgiM!P8cPYf@iSA!qV(`=_vgU9DR?& zU3OLzmU?RNPtAvwGywkrYfc<65}ukzhi~+2Sf+8%@kh-o_zP6GogabKdOtSf51VQ= zTeTmSwHZGhu!X>?^LO>D>j__UKm+5q|nb0 z!^q}AKmUdN*v>!tb^E1r{t5vxF*pfN&8Ow9;f);!?eZeT)5P9u?e*Z?vL8@kCk~bT zwQPz(r^@e9`@Mt~6!|~;olMKJ@aAjzl?2?Df3oH=|6~Gg8K9JZvv?hIHIBBC-{*5w zhG^%9ke?y_L_6QC@}Fn~aIV9Dq=3EO?xg&@*dfWEiu|4hY)C&O-YfLO1@xAGi|Ya6 z-;ph>)~NX7%|rZ!+iAFAY4Sr%W#uHie*pV0R0r|H==(49>Q=KT{8KcqgjbBi zkdzlK{};`}`(Jz%YR%e(hX0G^;r)}~;r)x?vGO3U`aD`qc_D@e`A0av{V)p#!QsRC zZCAhO`;XwGg>G0!4L(!{I&0QHnmi^W7z~3CCrXguRpw=*f6q4gsID}_T6Z2UU-Qlz z{W31VOg-*?d~onF=Yw6GQwJYCngW!zd1AWfdF?PCzl6ijtb;QC_~y17C!>#_;EM_n z!%d?{^L;A-O!ENh;fM*N|Ao$RU;e|$RFn@LcogtvC|;%?X&Zi4J- z6yjmcWBL)=h4%1@d4TnKWaQU7@FG)s<&VyMzvZrrW}YztB##hriH`p~F9XFb;mw zlt-d@w0>^$AT!$KH&!oePkBd{1E_r4Y?E0xj5Oy#x^;6p-GJts8z#`<=eEQ)s_-AG z-RwKUe}p%~pz%M^ykdDU;qGdb{U@47`_F9=(pD3mMDuX`9KKcl33#OZ3-C)=exaS? z@VxmXTWRoxKrwu|Th{RNG%lL*Uk(qP9}FJuUp_pzf47&itakYm!-M;m;Nkue!$bRL zK9B2^w1eq(E#YZ+X?b;cu>bk+;QkNr)~d=&(LCfo;qo-BtEPMi)ywWjqtP!nt%3eG zcjBacg8c`nX_;4b{mAmBtlt*a=vDkt^9ugP=-Du->mLe-wP(Btv$kf^lwYxW9eu}g zJ4CCr(Tq3Jwt@B!!9)H{@CyG9SYPI3T5XlbVt7b-?6y>QgQh%kF#yO|U3uhul;5o; zKZI&GFN-cexczB1_59$?L;P>gpHJ%k4dU6nxX%9N@L>J~ugrgYV0vDU4UZ_{)t~X?ryA;e-_0X$eowL*>1Xx29PjxPmXuL*s!DShrR?zeV%V z_(AZ;Ca3(cR|1{LKyS@$6Q^XWn5|9YOxA`dv(e`8zJjEB(pMb2lc z@i7|@k?~YC4~;iu9aP3o@CyF3@lhE+YaSUNxbZPr2Oea66dJ43jIzo(SYhac5M6`V_x1_)1M*R+|M?iA^DZTL*pmG ztHwVvegWelZyv5cH-5G9i!gp6`9&DNl7U4tekJpa1`my=1YgWEn(+(Ke>Q$8lPBX> zu})#*SFvqr#xFE^X8gjFXT~oiJTv1L7M_Lit7RU-_yvtO1dry=;FbB4@e7Whj9<$5 z$+`tSSH~^5RwrI&JVTck);zwv2;&XkIZMX3t}2{Nc?b;W@h0T1Yx!afxGb)naJ(3p43KpYOYj`5PLi|FtW%`4;2#!Do8i{_#6m5i5g{m6K! ztRGn?A^u`6{b}j!e{TE)^v{i-kp5%#BDbnxJG^-$`~>(VG@xBRMe}g}0p9viGyaI? z;r`>sR|wzOb~WQGRNj*DRWbf?>nc#*isq5>mm6MPpm8ji(g01&yZ^b_R_H%XwbxtSK*8^A{Me zf$@enkM_SXUIXI?H(o>KKerBp^#``?+IZ&&H)_grx5fQhU3nfpaO1p88vXL-A^j3O zjNIYy5Pw_R6Q`~}A0AwPf`|0ShX?6z4xcWgrhFH}gZqcz72_!lPcc55uzePeUC=kU<@orkA54{+-);GevC$UnL981Nt7Jmf!QJXZS8#$&aAvJOMz zH8l_W=f-ESe|Xp@+&^S|hU>?hhx?zxP8Iyo^q})eiYrwtzl~wL2Bx?;uz9&*8*bh} z(}T@ni!Jk}<`w46E!VB)>k`W~!9&YE4G%J}2Uxx#^C!zUTzs;8t6_)hI9W}2WnqWP z_`{vpIIH##q8e-XDIAWf=MW7~*?ipc4&fWazGgW`mw#qC$CrO$c?a~vE$@(i$TSW& ze`ww;tFC!umUqZ}Me~UHa?3qfe{Q*lmzN;j4a+KAlcn+{SF6e=(hfoRT#ey{+c?zR zir)mU+ch<8s3K;qAD+`T7}QrSp~kY zygd#(?|Ytz+a;9$o9lt5yobgMpxc+@2d-^={8X(*jvOdYo2)D~{+hUYiN9Q}c&;8^ zzMGUxl7E1_KAeAW#|$O%>cDu^WVNZ`8|x4@{;2b3^wZuSj_!}lI)gk94~;iMyAC5q zm~InU-nnZ72)hMYjXM1!KaaICEHZ^t<)7(m)8s>bkg{H^nY7(a3zIFP?N zJoG$Uqw!w#yk^zP__1muFn?OU*x<&S)Kevmed(rzi9`$uWd`f0;JXokdp6Bwf7A7&0{5)0J*H=!xA|0Xa-?;mD9p#EXn zHNJjb{)6@BXoJi1yK$`4`d88>_4yxD@IcS|qw_=PkGkiNYJ6-C7<~S#p4$g$KO4tt z{r(L2C--fE&SSv4NP}0L$C|vD8vj<(&UMos9;O{Uh@2By0g?O&4hSj#HNF+~`n$oZ zkuNAKXWf6}-6PMh!?dAYc*F({&N1W+@HH?bNT)| z(7!15W8%L`+OV894W`XHN?R=SpVCHAir{W#J^E!7N#FH@}n!igREpa|LE$^ z4$luLzZ|7qKDz&a>&1ia51fC(^YbugedAl)=syo4f9t?rIey?>#>dZ{$CSwJ99paN ze~`8qgqBPAe&jgvPLk)xLC$*M-6rSX_}10%2bo(AGM^miAISek-Ma!7|Oh&I6qQq9q7Dwm^r8M?vwD1Z=$CER)Pom`s3rY(M?w9X8gsw5IZl{zL5{I zUvS@Ig@1PS|G+$>>t8|I{G+s?NA{1SwDU)ilSgSUk$1h6pARyh@eKlljsBqh1Q^Jr{hR`8mB`CxfwlFA{U4?+ZUT$z^>4hx z<@)!XzmN21yc+s1@X%zCHkrGpK>t6m!Lc~%>>`|pTTKck26;t_^%#h z4m=EgILutuBqo#d=b^b>|2dpFm8C{e_(zkNMe_dx|2rPriuy+af+jz~w9z0mS(`tM zTs};DOx4hS45EXz`74pr6dDa9r}OwPSbsqOeEx|3+4y1RQl3~>@qeZHgWzQl+pLct zrd_7-!{BG$Kd^uC{tw_`=3^0Cjr>=EgO_&xDE|MAfUe2!P=6TM@-i*z`3VxU)$-@T zvmsP{Kz~Zw>x=z&VemSLZSVAtg8!S`0JZ$s4gLYKJ^KCunWsTwYJLB=@M8ZXc#(Om ztG~w24zUAD(LYeN5`5PvC^Y>AwEvr=9CsOZmT_`4^?O zk@90da}81uJVgH>_17qKbU%0*DcB|d>Syjm3Ql?d>pz=tlsWt;c>nm>GOAYSm-Oc_ z^U%SwD~HeKHHm>_|A)^G_5Cjoo}D?QKVg4>nbZ2u7NP7GQvZ5!=6UG9diZANVdi7D ze~|v`Ao%>~&ClcD#e>YXhw*3q%&WuUvmO1+LC47x`kCX8GDjUfdm`;0D03~LM+rXF zgEv7|-+J>Oyjj&`#jA;@8~G2i!fS_zVtnbpxpe$s?JthJKT6wvls3CmD~DebnlIt0 zS}{Hxq)lbMrNH?P<>i*bV-wh2!#APT6270dTaLfy{ED3)hl8|f;7OjE{tnUx_MJyi zUgAo5RX^#e8y^Al;#n18=9R-|KbpikHU4wjSw(r$fAjO$_)EM|$=q~cyv(nnD9%@u zm-N8+qrRERoHtr{p0-tiKX`NUAZ_mPn~!x?TMb@&^YQ4-#^zB)2~X8Z+Sw+rD~4Ay zPfhcr9)8F+tPB6ZdGIK6k_es#$~#{D^U_vQHB4K2_~zTen{CH$uJzwcJA8AGg!iA9 z_O<`!;&J9Rs#Y==9b}F=%v@yrIA0_`DE#Z-*{J4j_aNgvm#r24-`ozg%LBw87e0aU zkL%`O<}rRd1@k|;ydI~`?!WnZ{OnYdn5|y_CbgENpC&Pw2CqEZbnxsFxLs+NFJ=9@ z&wd;Qj~yGYDeW!npJ&H7NS>jre>ZLUQQGd~hc8ruF-lFyKB4PKskbQJEtR3Dsw zO6J4^=O>}OY18&{-3Wvi$`6$Gopdt?$xoE?v!8rK$iIO8c-nB7_8A;-^89O_)U1^c zjdnp(KEU$>WXF;4R1Nz-NM3a0d?~Uk9qfO&e-D!{9T<;~pDn6~*TC1>Ax(L7kaiBEk#`4twyt^Au9ly&fTZl-gS73!d8CEs;vIxPeDj!mYZT?-!Ly0v zTk!Lq{p$xGA7_5-J1?MjiRAs4g2xo+b&9S6@cdO3C3uedhtCEzuL|k?!)IHXS6yo5 zXQSQJl%Mea%f}Ct_ea5ZC^}6Wzv8^ryz40SXN_-VeSRFgx!ez)9uCvyAEv!;w8NV6 z7~x-Bu%P~k#YW+06Itd<6nxSoW^DAQ^}Co7|BY|0-aiaJrv9PxIqx4jzaBnYNzSJ* z`9$-oq251$2d{cI^2foma}@l5)*n17F6oD=75xEIkRtel;FAOAU5-EP+24a_dnxz` zpZ`sEM{N95?_bTkwAlEs!;^sn!neUUKV5x&^XL07ukY?d3!h)VzBxU5`qh(9KR-SF z;>lMZo&NiOdvtn!{g+S|`v^DK(@&@8Z@-Pb#Z)5ku%B&ADifKNn`8BhSO0Mn>cd{Y z`swt^+c)>8M^ElvUEiLb-d=zE$44h;%t@dbchj4fC|^E~N;3}=&{Y%|C) zD#{(`EjPPFhvy9DV{pP&yq=xw3^IVt*3lq?)FNAx`)*6hwby&!4l)#v3lJ9z*mRy2 z(}GQb-wd5W3NRWZ2BY3gU;}g8n+a1!RFY8^cCR;t$sh@&;&DNPObZZ}+uNa8fKWV6 zOJ})*D6lRx$S|-}JdS9&GjT0pmP3OQYE1zPoNWeRfgd^qmgg2ORL-|^i*iP1;{=*r zrnT*@w5FgWh)?6xq9in6TT(7eA%>jMHZ4>Tbv3r_tuUqdMwVyWG>ttvkSwb{x4_Hd z>lOuU8dk~TszHuEvp_dG!sHaBx4qcUsJFBLHJI_H16EKnM?;b&FyezNpb}~}?2w@) zWooSgbIZ`O*RpX!v7gWaY_o3ES_PId zJp~rUm_nX%Vb&E`Zgx5kj!{Wo&eEE)08`*>Yr6oYwF;A&il)Fm!1-2MQ)n{YU~Eg1 zV_3l!C51&zQJVwKw;*Kso`4ZUbR6o?k=q<>sEo~b;Dp~kYh|~ZJR-=0!BU-*RZ=yGsrO}SV2^hFdZa`#V}0f z)&igO9V!X+)4VoRLapKD4)vBFR5S=I&Lk?5U`J9=SpX?KkvLOY!|XLkNp4|>aAKdK zII2ig_DaH)V6UOrBGqcaq!85zlR@Y;XpnhidSERl8niyJDXZeYSL>a zu6X5NTH+eQB@>n1MFZsmlYahxP*d3I22Ft5YD}s(12-s3j+JvE_dloUX4m`(fe>}mz(q{ z;%Zb{Q?Ew(R#M$@@*PII5Ea4FX?{@@-Op z(cKe+kxV9TR3yRS8x_BCc{)1y{cM0oM;3Rgi&z$pw2N4ynUbQK29_nj>i(AHMeY8U zZynYBEnj^ecz>fo;QLz^L+A540)oy0$ zac$SFw5AYOxlIZ+3$>(KV5>CBtkq@>BxnzBuW&K%r5mAOTCZZNmfnld+W zH|2_ZbT_5m#NCv7)9$8pzY~|tym9a)li%+ia5q&inRG$McT;%DFLz?uuP z!h(dV{a9z7nSxNY^Fbgmes^65z`3wxg@mVY{y9cmSwUP{!&E{SY^jbe<{fpA>4Gh9 zRN8f&E}`t+&8-XR7O&a^*u9%XXngMmH)SbeW9tLBDa(6+c3r35gwdk8#jE%3)wV2% zT2mzRIS@u`$D!7g%Sv=UVux@R=u2w~l@u+2B(Bn$f)W8n3xL7+B;JQAf)I>U5&VKJ zuIpq>Lf3UdiMXzF#Rj^rQ*Y&7E_)lp(a_<_^({Xyr*_M4Y*>=GG_Xt#m2!Yo4Olsl z>%}df)r2koYL-Gp+0)(tBMz;(X9cO&Hhzs?)3YHu$8%DRbL zKG#qnFxqdzwn&4}Y>U*JxaDUm!MA+Eq`2i1CN+~}9*wYM7E7fHM%Vd~OF?w`N6G=N zJYdQJu4=%_fyCLQa-b}?YBx=Ttj(=ykcv(LI3!$R$Kk4q)lR6lRIH-J3?5-J6<>l$ zpnXxn5~gb~yZ{8IEO#na!4f$wI)9U25=Krm^lX==NiVv)e<6x5k%Uz0=Y~AvIGFH4YMhf)Fvt{2u`2W`(kqfDx#t{HYjXFz zRIGvt_r1oePaWjsfjo&tY~bEk_lCXx8vn{#i;dha`5@;J+)YdICASV>J5)3nMSWH) ztX%Ijt?dCcw;9|`xfR!dbyKcJ+_K{5mP!;`2e28}y&+SwdR=dfx9T8A1B@1>SOuGW z%ay745@Qo^&r?Ww3Wqe{T%}miD_2yKiw~$IT(C!A$Dv|HV_5Z;iw}Auy>qQs#?DJn ziw#_%RImvM7*wo+jUFt(f%01_w^DqGL571(z581oGSNg*s5?NhQ6Xa! zi2Jz2w8g=u0bsHeV>1F-CoVBs0QdC=X`Uo6;l9`o938+qQS(V;v{0O2_#hX^m7&)k zFiNOk;|Qc6E%u@Du@`0p1tmPNhFy(7w1EO9L^TkmqnzD>DS+UT%S>BMl!zj#RoA{o z+lj@Qnz$NAer4UND3T_)MA>iidEocAY`iVS%G?A>j-5Qook+~Bi2+j-jTJL*ER_mV zD2^oc)d=~QlxAyE&c)gw$tzGScP`eJo4h#%DK|z5rMK!HaOAB?re=Xjy8L%BNw$#s z-i=Hoz)41E=v4)Ac1klb6BoL_4ba+0V15FZ70sVZ@e9huS~LPh{|G7}r!&m(6gTHw zteNc^H!)!kP<)=0*gg=ur%11&H{h?OvLHsvoOqB$jJr9D9gvF;z-nI7k8I*T8sZFS zoFx=Y3dgKtS1AgJ5||{j(;y`n6(6}KR&HR8cbE!hCcu?Tv3kp2 zeXGGZ3>>X?Koj(;C$#9Oi{d*2d}9=pRQoL#AFW#^z!o8!# zav#N;Ha@@dG{u7iG<(T@<6%BxZb#lMjbh}o3pC_hVACrosi>synn+x`T7bU8IB=4Y za*1}!Z=BMkT!Q1MfTyYy)ik%u%-Jeq)6qq&F-Bb?mniD7qcjYPiR35`hN62t%JHH4 zhW67iDA9KOCXB-76r1o-`V8QjUoQai*jG|a9ABW7u!5sN?dVftrAz}+SR%> zp54{%ZXA>ML6Vm(UhXClmpnQ!Cb`eA=Vy1{%^AeD?_iDlxdK-8*0|PJVA(al>aEEw zrhrwwQERxj>|tE>mWtKhGFa6cwbt*g$~)6{b5^t5P%$=uQoUfWnUu>Q6jel(u7-+n zXc?JER^RfH3)1K*4t2;gjtl%EUCo7VU^2)YGYv+H+{PALWQGn+aY1pi%hFjoSG=H5e%VJP79FN1mDZREe2(XPxY1O(yWSde8vW``oJ}NVou`0{6q4Bgcydqj2&AV4`PTyYNy*%B#{O+bu^uK1F z`FK2=KwXgp&f#QeSci!Rj2|`;A#@e41@RNGng8_m)yvZQZ$JC;^WI=8HdF-v*gD=C)6zPebWfH{af0zxnR= zhE)X=4Wa7E_MhEeo{$ZDclt7YIMRpP6GiHW6MYz!2>ORJeHaBr{llev7`5B_cWe9I z%yYEh<~tJp98_eMbXKkD=I2&pmw#6XR=JOj)!rO zweeL*^84CMEmxt;%-P8@h4;qIUVIi)T(T%j*JcJgCja*<*2kDl`fMy?c9>wJAFUhFP0&C~W4TYOb}@n(mZ=D@GQaH5NhnNnHgz}t7;_|)=b zhG{#q!?33)(TMNDjeVJ__RO@zm-|G&K~j|?72gHfZkG#kdx4&eU);R4m->xmtB((j z(D)+V1)*YxP4f`nrTL2X2rtxcgGnUhJlABBAc-&Qc34I=s$bThE0UOaWs9PA6bPp6 z0Y`k^Q;+4tT(pTJ!yJnQy%0z^X~Q*WRb>epMftLnZL-8@O+aA4Uvf5gn;j&ctnuiJ z$mK@0-X!$-(F;)p;<4b>?d^?-K7@8NMDvRw$v`Z?BnFZ zhMUbaOlc*kEU>$+J+|7VR4liR*0ZTHIl&vZ0aWr%TlpVRp*8DN|6jh|-aZm9Xx5dVJ#KXXC&nO-Dr)a6{&dJY9d^>gw$6qu^T+-7>%M_`fJb~<} zbrdzf>k_FyhMW$5x(Im_o6-`Gx2zeO1}xjhp5k-5OT6twCH2}WLtsxcO=*pGpfTM- zrv2s(I|@gAxDM@?H|xXse@RD+q=%>l9(QL1qTPlb%z0k2d8|X@FTVWf>+gSjb^q-j za(6Gj`Sj~&zs_qF$*(xxj4M*!EH13#5Z@Y~V<7Sr9|%K}`tipf&p*Dpy}kMF`u6v}***ynyZkH*Ir3B%DtlG%Lt@Za;@ zHWtJ2?A}tmvMsSCx(r5cIE+^yh)%s7O|LArw>itJO>sq%O zt&+a(Kk6lFlnl`}ThC7RAB|9}-hVV&G_#5d&bjVC(vfYy4BN5W{}|4Rp#o$5kMWi1 zx>Zr=!;L2PFB;klaqF`GFs!9sA=dvC-|MaW4_DN6tmhx~iOoudqt^KD{Ff-x=<{dQ zN&`Vu@f`wU)usLDm*Fb3T!~g=ddX2I3tmmF22u{!>RzjX*k-4<8cy$}#uhJ(*Y^1M z=+({~^Ty1@0Wth*8W`&qQ$h4{q8+u2t{PapPSx0m{s&XFx2|FWRNC;VAzm1crD3hX zX{5QMD_+P3N8qe53d4f{XN6bwL}y?3$|ky90qTjyEwYKzvDL6y(h9_<;L8duQzFgi z(>m0ANRA;|&vc6A)r5m^ud6q5HM8|>!(LNo7EN6Buw-FkB(kBzNMP%t|LA>WYRaSj zd3Ble6h*0uFt2za-tton`I%G%#-uW?W@)^TZKEgyFKe@n_}67JyjukaG0$Pm7TCa8 zbs5+O6>jgm&#$ zv66ppZIu46=&LbW$K_XJ*tp!{SN9r3T;sJ~hj3i2vb}tNxZ+>=!PZ}yW$yGES?H?u zT0}bjy_v1-)tv*@cV|;tk#u)OC=uiuFzJ7OqEm=H&jHymC|0kt!T?l zmAuHzrqf;^pJCD_7f!S+EiZ+55?af~iU>Qzv^g%^sTnhPwTkJAxlZvj8X~ouM zN=0M1DVm7kP`KLEi1iJIquRH_kn9?Gm_~b4Nkz+fom<+Y`k2XN(b@}=hPPtVm!d0P zl$rpoD!qn7!D>W7mGphs1a8`iB7c>OG(-hbHBRdy$aAX|o1hf&O<-f@v9($v0;ZzU znKIv)3vSg0Q!4)|3U@b&ux?ZsWP;J=+x*qli&x*h2}kD>JDjef!uI(KYafrNf3=V8 z6h2ASU;WEBtlDqY3srwK=UO)mu_n|Hj@3`Reghvos~&ju*7-1QDxb%eePu-_Zu^W6 z!twfftDdR))6akX>C;cY&fl2z@f1JtZr87co;IDC=y7`d!<*m#@bCYAb9eJH0=oIx zXkDCO83ya&qfz;ME}swV>yQ75edAmj)qe>?neqs&&A)v9G2|a({;}j`u{0|BweAY} z$J;a~F*~mecP!8o$FB0Vr@r`-&dTR&`FtCZ7b6pv2XS* z!zw+i+-P}Zl>@8Xu*4SX$;c|Vy~IfL$;2v$Sjq79WM-8cV)(=tPOUqGFsypz)mzJA zuGa8+yVY+5Fg_Naah&XnYW?zf+v}F2$8!9*seHM!rg2y2^QIqt^x2cZ10FFp7RHo+ z+49ThUt6DU-i<$xUDeVTzx`S?wSUb<_~_jquD`!IS^6rBZo`XTHr4FTa8@zKufF)~ z^zr9Uo<9Hh5uctZ4UCy?SI#|^tu_(ajeOgSO|}hbVD$6q&HdXS?&CLcMjJlevg_aZ zSiidY{w9CrZ89b8d)kRj{e-W4Lis%8Ejteh(F_a!{LksvF#PjBKT3U!oY*Yih0YiB z>~!UK!_1E0LDJXA7Hy}}8TIUQ&*{@C|5)KTjr@8k1DbYQ6d%KvVUZuF<2KJ=&bSx_ zGx`4JmK|4?rpbBEQhi3d#xkUS+_c89#zyyX-JE6Zu_gGVX^)7M;pnrck8j>^#_O|B zruaDsi04n={cwBx!@F(e(tavLVLKsY6qK!UeT_ zLH9G6Bk5P4f5d5eV4uwyA9E&#x!{FK4P_h5r)TlteildnEYiudxFMd6@sDYz=fK_a zV2ARH%J_lz>!+t3b>gt2$&SglfpF({YaGYjA6~VnqmC`ZBHXmLY})i0{%Wkg;wBHc!$+lcg?AC0@e zNhnGvvzhK}a;Ats#`MXQ3+BB5v6Pmi_;17i!G%HV**|#2n!AMvo}2C%p?vx;Uxf9& z`SxCZ!3lXk;qz2CzHaxOHskqO&I1GLXIk0`eec8oqb!v_PneI>x_W;7mz%roBJ{-% z_cwP}Pu{%w;r9COt5jmD%^nf4%{#7z=Z#%A-4bNn?F(GVb-^Qd_Pk#+kb4} z)buYMyx$NLM{m+^If>7%zkhF&puS`$)W_d?Hcx)|^WR`HY+*_V?|&w&m*~L#><`y( zzKfc016^aTe*N|~)`nibJpFX~{B18A=3xf5uR;eSju@g=*|k@K4KXFHAa7T*%M z=%%M&wbOas{SUs(*T3SMam9TzP(MMw9-~%G?T2b@^d#N-iLa7vuI*Q(6e?w>Mzh^dVmXJt<$zdiF&so~^|n-3gp5*#2IN`w!FU9& z(STSj4JZbOo3sGQZ^s4v*=oh83=Gn->O9CRWf?hM&@!^x7{9g~D&k`x)0q6+ckMX& z+mO!{l?A#QDiVm^0mfBiK`t>wKFNu2BU8G2vHEEXpHkPsZ$?q4E(5Ejkw+JqZ68Em z(jm`!UNa_kg*__+%doQQgJl?)pBo$_)y7D-z3-TvdP%f9yWJ!eb&vHJOBopB!m1C( z$Z-zGNVQ~`iwaj$;V~u{%;X&7B}Ew+k1^^M`=T;1$ca@StoOXR6HWRUsg}kVai=T52rBZj#00x{js@P(YERyx7 z&NWc!>|K6=E(YOS=x^CO;ajqo0Ii1=5qU`; zvxtbz#>CWMGzcZJewj|+%jZd+)#=#_J-Q$e!!>2@#UO6 z#U>_rJM2e>fXU0t9b&6)D)X|Y*s9ynyo{$tEbjvzz)vyt2|lvYS^X^ zLTS(kf4*8_sG<xJIY=QR_BeQFT4hp7@Q zLZo&p$WcWgXu|uJf1R{r_A)CTy#ODH4w|LoQXECva481%VXRr@6HxrHe5(=W8V(l0 z--(fkOW7@b^_JU+W$+$uL776{jIH=iDisRSb`miN^E9nh@NWoTWOZG_x%SXm!Rmpk zAK70Bw5sI;mFSt!kM!rgzvxBbS4}y8)+*S2Eup2zaf0L zjXRz90SOl@*`n945=$g&TG3sIb zSqL(Jp`V866BNaK!Yki1uJD9}c}>k`FuCyzOjdd1Bqk&ijn1&$9#?DPTf5x=Gd7*; zOeBlXoknRD7giMX>kF%Rr>XpUqb4?wdOKNR0u?M;S!C3hv30V0d6ZgoMsWe(k(m#2 z-oozc04poea~9?PVjiQ=X$c}Hrsfn9Cj5sLBmYru5oru7#&HY!?dquE)Y~P3y^b(A zGhw-Rdm4F7bS}aupF9ygggFkH2<)$y8h%{90+xIwMriOAjn%SVmcN%qzTz4Rtj|tp z#(`lSqRgI3&6n}Cz(G-EaF%d9@nN#oh|RNfRHK8XK|V(F+!tZr0wuSJMBJ$lpng}h z5HFLC&p&&tqn};tjyFj~DcZj{+($HRZp5OzQs524GEORewOCd^G8jWQFJob;95mRSPAKBio}bJ@s6Dy!cMg72s@#u6|v@HxQwU6 zMBt!`) z+01w*pg)vsA_(Rwar88hXznQON1o0*W2=Imco$$1%1ZjWb~9`bF9JF&hY{yZ0RjNM z@`Q3SvNB*bd!{m|RCg%F$4uoToArhXE7o zK2LwE>|R9~o=gJ6;#;P%ip?b+l00+I-;B}pDiq4WNG#+L$Os}oN}q&nwj)QGM_?cw zLk!eNIGkCgKuwtbc%oY!QI>~Tj0aakP-PG?lDs)?rxUTOw0+WWC&D5ebR)Qx1}QZ6=LLrts2#;)u^lzomGvi``>9We!&Se8@|9U7XJ%g zkehvB?O&jx+2jNLLj03~QWPs_Y^R|)n!#mi_gaVHD5Rf>$5d22oDGCgJseK-K|CpG z6N0`TH^XTEY{b>pY|nNVo??$?a|xbeeJB*r(HuLa2MPO1~>F*?4Qz;rjPuUK&wfS-*Nk_X%*rvMBv5MP1>?H;BpFV zOg9v5QuOf9aY;`dVIwi>&XW#?ChDcrND*xmwJ*3pPkm{`3EKPw`KM`+m2x}jH0q=> zZ_h`e1g1eLHxsT-J@$KD=bE7+^Vr*Z`?93!aUneq5E`m~7$WG+M{m8jBegZVRNRrh zrTf{MgU9{lnva2X-4f9F#4Q2!D*reCBTj><*tFlX`55L9&wMszq2u+tKc8{$JZA3JXa0jLJ@h7`dPMpH4g;ttgO2v!@Q0h71% zUH`k9%HAjkn)LCxA(W}RHiB3HFE$Q!E4!o0zh4>b{fUJjD zS%|;sKWbyIdq|4XJxuOWQwdVe$)_}AyL>s>E+aj&(&Mb8&;zW{L$fo5aW6ocW^}-0 z#k+7X#@v^|{mbk`_uq|hQKyTuS2#jqDfUxUp%NxAXh#yx3l>gtT+oc{tvQWayEEEx$8WB`0M^qU?c-BG~*64A`pkVBt{#E=4Sa^I;T$A{7 ze)F{(0{!fA!GAda%vqIOJgM%*sx!u4wf!E=R9MHhbhXg#Oyj^Uzugx&WjXU+`}!$u z&zhO<%PWmvckh{b_lI4t{GDEN>o*lXr%KL8ZFTt#Y128J!PB0QbJFf2Tf!e+5(GdZvypgFO$0xY#dbi60LOa-VUf@p$}Q zpZ@m;_xGJNVSBwe1l(_yv*P`vTMu2haN;}X)mL5DOTs%<3xiV^|2Zid zt@u+whaDSf0sSC4yO}=&ik*DMyp7nRH{s`I5dv4K^Z=ds94*t`qn=H3TP=iV9rC-M} z3-0ZLCR?^{>bm~5^&)rh#-^#?RaShDwD)-O?r>hk1Z~65dk&-tuycvJH9q{2>lC5Y zbmrnbQ}s2w*D`NDf6ry^9pB5b2l|bDH-A@pRXIyfGdu4I_h&ol?AI+UjUN+3)q}j9 zXXn-0?cJnYe0~PAj-;N4pVGJJ%X1XodOr%aO-q~jG*4)A-J#b{R=iCu3Xx^^+UfbA zd#e2X<1_D`>8Po?#F;+dMaWR2qp;BAQP!`c-5Rq#KUy3ze@B~wdnISg5hLKzi-5ct z1q$>0Je_tN@hSY0F7-d-%#`p|>O%Fa_t%Orr1*8M`gz0FZ0+$s`G=g+Hf)*Zq*U_k ze3S!An^U9Wk<%;fgzbD34{^LZ^g5MACzgquh-na6UidV6=i z-N7E_v>h$hIqXjxEZ=<%`t|qy|6hN<-{;!+|AjxVsoFnp&2Zt>pDwcAnYUFpBCSaN zTUWMlhE%ha!oSO}Uq5%cc=%i9&7(=2?^qZ;V_CAS9YWqcKbx{oY0)=(D}^$XAmRV| z&z5hx7XLfTx4-nhcem!bOsl}j|Nox&fBr<&`+D=$_v0LYFSe<7x{#NDaA`#J+S?&< z3;lHGJUt=)A<8kj>vNccVSSQ*=C0ox^L|GEO!EI7<8VCY-Lk_X6U(yXzW$wc_@3d* z_UxKD3E#MiDqpDIi0|KG@3rpR;%jG&{;s^!!7_8X=>MLR_u|+%WLeheoj4J^Pv>?a zQ!4MH8>c4p-Hf|>c7e^d9GedwkGm8iV2M`9XGY(~dg5``13@Qu? z4Ds>4j?VtU5&C-ZPDP2Cc~1F>MJe&7hDPyDsU?Z=CVKhBxuGGv4D4Aln#p&y^pc-5 z+9#J*a5FHnd<7Z-G?{@RIYBfzJs~OKgRf862mY{*fCi=s63prX(uM{G)0i?9<`-H{ zNaHB%<@ng&Rg@x-aDY+9*{MlEX9Ay_phB|IETOa&78iI{KKT=~_efLIoRGb9jy#%l z>Cu%bQ;tjudD@V$LQ>1Ysk_mUb)la5Ru#b&Y8SQaZeKsTS;f?Ri^WzA6~ny}Ywq!T zxELACNDkx=c{h2+lv%?0(`L?1Oqw=*#?-{HsFbLQ$@6CBObMD2966EW${#OZeaVzd zuU*}@IFbo#n>mQQ!?#mJsK z`}*RF2lBh@|NP21%o6r>$8xvVO+5b?fN{dkaXH&zs}V2=K`{~F&B!FejEE)V_>%<2 z5)3S91hI&Vs8?!w=n;i(4YCtJi2(+dG|IIxP$g*uc(byBOl1PXn?U+dJBSAWZPf@v literal 0 HcmV?d00001 diff --git a/Forum Pack/CO.512.SuperBrain_Board AppleSoftInteger.BIN b/Forum Pack/CO.512.SuperBrain_Board AppleSoftInteger.BIN new file mode 100644 index 0000000000000000000000000000000000000000..b695fa285aaa0a97421eb8951f1fb134690e48e1 GIT binary patch literal 65536 zcmeFadt6gT+Bkl4;p#0SZBuLQ6cK^aHtp6fYpsfk26~i;*mk$O_Vj`V*VeY%s%>{G z)=bJ$c{gmKiz0eRGieS54OY6+jV-o96I3|dRKQCV5`tPef)^Auzh{EBefRTz-uLtQ z{rO!EWM`xv>aS$fuWRGRMEDNS?bNyx=Zz7)GF+!UOZ+RRXFP0FJ4C%_w$oY=dH2!BIMXF+zsJi z^ADhJ_uH?5!Ot*<`N;FyXC2c8D#O`yezbd(1>N@Lnh zP11NMfvLwC02K$FY)sRzTMDBAo$S&k4qz)L&?F)uiQzQAs@~P)glYZgCiX8)72`!% zf>4R;z_E_n31BBgh?=3i2yxvysG+m;SqG~W>+)1J>k`0*8XWdq_irfg`ae+K^)t#8 zl%m`YCXr3{T_K7#0HDTfvPGIsNe6+)Lk0uyJ zwy>L;FN}6jbF4#r@xnNV_&crO&Ku{b2yxEDp%r0yZlgq~dg_A2@6hjCxL>3Yi1bb%QUF?D zDD)6OpXY?sJf%Zu%?ok}x;(i`jh-edg&K`q-~(Q!ZYXw`BX6_==nCoP z$s7UtDNS>C0K43$>G#6#43f>`2Fu_e@0=z0v-bqpMGbYMs zGNF-CQM00=7)YG{LpYQR(uEgzCPV)6$#RNUz?L&JA^7pAnG6I%v?yj0MIZ17Dg^M1 ziU4=)sNni&8D-YH$_JBgfNR2UCH!61C8TLtdp;07@aum3s2nURu? zP+)`tBNP~+zz79KDDZz$AV|U5&)k_)a=CFxnj-MHn{{;ws5aU@TFB!=5WMj4A)99^ z&v?(kN8>IIMj>dehn%VPk}gk=y$`+SW}kACdVGc)#9f5NUa|=Hh$kuBYaY@K{vO)9zjc$In* zdu3bzN_}}fD?iOgibvqpSEa)pnGIT7)q32S*{D5G?>T7iA{|+`aEIWxWp?6DXJtqG zAx0cmBwg0$cWgNXbG@rR?kt}z777ds@8RVI>%kJf1hDe>g4E8`3 zb*nucxSOk53Q4_>8z~44_4#}}Q?R~(cNXZX7PY+-s;x?ETW1Q<$J*u3>Nv;*ck6Aj z_JPWd+HUd3D`{62*^i>5r`acaP{x_yhL}dv7&Y-`c0kqkKnZ(tq_ALbOpmIY{h)_< zQD_h8DddAardW1OkFX4T&t4{Kx1(7ca}vTtN!=*|R_+Sc^I$oPtmewe+FGT?^WUZvvz< zT#p`9jkaDPSAmfPTG3|zR-mWhVlH-?5z6l-&MXGI1*R-Zj!&1m<0O||Qm~tRyu8xA z+`+ddT0j+p#juG z12|}RS9KTG*Q>5ox@|d??tE{NI0$HkRb74Oa^Y27PEe6Pt(ytp194{}gq zXEk$9a}`Jw466arLY_DJ&Vmrf2cwn?(d`-q`_&nC>$&(W{sbczmsZ{i*97NO-qOT5 zjm{!1>_)j&mYSj+f6G;4lKGtCfZmqN)^vL>S}#hD5}>-(DeyjsYQJ82D{gkG_!Vq} zu?J_(c1<*`$x4H*FIm=`P6%?lkn~=a4Lhrv@I}ZVTy;Iio*1r~zUO&^e^0hg)ggW9 z`oJDluTt{Cs($ap1s3@ubD&G+cz#^foeJ7kEbXF&;4Xo0UeFV8_=; z|A|*TWZ6kW3Lh#^7>rk;>l z6J#nvi)iv3wii^2PCDJJq;u4<&Pq`WKis6}8L1P{P=IiwcoeQDjjlQ3q?{t~$SGLQ zE3T{uK+QE(7+~Cr+ssG{Ldy-pU$YT%0XYI@As^kUlGTID?gdf(0CCggP8Y6^ZqxPDWy3)-RtgF{33UwU60(gyQK*Tpx@gP6fOJi|kWdjti0XOP# zLynI_sZ@d$0j`BSr9o|@D8HiP(1$(fw=^WWQF9+GFGEXj6qTew8W8*eSn%(q5feQP z@LuF;)J*|4GYt#GUkFPzX~^sV2-29@`NoMto+f2!kb-hZqcoZ$(P1GrXpX>S2cqp) zIaEpW1mPMkRDd6Pt4G(DV`wurS{pzlS{nn1G~g>BiLd4u2A$9nE*UNl`&t@R{ScY< zXS6Q^Ht7IXgT95+>!aILK~&PValGGfltYL6q!3{$K9XZ-^>L)$a!~vopG0oBLhZ|K z-W$Zdg^`idTS8^z_!gCnoY7DXVYvK(rnXY)z8Vq zkLrdvK7$OoLZz+VA;@J5l2xtW5(~oxs4IxL9SA; zv`VA2dhTcFM**5$(Mx-YpPw%j|LvJQyQXI5@S_=5^Syr=^94Zo{mbCLkv{%q-+%x8 zGD&KD_St8t=k3@q2>fs9zzg$*;yLOU^2>m-z-aLvzyIDpOn;{T{6}jl{&{9FBl}bQ zVU+4usS|=nrOM_ke9!8i686H~Umf)Ohy4END~o@tWBgM-Tl3_Uqm!b(+xhhrzyCqa zWW@o*?1$ud&16fp`D^_}zk-F|=H1qfmMzMh^Q-{~_K;DP9; zPxoEu@m=VF+$vVo$K}W_QJ@==Z7DJ^+7!NqyHBmu|U4xe7XCdU;VnZv5`O2 z*s!pry`gpg^M`8>^L1^9Sn7Lte?vPj?r*MbSlZIs>}pup&Z}?vO z&0hY8#+J74mQt_g+Saz_#o$%f*c|X^s6Cd^(%LABwFmh9t*ET54Dq$i&11gVT{Rt| z|B!sZwFuJX8;{hn$HzeQcCnT}Cg|24YgZ~KK``ulW%uF{_sN% zd-*rh|LY})ePRf?`tC{tN#W|7o>r(+9b{d7bGSV2W9Ea+zhW3p@ofY%=dZ4XCHLq{ z!kIfEGXo*_J+pF8X~^b~okF>7<>rt*yUOh=OLp%n-}M>1kuP@HFYOd|+c%7zwrALm z4cM=SScR3l_U!t6{T@=jeuKP%>|SZE`f}Ij7j{?eA-g}={F^;{$?#LCu=P`<-we&!Ny_z`LiHt~ z5X`OJvuo$tytN-goO}0LcJA)~vTDFwdg1dgyLawBM~EH#f3tCa+Jg5bw(oR4<4g?!bz#LG~_Fg2o!(9pHTk_Has zWdTn_QCZid>92#~ML>j-mO>syonhx)z*D)iXZdwhgugFdgP8>B5JO0(sDN1mom9lY z6oPnbJ4lbG(-e-u?SUDFw;@M7eS2pz zXqc5iqFzX}hn;^0bzfkgI1T2W^;J69RV~ZG9fnSIW<#N_D6_F}Jsl`CbvUcmU+l1F z(^(^+w3v3?X^_$4EGBLPPAla3@gyx=oi!&sW^qhfj@VCV|4$kVdG@_C5W~`NAeA(F zo-s`}u#oQ}w!$;)3vVzu3^hO~e#Ycu4_+XPw1kDWhTc5Qe%pr>w1%9*+j(?i^kk0@ zepNd7YK2oV3tt?c5mbTH+SZFQHOybpKRxV|K5D+;b7zaP9CkDa(~)O{0wWX{p}+_Q zMkp{sfe{LfP+)`tBNP~+zz79KDDeM21&%!ryOQ}0!sme<)Mw$N(ggSrsc@>s3BUho zXMEsO96m&T8y?Ge+tDd%^c3ujKA ziD*51%6}&B!p^>=-mt#2eHZ&?NZX}XdyaT(yFz+A-UZ&@d5zwD@4GcU?+QKdZ|r?v zfA%k*UeINnTCn)khQIB z0}GooAV8P?S<2$i<|VO*bZlCymQ87R7Jk}=>ASTHo3-=O*tGP0S{gJzJ?ZH4)X-)m zeWy)ZRGXfbv2Q6vOy0Lt%cdnA$Vg9`SGzdvww1mp=}^kzXOq*H)-GU^mO6)1(xr*d z(&#CRk{5l=rcpC(adLWddh*g`kYX~$V`)ZOdhJqOa`OCrY;xV_AAbdh%iL6Vn==OG;^_C@f8SwswAUoi6Q}T3vd|;?{X-i(A>0rLFVW z$*qf%k7gvzwPiFeXjrP7XUotgHzc(#PHSD7kt}{uQMT)3(&7VY&EM>EEM3?D z(Ua!3EL+;V0I->tzSyRle@K_svUEvv`r-p@+7HRki}T<$r6D7!At|E)@IA;TH!RIa zw>+D4C~4{U^R(#}HhDpYB|T-KHp8+gWxj=hn#e%UrDSN+mSrHQnWev7oRM@aP?*I( z`3DL}0n!$rK((=K^1>t*Em@YdI3p#aJq?JufK5Ak8w6;WmfpT>@%-ck)8?b48A%z* zi;@?&&P{@sWpe@Z6kTh2^8A!V5So;pp42`+<=K>_DQSz*+~1<#C8sy0+)jUf3edkb zFH_dAq+}cjF>TVf$N?LVZNrD5ckPsu8rb@#3+XElglvP zr;uUe355(cMBx*1nG}+%&Wb1#k8!B01;1u^J&}CsDaOlfwnqQD3UZYmsKk5qW#eOb4>q+}`y!`9#<)%lt18dsaIV`hHmp!ePM}Db6s!K14OVGRJ+D5tU$2Duw z?>l{Z)n&Blh@j(J(2P#YTBoEy_x=bV<`d|VHsfAH^@3xlk|~qU!>-=7reO5jHa6&( zb(b;AYB#PzqdUOEFb+*TVww+I-HY*yBnvMm+we=IIOk%qIx`DrVUDcI^5a!d*hEt7 z$+FoMzPgvxnafRzx);@z%kw#>c+LBw_a!J_1tXUd$)7B5<28miAgNC?$Ke9YD#Jfu z>#(5^ebPd7mL++&WSjjm@|xv!oMl*L8CN|d%gHZ>;~tqu$V!VIFE_lX24t3tqacM{ zWEHlvGh2D36MTl8MVZUX;BJBCv^SNLi7<;s9q0wvdu^7*@fr{Zz$oA#3ek| z6(zm>#v<2jxToQ3G1;XtDP1#7Pa7%(5tj&!cstJ+#^o6I);nQAcYEO8Q6vo}UM!I= zxU$8hpmDBRam+rM+_hMG!j&dzgj!35&$(qt2IZ7~lh`{$Qo{CqIi8T%yIwTnv5>cP zs&=f@Nnw1VWZml>S6LEBJlu4pvP3Ka2-Ux~+?3T-!>+Qu99e1A^DYbb zB~8U9wWP=q!-y^i+@q!NoXTQ2f>mrks^-L-u7pc2#fx4 zL}0}DpKHvr3jfitnsPZxIc8pFOa~@34{p^KX(5sKa3rUOBmfCWz>ljrQ@9lBazTL- zL=R=AiAT^s8A)~9%K)4QzGA&zPjo>`;24Y_>+llFXwrQ2{4rotC*TRc+9jg0TPMlw z4;wIw{!u^{9Jc}3n?$=FG=%`15QL)vPQ2eX9Kl$Ow~1c~V*m!~FF>ry5>9m}v3En6 z0rR4vgl{x#=l%6k2ue7r8q!>WRr%j{f?E>=ws-{$0f|LIHjYFKI#6bd7?hheu57Db zFNC2ZE$Fj$by4PCEve38v}CQ8yrUKNqneXw)d{rn1Tlwgn7SQr7k2SSDZ8@hq%eW+ zMc2+k@nIKlFfI7X6X?Tp=&qB*s3lk{Y}9^j9E3uXy_Rb0TA!rE?;75NBNltb=itQC zUbXauvvMzfhZ<`kXS~-W_f|*ut0F1zIAIDPY<-8U1?r&ZI%)c`$DriW%hJQW*{1QX zIrd1nEJG%0s~J(tRx<2@4%ZCnTh?_lk*w^MS@d*^1 zM|fmvapoP=MpM+tJC?P0onbu?qOvBScI-X^iZ8!pK4zd6|NER?9cyXb1Yhxkj%p+*^5q5gJpn!TJ)mj3zG+aS; zEs!70Y6rSdX0*1GwY5v^qlywU_gbq>AwIj3HXStih-oq@?v()-Z|h}%i=l=1F$Nyk z>at6t%y%c4e+T6Q(&_azbET*7J9@lUkN4_vHLyjI`cCC=<{1fQ#yAG#!B{fZItGt1 zj;-7)`JgR#J6%!YfYhtk>pArP3Ca~;NI}8@;2=^W1_5f)Kf%+kU;*3MV`$K;g{+mX zFT}srm5NF|8&9P+6vCAtU7+{g7*;xS|iUhcZr-b!@gG0Wi}sH!8&fvQV_ zbumkbE^`dl0qZP*_-sT6>Nj?G8>V~2kQ{KVF^#p99#~}x6~_?1Hp?DDcuOh!&ko>S9<_Cm&6Yg; zg`pg^dV~_F!p(*}G~Ek1g&3uFiRCD;b_ynq3E*L6(=d6a$-#(^|_vCQ&5?& zKG5RWqaDyR#C}KwF<$5;-~iaaDwjjUak|Ec1BmHl=R>1U5N3yEyLgj4XaM~P9fFoz zM7A4xj@%_hXq3Dkjt0GN`7`Bd$^p@A z9B#}y*U3SZk3}0#3Qtiv@pLEqLnrjp045~l`DG}f4LV1cLR7J@90Pwgq0Rai%LhVH z0tnW-j2jHux2uXaLi~{-$GX9iP5W=4nm9T7F5TEb?*$u*X;&tOYBtcsk9P(@Dw*i< zs>c8*%xByS>5Y*_<1x^Ug$Oh77$HH8$I?`@80dx3k6q~L9`t?(`_^$S?XER?lzN^- zpjSF*{e%F+DcCnV16Tl3mkT#UE#9baJy{_?e!BQWh>wgAw5v zW_EgV^5Tr-^f8R6gtKaj+th$YDJjj2l(m(P)N-le^BFl_2D0|+ePJ?eCfH&`-}Inq z=fHE%xvvv%hRLLR_Q83iclN1dc(a2^JmcVt$X1_XLa3PGZU@N)xx)+CC%9zsDYE3h z*8bJ>5(GeND>`BQtC(PaKP$wvE_1x7VE^HPPI7s8=5m|9eYa>|37v-)-8`YUe5AUk zOnO#)al!c9_&b^L_u;>aM=6}v)Ly*@1o@Yu7O75>zp};?z=_2C&2G^Kqa*KfJ$@06 z&b@VxlxhjDB|EfayOxw_S1)IHzh0W+dKJjG>V)AVeJZ|NkBJ^z_4so=hRA{*mnEC= z7o>!4MjsDY+ZAl;dGr^z{Z-c^(k1A)m${r$53usMpIHVP<p?{qOY6@`)LTrKn08M)#w30EafTa9gdOdse1g%xxP--17 z1%XT}=d2Mb5TBJii~)E!GS+{Fg)S88<^085i-g`Bv>{m+yZ0pAY}XsEErSquqX`Y? z1I&n4pU{^L6Cqa%G87KSYT4PR(C(9P$nbb0&aXbvi2sgE47=(CAweZDpd^wqPyi-@ zmq7tQ4%#P>GU&~%*eVb>B(eKk8EZd9iBtDikRrImxZSXW*en84dW|-NP?qQ^kwOKT zNog&CUc=1$ea?C@#A?=zQ=3Lt+M2dYeP+L1ZkG9S9XVC+ES9D-3 z1vk>Uriv3tq^1tWF%dx<@y6V+Yzk-mFfk~ym-d#EPe(^;nffxZo|dh zt6|>pM=6SIY$_H*$%oFSVrg}bniK=?p7x2yT}h^(-WL*k38&Y(j*8>HhKZ5uq!=P> z<=q^^kUv^h<6^_Mz!?5h!#~szP3FV}!$bT6OQDmFBB5K^m|yH9ALbX+L#@TX{3pfg zEV*SHjkU>O;K;b}tf0)|VGl@#Gi5Wh=z+18W(+BDnM`5AE#3|FBYwd4pCggtLZS)^ z^k_U&q$M9}$wn=bpVs4zdi#)fyAgD557E8A^c1LH$E|PJJ2X^|%x!31h`z zXD-+w?5C$$RjZTi460B|;gE4|CSO)$S)N&Htbp9>htUV*$%g^VUxkYjz5<{Eys0R& z5F2b{6K7ZsdAynfMkX9>*+Oq-%ndIqinrM2MGgwzIpsgzumq4x{7yv!`&b;bRa2kO28uOF&rcrXzVh z&eh|OVYHKrH-QAom9}7mvvQL*M|Is|Ko6Z8P7Ol$LLjal&H`9+I3945L|g3e$dG6B z`MG-MfB$ii3CNTue}qA_A9}S2D-4~CbcB@NP2~zM;mlD+7&g)Y6fHWax~Tj@8eKa^ zQr3RDIV#n5Q6_k zB@6YuEmwljy&h=*7Z?i#1q2pY3%g$zLuy|GdGxY}!a*Bb4isM=3O`_^0%{fF!dO*H zu116xo}uz!IbIGU*%z%Zb{7Jw1=80vzk$l-n&|+d(tsg71O`nAhO5Eir6 z8tWSrceJ&|lJ6u1`MG7@LQ)8I%jR?scQ-H`pq&r?LXXR#EMKVKZ&yksXq*&G``$q>q*I@(RhyZMo9%m3{I#W~FG;C=7Zt8B)X0aqPnjNX*5f>q z59x;%}+9F;8;&8A!ks762d(9pJn~k98Ei1wFZt3fvs9pvQ;F_&d{yIp~{`=Ae0Tepj;)*Z&}R^GV7T59AW?@~i~R;UY!-5~x;u$iN2 zkIa1FKLDaKTw)sQEs^G~ z*uG-N3VucDigIqa%w#*1d^_0z<_^Mx$&*qrOG!DH<=%2}thZDQ_wr(}cZUdzWt=$~ znuz(OJxW9Q9z}t2kGw#!M^+%WSPEoWp&Fm$?F|Jg*dyG~e_HkAt=1Y+%Xe^BzGBZ@=#oejNzYqqg(HOmGG&@JufndlqQ- zGJXZWiZe+$viFiwYVg$9L5=OGs+aYih3f9`rG{nOO!uluCXhIjyb2_KmAnEZeucaY zBz}3t%PU@4@#>1q73D>#;t`HEZ(dos919=cwj? z`+#`n;Hqtfmf=@YA`JzXo35fdpV-g-%?Iyc#2hccXkLKzvJcO}f**r-m~$xG$AhyJ z^TrZfj?HYGZ-JS^oFO2LoMqC1N-o)~`L4S}e6W%W%dXun-s`O7YIlec)Z)caPGLiB zc_rs5m12q6BnJVTa}M%LP3+~P&8o06!H+G-;>$IcxUQ*!L8KTgoQ;^{vKMR*f`vzr z65s&>jkhBZXohlP2APr_54U)gkWxGb5W?H>4$R|H8wd9{hZk(AR}GoQxgHjk#?N2` z@sJnz~@|i})vEl`7bg@eA-2%S)#9j?FEB2-H zT3|pu`s5r5u(*|qOR^PiDGC!_jozji58N9buFl*V4p)x6xff#Lt?WnVi)T3=xVioH zKTe}YEF(M*-#Q-qk*u}8gSQ)Dw3vwabFg*r*v-dGuUjXa0(hLZ79!O z5EyqhtS^TNk^GNnL$7cZZxP0UbN4xEvMNFdA9l)BV`(Y2h!<$7wur|O-vjhD%g7dW zR=6h2H3}|Q@|Ov6db`(FzdK6{@PQxZkg|jSf1Ec{s1P>=`sIY9x zP4UNNm(@gzWtNI+Ka=CqNP|#yGNQGq+{eV)i^Zeyg=VzZl)-*j6uuJ!SX~>Z@_OuFAD3^ zr<%fnyMu>&4Q?Zd?6{COpLl-Ovv1%1!I$@~d-OsVe(!$6r1(F-^4sUbKX~V6PaTMG zwJIR+g-*zLLoX@M(wP+Ky>l?5Ujc2!l>=`NorS!~73EjvZpm@=-zyonkcC@%yVyb~Y3&lnprH2BdL{a(|$;Qo_^Of4{a4<`HNU)kYlAG2>q#FU@?Og z*o4D{E&ORb$}rkIX!d4ge=`we{3iSlESmxP9oCpS33*A;s|J8op!?({yx)R|nOz1U^xc;#vss zykwU_*H~Gc&v}a>WSf@*^Cgm1TTI|vx7}-f$-;@U`W)jbLl%6Ws(7}hVrdOKXNamY z_{|V%zsf6yKeG19?6P_cA86<&FUM8-$*cV;eA|MBh2mNrtiE`b1PDjNZr(~*R+9i% z`p*$V;ZsCLO~TR|?5%jNrb1T(?Q;^`J$E0l(?l{Uer}GyG^0eS4+@{AOZq;MA@pO^eb0*O9-onj>Gb>sWJtB;`JWcq1i^m=mjxsML zlGe;-+)CPd8QeBpBw8GwsPx`1y-Zr{9jN7!8h{{4(w5nzYv1^xA;-kmO!D8le(C(_ z*4jO#dFx+Fc}(pmG2u`^F{t_q zdhQZ5eAj-_ONCzb6+?+F*II&RTxLVBLOkO&j+#BxBtATm^y2$+&CLFZFw!I8a82WV zYFp*R%!#nxGBFdvj1y^UwPM(CYP2mt3J+gpgRW5Xr7OTgPvhCV&M?zym=*YH{im{e z<17!X6TEHniLxBSY|oO~*G+O50;yW51O)hAJ@!$Nh-aefHv|PM_rpRue3qR>wq-7X z)RdLQsLxFb@Jv#OpXP))uq4?=q;ay+*u<0_#KPo~CHarZin86wpJD8)o z!f8|E6k(u`L?5Sylr~^sK`J|-QNv|_apSOtPRN2Z(EbA%R>s7dl$7b>#&OmemZ#9C zH<9*Q=?q({DQuNp1=o9yj{7LJY~Rb*(7S`Mbde1wE%#Zk;YZ>W_|c-czm*m73O8)N zNi~J0riP&$@wyZ4RSMkS^x>ekat7+SX_leXYX=^6nl73ZHA+)Ie5-b^l zeS?A@j!B4z+h4Q3h0n9~;(5s5@~Ao3t&k=KKVllY@5^i8zpwh5qzHufa5R|-^`mF6l|fB8i@-0Jh3ph?B_mB}kV_BNxzkn=$NZVO zYujtvNLJiZ!-OZsldLKHv6p|Rjd{5sCu6=dLqFeF!0ZV%yuBy(^|vn_N`0%}lFO8t z&2PW`_FMDyyC2`pL@^U5#>Bk!R*v&PeT;Vge6tfL>$Om|8pY~cide+@^>~IJKeZaD z_TeDvxXxz!k0HY?^ym=!0#H;s*sao&qX_rm|5t(DOI=(CW~kEn}5A;#DqC(!5Iw4h|5j z%Ry1ngqlypaX<#@9;(?SDZtI%97<#p;I>Qcb@Y!R_#p3UuwQVwOlMt(B(LZjKB;`i zyxsER?zFsEXlJoFmUDe1M!<#v+YP=(7!6j1rY1+c%vqxIW4+OEUid-#3J&J=eKys3 zP-6W%S1$Z=L|0w3%10i=(RI-zs&=YqHh)JH;f~>q!)z3L}hYiLMDo!-?dn;Qtk*7DZu6ERH8}_#w-K zIr=PF?G*bM>RTC`9}g;&G^b&Dv;BtM2p?zo{8;CK2Tj+wL~XB3yg@JczQTFuLJu>K z%&eV7p7zZ4&V;w8IsO^cdE>UmuLr^D1c^XZU*FOAl~B(Gw1wROZDBKDvmWUGxTZHuA*XXxj}TPkh1hv~?zcqdC_=p;HC><4rO%ECi%H^cZAjT!^SZf4>>v z=*pSE#^~!CCLfn-I-8nGX3-U%%$eE%lk0Dv{=P|1f7@MOgIEq;{Uk$oq)dI>FkOq* z59uw_xw#Y0VP481qQfw4!v_rh}0t3bh-2zo?&8VZ`&Z9k*rV6Ev)~O6JE#DDcirHpnVF=S+R~Zm$6G)h{(imb0v`o0(juyI{m2dR zI)|8BdcC-scBFSh6#NLd_-Nn=s#op?{}eiZW8=)^N5CHvm~N8bz4j4l3`~kZgf!FQ zf&CG!8?BZkTNhXs#&S5>xWKS5RF7c?UJC5u{e{${GEOMNzd|c-q96R|bHCvU{+=9- zul1$ncn1F|+GcgXA$WxN3Ic(}hVsX+R8yVc0C=Y`9Ip8MscM zg=(6&ALfKx+UhR9mOKVc?J>@=`$dE6eY1c1<^!MZ zy62vU9@wvOKtWtactM<`<%@s9q(3Ka-pXz91_cqo=mL%Zz# zACw?I`@bkbte~~X!zB;VF;iiDAsu2T!mSN3hnR||*}nxAJ+%KPt%sfa#p~$eeya7* z8fz~?j{U%ohxUWbKY+g758U|eetrg(TaNu8w|+CMb zEI${y_um$RlflU+3=6?WZ>v3wtLOer$>D!;-H()ggaRWJ7@@!j1x6?^LV*zqj8I^N z0wWX{p}>C<1%xtsm0%tiuqhZc0sb`g^}^Km!v8S!&H7)azPta|sV|Ai8}A^~@**6@ zSk%x2GvTA+Pcz|e5oW@po9Ij!-PdG|Ws&$ZJi0C#V_{}|R0J143EdRwI*rIr53J~k zFf)E)cxDUiAYFdIL)uBcQrXTQpN4;Uz#f5w8c<`N?Zqyb<|D(J0U{U z4CO_L>(<@T)wm8;Dc0qwYSzK>O&V4*V@jc;WaHFI#&CS5;W*vhVH zWJHS=Qj`I z(j|#=b#ujex_KhqAQgB*>BEFFk&%&s_sGau@E6I1&J3RvJ2{jIj!}gwAJ;I-@Ngy7 zQ%|Ak6RHI5^-74TLI|nAj-M1dDIUT?LqTX)4YR^Qfv4OO2 zV;0Dl=AsN$fj^`PCb((N0Iv#^cT!aRjEVA@OlV|O)U2o|1`?4OC&_pHi%dBY#&v<6z~qYt-dG_5+pF}*GGl% z02Bj(u#C@?~S5eke@V1xqy2L*x@to_WLIVG1HhomWR zwx^qQbqT08+CEyy<3m6fq`z$XfXe1Y;-L#DeD@0b=t~?vYg5W)pd7` zUFl{t{bh7}P;Yd%Mu7(VAV5_XHyd}Wv#74*9Cr<@ER1&RU4M`)u2?Zw+K$wx3w3Sl zP2>58KtUx8yV`W7OQ^fAa6Q%J_{0UN2X?D)0Vwt5^`Jiy6u2LOS0B}voY|nYQJu+| zjoJhCpaQ;&bY$Ja9fIGM*@-)yl^yMe7;#*YbXlL@vE>lV^{)E3vwXH#2*=vPdw6-l zdaB0yTfmHNb~;nA9%k@WOK?NgySUNkLypr`i$N9VT~`*{DjW9b4s@H8{3daKe#aG^ z$+Jh73p$#89Kd(b6GGh(sk4{C9;l*jHE7W6=Bk!LQtyNQY5<|3a5S4|3f33!&H`Q4 zqPBNJwN+_t>r5f~SiAgL9S50U(0m?iAE@l8?G}H$l6Ga0{U|zm8g#&d)=sKD(=IO}ogzD`kpbb0NV~SHWjUHFNa2RPe6B87 zi#j5lf=~{%^ii$U?ZP(!(iyIUruEU*E95FL66`~Ab(#HJfu4qox!7q&pc$7qvtY+I z>>tgNUQw$sjScUdh4Mb9E1i?4-Md;-CfmPSP#3ID&26p(w*;xQ`Uf1Sk={cE*D<) zNmo@L(6K$R&znFCat3JFg?rFD(1IYVF6@B|eTG|#7g|aW29_Z8wSa`jW4{}kguKpE z*G;@&_tbeSyYsy!H*Daq2grjQlo<4noYPzd5(Nve)lSIsM&DTw;`m_Hav{21qhP-} z!)`qnpT(bG=$KDr|~ABXb^+=vCux@E}ZXEtxkFoo=FKCgu{Vnf{jizsoR-j1;CteRpaek z0FfQykGLNX6lsk&_lO3U8nm8YGc#0EOWe53er;~%fM!yezV4a`SC<+G4qOyZT3k-U zMMzC=BLjAUXH*~K0b{>eX>iIa&CtK04b~OaloCOoV%UP<0-t!tPp@ctFK#3@@wf z_B1%bXtdu8weNki8+&16d5_vj8ZLH&Qud48_Ihr?AjsBwkgW$vDn3M>!H1#7hwu%2 z3+($jB4!1FKHR1(2DgxA;LR4#L6d_0V;3lP7fGWNjAm-IfI+WH5d%JuPzydxMAJOn zU}!{suV$=kjug(udV}Et!4M$@Q%}gO2{IL-MKpO1+Y2g1C!KCq(mCo_XQc@D28g%` z&L~NpfQABu8^xn=J!y2!5hvvofk#fkdR}p5JpgL1slou`R^0agwf7|eQC#V|)zt`y zI<{LRE?6oeBt|}k1RGo9`)HzjW`OjbH zobUTn86AXH1BAc!b>sp$f@6{pUJfDKS4KN$zaY_V9A;D2s{+PNaNoJ0fVi zZDoiY=nk++50qN=XUuAyeGQ^uUEo*^n8iI$1yQY=&s3l z)+Cq$mjVks5`7IQewfdGnp_F@Xs2R&89m(tlCKiE&Ei+$BK#t`F}ji1|4nZ6#Lh-D zGYtyFTZCn(ShA%CF+|Un+UM(&1jVL2Owk)ek31S|Wg~;q(H+sq^22SN91J)sS!qHn zR)jGxHpR9W;wut7X(bSeX&&W4N`Qp&@STSEE*E-2dpvf(;_*t%9!)D8WIQ8d8JbBA zni|FyPOlHI(2O9Gwt~a<%u^gw+#&~A=J1t<_;Md77Mf2;KNRj4``p2{|DEpbgH3yi z*t8EOcJ4LA&V8r~DP#1XZFB3XRokX?3MG=^J1%Ks3bXWd-YtX2KEIizQ(>prHo01%)3pD%2#Oa zwQp4=ePsWM3L`Pv-}P!V_oD7bJiSDv8E;=jvRzNI-KerZsgmAu_Hx2wVy`<`e&5@R zTv8ZS-u9G8s0*;?j2lyaq7pqRTU8cS$^wFkT|&xb6)BhXDwNemQdUpijJ*J{*=H`2 zQR3$B6ZJQKt5!K2%Ljkjv5w>Z$Ei;c!|#6_|2^dAfBg9IL`*Ev|;A5PY>;1 zs)~KBs!Ck$zio4*I)6Gf`Vmb0rP-)M&LyCUbeB~*tIQA$BDlI6lD18J$ zPEV;4P*PC!SXsG8k_z&LqvZ^+ri@TfS~})PPTpeN{?!Bd?hQy+@Kie4x-q!DS}G8V z+$9CZRS#A}b|3epdEQSe5sVToq@bB6lpIX(2m|reu6P%d0yQU%Q&)l*H3YGeJ_lWPud7c;ci(B z>+qTrth;43XXM_dUQ1j^5hL^CsnvgQuirCSzbAycer36GW$MFQbN2=%24z|@(zhlC zWCPXDF;FQ@B2JYMf9EIvW4-(w}u@CrFu(!FU}Tq2W|o42<$V_)mO1Mp;s z=H|Q{E;BEu{eUF{0l7H+?zQ)4F{Enne+GsRpD%AC27ur4wYiTZoC5G5(Vc~aZzgnFU0GO;X4U}l|T*EaKW zus2`$EfL|rm%8yKA=XGiVy&dcR|EN|fXzF6JrvZ4O^vmt5FYMEd|`M?4ANNw=E4to zVVG8O)C4CNDj9N6MM`*y1eQSG@$h9}zgyXC`ygF(A;0AP!mrU)cBYeI;YBw8JR*|J zv^};u5ImLGDmgam)X)Sv`_8Gs*>*C|PV##ev+vN-JbV+o?i_QWnO$@i$K36CvD*ef zXvNpW*M@E>Ns3M0;z`<06or#DuDtD6Yix1ks}Ya%2pPIJBBMvPQBgbijY)zqPTUw0 zx@~nx#3K>}!Yv%#a-oVLJR9E`i|^7%qX~5*RLl;Sv}wf#DJuE`i|^7%qX~5*RLl zfBX`tdJ4Oeg&mfUl^xWVu&6Wv3z2G^wac>mp!~JFR9==4nGh9!2PWSXz1s{qd=QhDSz3fhoo%HRRtR z|2h25SdAF@I_5&8Jnvj~o&VWzN_%H2oi{gWPSR}fok$av4f-JBr~{H52g_3OXbcW} zi8tX+F}xsBoYNR(JL(O`g2o&%JSs@z8e)L(>%jn_buT3h5N<|GkBnp2mt&fFEaj&# z{Wbg>E`i|^7%qX~5*RLl;Sv}wf#DJuE`i|^7%qX~5*RLl;S%^qF99LYo_#8%9afz? z!B2mXHri?Ce7!K<{?VzFD>MoH)VMDIFhXYLY0KSww55W-&ss?HQ}}5aC+PU8i9R~< z4DE`aZv8004GTQXh%#nQ8IxPiq@Q+%1MV$MuKxU#9BI&W36rYdIwOyS3v`HVghV(L zC7orCE*bdS56|fAd(P-!8C z-l}0fuhko!wBGm?%^4|Jl{Q|Y&C~J1LbS!ddzvfrJl!w>5ce*)f#;s)!hc7;be=g? zi#-^|Jo0REfu)?8s{s44^YQa}d9*eJS-WAkv)M^A`Q;=eka?k;-F1?gQECdq#f-0L zJlWu5-8~J7;-ScydG-{u<}@OV`HGfoWSa*z{$l%Y54L6YTNvKYPqoA$TT}sZ=BHY} zpo<5>rP0_PJ@vE451LWQ>XyZUQ zZZi7AIrz;z2-)42J)m4cN9CJMx{S zAc2>fGwigiMoeErs8oit-be-L`EWhC|J?ySzeLa93m(u&Vwg)wRS!x|Y%JY%nBH}S z9;~0>)Lyuwu>&TRUU`TvlzKpeyWb})B9>5Kktgv>nghYZ7j)twIuvhu$EGR9FKF_r z#C3>vNtZb6Io{lBnZ<|WdgdXTy}#SS8Y#79y-}sMlo@F?H~4NG=^0B&;V)w@o(Aoy zWj0{>Ft)eU9j6U+3mQ6V24g>+zd*Xo)RYf#qOzOIU{;GX&K@*QGzqi@(<0J#7Fe8U zJE(9SX|jx5L7F0Il88jwG@1rBRUvku5R&*Q&9O`U8K2VfT9*qxrlZVum3^%SA&wAv zp208_)`BqaRNUp9YMO|P+0*1vNYa%(4T*~HFYarRxOQtC()a-p8T7Z;Y`!e9#k*aa0ZGKaT7_2@ZfYQOtkG|?wZ zS#Vh(@Hh3A<;E-;>=Ns6BK1}axdg!ifdsDDxhKIk9*wFhLYyw)pW)g)4G}|wh5E!C zday?ub7@qu{DAQQ9cfdedNXopJdt)cie{{Pwr!MafA$onsUkGkL`Mf(b7{P~^#F}` zx8~69QBpu8`)Wn>#o5LjS~`Mag;@tQjmXdvw3X$R?2_7DP7;~4o6(8cv{ex@?C8qu zDcIOP#f6}By*wUL2a@nsk&}^4OSONzmQ+R{IY+iq(YG^(rT?inPs;s7?%Zd>mVPl+bqYR&Yp4GObwW6 z$7yxcSY03(bj4LVai!uSzEJ&^mHbNmmR0;Jm>z`FM0;1cq@rk8Y=93(T;W_G<~4{5 zq#&lh(xT!Qgo-P-tl`&)tG2A=*RuO7EoQuoxHfGKbALD3;t7;Z@!{RzqacULcq6Xi zSBh&PO#3UvRcR{;z71r~yZYI8D=lh1oNcHj?FNnLeQ6xiOg|rJeci#9($+X~1T8p# zEe3f=RGclKJmSp_%{+hOfvlGkES<|?|G;AgSX9+ zO*$mGrAnz)dgA20WgE)k%l=q4P+n5jP-Z{*Wci}<6%{)x=arfj( zoqWZ3O>OK}TWDja+Smib*!Ad0jP^8|_>H0b8w2>%DpJ8!I?I%A4B*ig)s<7U@f2;n zM7#ac7*eYgKMP|g^UP`V4&xcx=tI9n3mE~aia{W1tg^syrGFjEo0X^Zvjvw$zu(?)G zL*zmu(T;?`#S5@yRZ%n8b5%q*!)Sdq3VOS>isoY#-fAGAVy`n-BTIP}X{|T%mR=GRpyId7~;5*1^m z57=K<8IRJ&T3WBS9;HDrv#W~s!G3ImN~WFR)*2dVGUxk2T5_q7{e5*}HH`zt)ol*Qlb!G2}GSxWNb|zta9jY*(8^FNY|l~#%LL! zJ*Fu+oTMEA5)B-}2q{QAviK}*3rIPpqy%9jj8Fu?P*O^oHPOprOqTaI=yYjUi7_8*hrY zG@hUpi4$$VdZzm5qkw~({3&C6jOnbv^34_-1m%d2T9aaw=0;J4HX#zP-kVcc!bZJD0X{<2U z?mnX#8MOo5&7f%`8nRvwBTDE|-!lX@3>G30HI@M8!9E;FClfR~@i+!a?UUNYaCZb` zG>JiaAe0YWaye#8op2&B2!Tpa(OFs^=~T%3*@<<^4MF@IW>z06CX~P363tJvUZDBg z%oAOVm(Dy(H;j=2Lw`yB@slze>4e*uggOH*@smPRgB72{L56z35+-_)k&;-b=}RtI z_sk^AK2xy8>J7#EQfQKZUe@nJAvG{YN)N1Y+ga67P{{a5u$^F-?;jq?I@OmA44 z62UwJRQ^N)EvrYTW?l6#3BgM0Xv^g*a|4WX14t*}R}3Xh@#A5?WjR#h6-YL~y8^WT z4HC@#lJm`S*vFn^zADjyA1SWv|i1wM5op2!d% z&V?%7ZJP>0KszB6Sf`;5w@u}q4gM+OCsb9WIbLsUC=FL~lo|?F`e}zox(wBDs4dP> z$uNR^G7!!Gh8ky(f}AUy ztJtE`oaHLYrH)_1q4~~YQ3kYdjICA+f{-l~RJu#^1>sYn+*4GB5J9jBrJnNR1@PEx z!inQYOUp@MW-fp|m57)vlzDK2&GtDW7C4<1$CSI2gNjpOO}&gJL`rbMr)sGh1alpx zf;r9D+eQW3;qX%$jOSLW%56cjp{kTYz3OOwL1|g}pQSIX$100U-gmnG@Mclf>Da2@ z)U;InOU%`yeRchd_1o(+PN&uVFHdP{K|}u0 zf>Mq+ly}n|FF#sb<}Plie9TiSH58P)OHWOo8Z}|e%~=hGl7W}w7ozxX!T)1mzlr~c zNBDn@|3{wR#{ci?|BvJU(cu3(;{Ow>_InpHo7$WUccxV(Z3kHYZ^!?$vs)4We?0!* zyHKE*lJm}m92gfA+fX>CXWz6Bh06qFWB>-0v|57y-_@_+{{tKFY49!lKP;>O|6g+c z{~!E6COj1UKX&z8=}+FzyxhMtU7<=y^>hC|{Qv52;{Ur^{|oT{f4=&Ufd9YJ|6hdv z2i6~G?J8Gx1E!l+OM1TQq$FPjRzF7S8N}$r{Ja!@vY<#hm0v7j;)z7!uiF&-KSl8WasTu1|B#5#6;3o8*!H$T{6Cpy>9BQk6!i`KKc>6?e*8Zh)OsEN z4@vuP;r}(?!2bjKUk5BaaPHdg#s7aB`afTWeE%!>|GU41|DQpC_(1V1`2Vp4|Nrv8 zh5uhQi2vV6@c%y{`2Xj=ga7vs{QsBVkN~L#C%54J58k)> z7QFwfx8VJQsc+)_!7s42;p{5`BVKS%A-I3}YTFImzbp^p{##pHoA7t8?K`-Cgbd;S z+s>W41^3_9+j|S{zxA)+{=qYFwYBwXTieyP_P-ndpZ)1~@c#(S((I}l}Q4!GG##bZ^F%Q;{Tue{Z>HjKfQtazv=pq;{X5Y z@5ldtFZ#dr%^QUjTQ3Av0&+0U-bP9oUOw=B@bumx2F(+4%Ae z{QqUM?LQs=|Gt9%-%3uF^ya-*ApD2$|D9qcJU8+G%~|^zKizxa!rrXE3I7kR@^8Zb zFKRZs+*;dA7yzG6Y=bXqW`EK3U>C<6XoLCh5XA)Me+K@4QFDRI^aVeUd?g^iY_p4) z9#=v|{w^gnQo;Yj2Kl$}|3AITtZMxh{vY`IA^bnm0N&q44y<{p_n;!A4~&t^~#$D7h+ zSi?++JeP1Zb<-UT~Ly;mq6U1;3e>kU_8@gVZnU9LMmxhTsFJA zL@WUdn#WlpvN&&WvLLzI0FtW9YSr>5n0X{NG9z0QCS4Uu6cf!Tq!sb2xV*xo z<+<#8D+@Ip;!5Pd%DIwDsESVYBk2=K9wbdND;`KyAsNdQ#-k*Ze;(_pVjmPZ(dX>L z#4Rsy4+>nkpt;?$50h_LTf5F&q)c*&pg`h|Qa5N!o@ybRl>|j2x^iXSt-S zmc#gP1m{!UN3xc!XcM$S<_cJ1NPS%=N&@v!N-RJ&o9wGq6|vGad#nna6)nzQ`~9kv)hhcY z6)xM4s!|*(`$<)bq_Y21mBOj)FQ`(USJ_`zrNpW1yHzPBm3^-&MHo7|@_R9}?6Xk1 zyx8cAYD}$czes_@OQ3d__&7IjQ-k78pjq7oA66i0eByS#PJETG7h}zvpj9HwnMdd$ z)vUfb{rc2Qc8NfNo-w$I4QPkxNWdc1ekQCpttxqFo&qLM`*-R=d}tH>-VN(_5=)MGi}!}b&Iw`B+7dNs7t`y7J$|W=1OfB z+Gqulspvg#lLWDKBCi`VFoImDvZ(DR~zUV)+9 zUXHn^eM=W}u052AZ#StC3)Y+;Y%_fs4ddEWM^{o8uuyUVVl|Rj(Riqijy@fXrKa}l zO>|P1PwI+Lat!Q@xE9f2n`V$|+Sf~XZO5x7X#-;p94Xk=ME|dPryuiMK+P#$QSDMmC{$thu(eC(V{8BclL$TU= z!;fUEvsw>;)B4nastZC(^=h*;O4 z#`5gPesPto@+B-zRT~mk6l&b!I#`fi>}G6%X^Y9!JHIY|5m{XSLJq*XNF4j44)|Pn zDva{~(k^ysCb)M=9cU0CU|b9I_COkRlg&xpe2+3?M@pG}rz8HUgeUnYU>^7BgFcWr zs>m`TXMQT}N%3i&_(UXF^oNS-0h^w{LPR zaBnW<>wi6Bq3`*3!Q$kaiNAXl1>~e4-l|+du7#bF-*C|N->DMT5`>D9Shybrj zzJhKN$UM`zmr7TTJOW8Pj)_1RuVQC(GLt(+)$0^-J=00jVb^x%A(={5C54?v(IVAB zrBKMGLzSYUlD8?$jnvD?K~%kr#N>-&7;}54I0J`J+YhqCG_WL(cS+tdgP+0KE^{IK z^Hdt2a?+k9Cu)*Pt{QSk9>uX(^^jlAzu}bZTx#gx1J4*dg>mdx*RJ{f%9LxQWh}sf z4gCD|QY^`05RU22U*IxbO;tMrOsyb{Jhb7k1=~2)rv|-W^!h z*!Cs*Tp>1O>AKAeQUe>*^0dJDrXL(W(2elJ_HJ3NTyNqG+9tA^Ki}=kUTSJ(p6K51 zlOQP&wi64w5K)2>v5Siq7nqmrC$+ZJq;hcq%wyUyaRDavtj89TGyK=j%ZQ(vwQk`U z*E(w4;Mt-gXM|rrN8J6^`_#5xRBjQeFH5dL61(tA2Js9m%lh!%Ox2vS(3hZ*(y=J?s{L z)vcDWtxq|uKXh1s?6CgCVfA~UL=xNB);9G+VsX1#nrv-VOJgk!#cgUSSWqXnVuh^X z*;X~f^`?$E+}E?GMU64Q;z~eQ6M~#7QH9N)r;J@e*;KWvSGsJ#i192%firklzvTgb zHCpKXsl7_g91iP2{U|G(c`>LopVr4c-9t4z!1yV@+Q0m$Bo!41^|krOj{1+IAswx% zmddQpI|QV5_!kaMfJ^E!5V@waKH-3!A?qfGg_1{EV;$B<9n8I5mWli=lCT!M!Oz2*8>vr@X1LU5$-CQYbWO%>>80(pVG&arZjjl+|#v{k_rb zJg{BrdYC^e#^y_G&2G2p61IYPCEp-Y`TB9F=S5xbd6DR6k$fcQeoGo}S&h9Bg2OTz zC#%+hMQPZ$-yP`<2VQul?HLY>r!DE4%UA^ep?fF(43gU!uJMU8d2A^WXBEt`jdIQr z=U|uS76wT^VS}x@Zo^7EdO;kNL1qp!D0Qg4rh7Rw&Nm=Rh!q z%P>5|D_aN<{wY$MMdD)9=N;Jed8c`%LBCB^FxxhUgl0$Xz5^zdv}d79eb{G9Fo(l> zQKTz>rm35Y)?HLdeT3lq8s}YuF=nl}ykMocqH&dXIeaTP;bEq>Z^+`;OgLST2)A1N znpemv*24N=Eo>>Qg~9$;AF==SzyeLz0}J>CjyRM}cBC$9)yUPmBlXWlQ{G3A@Wy$l zn2z0%*e!sX;jn_wh)R`wAh&ViJvyjL_dwf2)6y#rY~GsgPL@L&x}d=B?jdXtZfFxkx;y?pl?Cb!Q-TqoDa)9@h5Gbm4^?4!zFgGaJh$O|K0HI(52%S7Fv z;>0hFU#176y41q$l!Nk?CoKhIHYcpYXyT8*hxDwKsnNnzDOhpcE6C!?sIhY3Nc2FN zw)DS8VOYL&3Gx71HPR*H{ZfiO)x%vvFn%mHv8`s@eZt&S>i*@ry~~*^11KF~y57_* z%z{VWkg5q`D*L1!3~mE@z4R>8(+8_^?mm7#TtYZn1hFgECoE$w_r1RSf%ynW0*g&D z{7~4&SPJlF*wcyE!}%>zH#(j8K-z=m^^qL^K*EFZ>!IN24kkQ=56JidW&zoz%=}@1 z$T-XX>pI;HtGoGCSzns1okUJi4*UZ0`pcStw_4xC$~v~gZmwc;-%0D zlo2`sTQO%D(Am%XbwufmzneRhb0nuFKgaS#nmI5jh#nzRU%&gYGbP7;d;S$){OJ_{ literal 0 HcmV?d00001 diff --git a/Source and Serial Driver/.DS_Store b/Source and Serial Driver/.DS_Store new file mode 100644 index 0000000000000000000000000000000000000000..aab49b9c9ac6d386c7b34cc20ac3cc7d319cbedb GIT binary patch literal 6148 zcmeHKyG{c!5S)b+k)TLP>0dY`dMgTFzz6UO-JujH)K~Fcd>XTl=5)}7CYqJjW3P8? zd5YUx0Jc5u2VetWO?SkX4@>iX_k~?l#E5jB@rHLCG2#<EIA80CB}| z80XPT5Ss^xy>Loogl0)4Ce^CNu%t8IDz6t#iAjf5^I>(fRfl46JI`;C4(o{;rGONe zD{z_1jo1Hs`XBxOoTQZ$kOF6=fUR~9yDgtowRQ10ueFW-ME9I;x*O*~;SlAR80DA? fFUL2LlzGkP-0y``V$c~6I#E9Zu8T|x{I>!>2k;eM literal 0 HcmV?d00001 diff --git a/Source and Serial Driver/a2a1emulv5_1/COPYING.txt b/Source and Serial Driver/a2a1emulv5_1/COPYING.txt new file mode 100644 index 0000000..94a9ed0 --- /dev/null +++ b/Source and Serial Driver/a2a1emulv5_1/COPYING.txt @@ -0,0 +1,674 @@ + GNU GENERAL PUBLIC LICENSE + Version 3, 29 June 2007 + + Copyright (C) 2007 Free Software Foundation, Inc. + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The GNU General Public License is a free, copyleft license for +software and other kinds of works. + + The licenses for most software and other practical works are designed +to take away your freedom to share and change the works. By contrast, +the GNU General Public License is intended to guarantee your freedom to +share and change all versions of a program--to make sure it remains free +software for all its users. We, the Free Software Foundation, use the +GNU General Public License for most of our software; it applies also to +any other work released this way by its authors. You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +them if you wish), that you receive source code or can get it if you +want it, that you can change the software or use pieces of it in new +free programs, and that you know you can do these things. + + To protect your rights, we need to prevent others from denying you +these rights or asking you to surrender the rights. Therefore, you have +certain responsibilities if you distribute copies of the software, or if +you modify it: responsibilities to respect the freedom of others. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must pass on to the recipients the same +freedoms that you received. You must make sure that they, too, receive +or can get the source code. And you must show them these terms so they +know their rights. + + Developers that use the GNU GPL protect your rights with two steps: +(1) assert copyright on the software, and (2) offer you this License +giving you legal permission to copy, distribute and/or modify it. + + For the developers' and authors' protection, the GPL clearly explains +that there is no warranty for this free software. For both users' and +authors' sake, the GPL requires that modified versions be marked as +changed, so that their problems will not be attributed erroneously to +authors of previous versions. + + Some devices are designed to deny users access to install or run +modified versions of the software inside them, although the manufacturer +can do so. This is fundamentally incompatible with the aim of +protecting users' freedom to change the software. The systematic +pattern of such abuse occurs in the area of products for individuals to +use, which is precisely where it is most unacceptable. Therefore, we +have designed this version of the GPL to prohibit the practice for those +products. If such problems arise substantially in other domains, we +stand ready to extend this provision to those domains in future versions +of the GPL, as needed to protect the freedom of users. + + Finally, every program is threatened constantly by software patents. +States should not allow patents to restrict development and use of +software on general-purpose computers, but in those that do, we wish to +avoid the special danger that patents applied to a free program could +make it effectively proprietary. To prevent this, the GPL assures that +patents cannot be used to render the program non-free. + + The precise terms and conditions for copying, distribution and +modification follow. + + TERMS AND CONDITIONS + + 0. Definitions. + + "This License" refers to version 3 of the GNU General Public License. + + "Copyright" also means copyright-like laws that apply to other kinds of +works, such as semiconductor masks. + + "The Program" refers to any copyrightable work licensed under this +License. Each licensee is addressed as "you". "Licensees" and +"recipients" may be individuals or organizations. + + To "modify" a work means to copy from or adapt all or part of the work +in a fashion requiring copyright permission, other than the making of an +exact copy. The resulting work is called a "modified version" of the +earlier work or a work "based on" the earlier work. + + A "covered work" means either the unmodified Program or a work based +on the Program. + + To "propagate" a work means to do anything with it that, without +permission, would make you directly or secondarily liable for +infringement under applicable copyright law, except executing it on a +computer or modifying a private copy. Propagation includes copying, +distribution (with or without modification), making available to the +public, and in some countries other activities as well. + + To "convey" a work means any kind of propagation that enables other +parties to make or receive copies. Mere interaction with a user through +a computer network, with no transfer of a copy, is not conveying. + + An interactive user interface displays "Appropriate Legal Notices" +to the extent that it includes a convenient and prominently visible +feature that (1) displays an appropriate copyright notice, and (2) +tells the user that there is no warranty for the work (except to the +extent that warranties are provided), that licensees may convey the +work under this License, and how to view a copy of this License. If +the interface presents a list of user commands or options, such as a +menu, a prominent item in the list meets this criterion. + + 1. Source Code. + + The "source code" for a work means the preferred form of the work +for making modifications to it. "Object code" means any non-source +form of a work. + + A "Standard Interface" means an interface that either is an official +standard defined by a recognized standards body, or, in the case of +interfaces specified for a particular programming language, one that +is widely used among developers working in that language. + + The "System Libraries" of an executable work include anything, other +than the work as a whole, that (a) is included in the normal form of +packaging a Major Component, but which is not part of that Major +Component, and (b) serves only to enable use of the work with that +Major Component, or to implement a Standard Interface for which an +implementation is available to the public in source code form. A +"Major Component", in this context, means a major essential component +(kernel, window system, and so on) of the specific operating system +(if any) on which the executable work runs, or a compiler used to +produce the work, or an object code interpreter used to run it. + + The "Corresponding Source" for a work in object code form means all +the source code needed to generate, install, and (for an executable +work) run the object code and to modify the work, including scripts to +control those activities. However, it does not include the work's +System Libraries, or general-purpose tools or generally available free +programs which are used unmodified in performing those activities but +which are not part of the work. For example, Corresponding Source +includes interface definition files associated with source files for +the work, and the source code for shared libraries and dynamically +linked subprograms that the work is specifically designed to require, +such as by intimate data communication or control flow between those +subprograms and other parts of the work. + + The Corresponding Source need not include anything that users +can regenerate automatically from other parts of the Corresponding +Source. + + The Corresponding Source for a work in source code form is that +same work. + + 2. Basic Permissions. + + All rights granted under this License are granted for the term of +copyright on the Program, and are irrevocable provided the stated +conditions are met. This License explicitly affirms your unlimited +permission to run the unmodified Program. The output from running a +covered work is covered by this License only if the output, given its +content, constitutes a covered work. This License acknowledges your +rights of fair use or other equivalent, as provided by copyright law. + + You may make, run and propagate covered works that you do not +convey, without conditions so long as your license otherwise remains +in force. You may convey covered works to others for the sole purpose +of having them make modifications exclusively for you, or provide you +with facilities for running those works, provided that you comply with +the terms of this License in conveying all material for which you do +not control copyright. Those thus making or running the covered works +for you must do so exclusively on your behalf, under your direction +and control, on terms that prohibit them from making any copies of +your copyrighted material outside their relationship with you. + + Conveying under any other circumstances is permitted solely under +the conditions stated below. Sublicensing is not allowed; section 10 +makes it unnecessary. + + 3. Protecting Users' Legal Rights From Anti-Circumvention Law. + + No covered work shall be deemed part of an effective technological +measure under any applicable law fulfilling obligations under article +11 of the WIPO copyright treaty adopted on 20 December 1996, or +similar laws prohibiting or restricting circumvention of such +measures. + + When you convey a covered work, you waive any legal power to forbid +circumvention of technological measures to the extent such circumvention +is effected by exercising rights under this License with respect to +the covered work, and you disclaim any intention to limit operation or +modification of the work as a means of enforcing, against the work's +users, your or third parties' legal rights to forbid circumvention of +technological measures. + + 4. Conveying Verbatim Copies. + + You may convey verbatim copies of the Program's source code as you +receive it, in any medium, provided that you conspicuously and +appropriately publish on each copy an appropriate copyright notice; +keep intact all notices stating that this License and any +non-permissive terms added in accord with section 7 apply to the code; +keep intact all notices of the absence of any warranty; and give all +recipients a copy of this License along with the Program. + + You may charge any price or no price for each copy that you convey, +and you may offer support or warranty protection for a fee. + + 5. Conveying Modified Source Versions. + + You may convey a work based on the Program, or the modifications to +produce it from the Program, in the form of source code under the +terms of section 4, provided that you also meet all of these conditions: + + a) The work must carry prominent notices stating that you modified + it, and giving a relevant date. + + b) The work must carry prominent notices stating that it is + released under this License and any conditions added under section + 7. This requirement modifies the requirement in section 4 to + "keep intact all notices". + + c) You must license the entire work, as a whole, under this + License to anyone who comes into possession of a copy. This + License will therefore apply, along with any applicable section 7 + additional terms, to the whole of the work, and all its parts, + regardless of how they are packaged. This License gives no + permission to license the work in any other way, but it does not + invalidate such permission if you have separately received it. + + d) If the work has interactive user interfaces, each must display + Appropriate Legal Notices; however, if the Program has interactive + interfaces that do not display Appropriate Legal Notices, your + work need not make them do so. + + A compilation of a covered work with other separate and independent +works, which are not by their nature extensions of the covered work, +and which are not combined with it such as to form a larger program, +in or on a volume of a storage or distribution medium, is called an +"aggregate" if the compilation and its resulting copyright are not +used to limit the access or legal rights of the compilation's users +beyond what the individual works permit. Inclusion of a covered work +in an aggregate does not cause this License to apply to the other +parts of the aggregate. + + 6. Conveying Non-Source Forms. + + You may convey a covered work in object code form under the terms +of sections 4 and 5, provided that you also convey the +machine-readable Corresponding Source under the terms of this License, +in one of these ways: + + a) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by the + Corresponding Source fixed on a durable physical medium + customarily used for software interchange. + + b) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by a + written offer, valid for at least three years and valid for as + long as you offer spare parts or customer support for that product + model, to give anyone who possesses the object code either (1) a + copy of the Corresponding Source for all the software in the + product that is covered by this License, on a durable physical + medium customarily used for software interchange, for a price no + more than your reasonable cost of physically performing this + conveying of source, or (2) access to copy the + Corresponding Source from a network server at no charge. + + c) Convey individual copies of the object code with a copy of the + written offer to provide the Corresponding Source. This + alternative is allowed only occasionally and noncommercially, and + only if you received the object code with such an offer, in accord + with subsection 6b. + + d) Convey the object code by offering access from a designated + place (gratis or for a charge), and offer equivalent access to the + Corresponding Source in the same way through the same place at no + further charge. You need not require recipients to copy the + Corresponding Source along with the object code. If the place to + copy the object code is a network server, the Corresponding Source + may be on a different server (operated by you or a third party) + that supports equivalent copying facilities, provided you maintain + clear directions next to the object code saying where to find the + Corresponding Source. Regardless of what server hosts the + Corresponding Source, you remain obligated to ensure that it is + available for as long as needed to satisfy these requirements. + + e) Convey the object code using peer-to-peer transmission, provided + you inform other peers where the object code and Corresponding + Source of the work are being offered to the general public at no + charge under subsection 6d. + + A separable portion of the object code, whose source code is excluded +from the Corresponding Source as a System Library, need not be +included in conveying the object code work. + + A "User Product" is either (1) a "consumer product", which means any +tangible personal property which is normally used for personal, family, +or household purposes, or (2) anything designed or sold for incorporation +into a dwelling. In determining whether a product is a consumer product, +doubtful cases shall be resolved in favor of coverage. For a particular +product received by a particular user, "normally used" refers to a +typical or common use of that class of product, regardless of the status +of the particular user or of the way in which the particular user +actually uses, or expects or is expected to use, the product. A product +is a consumer product regardless of whether the product has substantial +commercial, industrial or non-consumer uses, unless such uses represent +the only significant mode of use of the product. + + "Installation Information" for a User Product means any methods, +procedures, authorization keys, or other information required to install +and execute modified versions of a covered work in that User Product from +a modified version of its Corresponding Source. The information must +suffice to ensure that the continued functioning of the modified object +code is in no case prevented or interfered with solely because +modification has been made. + + If you convey an object code work under this section in, or with, or +specifically for use in, a User Product, and the conveying occurs as +part of a transaction in which the right of possession and use of the +User Product is transferred to the recipient in perpetuity or for a +fixed term (regardless of how the transaction is characterized), the +Corresponding Source conveyed under this section must be accompanied +by the Installation Information. But this requirement does not apply +if neither you nor any third party retains the ability to install +modified object code on the User Product (for example, the work has +been installed in ROM). + + The requirement to provide Installation Information does not include a +requirement to continue to provide support service, warranty, or updates +for a work that has been modified or installed by the recipient, or for +the User Product in which it has been modified or installed. Access to a +network may be denied when the modification itself materially and +adversely affects the operation of the network or violates the rules and +protocols for communication across the network. + + Corresponding Source conveyed, and Installation Information provided, +in accord with this section must be in a format that is publicly +documented (and with an implementation available to the public in +source code form), and must require no special password or key for +unpacking, reading or copying. + + 7. Additional Terms. + + "Additional permissions" are terms that supplement the terms of this +License by making exceptions from one or more of its conditions. +Additional permissions that are applicable to the entire Program shall +be treated as though they were included in this License, to the extent +that they are valid under applicable law. If additional permissions +apply only to part of the Program, that part may be used separately +under those permissions, but the entire Program remains governed by +this License without regard to the additional permissions. + + When you convey a copy of a covered work, you may at your option +remove any additional permissions from that copy, or from any part of +it. (Additional permissions may be written to require their own +removal in certain cases when you modify the work.) You may place +additional permissions on material, added by you to a covered work, +for which you have or can give appropriate copyright permission. + + Notwithstanding any other provision of this License, for material you +add to a covered work, you may (if authorized by the copyright holders of +that material) supplement the terms of this License with terms: + + a) Disclaiming warranty or limiting liability differently from the + terms of sections 15 and 16 of this License; or + + b) Requiring preservation of specified reasonable legal notices or + author attributions in that material or in the Appropriate Legal + Notices displayed by works containing it; or + + c) Prohibiting misrepresentation of the origin of that material, or + requiring that modified versions of such material be marked in + reasonable ways as different from the original version; or + + d) Limiting the use for publicity purposes of names of licensors or + authors of the material; or + + e) Declining to grant rights under trademark law for use of some + trade names, trademarks, or service marks; or + + f) Requiring indemnification of licensors and authors of that + material by anyone who conveys the material (or modified versions of + it) with contractual assumptions of liability to the recipient, for + any liability that these contractual assumptions directly impose on + those licensors and authors. + + All other non-permissive additional terms are considered "further +restrictions" within the meaning of section 10. If the Program as you +received it, or any part of it, contains a notice stating that it is +governed by this License along with a term that is a further +restriction, you may remove that term. If a license document contains +a further restriction but permits relicensing or conveying under this +License, you may add to a covered work material governed by the terms +of that license document, provided that the further restriction does +not survive such relicensing or conveying. + + If you add terms to a covered work in accord with this section, you +must place, in the relevant source files, a statement of the +additional terms that apply to those files, or a notice indicating +where to find the applicable terms. + + Additional terms, permissive or non-permissive, may be stated in the +form of a separately written license, or stated as exceptions; +the above requirements apply either way. + + 8. Termination. + + You may not propagate or modify a covered work except as expressly +provided under this License. Any attempt otherwise to propagate or +modify it is void, and will automatically terminate your rights under +this License (including any patent licenses granted under the third +paragraph of section 11). + + However, if you cease all violation of this License, then your +license from a particular copyright holder is reinstated (a) +provisionally, unless and until the copyright holder explicitly and +finally terminates your license, and (b) permanently, if the copyright +holder fails to notify you of the violation by some reasonable means +prior to 60 days after the cessation. + + Moreover, your license from a particular copyright holder is +reinstated permanently if the copyright holder notifies you of the +violation by some reasonable means, this is the first time you have +received notice of violation of this License (for any work) from that +copyright holder, and you cure the violation prior to 30 days after +your receipt of the notice. + + Termination of your rights under this section does not terminate the +licenses of parties who have received copies or rights from you under +this License. If your rights have been terminated and not permanently +reinstated, you do not qualify to receive new licenses for the same +material under section 10. + + 9. Acceptance Not Required for Having Copies. + + You are not required to accept this License in order to receive or +run a copy of the Program. Ancillary propagation of a covered work +occurring solely as a consequence of using peer-to-peer transmission +to receive a copy likewise does not require acceptance. However, +nothing other than this License grants you permission to propagate or +modify any covered work. These actions infringe copyright if you do +not accept this License. Therefore, by modifying or propagating a +covered work, you indicate your acceptance of this License to do so. + + 10. Automatic Licensing of Downstream Recipients. + + Each time you convey a covered work, the recipient automatically +receives a license from the original licensors, to run, modify and +propagate that work, subject to this License. You are not responsible +for enforcing compliance by third parties with this License. + + An "entity transaction" is a transaction transferring control of an +organization, or substantially all assets of one, or subdividing an +organization, or merging organizations. If propagation of a covered +work results from an entity transaction, each party to that +transaction who receives a copy of the work also receives whatever +licenses to the work the party's predecessor in interest had or could +give under the previous paragraph, plus a right to possession of the +Corresponding Source of the work from the predecessor in interest, if +the predecessor has it or can get it with reasonable efforts. + + You may not impose any further restrictions on the exercise of the +rights granted or affirmed under this License. For example, you may +not impose a license fee, royalty, or other charge for exercise of +rights granted under this License, and you may not initiate litigation +(including a cross-claim or counterclaim in a lawsuit) alleging that +any patent claim is infringed by making, using, selling, offering for +sale, or importing the Program or any portion of it. + + 11. Patents. + + A "contributor" is a copyright holder who authorizes use under this +License of the Program or a work on which the Program is based. The +work thus licensed is called the contributor's "contributor version". + + A contributor's "essential patent claims" are all patent claims +owned or controlled by the contributor, whether already acquired or +hereafter acquired, that would be infringed by some manner, permitted +by this License, of making, using, or selling its contributor version, +but do not include claims that would be infringed only as a +consequence of further modification of the contributor version. For +purposes of this definition, "control" includes the right to grant +patent sublicenses in a manner consistent with the requirements of +this License. + + Each contributor grants you a non-exclusive, worldwide, royalty-free +patent license under the contributor's essential patent claims, to +make, use, sell, offer for sale, import and otherwise run, modify and +propagate the contents of its contributor version. + + In the following three paragraphs, a "patent license" is any express +agreement or commitment, however denominated, not to enforce a patent +(such as an express permission to practice a patent or covenant not to +sue for patent infringement). To "grant" such a patent license to a +party means to make such an agreement or commitment not to enforce a +patent against the party. + + If you convey a covered work, knowingly relying on a patent license, +and the Corresponding Source of the work is not available for anyone +to copy, free of charge and under the terms of this License, through a +publicly available network server or other readily accessible means, +then you must either (1) cause the Corresponding Source to be so +available, or (2) arrange to deprive yourself of the benefit of the +patent license for this particular work, or (3) arrange, in a manner +consistent with the requirements of this License, to extend the patent +license to downstream recipients. "Knowingly relying" means you have +actual knowledge that, but for the patent license, your conveying the +covered work in a country, or your recipient's use of the covered work +in a country, would infringe one or more identifiable patents in that +country that you have reason to believe are valid. + + If, pursuant to or in connection with a single transaction or +arrangement, you convey, or propagate by procuring conveyance of, a +covered work, and grant a patent license to some of the parties +receiving the covered work authorizing them to use, propagate, modify +or convey a specific copy of the covered work, then the patent license +you grant is automatically extended to all recipients of the covered +work and works based on it. + + A patent license is "discriminatory" if it does not include within +the scope of its coverage, prohibits the exercise of, or is +conditioned on the non-exercise of one or more of the rights that are +specifically granted under this License. You may not convey a covered +work if you are a party to an arrangement with a third party that is +in the business of distributing software, under which you make payment +to the third party based on the extent of your activity of conveying +the work, and under which the third party grants, to any of the +parties who would receive the covered work from you, a discriminatory +patent license (a) in connection with copies of the covered work +conveyed by you (or copies made from those copies), or (b) primarily +for and in connection with specific products or compilations that +contain the covered work, unless you entered into that arrangement, +or that patent license was granted, prior to 28 March 2007. + + Nothing in this License shall be construed as excluding or limiting +any implied license or other defenses to infringement that may +otherwise be available to you under applicable patent law. + + 12. No Surrender of Others' Freedom. + + If conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot convey a +covered work so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you may +not convey it at all. For example, if you agree to terms that obligate you +to collect a royalty for further conveying from those to whom you convey +the Program, the only way you could satisfy both those terms and this +License would be to refrain entirely from conveying the Program. + + 13. Use with the GNU Affero General Public License. + + Notwithstanding any other provision of this License, you have +permission to link or combine any covered work with a work licensed +under version 3 of the GNU Affero General Public License into a single +combined work, and to convey the resulting work. The terms of this +License will continue to apply to the part which is the covered work, +but the special requirements of the GNU Affero General Public License, +section 13, concerning interaction through a network will apply to the +combination as such. + + 14. Revised Versions of this License. + + The Free Software Foundation may publish revised and/or new versions of +the GNU General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + + Each version is given a distinguishing version number. If the +Program specifies that a certain numbered version of the GNU General +Public License "or any later version" applies to it, you have the +option of following the terms and conditions either of that numbered +version or of any later version published by the Free Software +Foundation. If the Program does not specify a version number of the +GNU General Public License, you may choose any version ever published +by the Free Software Foundation. + + If the Program specifies that a proxy can decide which future +versions of the GNU General Public License can be used, that proxy's +public statement of acceptance of a version permanently authorizes you +to choose that version for the Program. + + Later license versions may give you additional or different +permissions. However, no additional obligations are imposed on any +author or copyright holder as a result of your choosing to follow a +later version. + + 15. Disclaimer of Warranty. + + THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY +APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT +HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY +OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, +THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM +IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF +ALL NECESSARY SERVICING, REPAIR OR CORRECTION. + + 16. Limitation of Liability. + + IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS +THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY +GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE +USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF +DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD +PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS), +EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF +SUCH DAMAGES. + + 17. Interpretation of Sections 15 and 16. + + If the disclaimer of warranty and limitation of liability provided +above cannot be given local legal effect according to their terms, +reviewing courts shall apply local law that most closely approximates +an absolute waiver of all civil liability in connection with the +Program, unless a warranty or assumption of liability accompanies a +copy of the Program in return for a fee. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +state the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + + Copyright (C) + + This program is free software: you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation, either version 3 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program. If not, see . + +Also add information on how to contact you by electronic and paper mail. + + If the program does terminal interaction, make it output a short +notice like this when it starts in an interactive mode: + + Copyright (C) + This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, your program's commands +might be different; for a GUI interface, you would use an "about box". + + You should also get your employer (if you work as a programmer) or school, +if any, to sign a "copyright disclaimer" for the program, if necessary. +For more information on this, and how to apply and follow the GNU GPL, see +. + + The GNU General Public License does not permit incorporating your program +into proprietary programs. If your program is a subroutine library, you +may consider it more useful to permit linking proprietary applications with +the library. If this is what you want to do, use the GNU Lesser General +Public License instead of this License. But first, please read +. diff --git a/Source and Serial Driver/a2a1emulv5_1/a1basic-universal.asm b/Source and Serial Driver/a2a1emulv5_1/a1basic-universal.asm new file mode 100755 index 0000000..9b404d1 --- /dev/null +++ b/Source and Serial Driver/a2a1emulv5_1/a1basic-universal.asm @@ -0,0 +1,2249 @@ + PROCESSOR 6502 + LIST ON +; +;------------------------------------------------------------------------ +; Apple 1 Basic by Steve Wozniak +; +; This source was derived from BASIC disassembly done by Eric Smith. +; This derivation is reproduced and distributed with permission of +; Eric Smith +; +; Eric Smith's disassembly can be found at +; http://www.brouhaha.com/~eric/retrocomputing/apple/apple1/basic/ +; +; Do not reproduce or distribute without permission +; +; copyright 2010, Mike Willegal +; copyright 2003, Eric Smith +; +;------------------------------------------------------------------------ +; Disassembly of Apple 1 BASIC +; 17-Sep-2003 +; Apple 1 BASIC was written by Steve Wozniak +; This disassembly is copyright 2003 Eric Smith +; http://www.brouhaha.com/~eric/retrocomputing/apple/apple1/basic/ +;------------------------------------------------------------------------ + +;------------------------------------------------------------------------ +; build with 6502 assembler called DASM using the following command line +; +; Huston's version of Basic (may be latest version available) +; http://www.apple1notes.com/Home/Programs.html +;./dasm a1basic.asm -DHUSTNBASIC=0 -oa1hbas.o -la1hbas.lst +; +; Sander's version of Basic (same as Eric Smith's original disassembly) +; http://www.apple1notes.com/Home/Programs.html +;./dasm a1basic.asm -DSNDERBASIC=0 -oa1sbas.o -la1sbas.lst +; +; Vince Briel's version of Basic +; (can't find the link right now) +;./dasm a1basic.asm -DBRIELBASIC=0 -oa1bbas.o -la1bbas.lst +; +; Pagetable version of Basic (only PIA address different from Briel's version) +; http://www.pagetable.com/?p=32 +;./dasm a1basic.asm -DPAGETBASIC=0 -oa1pbas.o -la1pbas.lst +; +;------------------------------------------------------------------------ +;------------------------------------------------------------------------- +; Defines - this code can be built one of four ways +; 1. clone/real Apple 1 HW +; 2. runs in ram of real or virtual Apple 2 +; 3. runs in virtual apple 2 as ROM +; 4. runs in plug in board of real Apple 2 +; +; select one of these three options +;------------------------------------------------------------------------- + +;BLD4APPLE1 EQU 0 ;ACTUAL APPLE 1 or CLONE +;BLD4RAM EQU 0 ;RAM of virtual or real A2 +;BLD4EMULROM EQU 0 ;ROM of virtual A2 +;BLD4ROMBD EQU 0 ;ROM board in Real A2 + + +;------------------------------------------------------------------------ +; BASIC source taken from http://www.brouhaha.com +; IFCONST added to allow building Apple 1 basic version found +; on Vince Briels site +;------------------------------------------------------------------------ +; up to four versions of Apple 1 Basic Known +; use defines to control which one we build +;SNDERBASIC EQU 0 ;from Wendell Sander's site (DEFAULT) +;SMITHBASIC EQU 0 ;original source from brouhaha.com +;BRIELBASIC EQU 0 ;version used in Replica 1 +;HUSTNBASIC EQU 0 ;huston version from Wendell's site + + +;------------------------------------------------------------------------ +; Disassembly of Apple 1 BASIC +; 17-Sep-2003 +; Apple 1 BASIC was written by Steve Wozniak +; This disassembly is copyright 2003 Eric Smith +; http://www.brouhaha.com/~eric/retrocomputing/apple/apple1/basic/ +;------------------------------------------------------------------------ + +LOCZERO EQU $00 +Z1d EQU $1d +ch EQU $24 +cv EQU $25 +zp48 EQU $48 +zp49 EQU $49 +lomem EQU $4a +zp4b EQU $4b +himem EQU $4c +zp4d EQU $4d +rnd EQU $4e +noun_stk_l EQU $50 +syn_stk_h EQU $58 +zp60 EQU $60 +noun_stk_h_str EQU $78 +syn_stk_l EQU $80 +zp85 EQU $85 +noun_stk_h_int EQU $a0 +txtndxstk EQU $a8 +text_index EQU $c8 +leadbl EQU $c9 +pp EQU $ca +zpcb EQU $cb +pv EQU $cc +acc EQU $ce +zpcf EQU $cf +srch EQU $d0 +tokndxstk EQU $d1 +srch2 EQU $d2 +if_flag EQU $d4 +cr_flag EQU $d5 +current_verb EQU $d6 +precedence EQU $d7 +x_save EQU $d8 +run_flag EQU $d9 +aux EQU $da +pline EQU $dc +pverb EQU $e0 +p1 EQU $e2 +p2 EQU $e4 +p3 EQU $e6 +token_index EQU $f1 +pcon EQU $f2 +auto_inc EQU $f4 +auto_ln EQU $f6 +auto_flag EQU $f8 +char EQU $f9 +leadzr EQU $fa +for_nest_count EQU $fb +gosub_nest_count EQU $fc +synstkdx EQU $fd +synpag EQU $fe +gstk_pverbl EQU $0100 +gstk_pverbh EQU $0108 +gstk_plinel EQU $0110 +gstk_plineh EQU $0118 +fstk_varl EQU $0120 +fstk_varh EQU $0128 +fstk_stepl EQU $0130 +fstk_steph EQU $0138 +fstk_plinel EQU $0140 +fstk_plineh EQU $0148 +fstk_pverbl EQU $0150 +fstk_pverbh EQU $0158 +fstk_tol EQU $0160 +fstk_toh EQU $0168 +buffer EQU $0200 + + IFCONST BLD4APPLE1 + IFCONST SMITHBASIC +DSP EQU $D0F2 ;DISPLAY port in PIA +KBDCR EQU $D0F1 ;Keyboard control port +KBD EQU $D0F0 ;KEYBOARD data port + ENDIF + IFCONST BRIELBASIC +DSP EQU $D012 ;DISPLAY port in PIA +KBDCR EQU $D011 ;Keyboard control port (WS BASIC) +KBD EQU $D010 ;KEYBOARD data port + ENDIF + IFCONST SNDERBASIC +DSP EQU $D0F2 ;DISPLAY port in PIA +KBDCR EQU $D011 ;Keyboard control port (WS BASIC) +KBD EQU $D010 ;KEYBOARD data port + ENDIF + IFCONST HUSTNBASIC +DSP EQU $D0F2 ;DISPLAY port in PIA +KBDCR EQU $D011 ;Keyboard control port (WS BASIC) +KBD EQU $D010 ;KEYBOARD data port + ENDIF + + ENDIF + IFCONST BLD4RAM + ORG $7000 + ELSE + ORG $E000 + ENDIF + +Pe000: JMP cold + +rdkey: + IFCONST BLD4APPLE1 + LDA KBDCR ;Wait for key press + BPL rdkey ;No key yet! + LDA KBD ;Clear strobe + ELSE + JSR A2GETCHAR + NOP + NOP + NOP + NOP + NOP + ENDIF + RTS + +Se00c: TXA + AND #$20 ; 32 + BEQ Le034 + +Se011: LDA #$a0 ; 160 + STA p2 + JMP cout + +Se018: LDA #$20 ; 32 + +Se01a: CMP ch + BCS nextbyte + LDA #$8d ; 141 . + LDY #$07 ; 7 . +Le022: JSR cout + LDA #$a0 ; 160 + DEY + BNE Le022 + +nextbyte: LDY #$00 ; 0 . + LDA (p1),Y + INC p1 + BNE Le034 + INC p1+1 +Le034: RTS + +list_comman: JSR get16bit + JSR find_line2 +Le03b: LDA p1 + CMP p3 + LDA p1+1 + SBC p3+1 + BCS Le034 + JSR list_line + JMP Le03b + +list_all: LDA pp + STA p1 + LDA pp+1 + STA p1+1 + LDA himem + STA p3 + LDA himem+1 + STA p3+1 + BNE Le03b + +list_cmd: JSR get16bit + JSR find_line + LDA p2 + STA p1 + LDA p2+1 + STA p1+1 + BCS Le034 + +list_line: STX x_save + LDA #$a0 ; 160 + STA leadzr + JSR nextbyte + TYA +list_int: STA p2 + JSR nextbyte + TAX + JSR nextbyte + JSR prdec +Le083: JSR Se018 + STY leadzr + TAX + BPL list_token + ASL + BPL list_int + LDA p2 + BNE Le095 + JSR Se011 +Le095: TXA +Le096: JSR cout +Le099: LDA #$25 ; 37 % + JSR Se01a + TAX + BMI Le096 + STA p2 +list_token: CMP #$01 ; 1 . + BNE Le0ac + LDX x_save + JMP crout +Le0ac: PHA + STY acc + LDX #[syntabl2>>8] ; $ED, when from ROM, $AD from RAM + STX acc+1 + CMP #$51 ; 81 Q + BCC Le0bb + DEC acc+1 + SBC #$50 ; 80 P +Le0bb: PHA + LDA (acc),Y +Le0be: TAX + DEY + LDA (acc),Y + BPL Le0be + CPX #$c0 ; 192 @ + BCS Le0cc + CPX #$00 ; 0 . + BMI Le0be +Le0cc: TAX + PLA + SBC #$01 ; 1 . + BNE Le0bb + BIT p2 + BMI Le0d9 + JSR Seff8 +Le0d9: LDA (acc),Y + BPL Le0ed + TAX + AND #$3f ; 63 ? + STA p2 + CLC + ADC #$a0 ; 160 + JSR cout + DEY + CPX #$c0 ; 192 @ + BCC Le0d9 +Le0ed: JSR Se00c + PLA + CMP #$5d ; 93 ] + BEQ Le099 + CMP #$28 ; 40 ( + BNE Le083 + BEQ Le099 + +paren_substr: JSR Se118 + STA noun_stk_l,X + CMP noun_stk_h_str,X +Le102: BCC Le115 +string_err: LDY #$2b ; 43 + +go_errmess_1: JMP print_err_msg + +comma_substr: JSR getbyte + CMP noun_stk_l,X + BCC string_err + JSR Sefe4 + STA noun_stk_h_str,X +Le115: JMP left_paren + +Se118: JSR getbyte + BEQ string_err + SEC + SBC #$01 ; 1 . + RTS + +str_arr_dest: JSR Se118 + STA noun_stk_l,X + CLC + SBC noun_stk_h_str,X + JMP Le102 +Le12c: LDY #$14 ; 20 . + BNE go_errmess_1 + +dim_str: JSR Se118 + INX +Le134: LDA noun_stk_l,X + STA aux + ADC acc + PHA + TAY + LDA noun_stk_h_str,X + STA aux+1 + ADC acc+1 + PHA + CPY pp + SBC pp+1 + BCS Le12c + LDA aux + ADC #$fe ; 254 ~ + STA aux + LDA #$ff ; 255 . + TAY + ADC aux+1 + STA aux+1 +Le156: INY + LDA (aux),Y + CMP pv,Y + BNE Le16d + TYA + BEQ Le156 +Le161: PLA + STA (aux),Y + STA pv,Y + DEY + BPL Le161 + INX + RTS + NOP ; "j" +Le16d: LDY #$80 ; 128 . +Le16f: BNE go_errmess_1 + +input_str: LDA #$00 ; 0 . + JSR push_a_noun_stk + LDY #$02 ; 2 . + STY noun_stk_h_str,X + JSR push_a_noun_stk + LDA #$bf ; 191 ? + JSR cout + LDY #$00 ; 0 . + JSR read_line + STY noun_stk_h_str,X + NOP + NOP + NOP + +string_lit: LDA noun_stk_l+1,X + STA acc + LDA noun_stk_h_str+1,X + STA acc+1 + INX + INX + JSR Se1bc +Le199: LDA rnd,X + CMP syn_stk_h+30,X + BCS Le1b4 + INC rnd,X + TAY + LDA (acc),Y + LDY noun_stk_l,X + CPY p2 + BCC Le1ae + LDY #$83 ; 131 . + BNE Le16f +Le1ae: STA (aux),Y + INC noun_stk_l,X + BCC Le199 +Le1b4: LDY noun_stk_l,X + TXA + STA (aux),Y + INX + INX + RTS + +Se1bc: LDA noun_stk_l+1,X + STA aux + SEC + SBC #$02 ; 2 . + STA p2 + LDA noun_stk_h_str+1,X + STA aux+1 + SBC #$00 ; 0 . + STA p2+1 + LDY #$00 ; 0 . + LDA (p2),Y + CLC + SBC aux + STA p2 + RTS + +string_eq: LDA noun_stk_l+3,X + STA acc + LDA noun_stk_h_str+3,X + STA acc+1 + LDA noun_stk_l+1,X + STA aux + LDA noun_stk_h_str+1,X + STA aux+1 + INX + INX + INX + LDY #$00 ; 0 . + STY noun_stk_h_str,X + STY noun_stk_h_int,X + INY + STY noun_stk_l,X +Le1f3: LDA himem+1,X + CMP syn_stk_h+29,X + PHP + PHA + LDA rnd+1,X + CMP syn_stk_h+31,X + BCC Le206 + PLA + PLP + BCS Le205 +Le203: LSR noun_stk_l,X +Le205: RTS +Le206: TAY + LDA (acc),Y + STA p2 + PLA + TAY + PLP + BCS Le203 + LDA (aux),Y + CMP p2 + BNE Le203 + INC rnd+1,X + INC himem+1,X + BCS Le1f3 + +string_neq: JSR string_eq + JMP not_op + +mult_op: JSR Se254 +Le225: ASL acc + ROL acc+1 + BCC Le238 + CLC + LDA p3 + ADC aux + STA p3 + LDA p3+1 + ADC aux+1 + STA p3+1 +Le238: DEY + BEQ Le244 + ASL p3 + ROL p3+1 + BPL Le225 + JMP Le77e +Le244: LDA p3 + JSR push_ya_noun_stk + LDA p3+1 + STA noun_stk_h_int,X + ASL p2+1 + BCC Le279 + JMP negate + +Se254: LDA #$55 ; 85 U + STA p2+1 + JSR Se25b + +Se25b: LDA acc + STA aux + LDA acc+1 + STA aux+1 + JSR get16bit + STY p3 + STY p3+1 + LDA acc+1 + BPL Le277 + DEX + ASL p2+1 + JSR negate + JSR get16bit +Le277: LDY #$10 ; 16 . +Le279: RTS + +mod_op: JSR See6c + BEQ Le244 + DC.B $ff ; "." +Le280: CMP #$84 ; 132 . + BNE Le286 + LSR auto_flag +Le286: CMP #$df ; 223 _ + BEQ Le29b + CMP #$9b ; 155 . + BEQ Le294 + STA buffer,Y + INY + BPL read_line +Le294: LDY #$8b ; 139 . + JSR Se3c4 + +Se299: LDY #$01 ; 1 . +Le29b: DEY + BMI Le294 + +read_line: JSR rdkey + NOP + NOP + JSR cout + CMP #$8d ; 141 . + BNE Le280 + LDA #$df ; 223 _ + STA buffer,Y + RTS +cold: JSR mem_init_4k +warm: JSR crout +Le2b6: LSR run_flag + LDA #$be ; 190 > + JSR cout + LDY #$00 ; 0 . + STY leadzr + BIT auto_flag + BPL Le2d1 + LDX auto_ln + LDA auto_ln+1 + JSR prdec + LDA #$a0 ; 160 + JSR cout +Le2d1: LDX #$ff ; 255 . + TXS + JSR read_line + STY token_index + TXA + STA text_index + LDX #$20 ; 32 + JSR Se491 + LDA text_index + ADC #$00 ; 0 . + STA pverb + LDA #$00 ; 0 . + TAX + ADC #$02 ; 2 . + STA pverb+1 + LDA (pverb,X) + AND #$f0 ; 240 p + CMP #$b0 ; 176 0 + BEQ Le2f9 + JMP Le883 +Le2f9: LDY #$02 ; 2 . +Le2fb: LDA (pverb),Y + STA pv+1,Y + DEY + BNE Le2fb + JSR Se38a + LDA token_index + SBC text_index + CMP #$04 ; 4 . + BEQ Le2b6 + STA (pverb),Y + LDA pp + SBC (pverb),Y + STA p2 + LDA pp+1 + SBC #$00 ; 0 . + STA p2+1 + LDA p2 + CMP pv + LDA p2+1 + SBC pv+1 + BCC Le36b +Le326: LDA pp + SBC (pverb),Y + STA p3 + LDA pp+1 + SBC #$00 ; 0 . + STA p3+1 + LDA (pp),Y + STA (p3),Y + INC pp + BNE Le33c + INC pp+1 +Le33c: LDA p1 + CMP pp + LDA p1+1 + SBC pp+1 + BCS Le326 +Le346: LDA p2,X + STA pp,X + DEX + BPL Le346 + LDA (pverb),Y + TAY +Le350: DEY + LDA (pverb),Y + STA (p3),Y + TYA + BNE Le350 + BIT auto_flag + BPL Le365 +Le35c: LDA auto_ln+1,X + ADC auto_inc+1,X + STA auto_ln+1,X + INX + BEQ Le35c +Le365: BPL Le3e5 + BRK + BRK + BRK + BRK ; "..." +Le36b: LDY #$14 ; 20 . + BNE print_err_msg + +del_comma: JSR get16bit + LDA p1 + STA p3 + LDA p1+1 + STA p3+1 + JSR find_line1 + LDA p1 + STA p2 + LDA p1+1 + STA p2+1 + BNE Le395 + +del_cmd: JSR get16bit + +Se38a: JSR find_line + LDA p3 + STA p1 + LDA p3+1 + STA p1+1 +Le395: LDY #$00 ; 0 . +Le397: LDA pp + CMP p2 + LDA pp+1 + SBC p2+1 + BCS Le3b7 + LDA p2 + BNE Le3a7 + DEC p2+1 +Le3a7: DEC p2 + LDA p3 + BNE Le3af + DEC p3+1 +Le3af: DEC p3 + LDA (p2),Y + STA (p3),Y + BCC Le397 +Le3b7: LDA p3 + STA pp + LDA p3+1 + STA pp+1 + RTS +Le3c0: JSR cout + INY + +Se3c4: LDA error_msg_tbl,Y + BMI Le3c0 + +cout: CMP #$8d ; 141 . + BNE Le3d3 + +crout: LDA #$00 ; 0 . + STA ch + LDA #$8d ; 141 . +Le3d3: INC ch +Le3d5: + IFCONST BLD4APPLE1 + BIT DSP + BMI Le3d5 + STA DSP + ELSE + JSR ECHO ; use monitor function to output + nop + nop + nop + nop + nop + ENDIF + + RTS +too_long_err: LDY #$06 ; 6 +print_err_msg: JSR print_err_msg2 + BIT run_flag +Le3e5: BMI Le3ea + JMP Le2b6 +Le3ea: JMP Leb9a +Le3ed: ROL + ADC #$a0 ; 160 + CMP buffer,X + BNE Le448 + LDA (synpag),Y + ASL + BMI Le400 + DEY + LDA (synpag),Y + BMI Le428 + INY +Le400: STX text_index + TYA + PHA + LDX #$00 ; 0 . + LDA (synpag,X) + TAX +Le409: LSR + EOR #$48 ; 72 H + ORA (synpag),Y + CMP #$c0 ; 192 @ + BCC Le413 + INX +Le413: INY + BNE Le409 + PLA + TAY + TXA + JMP Le4c0 + +put_token: INC token_index + LDX token_index + BEQ too_long_err + STA buffer,X +Le425: RTS +Le426: LDX text_index +Le428: LDA #$a0 ; 160 +Le42a: INX + CMP buffer,X + BCS Le42a + LDA (synpag),Y + AND #$3f ; 63 ? + LSR + BNE Le3ed + LDA buffer,X + BCS Le442 + ADC #$3f ; 63 ? + CMP #$1a ; 26 . + BCC Le4b1 +Le442: ADC #$4f ; 79 O + CMP #$0a ; 10 . + BCC Le4b1 +Le448: LDX synstkdx +Le44a: INY + LDA (synpag),Y + AND #$e0 ; 224 ` + CMP #$20 ; 32 + BEQ Le4cd + LDA txtndxstk,X + STA text_index + LDA tokndxstk,X + STA token_index +Le45b: DEY + LDA (synpag),Y + ASL + BPL Le45b + DEY + BCS Le49c + ASL + BMI Le49c + LDY syn_stk_h,X + STY synpag+1 + LDY syn_stk_l,X + INX + BPL Le44a +Le470: BEQ Le425 + CMP #$7e ; 126 ~ + BCS Le498 + DEX + BPL Le47d + LDY #$06 ; 6 . + BPL go_errmess_2 +Le47d: STY syn_stk_l,X + LDY synpag+1 + STY syn_stk_h,X + LDY text_index + STY txtndxstk,X + LDY token_index + STY tokndxstk,X + AND #$1f ; 31 . + TAY + LDA syntabl_index,Y + +Se491: ASL + TAY +; when running from a000, shifts to AC or AD +; when running from e000 shifts to EC or ED + LDA #[syntabl_index>>9] + ROL + STA synpag+1 +Le498: BNE Le49b + INY +Le49b: INY +Le49c: STX synstkdx + LDA (synpag),Y + BMI Le426 + BNE Le4a9 + LDY #$0e ; 14 . +go_errmess_2: JMP print_err_msg +Le4a9: CMP #$03 ; 3 . + BCS Le470 + LSR + LDX text_index + INX +Le4b1: LDA buffer,X + BCC Le4ba + CMP #$a2 ; 162 " + BEQ Le4c4 +Le4ba: CMP #$df ; 223 _ + BEQ Le4c4 + STX text_index +Le4c0: JSR put_token + INY +Le4c4: DEY + LDX synstkdx +Le4c7: LDA (synpag),Y + DEY + ASL + BPL Le49c +Le4cd: LDY syn_stk_h,X + STY synpag+1 + LDY syn_stk_l,X + INX + LDA (synpag),Y + AND #$9f ; 159 . + BNE Le4c7 + STA pcon + STA pcon+1 + TYA + PHA + STX synstkdx + LDY srch,X + STY leadbl + CLC +Le4e7: LDA #$0a ; 10 . + STA char + LDX #$00 ; 0 . + INY + LDA buffer,Y + AND #$0f ; 15 . +Le4f3: ADC pcon + PHA + TXA + ADC pcon+1 + BMI Le517 + TAX + PLA + DEC char + BNE Le4f3 + STA pcon + STX pcon+1 + CPY token_index + BNE Le4e7 + LDY leadbl + INY + STY token_index + JSR put_token + PLA + TAY + LDA pcon+1 + BCS Le4c0 +Le517: LDY #$00 ; 0 . + BPL go_errmess_2 + +prdec: STA pcon+1 + STX pcon + LDX #$04 ; 4 . + STX leadbl +Le523: LDA #$b0 ; 176 0 + STA char +Le527: LDA pcon + CMP dectabl,X + LDA pcon+1 + SBC dectabh,X + BCC Le540 + STA pcon+1 + LDA pcon + SBC dectabl,X + STA pcon + INC char + BNE Le527 +Le540: LDA char + INX + DEX + BEQ Le554 + CMP #$b0 ; 176 0 + BEQ Le54c + STA leadbl +Le54c: BIT leadbl + BMI Le554 + LDA leadzr + BEQ Le55f +Le554: JSR cout + BIT auto_flag + BPL Le55f + STA buffer,Y + INY +Le55f: DEX + BPL Le523 + RTS +dectabl: DC.B $01,$0a,$64,$e8,$10 ; "..dh." +dectabh: DC.B $00,$00,$00,$03,$27 ; "....'" + +find_line: LDA pp + STA p3 + LDA pp+1 + STA p3+1 + +find_line1: INX + +find_line2: LDA p3+1 + STA p2+1 + LDA p3 + STA p2 + CMP himem + LDA p2+1 + SBC himem+1 + BCS Le5ac + LDY #$01 ; 1 . + LDA (p2),Y + SBC acc + INY + LDA (p2),Y + SBC acc+1 + BCS Le5ac + LDY #$00 ; 0 . + LDA p3 + ADC (p2),Y + STA p3 + BCC Le5a0 + INC p3+1 + CLC +Le5a0: INY + LDA acc + SBC (p2),Y + INY + LDA acc+1 + SBC (p2),Y + BCS find_line2 +Le5ac: RTS + +new_cmd: LSR auto_flag + LDA himem + STA pp + LDA himem+1 + STA pp+1 + +clr: LDA lomem + STA pv + LDA lomem+1 + STA pv+1 + LDA #$00 ; 0 . + STA for_nest_count + STA gosub_nest_count + STA synpag + LDA #$00 ; 0 . + STA Z1d + RTS +Le5cc: LDA srch + ADC #$05 ; 5 . + STA srch2 + LDA tokndxstk + ADC #$00 ; 0 . + STA srch2+1 + LDA srch2 + CMP pp + LDA srch2+1 + SBC pp+1 + BCC Le5e5 + JMP Le36b +Le5e5: LDA acc + STA (srch),Y + LDA acc+1 + INY + STA (srch),Y + LDA srch2 + INY + STA (srch),Y + LDA srch2+1 + INY + STA (srch),Y + LDA #$00 ; 0 . + INY + STA (srch),Y + INY + STA (srch),Y + LDA srch2 + STA pv + LDA srch2+1 + STA pv+1 + LDA srch + BCC Le64f +execute_var: STA acc + STY acc+1 + JSR get_next_prog_byte + BMI Le623 + CMP #$40 ; 64 @ + BEQ Le623 + JMP Le628 + DC.B $06,$c9,$49,$d0,$07,$a9,$49 ; ".IIP.)I" +Le623: STA acc+1 + JSR get_next_prog_byte +Le628: LDA lomem+1 + STA tokndxstk + LDA lomem +Le62e: STA srch + CMP pv + LDA tokndxstk + SBC pv+1 + BCS Le5cc + LDA (srch),Y + INY + CMP acc + BNE Le645 + LDA (srch),Y + CMP acc+1 + BEQ Le653 +Le645: INY + LDA (srch),Y + PHA + INY + LDA (srch),Y + STA tokndxstk + PLA +Le64f: LDY #$00 ; 0 . + BEQ Le62e +Le653: LDA srch + ADC #$03 ; 3 . + JSR push_a_noun_stk + LDA tokndxstk + ADC #$00 ; 0 . + STA noun_stk_h_str,X + LDA acc+1 + CMP #$40 ; 64 @ + BNE fetch_prog_byte + DEY + TYA + JSR push_a_noun_stk + DEY + STY noun_stk_h_str,X + LDY #$03 ; 3 . +Le670: INC noun_stk_h_str,X + INY + LDA (srch),Y + BMI Le670 + BPL fetch_prog_byte + +execute_stmt: LDA #$00 ; 0 . + STA if_flag + STA cr_flag + LDX #$20 ; 32 +push_old_verb: PHA +fetch_prog_byte: LDY #$00 ; 0 . + LDA (pverb),Y +Le686: BPL execute_token + ASL + BMI execute_var + JSR get_next_prog_byte + JSR push_ya_noun_stk + JSR get_next_prog_byte + STA noun_stk_h_int,X +Le696: BIT if_flag + BPL Le69b + DEX +Le69b: JSR get_next_prog_byte + BCS Le686 +execute_token: CMP #$28 ; 40 ( + BNE execute_verb + LDA pverb + JSR push_a_noun_stk + LDA pverb+1 + STA noun_stk_h_str,X + BIT if_flag + BMI Le6bc + LDA #$01 ; 1 . + JSR push_a_noun_stk + LDA #$00 ; 0 . + STA noun_stk_h_str,X +Le6ba: INC noun_stk_h_str,X +Le6bc: JSR get_next_prog_byte + BMI Le6ba + BCS Le696 +execute_verb: BIT if_flag + BPL Le6cd + CMP #$04 ; 4 . + BCS Le69b + LSR if_flag +Le6cd: TAY + STA current_verb + LDA verb_prec_tbl,Y + AND #$55 ; 85 U + ASL + STA precedence +Le6d8: PLA + TAY + LDA verb_prec_tbl,Y + AND #$aa ; 170 * + CMP precedence + BCS do_verb + TYA + PHA + JSR get_next_prog_byte + LDA current_verb + BCC push_old_verb +do_verb: LDA verb_adr_l,Y + STA acc + LDA verb_adr_h,Y + STA acc+1 + JSR Se6fc + JMP Le6d8 + +Se6fc: JMP (acc) + +get_next_prog_byte: INC pverb + BNE Le705 + INC pverb+1 +Le705: LDA (pverb),Y + RTS + +push_ya_noun_stk: STY syn_stk_h+31,X + +push_a_noun_stk: DEX + BMI Le710 + STA noun_stk_l,X + RTS +Le710: LDY #$66 ; 102 f +go_errmess_3: JMP print_err_msg + +get16bit: LDY #$00 ; 0 . + LDA noun_stk_l,X + STA acc + LDA noun_stk_h_int,X + STA acc+1 + LDA noun_stk_h_str,X + BEQ Le731 + STA acc+1 + LDA (acc),Y + PHA + INY + LDA (acc),Y + STA acc+1 + PLA + STA acc + DEY +Le731: INX + RTS + +eq_op: JSR neq_op + +not_op: JSR get16bit + TYA + JSR push_ya_noun_stk + STA noun_stk_h_int,X + CMP acc + BNE Le749 + CMP acc+1 + BNE Le749 + INC noun_stk_l,X +Le749: RTS + +neq_op: JSR subtract + JSR sgn_fn + +abs_fn: JSR get16bit + BIT acc+1 + BMI Se772 +Le757: DEX +Le758: RTS + +sgn_fn: JSR get16bit + LDA acc+1 + BNE Le764 + LDA acc + BEQ Le757 +Le764: LDA #$ff ; 255 . + JSR push_ya_noun_stk + STA noun_stk_h_int,X + BIT acc+1 + BMI Le758 + +negate: JSR get16bit + +Se772: TYA + SEC + SBC acc + JSR push_ya_noun_stk + TYA + SBC acc+1 + BVC Le7a1 +Le77e: LDY #$00 ; 0 . + BPL go_errmess_3 + +subtract: JSR negate + +add: JSR get16bit + LDA acc + STA aux + LDA acc+1 + STA aux+1 + JSR get16bit + +Se793: CLC + LDA acc + ADC aux + JSR push_ya_noun_stk + LDA acc+1 + ADC aux+1 + BVS Le77e +Le7a1: STA noun_stk_h_int,X + +unary_pos: RTS + +tab_fn: JSR get16bit + LDY acc + BEQ Le7b0 + DEY + LDA acc+1 + BEQ Le7bc +Le7b0: RTS + +tabout: LDA ch + ORA #$07 ; 7 . + TAY + INY +Le7b7: LDA #$a0 ; 160 + JSR cout +Le7bc: CPY ch + BCS Le7b7 + RTS + +print_com_num: JSR tabout + +print_num: JSR get16bit + LDA acc+1 + BPL Le7d5 + LDA #$ad ; 173 - + JSR cout + JSR Se772 + BVC print_num +Le7d5: DEY + STY cr_flag + STX acc+1 + LDX acc + JSR prdec + LDX acc+1 + RTS + +auto_cmd: JSR get16bit + LDA acc + STA auto_ln + LDA acc+1 + STA auto_ln+1 + DEY + STY auto_flag + INY + LDA #$0a ; 10 . +Le7f3: STA auto_inc + STY auto_inc+1 + RTS + +auto_com: JSR get16bit + LDA acc + LDY acc+1 + BPL Le7f3 + +var_assign: JSR get16bit + LDA noun_stk_l,X + STA aux + LDA noun_stk_h_str,X + STA aux+1 + LDA acc + STA (aux),Y + INY + LDA acc+1 + STA (aux),Y + INX + +Te816: RTS + +begin_line: PLA + PLA + +colon: BIT cr_flag + BPL Le822 + +print_cr: JSR crout + +print_semi: LSR cr_flag +Le822: RTS + +left_paren: LDY #$ff ; 255 . + STY precedence + +right_paren: RTS + +if_stmt: JSR Sefcd + BEQ Le834 + LDA #$25 ; 37 % + STA current_verb + DEY + STY if_flag +Le834: INX + RTS +run_warm: LDA pp + LDY pp+1 + BNE Le896 + +gosub_stmt: LDY #$41 ; 65 A + LDA gosub_nest_count + CMP #$08 ; 8 . + BCS go_errmess_4 + TAY + INC gosub_nest_count + LDA pverb + STA gstk_pverbl,Y + LDA pverb+1 + STA gstk_pverbh,Y + LDA pline + STA gstk_plinel,Y + LDA pline+1 + STA gstk_plineh,Y + +goto_stmt: JSR get16bit + JSR find_line + BCC Le867 + LDY #$37 ; 55 7 + BNE go_errmess_4 +Le867: LDA p2 + LDY p2+1 +run_loop: STA pline + STY pline+1 + IFCONST BLD4APPLE1 + BIT KBDCR + ELSE + BIT KBD + ENDIF + BMI Le8c3 + CLC + ADC #$03 ; 3 . + BCC Le87a + INY +Le87a: LDX #$ff ; 255 . + STX run_flag + TXS + STA pverb + STY pverb+1 +Le883: JSR execute_stmt + BIT run_flag + BPL end_stmt + CLC + LDY #$00 ; 0 . + LDA pline + ADC (pline),Y + LDY pline+1 + BCC Le896 + INY +Le896: CMP himem + BNE run_loop + CPY himem+1 + BNE run_loop + LDY #$34 ; 52 4 + LSR run_flag +go_errmess_4: JMP print_err_msg + +return_stmt: LDY #$4a ; 74 J + LDA gosub_nest_count + BEQ go_errmess_4 + DEC gosub_nest_count + TAY + LDA gstk_plinel-1,Y + STA pline + LDA gstk_plineh-1,Y + STA pline+1 + + DC.B $be,$ff,$00 +; LDX synpag+1,Y + + LDA gstk_pverbh-1,Y +Le8be: TAY + TXA + JMP Le87a +Le8c3: LDY #$63 ; 99 c + JSR Se3c4 + LDY #$01 ; 1 . + LDA (pline),Y + TAX + INY + LDA (pline),Y + JSR prdec + +end_stmt: JMP warm +Le8d6: DEC for_nest_count + +next_stmt: LDY #$5b ; 91 [ + LDA for_nest_count +Le8dc: BEQ go_errmess_4 + TAY + LDA noun_stk_l,X + CMP fstk_varl-1,Y + BNE Le8d6 + LDA noun_stk_h_str,X + CMP fstk_varh-1,Y + BNE Le8d6 + LDA fstk_stepl-1,Y + STA aux + LDA fstk_steph-1,Y + STA aux+1 + JSR get16bit + DEX + JSR Se793 + JSR var_assign + DEX + LDY for_nest_count + LDA fstk_toh-1,Y + STA syn_stk_l+31,X + LDA fstk_tol-1,Y + LDY #$00 ; 0 . + JSR push_ya_noun_stk + JSR subtract + JSR sgn_fn + JSR get16bit + LDY for_nest_count + LDA acc + BEQ Le925 + EOR fstk_steph-1,Y + BPL Le937 +Le925: LDA fstk_plinel-1,Y + STA pline + LDA fstk_plineh-1,Y + STA pline+1 + LDX fstk_pverbl-1,Y + LDA fstk_pverbh-1,Y + BNE Le8be +Le937: DEC for_nest_count + RTS + +for_stmt: LDY #$54 ; 84 T + LDA for_nest_count + CMP #$08 ; 8 . + BEQ Le8dc + INC for_nest_count + TAY + LDA noun_stk_l,X + STA fstk_varl,Y + LDA noun_stk_h_str,X + STA fstk_varh,Y + RTS + +to_clause: JSR get16bit + LDY for_nest_count + LDA acc + STA fstk_tol-1,Y + LDA acc+1 + STA fstk_toh-1,Y + LDA #$01 ; 1 . + STA fstk_stepl-1,Y + LDA #$00 ; 0 . +Le966: STA fstk_steph-1,Y + LDA pline + STA fstk_plinel-1,Y + LDA pline+1 + STA fstk_plineh-1,Y + LDA pverb + STA fstk_pverbl-1,Y + LDA pverb+1 + STA fstk_pverbh-1,Y + RTS + +Te97e: JSR get16bit + LDY for_nest_count + LDA acc + STA fstk_stepl-1,Y + LDA acc+1 + JMP Le966 + DC.B $00,$00,$00,$00,$00,$00,$00,$00 ; "........" + DC.B $00,$00,$00 ; "..." +verb_prec_tbl: DC.B $00,$00,$00,$ab,$03,$03,$03,$03 ; "...+...." + DC.B $03,$03,$03,$03,$03,$03,$03,$03 ; "........" + DC.B $03,$03,$3f,$3f,$c0,$c0,$3c,$3c ; "..??@@<<" + DC.B $3c,$3c,$3c,$3c,$3c,$30,$0f,$c0 ; "<<<<<0.@" + IFCONST HUSTNBASIC + DC.B $c3,$ff,$55,$00,$ab,$ab,$03,$03 ; "L.U.++.." + ELSE + DC.B $cc,$ff,$55,$00,$ab,$ab,$03,$03 ; "L.U.++.." + ENDIF + DC.B $ff,$ff,$55,$ff,$ff,$55,$cf,$cf ; "..U..UOO" + DC.B $cf,$cf,$cf,$ff,$55,$c3,$c3,$c3 ; "OOO.UCCC" + DC.B $55,$f0,$f0,$cf,$56,$56,$56,$55 ; "UppOVVVU" + DC.B $ff,$ff,$55,$03,$03,$03,$03,$03 ; "..U....." + DC.B $03,$03,$ff,$ff,$ff,$03,$03,$03 ; "........" + DC.B $03,$03,$03,$03,$03,$03,$03,$03 ; "........" + DC.B $03,$03,$03,$03,$03,$00,$ab,$03 ; "......+." + DC.B $57,$03,$03,$03,$03,$07,$03,$03 ; "W......." + DC.B $03,$03,$03,$03,$03,$03,$03,$03 ; "........" + DC.B $03,$03,$aa,$ff,$ff,$ff,$ff,$ff ; "..*....." + + +verb_adr_l: + DC.B begin_line,$ff,$ff,>colon,>list_cmd,>list_comman,>list_all,>Teff2 + DC.B >Tefec,>del_cmd,>del_comma,>new_cmd,>clr,>auto_cmd,>auto_com,>man_cmd + DC.B >Tef80,>Tef96,>add,> subtract,>mult_op,>divide,>eq_op,>neq_op + DC.B >Tec13,> Tec06,> Tec0b,>neq_op,>Tec01,> Tec40,> Tec47,>mod_op + IFCONST HUSTNBASIC + DC.B >bogus_eea6,$ff,>left_paren,>comma_substr,>goto_stmt,>Te816,>string_input,>input_num_comma + ELSE + DC.B $0,$ff,>left_paren,>comma_substr,>goto_stmt,>Te816,>string_input,>input_num_comma + ENDIF + DC.B $ff,$ff,>paren_substr,$ff,$ff,>num_array_subs,>peek_fn,>rnd_fn + DC.B >sgn_fn,>abs_fn,$00,$ff,>left_paren,>unary_pos,>negate,>not_op + DC.B >left_paren,>string_eq,>string_neq,>len_fn,>bogus_eec2,>Teeae,>Teeba,>left_paren + DC.B $ff,$ff,>str_arr_dest,>dim_str,>dim_num,>print_str,>print_num,>print_semi + DC.B >print_str_comma,>print_com_num,$ff,$ff,$ff,>call_stmt,>dim_str,>dim_num + DC.B >tab_fn,>end_stmt,>string_input,>input_prompt,>input_num_stmt,>for_stmt,>var_assign,>to_clause + DC.B >Te97e,>next_stmt,>next_stmt,>return_stmt,>gosub_stmt,$ff,>Te816,>goto_stmt + DC.B >if_stmt,>print_str,>print_num,>print_cr,>poke_stmt,>Tef0c,>Tee4e,>poke_stmt + DC.B >plot_comma,>poke_stmt,>bogus_eea6,>Teeb0,>poke_stmt,>Teebc,>Teec6,>vtab_stmt + DC.B >string_lit,>var_assign,>right_paren,$ff,$ff,$ff,$ff,$ff +error_msg_tbl: DC.B $be,$b3,$b2,$b7,$b6,$37,$d4,$cf ; ">32767TO" + DC.B $cf,$a0,$cc,$cf,$ce,$47,$d3,$d9 ; "O LONGSY" + DC.B $ce,$d4,$c1,$58,$cd,$c5,$cd,$a0 ; "NTAXMEM " + DC.B $c6,$d5,$cc,$4c,$d4,$cf,$cf,$a0 ; "FULLTOO " + DC.B $cd,$c1,$ce,$d9,$a0,$d0,$c1,$d2 ; "MANY PAR" + DC.B $c5,$ce,$53,$d3,$d4,$d2,$c9,$ce ; "ENSSTRIN" + DC.B $47,$ce,$cf,$a0,$c5,$ce,$44,$c2 ; "GNO ENDB" + DC.B $c1,$c4,$a0,$c2,$d2,$c1,$ce,$c3 ; "AD BRANC" + DC.B $48,$be,$b8,$a0,$c7,$cf,$d3,$d5 ; "H>8 GOSU" + DC.B $c2,$53,$c2,$c1,$c4,$a0,$d2,$c5 ; "BSBAD RE" + DC.B $d4,$d5,$d2,$4e,$be,$b8,$a0,$c6 ; "TURN>8 F" + DC.B $cf,$d2,$53,$c2,$c1,$c4,$a0,$ce ; "ORSBAD N" + DC.B $c5,$d8,$54,$d3,$d4,$cf,$d0,$d0 ; "EXTSTOPP" + DC.B $c5,$c4,$a0,$c1,$d4,$20,$aa,$aa ; "ED AT **" + DC.B $aa,$20,$a0,$c5,$d2,$d2,$0d,$be ; "* ERR.>" + DC.B $b2,$b5,$35,$d2,$c1,$ce,$c7,$45 ; "255RANGE" + DC.B $c4,$c9,$4d,$d3,$d4,$d2,$a0,$cf ; "DIMSTR O" + DC.B $d6,$c6,$4c,$dc,$0d,$d2,$c5,$d4 ; "VFL\.RET" + DC.B $d9,$d0,$c5,$a0,$cc,$c9,$ce,$c5 ; "YPE LINE" + DC.B $8d,$3f ; ".?" +Leb9a: LSR run_flag + BCC Leba1 + JMP Le8c3 +Leba1: LDX acc+1 + TXS + LDX acc + LDY #$8d ; 141 . + BNE Lebac + +input_num_stmt: LDY #$99 ; 153 . +Lebac: JSR Se3c4 + STX acc + TSX + STX acc+1 + LDY #$fe ; 254 ~ + STY run_flag + INY + STY text_index + JSR Se299 + STY token_index + LDX #$20 ; 32 + LDA #$30 ; 48 0 + JSR Se491 + INC run_flag + LDX acc + +input_num_comma: LDY text_index + ASL +Lebce: STA acc + INY + LDA buffer,Y + CMP #$74 ; 116 t + BEQ input_num_stmt + EOR #$b0 ; 176 0 + CMP #$0a ; 10 . + BCS Lebce + INY + INY + STY text_index + LDA buffer,Y + PHA + LDA buffer-1,Y + LDY #$00 ; 0 . + JSR push_ya_noun_stk + PLA + STA noun_stk_h_int,X + LDA acc + CMP #$c7 ; 199 G + BNE Lebfa + JSR negate +Lebfa: JMP var_assign + DC.B $ff,$ff,$ff,$50 ; "...P" + +Tec01: JSR Tec13 + BNE Lec1b + +Tec06: JSR Tec0b + BNE Lec1b + +Tec0b: JSR subtract + JSR negate + BVC Lec16 + +Tec13: JSR subtract +Lec16: JSR sgn_fn + LSR noun_stk_l,X +Lec1b: JMP not_op + DC.B $ff,$ff ; ".." +syntabl_index: DC.B $c1,$ff,$7f,$d1,$cc,$c7,$cf,$ce ; "A..QLGON" + DC.B $c5,$9a,$98,$8b,$96,$95,$93,$bf ; "E......?" + DC.B $b2,$32,$2d,$2b,$bc,$b0,$ac,$be ; "22-+<0,>" + DC.B $35,$8e,$61,$ff,$ff,$ff,$dd,$fb ; "5.a...]{" + +Tec40: JSR Sefc9 + ORA rnd+1,X + BPL Lec4c + +Tec47: JSR Sefc9 + AND rnd+1,X +Lec4c: STA noun_stk_l,X + BPL Lec1b + JMP Sefc9 + DC.B $40,$60,$8d,$60,$8b,$00,$7e,$8c ; "@`.`..~." + DC.B $33,$00,$00,$60,$03,$bf,$12,$00 ; "3..`.?.." + DC.B $40,$89,$c9,$47,$9d,$17,$68,$9d ; "@.IG..h." + DC.B $0a,$00,$40,$60,$8d,$60,$8b,$00 ; "..@`.`.." + DC.B $7e,$8c,$3c,$00,$00,$60,$03,$bf ; "~.<..`.?" + DC.B $1b,$4b,$67,$b4,$a1,$07,$8c,$07 ; ".Kg4!..." + DC.B $ae,$a9,$ac,$a8,$67,$8c,$07,$b4 ; ".),(g..4" + DC.B $af,$ac,$b0,$67,$9d,$b2,$af,$ac ; "/,0g.2/," + DC.B $af,$a3,$67,$8c,$07,$a5,$ab,$af ; "/#g..%+/" + DC.B $b0,$f4,$ae,$a9,$b2,$b0,$7f,$0e ; "0t.)20.." + DC.B $27,$b4,$ae,$a9,$b2,$b0,$7f,$0e ; "'4.)20.." + DC.B $28,$b4,$ae,$a9,$b2,$b0,$64,$07 ; "(4.)20d." + DC.B $a6,$a9,$67,$af,$b4,$af,$a7,$78 ; "&)g/4/'x" + DC.B $b4,$a5,$ac,$78,$7f,$02,$ad,$a5 ; "4%,x..-%" + DC.B $b2,$67,$a2,$b5,$b3,$af,$a7,$ee ; "2g"53/'n" + DC.B $b2,$b5,$b4,$a5,$b2,$7e,$8c,$39 ; "254%2~.9" + DC.B $b4,$b8,$a5,$ae,$67,$b0,$a5,$b4 ; "48%.g0%4" + DC.B $b3,$27,$af,$b4,$07,$9d,$19,$b2 ; "3'/4...2" + DC.B $af,$a6,$7f,$05,$37,$b4,$b5,$b0 ; "/&..7450" + DC.B $ae,$a9,$7f,$05,$28,$b4,$b5,$b0 ; ".)..(450" + DC.B $ae,$a9,$7f,$05,$2a,$b4,$b5,$b0 ; ".)..*450" + DC.B $ae,$a9,$e4,$ae,$a5,$00,$ff,$ff ; ".)d.%..." +syntabl2: DC.B $47,$a2,$a1,$b4,$7f,$0d,$30,$ad ; "G"!4..0-" + DC.B $a9,$a4,$7f,$0d,$23,$ad,$a9,$a4 ; ")$..#-)$" + DC.B $67,$ac,$ac,$a1,$a3,$00,$40,$80 ; "g,,!#.@." + DC.B $c0,$c1,$80,$00,$47,$8c,$68,$8c ; "@A..G.h." + DC.B $db,$67,$9b,$68,$9b,$50,$8c,$63 ; "[g.h.P.c" + DC.B $8c,$7f,$01,$51,$07,$88,$29,$84 ; "...Q..)." + DC.B $80,$c4,$80,$57,$71,$07,$88,$14 ; ".D.Wq..." + DC.B $ed,$a5,$ad,$af,$ac,$ed,$a5,$ad ; "m%-/,m%-" + DC.B $a9,$a8,$f2,$af,$ac,$af,$a3,$71 ; ")(r/,/#q" + DC.B $08,$88,$ae,$a5,$ac,$68,$83,$08 ; "...%,h.." + DC.B $68,$9d,$08,$71,$07,$88,$60,$76 ; "h..q..`v" + DC.B $b4,$af,$ae,$76,$8d,$76,$8b,$51 ; "4/.v.v.Q" + DC.B $07,$88,$19,$b8,$a4,$ae,$b2,$f2 ; "...8$.2r" + DC.B $b3,$b5,$f3,$a2,$a1,$ee,$a7,$b3 ; "35s"!n'3" + DC.B $e4,$ae,$b2,$eb,$a5,$a5,$b0,$51 ; "d.2k%%0Q" + DC.B $07,$88,$39,$81,$c1,$4f,$7f,$0f ; "..9.AO.." + DC.B $2f,$00,$51,$06,$88,$29,$c2,$0c ; "/.Q..)B." + DC.B $82,$57,$8c,$6a,$8c,$42,$ae,$a5 ; ".W.j.B.%" + DC.B $a8,$b4,$60,$ae,$a5,$a8,$b4,$4f ; "(4`.%(4O" + DC.B $7e,$1e,$35,$8c,$27,$51,$07,$88 ; "~.5.'Q.." + DC.B $09,$8b,$fe,$e4,$af,$ad,$f2,$af ; "..~d/-r/" + DC.B $e4,$ae,$a1,$dc,$de,$9c,$dd,$9c ; "d.!\^.]." + DC.B $de,$dd,$9e,$c3,$dd,$cf,$ca,$cd ; "^].C]OJM" + DC.B $cb,$00,$47,$9d,$ad,$a5,$ad,$af ; "K.G.-%-/" + DC.B $ac,$76,$9d,$ad,$a5,$ad,$a9,$a8 ; ",v.-%-)(" + DC.B $e6,$a6,$af,$60,$8c,$20,$af,$b4 ; "f&/`. /4" + DC.B $b5,$a1,$f2,$ac,$a3,$f2,$a3,$b3 ; "5!r,#r#3" + DC.B $60,$8c,$20,$ac,$a5,$a4,$ee,$b5 ; "`. ,%$n5" + DC.B $b2,$60,$ae,$b5,$b2,$f4,$b3,$a9 ; "2`.52t3)" + DC.B $ac,$60,$8c,$20,$b4,$b3,$a9,$ac ; ",`. 43)," + DC.B $7a,$7e,$9a,$22,$20,$00,$60,$03 ; "z~." .`." + DC.B $bf,$60,$03,$bf,$1f ; "?`.?." + +print_str_comma: JSR tabout + +print_str: INX + INX + LDA rnd+1,X + STA aux + LDA syn_stk_h+31,X + STA aux+1 + LDY rnd,X +Lee0f: TYA + CMP syn_stk_h+30,X + BCS Lee1d + LDA (aux),Y + JSR cout + INY + JMP Lee0f +Lee1d: LDA #$ff ; 255 . + STA cr_flag + RTS + +len_fn: INX + LDA #$00 ; 0 . + STA noun_stk_h_str,X + STA noun_stk_h_int,X + LDA syn_stk_h+31,X + SEC + SBC rnd+1,X + STA noun_stk_l,X + JMP left_paren + DC.B $ff ; "." + +getbyte: JSR get16bit + LDA acc+1 + BNE gr_255_err + LDA acc +Tee3d + RTS +plot_comma: + IFCONST HUSTNBASIC + JSR push_ya_noun_stk + STY $a0,X +Tee43 + LDA $D0 + BNE Tee4b + DEC $D1 + BMI Tee3d +Tee4b + DEC $D0 +; LDA $D2 + DC.b $a5 +Tee4e: ;MJW wrong address, so we have to break this instruction + DC.b $d2 + + LDY #$00 + JSR push_ya_noun_stk +man_cmd + LDA $D3 +; STA $A0,X + DC.b $95 +vtab_stmt: + DC.b $a0 + JSR mult_op + JMP Tee43 + ELSE + + JSR getbyte + LDY text_index + CMP #$30 ; 48 0 + BCS range_err + CPY #$28 ; 40 ( + BCS range_err + RTS + NOP + NOP + + +Tee4e: JSR getbyte + RTS + ENDIF +; + IFCONST SNDERBASIC ;WSANDER BASIC HERE + NOP + NOP + +man_cmd: LSR auto_flag + RTS + +vtab_stmt: JSR getbyte + CMP #$18 ; 24 . + BCS range_err + STA cv + RTS + NOP + NOP + ELSE ;OTHER BASIC + IFNCONST HUSTNBASIC ; omit next 12 bytes if Hustn basic + nop +Lee53 + txa +man_cmd: + ldx #$1 +Lee56 +; ldy acc,x + DC.b $B4 +vtab_stmt: ;wrong address MJW + DC.b acc + + sty himem,x + ldy zp48,x + sty pp,x + + dex + IFCONST BRIELBASIC ;BRIEL BASIC HERE + beq Lee56 + ELSE + beq man_cmd + ENDIF + tax + rts + ELSE ;HUSTNBASIC + STA cv + RTS + NOP + NOP + ENDIF + ENDIF +gr_255_err: LDY #$77 ; 119 w +go_errmess_5: JMP print_err_msg +range_err: LDY #$7b ; 123 { + BNE go_errmess_5 + +See6c: JSR Se254 + LDA aux + BNE Lee7a + LDA aux+1 + BNE Lee7a + JMP Le77e +Lee7a: ASL acc + ROL acc+1 + ROL p3 + ROL p3+1 + LDA p3 + CMP aux + LDA p3+1 + SBC aux+1 + BCC Lee96 + STA p3+1 + LDA p3 + SBC aux + STA p3 + INC acc +Lee96: DEY + BNE Lee7a + RTS + DC.B $ff,$ff,$ff,$ff,$ff,$ff ; "......" + +call_stmt: JSR get16bit + JMP (acc) + IFCONST BRIELBASIC ;BRIEL BASIC +bogus_eea6: + LDA himem + BNE Leeac + dec zp4d +Leeac: + dec himem +Teeae: + lda zp48 +Teeb0: + bne Leeb4 + dec zp49 +Leeb4: + dec zp48 +Leeb6: + ldy #$00 + lda (himem),y +Teeba: + sta (zp48),y +Teebc: + lda pp + cmp himem + lda zpcb +bogus_eec2: + sbc zp4d + bcc bogus_eea6 +Teec6: jmp Lee53 + + + + ELSE ;SANDER/HUSTON (NOT BREIL) BASIC HERE + + +bogus_eea6: ;DC.B $20,$34,$ee,$c5,$c8,$90,$bb,$85 ; " 4nEH.;." + IFCONST SNDERBASIC ;WSANDER BASIC HERE + JSR getbyte + CMP text_index + BCC range_err +; sta LOCZERO + DC.b $85 + +Teeae: LDA himem+1 + +Teeb0: PHA + LDA himem + JSR push_ya_noun_stk +Leeb6 + PLA + STA noun_stk_h_int,X + RTS + +Teeba: LDA lomem+1 + +Teebc: PHA + LDA lomem + JMP Lefb3 +bogus_eec2: + LDA zp85 + DC.b $2D,$60 +Teec6: JSR getbyte + ELSE ;HUSTON BASIC HERE + JSR get16bit + LDA zpcf + BPL Leeb5 + TYA +Teeae + DEX +; JSR push_ya_noun_stk + DC.b $20 +Teeb0 + DC.b $08,$e7 + + STY noun_stk_h_int,x + rts +Leeb5 +; STA tokndxstk + DC.b $85 +Leeb6 + DC.b $d1 + + LDA acc +; STA srch + DC.b $85 +Teeba + DC.b $d0 + +; JSR get16bit + DC.b $20 +Teebc + DC.b $15, $e7 + + LDA acc + STA srch2 +bogus_eec2: + LDA zpcf + STA $d3 +Teec6 + LDA #$01 + JMP plot_comma + ENDIF + ENDIF + IFNCONST HUSTNBASIC ; omit next 2 bytes if Hustn basic + CMP #$28 ; 40 ( + ENDIF +Leecb: BCS range_err + TAY + LDA text_index + RTS + NOP + NOP + +print_err_msg2: TYA + TAX + LDY #$6e ; 110 n + JSR Se3c4 + TXA + TAY + JSR Se3c4 + LDY #$72 ; 114 r + JMP Se3c4 + +Seee4: JSR get16bit +Leee7: ASL acc + ROL acc+1 + BMI Leee7 + BCS Leecb + BNE Leef5 + CMP acc + BCS Leecb +Leef5: RTS + +peek_fn: + IFCONST BLD4APPLE1 + JSR get16bit + ELSE + JMP A2PEEK + ENDIF + LDA (acc),Y + STY syn_stk_l+31,X + JMP push_ya_noun_stk + +poke_stmt: + IFCONST BLD4APPLE1 + JSR getbyte + ELSE + JMP A2POKE + ENDIF + LDA acc + PHA + JSR get16bit + PLA + STA (acc),Y + +Tef0c: RTS + DC.B $ff,$ff,$ff ; "..." + +divide: JSR See6c + LDA acc + STA p3 + LDA acc+1 + STA p3+1 + JMP Le244 + +dim_num: JSR Seee4 + JMP Le134 + +num_array_subs: JSR Seee4 + LDY noun_stk_h_str,X + LDA noun_stk_l,X + ADC #$fe ; 254 ~ + BCS Lef30 + DEY +Lef30: STA aux + STY aux+1 + CLC + ADC acc + STA noun_stk_l,X + TYA + ADC acc+1 + STA noun_stk_h_str,X + LDY #$00 ; 0 . + LDA noun_stk_l,X + CMP (aux),Y + INY + LDA noun_stk_h_str,X + SBC (aux),Y + BCS Leecb + JMP left_paren + +rnd_fn: JSR get16bit + LDA rnd + JSR push_ya_noun_stk + LDA rnd+1 + BNE Lef5e + CMP rnd + ADC #$00 ; 0 . +Lef5e: AND #$7f ; 127 . + STA rnd+1 + STA noun_stk_h_int,X + LDY #$11 ; 17 . +Lef66: LDA rnd+1 + ASL + CLC + ADC #$40 ; 64 @ + ASL + ROL rnd + ROL rnd+1 + DEY + BNE Lef66 + LDA acc + JSR push_ya_noun_stk + LDA acc+1 + STA noun_stk_h_int,X + JMP mod_op + +Tef80: JSR get16bit + LDY acc + IFCONST SNDERBASIC ;SANDER BASIC HERE + CPY lomem + LDA acc+1 + SBC lomem+1 + BCC Lefab_efad + STY himem + LDA acc+1 + STA himem+1 +Lef93: JMP new_cmd + +Tef96: JSR get16bit + LDY acc + CPY himem + LDA acc+1 + SBC himem+1 + BCS Lefab_efad + STY lomem + LDA acc+1 + STA lomem+1 + BCC Lef93 +Lefab_efad: JMP Leecb + ;DC.B ;$a5,$4d,$48,$a5,$4c ; "%MH%L" + lda zp4d + pha + lda himem + ELSE + IFCONST HUSTNBASIC + CPY lomem + LDA zpcf + SBC zp4b + BCC Lefab + STY himem + LDA zpcf + STA zp4d +Lef93 + JMP new_cmd +Tef96 + JSR get16bit + LDY acc + CPY himem + LDA zpcf + SBC zp4d + BCS Lefab + STY lomem + LDA zpcf + sta zp4b + bcc Lef93 +Lefab + JMP Leecb + LDA zp4d + PHA + LDA himem + ELSE + cpy himem + lda zpcf + sbc zp4d + bcc Lefac + sty zp48 + lda zpcf + sta zp49 + jmp Leeb6 +Tef96: + jsr get16bit + ldy acc + cpy pp + lda zpcf + sbc zpcb + bcs Lefac + sty lomem + lda zpcf + sta zp4b + jmp clr +Lefac + jmp Leecb + +Lefab_efad: + nop + nop + nop + nop + ENDIF + ENDIF +Lefb3: JSR Sefc9 + +string_input: JSR input_str + JMP Lefbf + +input_prompt: JSR print_str +Lefbf: LDA #$ff ; 255 . + STA text_index + LDA #$74 ; 116 t + STA buffer + RTS + +Sefc9: JSR not_op + INX + +Sefcd: JSR not_op + LDA noun_stk_l,X + RTS + +mem_init_4k: LDA #$00 ; 0 . + STA lomem + STA himem + LDA #$08 ; 8 . + STA lomem+1 + LDA #$10 ; 16 . + STA himem+1 + JMP new_cmd + +Sefe4: CMP noun_stk_h_str,X + BNE Lefe9 + CLC +Lefe9: JMP Le102 + +Tefec: JSR clr + JMP run_warm + +Teff2: JSR clr + JMP goto_stmt + +Seff8: CPX #$80 ; 128 . + BNE Leffd + DEY +Leffd: JMP Se00c + + + + diff --git a/Source and Serial Driver/a2a1emulv5_1/a2a1emulv5_1.asm b/Source and Serial Driver/a2a1emulv5_1/a2a1emulv5_1.asm new file mode 100644 index 0000000..b5e7cc8 --- /dev/null +++ b/Source and Serial Driver/a2a1emulv5_1/a2a1emulv5_1.asm @@ -0,0 +1,1963 @@ + PROCESSOR 6502 + LIST ON +; +;-----------------------------------------------------------------------; +; The Wozanium Pack ; +; This file is part one of the Wozanium Pack. ; +; Apple 1 basic is the other part ; +;-----------------------------------------------------------------------; +; Copyright 2010,2011 Mike Willegal +; A1 monitor and A1 apple cassette interface derived from +; original Apple 1 implemenations by Steve Wozniak +; +; The Wozanium Pack is free software: +; you can redistribute it and/or modify +; it under the terms of the GNU General Public License as published by +; the Free Software Foundation, either version 3 of the License, or +; (at your option) any later version. +; +; The Wozanium Pack is distributed in the hope that it will be useful, +; but WITHOUT ANY WARRANTY; without even the implied warranty of +; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +; GNU General Public License for more details. +; +; You should have received a copy of the GNU General Public License +; along with the Wozanium Pack. If not, see . +; +;-----------------------------------------------------------------------; +;------------------------------------------------------------------------- +; Defines - this code can be built one of four ways +; 1. clone/real Apple 1 HW +; 2. runs in ram of real or virtual Apple 2 +; 3. runs in virtual apple 2 as ROM +; 4. runs in plug in board of real Apple 2 +; +; select one of these three options +;------------------------------------------------------------------------- +; Build with dasm 6502 assembler and the following command line +;dasm a2a1emulv5_1.asm -DBLD4ROMBD=0 -DHUSTNBASIC=0 -oa2a1rbh.o -la2a1rbh.lst +; + +;BLD4APPLE1 EQU 0 ;ACTUAL APPLE 1 or CLONE +;BLD4RAM EQU 0 ;RAM of virtual or real A2 +;BLD4EMULROM EQU 0 ;ROM of virtual A2 +;BLD4ROMBD EQU 0 ;ROM board in Real A2 + +;------------------------------------------------------------------------- +; Constants +;------------------------------------------------------------------------- + +BS EQU $DF ;Backspace key, arrow left key +CR EQU $8D ;Carriage Return +ESC EQU $9B ;ESC key +PROMPT EQU "\" ;Prompt character + +;------------------------------------------------------------------------- +; scratchpad memory - uses last 1k of apple ii 48k +;------------------------------------------------------------------------- + MAC STORAGE +TURBO DS.b 1 ; any bit non-zero is turbo mode +TURBOUSR EQU $01 ; USER TURBO MODE +TURBOCMP EQU $02 ; COMPUTER DRIVEN TURBO MODE + +RDCONVERT DS.b 1 +READVECTOR DS.w 1 ;allows user override of default keyboard read function +WRITVECTOR DS.w 1 ;allows user override of default video out function + +savey DS.b 1 +savex DS.b 1 +POWERUPINIT DS.b 1 +SCRNCLRD DS.b 1 +CHAR DS.b 1 +TMP1 DS.b 1 +CURROW DS.b 1 +CURCOL DS.b 1 +COUNTER0 DS.b 1 +COUNTER1 DS.b 1 +CURSOR DS.b 1 +RDSTRTL DS.b 1 +RDSTRTH DS.b 1 +HEX2LB DS.b 1 ;Begin address of dump block +HEX2HB DS.b 1 + +;;; zero page back up so graphics routines can use them +TMPG0 DS.b 16 +PG0SAVD DS.b 1 + ENDM + IFNCONST BLD4APPLE1 + IFNCONST BLD4RAM + ORG $bc00 + STORAGE + ENDIF + ENDIF + + + + + + +;------------------------------------------------------------------------- +; Memory declaration +;------------------------------------------------------------------------- + + +HEX1L EQU $24 ;End address of dump block +HEX1H EQU $25 +HEX2L EQU $26 ;Begin address of dump block +HEX2H EQU $27 + +SAVEINDEX EQU $28 ;Save index in input buffer +LASTSTATE EQU $29 ;Last input state + +IN EQU $0200 ;Input buffer + IFCONST BLD4APPLE1 +FLIP EQU $C000 ;Output flip-flop +TAPEIN EQU $C081 ;Tape input +KBD EQU $D010 ;PIA.A keyboard input +KBDCR EQU $D011 ;PIA.A keyboard control register + ELSE +FLIP EQU $C020 ;Output flip-flop +TAPEIN EQU $C060 ;Tape input +KBD EQU $C000 ;keyboard input +KBDCR EQU $C010 ;keybaord strobe clear + ENDIF + +;------------------------------------------------------------------------- +; Constants +;------------------------------------------------------------------------- + +CR EQU $8D ;Carriage Return +ESC EQU $9B ;ASCII ESC +CNTSTRT EQU $E0 + +;--------------------------------------------------------------------------- +; build in ACI Driver +;--------------------------------------------------------------------------- + IFCONST BLD4EMULROM + ORG $D000 ; EMULATOR ROM image + ELSE + IFCONST BLD4RAM + ORG $6000 ; build for memory + ELSE + ORG $C100 ; real apple 1 or plug in ROM board for A2 + ENDIF + ENDIF + +;------------------------------------------------------------------------- +; +; The WOZ Apple Cassette Interface for the Apple 1 +; Written by Steve Wozniak somewhere around 1976 +; +;------------------------------------------------------------------------- +WOZACI + + LDA #$aa ;Print the Tape prompt + IFNCONST BLD4APPLE1 + JMP A2ACIDRIVER ;If not actual Apple 1, use A2 driver + ELSE + JSR ECHO + ENDIF + LDA #CR ;And drop the cursor one line + JSR ECHO + + LDY #-1 ;Reset the input buffer index +ACINEXTCHAR INY + + +ACIWAITCHAR LDA KBDCR ;Wait for key press + BPL ACIWAITCHAR ;No key yet! + LDA KBD ;Clear strobe + STA IN,Y ;Save it into buffer + JSR ECHO ;And type it on the screen + CMP #ESC + BEQ WOZACI ;Start from scratch if ESC! + CMP #CR + BNE ACINEXTCHAR ;Read keys until CR + + LDX #-1 ;Initialize parse buffer pointer + +;------------------------------------------------------------------------- +; Start parsing first or a new tape command +;------------------------------------------------------------------------- + +NEXTCMD LDA #0 ;Clear begin and end values + STA HEX1L + STA HEX1H + STA HEX2L + STA HEX2H + +NEXTCHR INX ;Increment input pointer + LDA IN,X ;Get next char from input line + CMP #$d2 ;Read command? + BEQ READ ;Yes! + CMP #$d7 ;Write command? + BEQ WRITE ;Yes! (note: CY=1) + CMP #$ae ;Separator? + BEQ SEP ;Yes! + CMP #CR ;End of line? + BEQ GOESC ;Escape to monitor! We're done + CMP #$a0 ;Ignore spaces + BEQ NEXTCHR + EOR #$b0 ;Map digits to 0-9 + CMP #9+1 ;Is it a decimal digit? + BCC ACIDIG ;Yes! + ADC #$88 ;Map letter "A"-"F" to $FA-$FF + CMP #$FA ;Hex letter? + BCC WOZACI ;No! Character not hex! + +ACIDIG ASL ;Hex digit to MSD of A + ASL + ASL + ASL + + LDY #4 ;Shift count +ACIHEXSHIFT ASL ;Hex digit left, MSB to carry + ROL HEX1L ;Rotate into LSD + ROL HEX1H ;Rotate into MSD + DEY ;Done 4 shifts? + BNE ACIHEXSHIFT ;No! Loop + BEQ NEXTCHR ;Handle next character + +;------------------------------------------------------------------------- +; Return to monitor, prints \ first +;------------------------------------------------------------------------- + +GOESC JMP ESCAPE ;Escape back to monitor + +;------------------------------------------------------------------------- +; Separating . found. Copy HEX1 to Hex2. Doesn't clear HEX1!!! +;------------------------------------------------------------------------- + +SEP LDA HEX1L ;Copy hex value 1 to hex value 2 + STA HEX2L + LDA HEX1H + STA HEX2H + BCS NEXTCHR ;Always taken! + +;------------------------------------------------------------------------- +; Write a block of memory to tape +;------------------------------------------------------------------------- + +WRITE + LDA #64 ;Write 10 second header + JSR WHEADER +WRNEXT DEY ;Compensate timing for extra work + LDX #0 ;Get next byte to write + LDA (HEX2L,X) + + LDX #8*2 ;Shift 8 bits (decremented twice) +WBITLOOP ASL ;Shift MSB to carry + JSR WRITEBIT ;Write this bit + BNE WBITLOOP ;Do all 8 bits! + + JSR INCADDR ;Increment address + LDY #30 ;Compensate timer for extra work + BCC WRNEXT ;Not done yet! Write next byte + +RESTIDX + LDX SAVEINDEX ;Restore index in input line + BCS NEXTCMD ;Always taken! + +;------------------------------------------------------------------------- +; For case when ACI must fit in c100-c1ff - the read function must be moved +; because the standard read doesn't fit with the extra mask instruction +; required for the Apple II hardware +;------------------------------------------------------------------------- + +READ: + JSR FULLCYCLE ;Wait until full cycle is detected + LDA #22 ;Introduce some delay to allow + JSR WHEADER ; the tape speed to stabilize + JSR FULLCYCLE ;Synchronize with full cycle +NOTSTART + LDY #31 ;Try to detect the much shorter + JSR CMPLEVEL ; start bit + BCS NOTSTART ;Start bit not detected yet! + JSR CMPLEVEL ;Wait for 2nd phase of start bit + + LDY #58 ;Set threshold value in middle +RDBYTE LDX #8 ;Receiver 8 bits +RDBIT PHA + JSR FULLCYCLE ;Detect a full cycle + PLA + ROL ;Roll new bit into result + LDY #57 ;Set threshold value in middle + DEX ;Decrement bit counter + BNE RDBIT ;Read next bit! + STA (HEX2L,X) ;Save new byte + + JSR INCADDR ;Increment address + LDY #53 ;Compensate threshold with workload + BCC RDBYTE ;Do next byte if not done yet! + BCS RESTIDX ;Always taken! Restore parse index + +FULLCYCLE JSR CMPLEVEL ;Wait for two level changes +CMPLEVEL DEY ;Decrement time counter + LDA TAPEIN ;Get Tape In data + +;------------------------------------------------------------------------- +; the next instruction must be added for apple II +; since it doesn't fit in the 256 bytes allowed in the +; original PROM, a substitute A2 read function is called instead +; (READ_APPLE2_VERSION)which is located in a different bank +; +; AND #$80 ;CLEAR floating bits +;------------------------------------------------------------------------- + CMP LASTSTATE ;Same as before? + BEQ CMPLEVEL ;Yes! + STA LASTSTATE ;Save new data + + CPY #128 ;Compare threshold + RTS +;------------------------------------------------------------------------- +; Write header to tape +; +; The header consists of an asymmetric cycle, starting with one phase of +; approximately (66+47)x5=565us, followed by a second phase of +; approximately (44+47)x5=455us. +; Total cycle duration is approximately 1020us ~ 1kHz. The actual +; frequencywill be a bit lower because of the additional workload between +; the twoloops. +; The header ends with a short phase of (30+47)x5=385us and a normal +; phase of (44+47)x5=455us. This start bit must be detected by the read +; routine to trigger the reading of the actual data. +;------------------------------------------------------------------------- + +WHEADER STX SAVEINDEX ;Save index in input line +HCOUNT LDY #66 ;Extra long delay + JSR WDELAY ;CY is constantly 1, writing a 1 + BNE HCOUNT ;Do this 64 * 256 time! + ADC #-2 ;Decrement A (CY=1 all the time) + BCS HCOUNT ;Not all done! + LDY #30 ;Write a final short bit (start) + +;------------------------------------------------------------------------- +; Write a full bit cycle +; +; Upon entry Y contains a compensated value for the first phase of 0 +; bit length. All subsequent loops don't have to be time compensated. +;------------------------------------------------------------------------- + +WRITEBIT JSR WDELAY ;Do two equal phases + LDY #44 ;Load 250us counter - compensation + +WDELAY DEY ;Delay 250us (one phase of 2kHz) + BNE WDELAY + BCC WRITE1 ;Write a '1' (2kHz) + + LDY #47 ;Additional delay for '0' (1kHz) +WDELAY0 DEY ; (delay 250us) + BNE WDELAY0 + +WRITE1 + LDY FLIP,X ;Flip the output bit + LDY #41 ;Reload 250us cntr (compensation) + DEX ;Decrement bit counter + RTS + +;------------------------------------------------------------------------- +; Increment current address and compare with last address +;------------------------------------------------------------------------- +INCADDR LDA HEX2L ;Compare current address with + CMP HEX1L ; end address + LDA HEX2H + SBC HEX1H + INC HEX2L ;And increment current address + BNE NOCARRY ;No carry to MSB! + INC HEX2H +NOCARRY RTS + + +;--------------------------------------------------------------------------- +; all the following code is needed for the A2 version +; skip to Basic for the real thing +;--------------------------------------------------------------------------- + IFNCONST BLD4APPLE1 +;--------------------------------------------------------------------------- +; build in an A2 ACI driver for ROM version +; if using an A2, the version at C100 jumps to this version +; and this version is at D000 (so slots 0 & 2-7 can be used) +;--------------------------------------------------------------------------- + IFNCONST BLD4EMULROM + IFNCONST BLD4RAM + ORG $D000 ; A2 ROM or image + ENDIF + ENDIF + +;------------------------------------------------------------------------- +; ACI DRIVER +;------------------------------------------------------------------------- +A2ACIDRIVER + LDA #$aa ;Print the Tape prompt + JSR ECHO + LDA #CR ;And drop the cursor one line + JSR ECHO + + LDY #-1 ;Reset the input buffer index +RB_ACINEXTCHAR + INY + + JSR A2GETCHAR + + STA IN,Y ;Save it into buffer + JSR ECHO ;And type it on the screen + CMP #ESC + BEQ A2ACIDRIVER ;Start from scratch if ESC! + CMP #CR + BNE RB_ACINEXTCHAR ;Read keys until CR + + LDX #-1 ;Initialize parse buffer pointer + +;------------------------------------------------------------------------- +; Start parsing first or a new tape command +;------------------------------------------------------------------------- + +RB_NEXTCMD + LDA #0 ;Clear begin and end values + STA HEX1L + STA HEX1H + STA HEX2L + STA HEX2H + +RB_NEXTCHR + INX ;Increment input pointer + LDA IN,X ;Get next char from input line + CMP #$d2 ;Read command? + BEQ RB_READ ;Yes! + CMP #$d7 ;Write command? + BEQ RB_WRITE ;Yes! (note: CY=1) + CMP #$ae ;Separator? + BEQ RB_SEP ;Yes! + CMP #CR ;End of line? + BEQ RB_GOESC ;Escape to monitor! We're done + CMP #$a0 ;Ignore spaces + BEQ RB_NEXTCHR + EOR #$b0 ;Map digits to 0-9 + CMP #9+1 ;Is it a decimal digit? + BCC RB_ACIDIG ;Yes! + ADC #$88 ;Map letter "A"-"F" to $FA-$FF + CMP #$FA ;Hex letter? + BCC A2ACIDRIVER ;No! Character not hex! + +RB_ACIDIG + ASL ;Hex digit to MSD of A + ASL + ASL + ASL + + LDY #4 ;Shift count +RB_ACIHEXSHIFT + ASL ;Hex digit left, MSB to carry + ROL HEX1L ;Rotate into LSD + ROL HEX1H ;Rotate into MSD + DEY ;Done 4 shifts? + BNE RB_ACIHEXSHIFT ;No! Loop + BEQ RB_NEXTCHR ;Handle next character + +;------------------------------------------------------------------------- +; Return to monitor, prints \ first +;------------------------------------------------------------------------- + +RB_GOESC JMP ESCAPE ;Escape back to monitor + +;------------------------------------------------------------------------- +; Separating . found. Copy HEX1 to Hex2. Doesn't clear HEX1!!! +;------------------------------------------------------------------------- + +RB_SEP LDA HEX1L ;Copy hex value 1 to hex value 2 + STA HEX2L + LDA HEX1H + STA HEX2H + BCS RB_NEXTCHR ;Always taken! + +;------------------------------------------------------------------------- +; Write a block of memory to tape +;------------------------------------------------------------------------- + +RB_WRITE +;------------------------------------------------------------------------- +; save write start addresses +; so we can check for keyboard +; or console write sequences +; and dynamicly modify code to +; use original A1 driver +; and then revert later on +;------------------------------------------------------------------------- + LDA HEX2L + STA RDSTRTL + LDA HEX2H + STA RDSTRTH + STX SAVEINDEX ;Save index in input line + JSR A2_WRITECONVERT ; convert I/O to A1 compatible format +;------------------------------------------------------------------------- +; now start write of this block +;------------------------------------------------------------------------- + + LDA #64 ;Write 10 second header + JSR RB_WHEADER + +RB_WRNEXT DEY ;Compensate timing for extra work + LDX #0 ;Get next byte to write + LDA (HEX2L,X) + + LDX #8*2 ;Shift 8 bits (decremented twice) +RB_WBITLOOP ASL ;Shift MSB to carry + JSR RB_WRITEBIT ;Write this bit + BNE RB_WBITLOOP ;Do all 8 bits! + + JSR A2_INCADDR ;Increment address + LDY #30 ;Compensate timer for extra work + BCC RB_WRNEXT ;Not done yet! Write next byte + +RB_RESTIDX + JSR A2_READCONVERT ; convert buffer to A2 I/O + LDX SAVEINDEX ;Restore index in input line + JMP RB_NEXTCMD ;Always taken! + +;------------------------------------------------------------------------- +; For case when ACI must fit in c100-c1ff - the read function must be moved +; because the standard read doesn't fit with the extra mask instruction +; required for the Apple II hardware +;------------------------------------------------------------------------- + +RB_READ: +;------------------------------------------------------------------------- +; save read start addresses +; so we can check for keyboard +; or console write sequences +; and dynamicly modify code to +; use A2 driver +;------------------------------------------------------------------------- + LDA HEX2L + STA RDSTRTL + LDA HEX2H + STA RDSTRTH + + JSR A2_FULLCYCLE ;Wait until full cycle is detected + STX SAVEINDEX ;Save index in input line + LDA #22 ;Introduce some delay to allow + JSR RB_WHEADER ; the tape speed to stabilize + +;------------------------------------------------------------------------- +; +; This read function will optionally convert and A1 keyboard reads +; to calls to use our driver to read from A2 hardware by scanning +; read data looking for one of three code sequences +;------------------------------------------------------------------------- +; +; Normal start +; + JSR A2_FULLCYCLE ;Synchronize with full cycle +A2_NOTSTART LDY #28 ;Try to detect the much shorter + JSR A2_CMPLEVEL ; start bit + BCS A2_NOTSTART ;Start bit not detected yet! + JSR A2_CMPLEVEL ;Wait for 2nd phase of start bit + + LDY #53 ;Set threshold value in middle +A2_RDBYTE LDX #8 ;Receiver 8 bits +A2_RDBIT PHA + JSR A2_FULLCYCLE ;Detect a full cycle + PLA + ROL ;Roll new bit into result + LDY #52 ;Set threshold value in middle + DEX ;Decrement bit counter + BNE A2_RDBIT ;Read next bit! + STA (HEX2L,X) ;Save new byte + + JSR A2_INCADDR ;Increment address + LDY #46 ;Compensate threshold with workload + BCC A2_RDBYTE ;Do next byte if not done yet! + + JMP RB_RESTIDX + + + +;------------------------------------------------------------------------- +; Write header to tape +; +; The header consists of an asymmetric cycle, starting with one phase of +; approximately (66+47)x5=565us, followed by a second phase of +; approximately (44+47)x5=455us. +; Total cycle duration is approximately 1020us ~ 1kHz. The actual +; frequencywill be a bit lower because of the additional workload between +; the twoloops. +; The header ends with a short phase of (30+47)x5=385us and a normal +; phase of (44+47)x5=455us. This start bit must be detected by the read +; routine to trigger the reading of the actual data. +;------------------------------------------------------------------------- + +RB_WHEADER +RB_HCOUNT LDY #72 ;Extra long delay + JSR RB_WDELAY ;CY is constantly 1, writing a 1 + BNE RB_HCOUNT ;Do this 64 * 256 time! + ADC #-2 ;Decrement A (CY=1 all the time) + BCS RB_HCOUNT ;Not all done! + LDY #32 ;Write a final short bit (start) + +;------------------------------------------------------------------------- +; Write a full bit cycle +; +; Upon entry Y contains a compensated value for the first phase of 0 +; bit length. All subsequent loops don't have to be time compensated. +;------------------------------------------------------------------------- + +RB_WRITEBIT + + JSR RB_WDELAY ;Do two equal phases + LDY #47 ;Load 250us counter - compensation + +RB_WDELAY DEY ;Delay 250us (one phase of 2kHz) + BNE RB_WDELAY + BCC RB_WRITE1 ;Write a '1' (2kHz) + + LDY #50 ;Additional delay for '0' (1kHz) +RB_WDELAY0 DEY ; (delay 250us) + BNE RB_WDELAY0 + +RB_WRITE1 + + LDY FLIP ;Flip the output bit + + LDY #46 ;Reload 250us cntr (compensation) + DEX ;Decrement bit counter + RTS + +;------------------------------------------------------------------------- +; Wait for FULL cycle (cmplevel- waits till level transisiton) +;------------------------------------------------------------------------- +A2_FULLCYCLE JSR A2_CMPLEVEL ;Wait for two level changes +A2_CMPLEVEL DEY ;Decrement time counter + LDA TAPEIN ;Get Tape In data + +; the next instruction must be added for apple II + AND #$80 ;CLEAR floating bits + CMP LASTSTATE ;Same as before? + BEQ A2_CMPLEVEL ;Yes! + STA LASTSTATE ;Save new data + + CPY #128 ;Compare threshold + RTS +;------------------------------------------------------------------------- +; Increment current address and compare with last address +;------------------------------------------------------------------------- +A2_INCADDR LDA HEX2L ;Compare current address with + CMP HEX1L ; end address + LDA HEX2H + SBC HEX1H + INC HEX2L ;And increment current address + BNE A2_NOCARRY ;No carry to MSB! + INC HEX2H +A2_NOCARRY RTS + +;------------------------------------------------------------------------- +; one block read +; modify A1 code that touches PIA to A2 version as it is loaded +; these are keyboard and display functions +; +; APPLE 1 version +;ACIWAITCHAR +; ad 11 d0 LDA KBDCR ;Wait for key press +; 30 FB BPL ACIWAITCHAR ;No key yet! +; ad 10 d0 LDA KBD ;Clear strobe +; converted to our version +; 20 3a d1 JSR A2GETCHAR +; ea NOP +; ea NOP +; ea NOP +; ea NOP +; ea NOP +; +; instead if BPL is not present or has mismatching branch offset +; ad 11 d0 LDA KBDCR +; is converted to +; ad 00 c0 LDA KBD +; +; +; +; ad 10 d0 LDA KBD +; is converted to +; 8d 10 c0 STA KBDCR ;Clear strobe +; +; finally there are some cases where presence of character is +; determined with the bit command +; 2c 11 d0 BIT KBDCR +; is converted to +; 2c 00 c00 BIT KBD +; +; +; +;------------------------------------------------------------------------- + +CNVRTTERM EQU $77 ; UNIQUE CHAR NEEDED TO TERMINATE CONVERSION STRINGS + +A2_READCONVERT + LDA #0 ; RDCONVERT can be turned off + CMP RDCONVERT + BNE A2_READCONVERTDONE ; not zero, then skip conversion + LDX #0 + LDY #0 +; +; check next string through all of memory +; + +A2_READCONVERT_1 ; for this string, scan all of read memory + STY savey ;save compare string starting point + + LDA CNVRT_IN,Y + CMP #CNVRTTERM ; Termination character? + BEQ A2_READCONVERTDONE ;all done checking, exit + + LDA RDSTRTL ; start of memory load + STA HEX2L + LDA RDSTRTH + STA HEX2H + +; +; restart currnet string +; +A2_READCONVERT_8 + LDA HEX2L ;save memory starting point + STA HEX2LB + LDA HEX2H + STA HEX2HB + LDY savey + +A2_READCONVERT1 + LDA (HEX2L),X ; fetch byte from memory + CMP CNVRT_IN,Y ; compare + BEQ A2_READCONVERT1_2 ; this byte does match, process +; +; mo match, restart match string +; + JSR A2_INCADDR + BCC A2_READCONVERT_8 ; not end of memory - restart scan for current string + +; +; end of memory block - go to next string +; +A2_READCONVERT1_3 + INY + LDA CNVRT_IN,Y + CMP #CNVRTTERM ; Termination character? + BNE A2_READCONVERT1_3 ; not end of block, keep looking + INY ; found end, move to start of next block + JMP A2_READCONVERT_1 ; rescan memory with next string +; +; match - keep going until mismatch or end of string +; +A2_READCONVERT1_2 + INY + LDA CNVRT_IN,Y + CMP #CNVRTTERM ; Termination character? + BEQ A2_READCONVERT3 ; end of string - this is match do substitute + JSR A2_INCADDR ; next memory address + BCC A2_READCONVERT1 ; not done - keep scanning + + JMP A2_READCONVERT1_3 ; not a complete match - try next string + +;------------------------------------------------------------------------- +; Finished with READ +;------------------------------------------------------------------------- +A2_READCONVERTDONE + RTS + +;------------------------------------------------------------------------- +; Substitute string here +;------------------------------------------------------------------------- + +A2_READCONVERT3 ; match - substitute here + LDY savey + + LDA HEX2LB ;restore memory starting point + STA HEX2L + LDA HEX2HB + STA HEX2H +A2_READCONVERT4 + LDA CNVRT_IN,Y + CMP #CNVRTTERM ; Termination character? + BEQ A2_READCONVERT_8 ; done with sustibute, continue checking + LDA CNVRT_OUT,Y + INY + STA (HEX2L),X + JSR A2_INCADDR + JMP A2_READCONVERT4 + +;------------------------------------------------------------------------- +; conversion strings +; IN(what we are looking for +; OUT (what we change it to) +;------------------------------------------------------------------------- + +CNVRT_IN +CI1 LDA $d011 + BPL CI1 + LDA $d010 + DC.b CNVRTTERM + LDA $d011 + DC.b CNVRTTERM + LDA $d010 + DC.b CNVRTTERM + BIT $d011 + DC.b CNVRTTERM +CI2 + BIT $D012 + BMI CI2 + STA $D012 + DC.b CNVRTTERM + IFCONST BLD4RAM + JSR $FFEF + DC.b CNVRTTERM + ENDIF + DC.b CNVRTTERM + +CNVRT_OUT + JSR A2GETCHAR + NOP + NOP + NOP + NOP + NOP + DC.b CNVRTTERM + LDA KBD + DC.b CNVRTTERM + STA KBDCR + DC.b CNVRTTERM + BIT KBD + DC.b CNVRTTERM + JSR ECHO + NOP + NOP + NOP + NOP + NOP + DC.b CNVRTTERM + IFCONST BLD4RAM + JSR ECHO + DC.b CNVRTTERM + ENDIF + DC.b CNVRTTERM + + +;------------------------------------------------------------------------- +; one block write +; undo read convert when writing to tape so tape +; can be loaded and run on a real actual A1 +;------------------------------------------------------------------------- +A2_WRITECONVERT + LDA #0 ; RDCONVERT can be turned off + CMP RDCONVERT + BNE A2_WRITECONVERTDONE ; not zero, then skip conversion + LDX #0 + LDY #0 +; +; check next string through all of memory +; + +A2_WRITECONVERT_1 ; for this string, scan all of read memory + STY savey ;save compare string starting point + + LDA CNVRT_OUT,Y + CMP #CNVRTTERM ; Termination character? + BEQ A2_WRITECONVERTDONE ;all done checking, exit + + LDA RDSTRTL ; reset block address + STA HEX2L + LDA RDSTRTH + STA HEX2H + +; +; restart currnet string +; +A2_WRITECONVERT_8 + LDA HEX2L ;save memory starting point + STA HEX2LB + LDA HEX2H + STA HEX2HB + LDY savey + +A2_WRITECONVERT1 + LDA (HEX2L),X ; fetch byte from memory + CMP CNVRT_OUT,Y ; compare + BEQ A2_WRITECONVERT1_2 ; this byte does match, process +; +; mo match, restart match string +; + JSR A2_INCADDR + BCC A2_WRITECONVERT_8 ; not end of memory - restart scan for current string + +; +; end of memory block - go to next string +; +A2_WRITECONVERT1_3 + INY + LDA CNVRT_OUT,Y + CMP #CNVRTTERM ; Termination character? + BNE A2_WRITECONVERT1_3 ; not end of block, keep looking + INY ; found end, move to start of next block + JMP A2_WRITECONVERT_1 ; rescan memory with next string +; +; match - keep going until mismatch or end of string +; +A2_WRITECONVERT1_2 + INY + LDA CNVRT_OUT,Y + CMP #CNVRTTERM ; Termination character? + BEQ A2_WRITECONVERT3 ; end of string - this is match do substitute + JSR A2_INCADDR ; next memory address + BCC A2_WRITECONVERT1 ; not done - keep scanning + + JMP A2_WRITECONVERT1_3 ; not a complete match - try next string + +;------------------------------------------------------------------------- +; Finished with WRITE CONVERSION +;------------------------------------------------------------------------- +A2_WRITECONVERTDONE + LDA RDSTRTL ; reset block address + STA HEX2L + LDA RDSTRTH + STA HEX2H + + RTS +;------------------------------------------------------------------------- +; Substitute string here +;------------------------------------------------------------------------- + +A2_WRITECONVERT3 ; match - substitute here + LDY savey + + LDA HEX2LB ;restore memory starting point + STA HEX2L + LDA HEX2HB + STA HEX2H +A2_WRITECONVERT4 + LDA CNVRT_OUT,Y + CMP #CNVRTTERM ; Termination character? + BEQ A2_WRITECONVERT_8 ; done with sustibute, continue checking + LDA CNVRT_IN,Y + INY + STA (HEX2L),X + JSR A2_INCADDR + JMP A2_WRITECONVERT4 + +;------------------------------------------------------------------------- +; output driver - uses hires memory +;------------------------------------------------------------------------- + +A2GETCHAR: + JMP (READVECTOR) ;Allow user override of default get char function +A2GETCHAR2: + JSR TOGGLE + LDA KBD ;Wait for key press + BPL A2GETCHAR ;No key yet! + STA KBDCR ;Clear strobe + CMP #$88 ; left arrow + BNE A2_GC_NOT_BS ; brnch no + LDA #BS ; convert to _ +A2_GC_NOT_BS: + CMP #$95 ; right arrow + BNE A2_GC_RET ; no, exit + JSR CLEAR ; yes, clear screen and + + JMP A2GETCHAR ; get next char (this is a special HW emulation + ; function so skip call to READVECTOR) +A2_GC_RET: + RTS + + +;------------------------------------------------------------------------- +; output driver - uses hires memory +;------------------------------------------------------------------------- +;;; Magic Numbers +SCRINIT EQU $f0 +PG0SAVEFLG EQU $f0 +;;; Definitions +HRPG1 EQU $C054 +HRPG2 EQU $C055 +LORES EQU $C056 +HIRES EQU $C057 +TXTCLR EQU $C050 +TXTMOD EQU $C051 +MIXCLR EQU $C052 +GETCHAR EQU $FD0C + +;;; Page Zero Temps (8 locations reserved) +TRGLOW EQU $00 +TRGHIGH EQU $01 +SRCLOW EQU $02 +SRCHIGH EQU $03 +CNT2 EQU $05 +CNT3 EQU $06 + +; Last location of low res +LASTLOCATION EQU $7F8 + +;;; Entry point for testing +START JSR INIT +L0 JSR GETCHAR + JSR PUTCH + JMP L0 + BRK + +;;; Move the cursor +MVCSR INC CURCOL + LDA CURCOL + CMP #40 + BPL NXTROW +MD + LDA #0 + CMP TURBO + BNE MR + + LDY #0 +ML0 LDX #12 ; speed fine tuning +ML1 INX + BNE ML1 + INY + CPY #$9 + BNE ML0 +MR RTS +NXTROW + LDA #0 + STA CURCOL + + LDA CURROW ; don't increment current row until in case + ; we are already at bottom of screen + CMP #23 ; if a reset comes in, it could leave us on an illegal row + BMI NXTROW2 + + JSR SCROLL ; scrolling bottom line, do not advance CURROW + JMP MD + +NXTROW2 + INC CURROW ; not at bottom of screen advance to next row (CURROW) + JMP MD + +;;; Toggle the cursor +TOGGLE + + INC COUNTER0 + BNE DT + INC COUNTER1 + BNE DT + PHA + LDA #CNTSTRT + STA COUNTER1 +; +; if screen has not been cleared- toggle betweeen hi res pages +; + LDA SCRNCLRD + BMI TOGGLE2 +; +; toggle from hi-res to low-res +; + LDA CURSOR + BNE TOGGLE1 + LDA #32 + STA CURSOR + LDA HRPG1 + JMP TOGGLE4 + +TOGGLE1 + LDA #0 + STA CURSOR + LDA HRPG2 + JMP TOGGLE4 +; +; else toggle cursor +; +TOGGLE2 + STX savex + STY savey + JSR SAVPG0 + + LDA CURSOR + BNE SETSPC + LDA #32 + JMP DRWCUR +SETSPC LDA #0 +DRWCUR STA CURSOR + LDX CURCOL + LDY CURROW + JSR GETBLOK + LDX CURSOR + JSR GETCHB + JSR DRAWCH + JSR LODPG0 + LDX savex + LDY savey +TOGGLE4 + PLA +DT RTS + +;;; Scrolls the screen at the end +SCROLL +;------------------------------------------------------------------------------------------------------------ +; +; HIRES is organized +; into three blocks, offset by 0x28 bytes each, starting at 2000 +; each block holds 8 lines of text, offset by 0x80 bytes +; each line of text is split into 8 rows of pixels offset by 0x400 bytes +; +; this function starts at second from top row copy all pixels to row above it and continues down the screen +; +;------------------------------------------------------------------------------------------------------------ +; first block -set up starting addresses +; + ldx #0 ;index into graphics table - starts at zero +; top loop - 24 lines of characters per page - copy bottom 23 lines (first line scrolls off top) + LDA #23 + STA CNT2 + +; +; next line of text +; +scr1 + LDA PG1ROWS,x ; target + STA TRGHIGH + inx + LDA PG1ROWS,x ; target + STA TRGLOW + inx + LDA PG1ROWS,x ; src + STA SRCHIGH + inx + LDA PG1ROWS,x ; scr + STA SRCLOW + DEX ;next pass target is current source + LDA #8 + STA CNT3 + JMP scr2.1 +; +; adjust address to next line of pixels +; +scr2 + + LDA #$4 + CLC + ADC SRCHIGH + STA SRCHIGH + LDA #$4 + CLC + ADC TRGHIGH + STA TRGHIGH +scr2.1 + LDY #39 +;copy 40 characters that make up a line of pixels +scr3 + LDA (SRCLOW),y + STA (TRGLOW),y + DEY + BPL scr3 ; repeat for 40 characters that make line of pixels + DEC CNT3 + BNE scr2 ; done with this line of pixels =- goto to next liine of pixels + DEC CNT2 + BNE scr1 ; done with this line of characters - goto next line of chars + + JSR CLEAR_LINE + RTS + +; +; clear line - X contains line # +; +CLEAR_LINE + LDA PG1ROWS,x ; target (was last source) + STA TRGHIGH + inx + LDA PG1ROWS,x ; target + STA TRGLOW + + LDA #8 + STA CNT3 + JMP CL4.1 +; +; adjust address to next line of pixels +; +CL4 + LDA #$4 + CLC + ADC TRGHIGH + STA TRGHIGH +CL4.1 + LDY #39 + lda #$0 +;copy 40 characters that make up a line of pixels +CL5 + STA (TRGLOW),y + DEY + BPL CL5 ; repeat for 40 characters that make line of pixels + DEC CNT3 + BNE CL4 ; done with this line of pixels =- goto to next liine of pixels + RTS + + +;;; Initialize the graphics system, set cursor and clear the screen +INIT +; +; Initialize default keyboard in and video out routines + LDA #PUTCH2 + STA WRITVECTOR+1 + + LDA #A2GETCHAR2 + STA READVECTOR+1 + + LDA TURBO ;clear computer driven turbo mode + AND #TURBOUSR ;but save user turbo mode + STA TURBO +; +; reset could have occurred during video driver operations +; attempt to restore page 0 if possible +; there is a case where we were in the process of saving +; or restoring page zero variables when reset occurred +; we cannot recover from that case +; + JSR LODPG0 ;restore page zero variables +; +; determine whether we should emulate power up screen +; + LDA #SCRINIT + CMP POWERUPINIT ;have we initialized power up screen + BNE INITSCREEN ;no, let's do it + CMP SCRNCLRD ;has user cleared the screen? + BNE INITEXIT ;no, leave graphics mode alone + +; +; clear screen already done - set HGR PG 2 mode +; + STA HIRES ; set high res pg 2 graphics mode + STA HRPG2 ; if this is power up, this will be changed below + STA TXTCLR + STA MIXCLR +INITEXIT + RTS +; +; initialize lowres page 1 as startup screen +; + +INITSCREEN + LDA #$04 ;START ADDRESS + STA HEX2H + LDA #$0 + STA HEX2L + + LDA #$0B ;END ADDRESS + STA HEX1H + LDA #$F8 + STA HEX1L + + LDY #0 + +INIT1 + LDA #$DF ; underbar + STA (HEX2L),y + JSR A2_INCADDR + BCS INIT2 + LDA #$08 ; check address range <800 use at sign + BIT HEX2H ; >800 use space + BNE INIT1_1 + LDA #$C0 ; at sign + BMI INIT1_2 +INIT1_1 + LDA #$A0 ; space +INIT1_2 + STA (HEX2L),y ; save it + JSR A2_INCADDR + BCC INIT1 + +INIT2 + + LDA #0 + STA SCRNCLRD ; now indicate that screen has been not cleared + STA CURROW + STA CURCOL + STA TURBO ; default not turbo mode + STA RDCONVERT ; default convert cassette reads + LDA #CNTSTRT + STA COUNTER1 + + STA LORES ; set lowres pg 1 graphics mode for start up screen + STA HRPG1 ; use page 1 + STA TXTMOD + STA MIXCLR + + LDA #SCRINIT + STA POWERUPINIT ; indicate power on init done + + RTS + +;;; Clears hires page 1 +CLEAR + STY savey + STX savex + JSR SAVPG0 + + LDX #0 + +CLEAR2 + JSR CLEAR_LINE + INX + CPX #48 + BNE CLEAR2 + ;; page cleared + + LDA #SCRINIT + STA SCRNCLRD ;indicate screen cleared + + STA HIRES ; set high res pg 2 graphics mode + STA HRPG2 + STA TXTCLR + STA MIXCLR + + LDA #$00 +; +; cursor to top left +; + STA CURROW + STA CURCOL + + JSR LODPG0 + LDY savey + LDX savex + RTS + +;;; Prints character from A to the screen +PUTCH + JMP (WRITVECTOR) ;allow user override of default video out routine +PUTCH2: + PHA + STY savey + STX savex + + LDY SCRNCLRD + BPL PUTCH_DROP ;if plus, screen has not been cleared, so drop + + JSR SAVPG0 + ;; drop the high bit + AND #$7F + ;; check for return + CMP #$0D + BEQ ENTERKY + ;; it's a regular key + JSR GETCODE + STA CHAR + ;; get the block address + LDX CURCOL + LDY CURROW + JSR GETBLOK + ;; get block bytes + LDX CHAR + JSR GETCHB + ;; render the char to the block + JSR DRAWCH + ;; restore state and exit +PD JSR MVCSR + JSR LODPG0 + +PUTCH_DROP + LDY savey + LDX savex + PLA + RTS + +ENTERKY ; print spaces until end of line (use turbo mode) + LDA TURBO + ORA #TURBOCMP ; set computer turbo mode + STA TURBO ; turbo mode to clear end of line +ENTERKY1 + LDY CURROW + LDX CURCOL + CPX #40 + BEQ ENTERKY_EXIT + JSR GETBLOK + LDX #0 ; space key + JSR GETCHB + JSR DRAWCH + INC CURCOL + JMP ENTERKY1 + +ENTERKY_EXIT + LDA TURBO + AND #TURBOUSR ; reset computer turbo mode, saving user mode + STA TURBO ; + JMP PD + +;;; Draws character to block +DRAWCH LDX #0 +L6 TXA + TAY + LDA (SRCLOW),Y + LDY #0 + STA (TRGLOW),Y + INX + LDA TRGHIGH + CLC + ADC #$4 + STA TRGHIGH + CPX #8 + BMI L6 + RTS + +;;; Get byte for char in X +GETCHB LDY #SPCODE + STY SRCHIGH +L5 CPX #0 + BEQ D + DEX + LDA #8 + CLC + ADC SRCLOW + STA SRCLOW + BCS AC + JMP L5 +AC LDA #0 + ADC SRCHIGH + STA SRCHIGH + JMP L5 +D RTS + +;;; Gets the block address at X,Y +GETBLOK TYA + JSR GETROW + ;; add the column + TXA + CLC + ADC TRGLOW + STA TRGLOW + BCS A1 + RTS +A1 LDA #0 + ADC TRGHIGH + RTS + +;;; Gets the row (A) address +GETROW ASL ; multiply row by two, there are two bytes per address + TAY + LDA PG1ROWS,Y + STA TRGHIGH + INY + LDA PG1ROWS,Y + STA TRGLOW + RTS + +;;; Converts ASCII code to table index +GETCODE SEC + SBC #$20 + BMI NC + CMP #$40 + BPL NC + RTS +NC LDA #0 + RTS + +;;; Store page zero data +SAVPG0 PHA + STX TMP1 + + LDA #PG0SAVEFLG ;check saved flag + CMP PG0SAVD + BEQ SD ;already saved, just exit + + LDX #0 +L1 LDA $00,X + STA TMPG0,X + INX + CPX #$8 + BNE L1 + + LDA #PG0SAVEFLG ;set save flag to saved after completely saved + STA PG0SAVD ;this way, if a reset comes in before we are done + ;we will not corrupt zero page + ;as the reset code restores zero page if flag set + +SD LDX TMP1 + PLA + RTS + +;;; Restore page zero data +LODPG0 + PHA + STX TMP1 + + LDA #PG0SAVEFLG ;checked saved flag + CMP PG0SAVD + BNE LD ;not saved, exit + + LDX #0 +L2 LDA TMPG0,X + STA $00,X + INX + CPX #$8 + BNE L2 + + LDA #<(~PG0SAVEFLG) ;clear saved flag to not saved after completely restored + ;this way, if a reset comes in before we are done + STA PG0SAVD ;as the reset code restores zero page if flag set + +LD LDX TMP1 + PLA + RTS + + +;;; tables +PG1ROWS HEX 4000 4080 4100 4180 4200 4280 4300 4380 4028 40A8 4128 41A8 4228 42A8 4328 43A8 4050 40D0 4150 41D0 4250 42D0 4350 43D0 +SPCODE HEX 00 00 00 00 00 00 00 00 +EXPCODE HEX 00 08 08 08 08 08 00 08 +QUOCODE HEX 00 14 14 14 00 00 00 00 +NUMCODE HEX 00 14 14 3e 14 3e 14 14 +STRCODE HEX 00 08 3c 0a 1c 28 1e 08 +PERCODE HEX 00 06 26 10 08 04 32 30 +AMPCODE HEX 00 04 0a 0a 04 2a 12 2c +SQCODE HEX 00 08 08 08 00 00 00 00 +RPCODE HEX 00 08 04 02 02 02 04 08 +LPCODE HEX 00 08 10 20 20 20 10 08 +STACODE HEX 00 08 2a 1c 08 1c 2a 08 +PLSCODE HEX 00 00 08 08 3e 08 08 00 +CMACODE HEX 00 00 00 00 00 08 08 04 +MINCODE HEX 00 00 00 00 3e 00 00 00 +DOTCODE HEX 00 00 00 00 00 00 00 08 +FSCODE HEX 00 00 20 10 08 04 02 00 +0CODE HEX 00 1c 22 32 2a 26 22 1c +1CODE HEX 00 08 0c 08 08 08 08 1c +2CODE HEX 00 1c 22 20 18 04 02 3e +3CODE HEX 00 3e 20 10 18 20 22 1c +4CODE HEX 00 10 18 14 12 3e 10 10 +5CODE HEX 00 3e 02 1e 20 20 22 1c +6CODE HEX 00 38 04 02 1e 22 22 1c +7CODE HEX 00 3e 20 10 08 04 04 04 +8CODE HEX 00 1c 22 22 1c 22 22 1c +9CODE HEX 00 1c 22 22 3c 20 10 0e +COLCODE HEX 00 00 00 08 00 08 00 00 +SEMCODE HEX 00 00 00 08 00 08 08 04 +LTCODE HEX 00 10 08 04 02 04 08 10 +EQCODE HEX 00 00 00 3e 00 3e 00 00 +GTCODE HEX 00 04 08 10 20 10 08 04 +QESCODE HEX 00 1c 22 10 08 08 00 08 +ATCODE HEX 00 1C 22 2A 3A 1A 02 3C +ACODE HEX 00 08 14 22 22 3e 22 22 +BCODE HEX 00 1e 22 22 1e 22 22 1e +CCODE HEX 00 1c 22 02 02 02 22 1c +DCODE HEX 00 1e 22 22 22 22 22 1e +ECODE HEX 00 3e 02 02 1e 02 02 3e +FCODE HEX 00 3e 02 02 1e 02 02 02 +GCODE HEX 00 3c 02 02 02 32 22 3c +HCODE HEX 00 22 22 22 3e 22 22 22 +ICODE HEX 00 1c 08 08 08 08 08 1c +JCODE HEX 00 20 20 20 20 20 22 1c +KCODE HEX 00 22 12 0a 06 0a 12 22 +LCODE HEX 00 02 02 02 02 02 02 3e +MCODE HEX 00 22 36 2a 2a 22 22 22 +NCODE HEX 00 22 22 26 2a 32 22 22 +OCODE HEX 00 1c 22 22 22 22 22 1c +PCODE HEX 00 1e 22 22 1e 02 02 02 +QCODE HEX 00 1c 22 22 22 2a 12 2c +RCODE HEX 00 1e 22 22 1e 0a 12 22 +SCODE HEX 00 1c 22 02 1c 20 22 1c +TCODE HEX 00 3e 08 08 08 08 08 08 +UCODE HEX 00 22 22 22 22 22 22 1c +VCODE HEX 00 22 22 22 22 22 14 08 +WCODE HEX 00 22 22 22 2a 2a 36 22 +XCODE HEX 00 22 22 14 08 14 22 22 +YCODE HEX 00 22 22 14 08 08 08 08 +ZCODE HEX 00 3e 20 10 08 04 02 3e +LBCODE HEX 00 3e 06 06 06 06 06 3e +BSCODE HEX 00 00 02 04 08 10 20 00 +RBCODE HEX 00 3e 30 30 30 30 30 3e +CRTCODE HEX 00 00 00 08 14 22 00 00 +UNDCODE HEX 00 00 00 00 00 00 00 3e + + + +;------------------------------------------------------------------------ +; BASIC SUPPORT FUNCTIONs +; peek and poke of the PIA is emulated +; using A2 hardware drivers +;------------------------------------------------------------------------ +;------------------------------------------------------------------------ +; POKE of D012 is emulated by calling +; A2 putchar routine +;------------------------------------------------------------------------ +ACC EQU $ce ; must be same as basic "acc" +A2POKE + JSR getbyte + LDA ACC + PHA + JSR get16bit + LDA #$D0 + CMP ACC+1 + BEQ A2POKE2 +A2POKE1 + PLA + STA (ACC),Y + RTS +A2POKE2 + LDA #$12 + CMP ACC + BNE A2POKE1 +;------------------------------------------------------------------------ +; Poke D012 == A2 ECHO CALL +;------------------------------------------------------------------------ + PLA + JMP ECHO + +;------------------------------------------------------------------------ +; PEEK of D012, D011 and D010 is emulated by using +; equivalent A2 functionality +;------------------------------------------------------------------------ + +A2PEEK + JSR get16bit + LDA #$D0 + CMP ACC+1 + BEQ A2PEEK3 +A2PEEK1 + LDA (ACC),Y +A2PEEK2 + STY syn_stk_l+31,X + JMP push_ya_noun_stk + +;------------------------------------------------------------------------ +; Peek D012 == determine if terminal is ready for output +; in the A2 memory mapped video driver case - the answer +; is always yes -so return positive number(or zero in this case) +;------------------------------------------------------------------------ +A2PEEK3 + LDA #$12 + CMP ACC + BNE A2PEEK4 + LDA #$00 + JMP A2PEEK2 +;------------------------------------------------------------------------ +; Peek D011 == A2 read c000 (does keyboard have char, yes if MSB set) +;------------------------------------------------------------------------ +A2PEEK4 + LDA #$11 + CMP ACC + BNE A2PEEK5 + LDA KBD + JMP A2PEEK2 +;------------------------------------------------------------------------ +; Peek D010 == A2 getchar (also clears strobe) +;------------------------------------------------------------------------ +A2PEEK5 + LDA #$10 + CMP ACC + BNE A2PEEK1 + LDA KBD + STA KBDCR + JMP A2PEEK2 + + IFCONST BLD4RAM + STORAGE + ENDIF + ENDIF ; end of A2 driver code +;------------------------------------------------------------------------ +; VERSION +;------------------------------------------------------------------------ + IFCONST BLD4RAM + ORG $6FFE + ELSE + ORG $DFFE + ENDIF + DC.w $0105 + +;------------------------------------------------------------------------ +; BASIC +;------------------------------------------------------------------------ + INCLUDE a1basic-universal.asm + +;------------------------------------------------------------------------- +; +; The WOZ Monitor for the Apple 1 +; Written by Steve Wozniak 1976 +; +;------------------------------------------------------------------------- + + + IFNCONST BLD4RAM + ORG $FF00 + ENDIF + +;------------------------------------------------------------------------- +; Memory declaration +;------------------------------------------------------------------------- + + + + + +XAML EQU $24 ;Last "opened" location Low +XAMH EQU $25 ;Last "opened" location High +STL EQU $26 ;Store address Low +STH EQU $27 ;Store address High +L EQU $28 ;Hex value parsing Low +H EQU $29 ;Hex value parsing High +YSAV EQU $2A ;Used to see if hex value is given +MODE EQU $2B ;$00=XAM, $7F=STOR, $AE=BLOCK XAM + +IN EQU $0200 ;Input buffer + + IFCONST BLD4APPLE1 +MONDSP EQU $D012 ;PIA.B display output register +MONDSPCR EQU $D013 ;PIA.B display control register + ENDIF + +; KBD b7..b0 are inputs, b6..b0 is ASCII input, b7 is constant high +; Programmed to respond to low to high KBD strobe +; DSP b6..b0 are outputs, b7 is input +; CB2 goes low when data is written, returns high when CB1 goes high +; Interrupts are enabled, though not used. KBD can be jumpered to IRQ, +; whereas DSP can be jumpered to NMI. + + + +;------------------------------------------------------------------------- +; Let's get started +; +; Remark the RESET routine is only to be entered by asserting the RESET +; line of the system. This ensures that the data direction registers +; are selected. +;------------------------------------------------------------------------- + +RESET CLD ;Clear decimal arithmetic mode + CLI + IFNCONST BLD4APPLE1 + JSR INIT ;init display driver + ENDIF + LDY #$7f ;Mask for DSP data direction reg + IFCONST BLD4APPLE1 + STY MONDSP ; (DDR mode is assumed after reset) + ENDIF + LDA #$a7 ;KBD and DSP control register mask + IFCONST BLD4APPLE1 + STA KBDCR ;Enable interrupts, set CA1, CB1 for + STA MONDSPCR ; positive edge sense/output mode. + ELSE + NOP + NOP + NOP + NOP + NOP + NOP + ENDIF + +; Program falls through to the GETLINE routine to save some program bytes +; Please note that Y still holds $7F, which will cause an automatic Escape + +;------------------------------------------------------------------------- +; The GETLINE process +;------------------------------------------------------------------------- + +NOTCR CMP #BS ;Backspace key? + BEQ BACKSPACE ;Yes + CMP #ESC ;ESC? + BEQ ESCAPE ;Yes + INY ;Advance text index + BPL NEXTCHAR ;Auto ESC if line longer than 127 + +ESCAPE LDA #PROMPT ;Print prompt character + JSR ECHO ;Output it. + +GETLINE LDA #CR ;Send CR + JSR ECHO + + LDY #0+1 ;Start a new input line +BACKSPACE DEY ;Backup text index + BMI GETLINE ;Oops, line's empty, reinitialize + +NEXTCHAR + IFCONST BLD4APPLE1 + LDA KBDCR ;Wait for key press + BPL NEXTCHAR ;No key yet! + LDA KBD ;Clear strobe + ELSE + JSR A2GETCHAR + NOP + NOP + NOP + NOP + NOP + ENDIF + STA IN,Y ;Add to text buffer + JSR ECHO ;Display character + CMP #CR + BNE NOTCR ;It's not CR! + +; Line received, now let's parse it + + LDY #-1 ;Reset text index + LDA #0 ;Default mode is XAM + TAX ;X=0 + +SETSTOR ASL ;Leaves $7B if setting STOR mode + +SETMODE STA MODE ;Set mode flags + +BLSKIP INY ;Advance text index + +NEXTITEM LDA IN,Y ;Get character + CMP #CR + BEQ GETLINE ;We're done if it's CR! + CMP #$AE ;"." + BCC BLSKIP ;Ignore everything below "."! + BEQ SETMODE ;Set BLOCK XAM mode ("." = $AE) + CMP #$BA ;":" + BEQ SETSTOR ;Set STOR mode! $BA will become $7B + CMP #$d2 ;"R" + BEQ RUN ;Run the program! Forget the rest + STX L ;Clear input value (X=0) + STX H + STY YSAV ;Save Y for comparison + +; Here we're trying to parse a new hex value + +NEXTHEX LDA IN,Y ;Get character for hex test + EOR #$B0 ;Map digits to 0-9 + CMP #9+1 ;Is it a decimal digit? + BCC DIG ;Yes! + ADC #$88 ;Map letter "A"-"F" to $FA-FF + CMP #$FA ;Hex letter? + BCC NOTHEX ;No! Character not hex + +DIG ASL + ASL ;Hex digit to MSD of A + ASL + ASL + + LDX #4 ;Shift count +HEXSHIFT ASL ;Hex digit left, MSB to carry + ROL L ;Rotate into LSD + ROL H ;Rotate into MSD's + DEX ;Done 4 shifts? + BNE HEXSHIFT ;No, loop + INY ;Advance text index + BNE NEXTHEX ;Always taken + +NOTHEX CPY YSAV ;Was at least 1 hex digit given? + BEQ ESCAPE ;No! Ignore all, start from scratch + + BIT MODE ;Test MODE byte + BVC NOTSTOR ;B6=0 is STOR, 1 is XAM or BLOCK XAM + +; STOR mode, save LSD of new hex byte + + LDA L ;LSD's of hex data + STA (STL,X) ;Store current 'store index'(X=0) + INC STL ;Increment store index. + BNE NEXTITEM ;No carry! + INC STH ;Add carry to 'store index' high +TONEXTITEM JMP NEXTITEM ;Get next command item. + +;------------------------------------------------------------------------- +; RUN user's program from last opened location +;------------------------------------------------------------------------- + +RUN JMP (XAML) ;Run user's program + +;------------------------------------------------------------------------- +; We're not in Store mode +;------------------------------------------------------------------------- + +NOTSTOR BMI XAMNEXT ;B7 = 0 for XAM, 1 for BLOCK XAM + +; We're in XAM mode now + + LDX #2 ;Copy 2 bytes +SETADR LDA L-1,X ;Copy hex data to + STA STL-1,X ; 'store index' + STA XAML-1,X ; and to 'XAM index' + DEX ;Next of 2 bytes + BNE SETADR ;Loop unless X = 0 + +; Print address and data from this address, fall through next BNE. + +NXTPRNT BNE PRDATA ;NE means no address to print + LDA #CR ;Print CR first + JSR ECHO + LDA XAMH ;Output high-order byte of address + JSR PRBYTE + LDA XAML ;Output low-order byte of address + JSR PRBYTE + LDA #":" ;Print colon + JSR ECHO + +PRDATA LDA #" " ;Print space + JSR ECHO + LDA (XAML,X) ;Get data from address (X=0) + JSR PRBYTE ;Output it in hex format +XAMNEXT STX MODE ;0 -> MODE (XAM mode). + LDA XAML ;See if there's more to print + CMP L + LDA XAMH + SBC H + BCS TONEXTITEM ;Not less! No more data to output + + INC XAML ;Increment 'examine index' + BNE MOD8CHK ;No carry! + INC XAMH + +MOD8CHK LDA XAML ;If address MOD 8 = 0 start new line + AND #$07 + BPL NXTPRNT ;Always taken. + +;------------------------------------------------------------------------- +; Subroutine to print a byte in A in hex form (destructive) +;------------------------------------------------------------------------- + +PRBYTE PHA ;Save A for LSD + LSR + LSR + LSR ;MSD to LSD position + LSR + JSR PRHEX ;Output hex digit + PLA ;Restore A + +; Fall through to print hex routine + +;------------------------------------------------------------------------- +; Subroutine to print a hexadecimal digit +;------------------------------------------------------------------------- + +PRHEX AND #$0F ;Mask LSD for hex print + ORA #"0" ;Add "0" + CMP #"9"+1 ;Is it a decimal digit? + BCC ECHO ;Yes! output it + ADC #6 ;Add offset for letter A-F + +; Fall through to print routine + +;------------------------------------------------------------------------- +; Subroutine to print a character to the terminal +;------------------------------------------------------------------------- +ECHO + IFCONST BLD4APPLE1 + BIT MONDSP ;DA bit (B7) cleared yet? + BMI ECHO ;No! Wait for display ready + STA MONDSP ;Output character. Sets DA + RTS + ELSE + CMP #$20 + BMI ECHO1 + ORA #$80 +ECHO1 + JMP PUTCH ; use hi-res screen + ENDIF + +;------------------------------------------------------------------------- +; Vector area +;------------------------------------------------------------------------- + IFNCONST BLD4RAM + ORG $fff8 + DC.W $0000 ;Unused, what a pity +NMI_VEC DC.W $0F00 ;NMI vector +RESET_VEC DC.W RESET ;RESET vector +IRQ_VEC DC.W $0000 ;IRQ vector + ENDIF + +;------------------------------------------------------------------------- + + diff --git a/Source and Serial Driver/a2a1emulv5_1/a2a1emulv5_1.lst b/Source and Serial Driver/a2a1emulv5_1/a2a1emulv5_1.lst new file mode 100644 index 0000000..a2a2a49 --- /dev/null +++ b/Source and Serial Driver/a2a1emulv5_1/a2a1emulv5_1.lst @@ -0,0 +1,4242 @@ +------- FILE a2a1emulv5_1.asm LEVEL 1 PASS 2 + 1 10000 PROCESSOR 6502 + 2 10000 ???? LIST ON + 3 10000 ???? ; + 4 10000 ???? ;-----------------------------------------------------------------------; + 5 10000 ???? ; The Wozanium Pack ; + 6 10000 ???? ; This file is part one of the Wozanium Pack. ; + 7 10000 ???? ; Apple 1 basic is the other part ; + 8 10000 ???? ;-----------------------------------------------------------------------; + 9 10000 ???? ; Copyright 2010,2011 Mike Willegal + 10 10000 ???? ; A1 monitor and A1 apple cassette interface derived from + 11 10000 ???? ; original Apple 1 implemenations by Steve Wozniak + 12 10000 ???? ; + 13 10000 ???? ; The Wozanium Pack is free software: + 14 10000 ???? ; you can redistribute it and/or modify + 15 10000 ???? ; it under the terms of the GNU General Public License as published by + 16 10000 ???? ; the Free Software Foundation, either version 3 of the License, or + 17 10000 ???? ; (at your option) any later version. + 18 10000 ???? ; + 19 10000 ???? ; The Wozanium Pack is distributed in the hope that it will be useful, + 20 10000 ???? ; but WITHOUT ANY WARRANTY; without even the implied warranty of + 21 10000 ???? ; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + 22 10000 ???? ; GNU General Public License for more details. + 23 10000 ???? ; + 24 10000 ???? ; You should have received a copy of the GNU General Public License + 25 10000 ???? ; along with the Wozanium Pack. If not, see . + 26 10000 ???? ; + 27 10000 ???? ;-----------------------------------------------------------------------; + 28 10000 ???? ;------------------------------------------------------------------------- + 29 10000 ???? ; Defines - this code can be built one of four ways + 30 10000 ???? ; 1. clone/real Apple 1 HW + 31 10000 ???? ; 2. runs in ram of real or virtual Apple 2 + 32 10000 ???? ; 3. runs in virtual apple 2 as ROM + 33 10000 ???? ; 4. runs in plug in board of real Apple 2 + 34 10000 ???? ; + 35 10000 ???? ; select one of these three options + 36 10000 ???? ;------------------------------------------------------------------------- + 37 10000 ???? ; Build with dasm 6502 assembler and the following command line + 38 10000 ???? ;dasm a2a1emulv5_1.asm -DBLD4ROMBD=0 -DHUSTNBASIC=0 -oa2a1rbh.o -la2a1rbh.lst + 39 10000 ???? ; + 40 10000 ???? + 41 10000 ???? ;BLD4APPLE1 EQU 0 ;ACTUAL APPLE 1 or CLONE + 42 10000 ???? ;BLD4RAM EQU 0 ;RAM of virtual or real A2 + 43 10000 ???? ;BLD4EMULROM EQU 0 ;ROM of virtual A2 + 44 10000 ???? ;BLD4ROMBD EQU 0 ;ROM board in Real A2 + 45 10000 ???? + 46 10000 ???? ;------------------------------------------------------------------------- + 47 10000 ???? ; Constants + 48 10000 ???? ;------------------------------------------------------------------------- + 49 10000 ???? + 50 10000 ???? 00 df BS EQU $DF ;Backspace key, arrow left key + 51 10000 ???? 00 8d CR EQU $8D ;Carriage Return + 52 10000 ???? 00 9b ESC EQU $9B ;ESC key + 53 10000 ???? 00 5c PROMPT EQU "\" ;Prompt character + 54 10000 ???? + 55 10000 ???? ;------------------------------------------------------------------------- + 56 10000 ???? ; scratchpad memory - uses last 1k of apple ii 48k + 57 10000 ???? ;------------------------------------------------------------------------- + 58 10000 ???? MAC storage + 59 10000 ???? TURBO DS.b 1 ; any bit non-zero is turbo mode + 60 10000 ???? TURBOUSR EQU $01 ; USER TURBO MODE + 61 10000 ???? TURBOCMP EQU $02 ; COMPUTER DRIVEN TURBO MODE + 62 10000 ???? + 63 10000 ???? RDCONVERT DS.b 1 + 64 10000 ???? READVECTOR DS.w 1 ;allows user override of default keyboard read function + 65 10000 ???? WRITVECTOR DS.w 1 ;allows user override of default video out function + 66 10000 ???? + 67 10000 ???? savey DS.b 1 + 68 10000 ???? savex DS.b 1 + 69 10000 ???? POWERUPINIT DS.b 1 + 70 10000 ???? SCRNCLRD DS.b 1 + 71 10000 ???? CHAR DS.b 1 + 72 10000 ???? TMP1 DS.b 1 + 73 10000 ???? CURROW DS.b 1 + 74 10000 ???? CURCOL DS.b 1 + 75 10000 ???? COUNTER0 DS.b 1 + 76 10000 ???? COUNTER1 DS.b 1 + 77 10000 ???? CURSOR DS.b 1 + 78 10000 ???? RDSTRTL DS.b 1 + 79 10000 ???? RDSTRTH DS.b 1 + 80 10000 ???? HEX2LB DS.b 1 ;Begin address of dump block + 81 10000 ???? HEX2HB DS.b 1 + 82 10000 ???? + 83 10000 ???? ;;; zero page back up so graphics routines can use them + 84 10000 ???? TMPG0 DS.b 16 + 85 10000 ???? PG0SAVD DS.b 1 + 86 10000 ???? ENDM + 87 10000 ???? IFNCONST BLD4APPLE1 + 88 10000 ???? IFNCONST BLD4RAM + 89 bc00 ORG $bc00 + 0 bc00 STORAGE + 1 bc00 00 TURBO DS.b 1 + 2 bc00 00 01 TURBOUSR EQU $01 + 3 bc00 00 02 TURBOCMP EQU $02 + 4 bc01 + 5 bc01 00 RDCONVERT DS.b 1 + 6 bc02 00 00 READVECTOR DS.w 1 + 7 bc04 00 00 WRITVECTOR DS.w 1 + 8 bc06 + 9 bc06 00 savey DS.b 1 + 10 bc07 00 savex DS.b 1 + 11 bc08 00 POWERUPINIT DS.b 1 + 12 bc09 00 SCRNCLRD DS.b 1 + 13 bc0a 00 CHAR DS.b 1 + 14 bc0b 00 TMP1 DS.b 1 + 15 bc0c 00 CURROW DS.b 1 + 16 bc0d 00 CURCOL DS.b 1 + 17 bc0e 00 COUNTER0 DS.b 1 + 18 bc0f 00 COUNTER1 DS.b 1 + 19 bc10 00 CURSOR DS.b 1 + 20 bc11 00 RDSTRTL DS.b 1 + 21 bc12 00 RDSTRTH DS.b 1 + 22 bc13 00 HEX2LB DS.b 1 + 23 bc14 00 HEX2HB DS.b 1 + 24 bc15 + 25 bc15 + 26 bc15 00 00 00 00*TMPG0 DS.b 16 + 27 bc25 00 PG0SAVD DS.b 1 + 91 bc26 ENDIF + 92 bc26 ENDIF + 93 bc26 + 94 bc26 + 95 bc26 + 96 bc26 + 97 bc26 + 98 bc26 + 99 bc26 ;------------------------------------------------------------------------- + 100 bc26 ; Memory declaration + 101 bc26 ;------------------------------------------------------------------------- + 102 bc26 + 103 bc26 + 104 bc26 00 24 HEX1L EQU $24 ;End address of dump block + 105 bc26 00 25 HEX1H EQU $25 + 106 bc26 00 26 HEX2L EQU $26 ;Begin address of dump block + 107 bc26 00 27 HEX2H EQU $27 + 108 bc26 + 109 bc26 00 28 SAVEINDEX EQU $28 ;Save index in input buffer + 110 bc26 00 29 LASTSTATE EQU $29 ;Last input state + 111 bc26 + 112 bc26 02 00 IN EQU $0200 ;Input buffer + 113 bc26 - IFCONST BLD4APPLE1 + 114 bc26 -FLIP EQU $C000 ;Output flip-flop + 115 bc26 -TAPEIN EQU $C081 ;Tape input + 116 bc26 -KBD EQU $D010 ;PIA.A keyboard input + 117 bc26 -KBDCR EQU $D011 ;PIA.A keyboard control register + 118 bc26 ELSE + 119 bc26 c0 20 FLIP EQU $C020 ;Output flip-flop + 120 bc26 c0 60 TAPEIN EQU $C060 ;Tape input + 121 bc26 c0 00 KBD EQU $C000 ;keyboard input + 122 bc26 c0 10 KBDCR EQU $C010 ;keybaord strobe clear + 123 bc26 ENDIF + 124 bc26 + 125 bc26 ;------------------------------------------------------------------------- + 126 bc26 ; Constants + 127 bc26 ;------------------------------------------------------------------------- + 128 bc26 + 129 bc26 00 8d CR EQU $8D ;Carriage Return + 130 bc26 00 9b ESC EQU $9B ;ASCII ESC + 131 bc26 00 e0 CNTSTRT EQU $E0 + 132 bc26 + 133 bc26 ;--------------------------------------------------------------------------- + 134 bc26 ; build in ACI Driver + 135 bc26 ;--------------------------------------------------------------------------- + 136 bc26 - IFCONST BLD4EMULROM + 137 bc26 - ORG $D000 ; EMULATOR ROM image + 138 bc26 ELSE + 139 bc26 - IFCONST BLD4RAM + 140 bc26 - ORG $6000 ; build for memory + 141 bc26 ELSE + 142 c100 ORG $C100 ; real apple 1 or plug in ROM board for A2 + 143 c100 ENDIF + 144 c100 ENDIF + 145 c100 + 146 c100 ;------------------------------------------------------------------------- + 147 c100 ; + 148 c100 ; The WOZ Apple Cassette Interface for the Apple 1 + 149 c100 ; Written by Steve Wozniak somewhere around 1976 + 150 c100 ; + 151 c100 ;------------------------------------------------------------------------- + 152 c100 WOZACI + 153 c100 + 154 c100 a9 aa LDA #$aa ;Print the Tape prompt + 155 c102 IFNCONST BLD4APPLE1 + 156 c102 4c 00 d0 JMP A2ACIDRIVER ;If not actual Apple 1, use A2 driver + 157 c105 - ELSE + 158 c105 - JSR ECHO + 159 c105 ENDIF + 160 c105 a9 8d LDA #CR ;And drop the cursor one line + 161 c107 20 ef ff JSR ECHO + 162 c10a + 163 c10a a0 ff LDY #-1 ;Reset the input buffer index + 164 c10c c8 ACINEXTCHAR INY + 165 c10d + 166 c10d + 167 c10d ad 10 c0 ACIWAITCHAR LDA KBDCR ;Wait for key press + 168 c110 10 fb BPL ACIWAITCHAR ;No key yet! + 169 c112 ad 00 c0 LDA KBD ;Clear strobe + 170 c115 99 00 02 STA IN,Y ;Save it into buffer + 171 c118 20 ef ff JSR ECHO ;And type it on the screen + 172 c11b c9 9b CMP #ESC + 173 c11d f0 e1 BEQ WOZACI ;Start from scratch if ESC! + 174 c11f c9 8d CMP #CR + 175 c121 d0 e9 BNE ACINEXTCHAR ;Read keys until CR + 176 c123 + 177 c123 a2 ff LDX #-1 ;Initialize parse buffer pointer + 178 c125 + 179 c125 ;------------------------------------------------------------------------- + 180 c125 ; Start parsing first or a new tape command + 181 c125 ;------------------------------------------------------------------------- + 182 c125 + 183 c125 a9 00 NEXTCMD LDA #0 ;Clear begin and end values + 184 c127 85 24 STA HEX1L + 185 c129 85 25 STA HEX1H + 186 c12b 85 26 STA HEX2L + 187 c12d 85 27 STA HEX2H + 188 c12f + 189 c12f e8 NEXTCHR INX ;Increment input pointer + 190 c130 bd 00 02 LDA IN,X ;Get next char from input line + 191 c133 c9 d2 CMP #$d2 ;Read command? + 192 c135 f0 56 BEQ READ ;Yes! + 193 c137 c9 d7 CMP #$d7 ;Write command? + 194 c139 f0 35 BEQ WRITE ;Yes! (note: CY=1) + 195 c13b c9 ae CMP #$ae ;Separator? + 196 c13d f0 27 BEQ SEP ;Yes! + 197 c13f c9 8d CMP #CR ;End of line? + 198 c141 f0 20 BEQ GOESC ;Escape to monitor! We're done + 199 c143 c9 a0 CMP #$a0 ;Ignore spaces + 200 c145 f0 e8 BEQ NEXTCHR + 201 c147 49 b0 EOR #$b0 ;Map digits to 0-9 + 202 c149 c9 0a CMP #9+1 ;Is it a decimal digit? + 203 c14b 90 06 BCC ACIDIG ;Yes! + 204 c14d 69 88 ADC #$88 ;Map letter "A"-"F" to $FA-$FF + 205 c14f c9 fa CMP #$FA ;Hex letter? + 206 c151 90 ad BCC WOZACI ;No! Character not hex! + 207 c153 + 208 c153 0a ACIDIG ASL ;Hex digit to MSD of A + 209 c154 0a ASL + 210 c155 0a ASL + 211 c156 0a ASL + 212 c157 + 213 c157 a0 04 LDY #4 ;Shift count + 214 c159 0a ACIHEXSHIFT ASL ;Hex digit left, MSB to carry + 215 c15a 26 24 ROL HEX1L ;Rotate into LSD + 216 c15c 26 25 ROL HEX1H ;Rotate into MSD + 217 c15e 88 DEY ;Done 4 shifts? + 218 c15f d0 f8 BNE ACIHEXSHIFT ;No! Loop + 219 c161 f0 cc BEQ NEXTCHR ;Handle next character + 220 c163 + 221 c163 ;------------------------------------------------------------------------- + 222 c163 ; Return to monitor, prints \ first + 223 c163 ;------------------------------------------------------------------------- + 224 c163 + 225 c163 4c 1a ff GOESC JMP ESCAPE ;Escape back to monitor + 226 c166 + 227 c166 ;------------------------------------------------------------------------- + 228 c166 ; Separating . found. Copy HEX1 to Hex2. Doesn't clear HEX1!!! + 229 c166 ;------------------------------------------------------------------------- + 230 c166 + 231 c166 a5 24 SEP LDA HEX1L ;Copy hex value 1 to hex value 2 + 232 c168 85 26 STA HEX2L + 233 c16a a5 25 LDA HEX1H + 234 c16c 85 27 STA HEX2H + 235 c16e b0 bf BCS NEXTCHR ;Always taken! + 236 c170 + 237 c170 ;------------------------------------------------------------------------- + 238 c170 ; Write a block of memory to tape + 239 c170 ;------------------------------------------------------------------------- + 240 c170 + 241 c170 WRITE + 242 c170 a9 40 LDA #64 ;Write 10 second header + 243 c172 20 cc c1 JSR WHEADER + 244 c175 88 WRNEXT DEY ;Compensate timing for extra work + 245 c176 a2 00 LDX #0 ;Get next byte to write + 246 c178 a1 26 LDA (HEX2L,X) + 247 c17a + 248 c17a a2 10 LDX #8*2 ;Shift 8 bits (decremented twice) + 249 c17c 0a WBITLOOP ASL ;Shift MSB to carry + 250 c17d 20 db c1 JSR WRITEBIT ;Write this bit + 251 c180 d0 fa BNE WBITLOOP ;Do all 8 bits! + 252 c182 + 253 c182 20 f1 c1 JSR INCADDR ;Increment address + 254 c185 a0 1e LDY #30 ;Compensate timer for extra work + 255 c187 90 ec BCC WRNEXT ;Not done yet! Write next byte + 256 c189 + 257 c189 RESTIDX + 258 c189 a6 28 LDX SAVEINDEX ;Restore index in input line + 259 c18b b0 98 BCS NEXTCMD ;Always taken! + 260 c18d + 261 c18d ;------------------------------------------------------------------------- + 262 c18d ; For case when ACI must fit in c100-c1ff - the read function must be moved + 263 c18d ; because the standard read doesn't fit with the extra mask instruction + 264 c18d ; required for the Apple II hardware + 265 c18d ;------------------------------------------------------------------------- + 266 c18d + 267 c18d READ + 268 c18d 20 bc c1 JSR FULLCYCLE ;Wait until full cycle is detected + 269 c190 a9 16 LDA #22 ;Introduce some delay to allow + 270 c192 20 cc c1 JSR WHEADER ; the tape speed to stabilize + 271 c195 20 bc c1 JSR FULLCYCLE ;Synchronize with full cycle + 272 c198 NOTSTART + 273 c198 a0 1f LDY #31 ;Try to detect the much shorter + 274 c19a 20 bf c1 JSR CMPLEVEL ; start bit + 275 c19d b0 f9 BCS NOTSTART ;Start bit not detected yet! + 276 c19f 20 bf c1 JSR CMPLEVEL ;Wait for 2nd phase of start bit + 277 c1a2 + 278 c1a2 a0 3a LDY #58 ;Set threshold value in middle + 279 c1a4 a2 08 RDBYTE LDX #8 ;Receiver 8 bits + 280 c1a6 48 RDBIT PHA + 281 c1a7 20 bc c1 JSR FULLCYCLE ;Detect a full cycle + 282 c1aa 68 PLA + 283 c1ab 2a ROL ;Roll new bit into result + 284 c1ac a0 39 LDY #57 ;Set threshold value in middle + 285 c1ae ca DEX ;Decrement bit counter + 286 c1af d0 f5 BNE RDBIT ;Read next bit! + 287 c1b1 81 26 STA (HEX2L,X) ;Save new byte + 288 c1b3 + 289 c1b3 20 f1 c1 JSR INCADDR ;Increment address + 290 c1b6 a0 35 LDY #53 ;Compensate threshold with workload + 291 c1b8 90 ea BCC RDBYTE ;Do next byte if not done yet! + 292 c1ba b0 cd BCS RESTIDX ;Always taken! Restore parse index + 293 c1bc + 294 c1bc 20 bf c1 FULLCYCLE JSR CMPLEVEL ;Wait for two level changes + 295 c1bf 88 CMPLEVEL DEY ;Decrement time counter + 296 c1c0 ad 60 c0 LDA TAPEIN ;Get Tape In data + 297 c1c3 + 298 c1c3 ;------------------------------------------------------------------------- + 299 c1c3 ; the next instruction must be added for apple II + 300 c1c3 ; since it doesn't fit in the 256 bytes allowed in the + 301 c1c3 ; original PROM, a substitute A2 read function is called instead + 302 c1c3 ; (READ_APPLE2_VERSION)which is located in a different bank + 303 c1c3 ; + 304 c1c3 ; AND #$80 ;CLEAR floating bits + 305 c1c3 ;------------------------------------------------------------------------- + 306 c1c3 c5 29 CMP LASTSTATE ;Same as before? + 307 c1c5 f0 f8 BEQ CMPLEVEL ;Yes! + 308 c1c7 85 29 STA LASTSTATE ;Save new data + 309 c1c9 + 310 c1c9 c0 80 CPY #128 ;Compare threshold + 311 c1cb 60 RTS + 312 c1cc ;------------------------------------------------------------------------- + 313 c1cc ; Write header to tape + 314 c1cc ; + 315 c1cc ; The header consists of an asymmetric cycle, starting with one phase of + 316 c1cc ; approximately (66+47)x5=565us, followed by a second phase of + 317 c1cc ; approximately (44+47)x5=455us. + 318 c1cc ; Total cycle duration is approximately 1020us ~ 1kHz. The actual + 319 c1cc ; frequencywill be a bit lower because of the additional workload between + 320 c1cc ; the twoloops. + 321 c1cc ; The header ends with a short phase of (30+47)x5=385us and a normal + 322 c1cc ; phase of (44+47)x5=455us. This start bit must be detected by the read + 323 c1cc ; routine to trigger the reading of the actual data. + 324 c1cc ;------------------------------------------------------------------------- + 325 c1cc + 326 c1cc 86 28 WHEADER STX SAVEINDEX ;Save index in input line + 327 c1ce a0 42 HCOUNT LDY #66 ;Extra long delay + 328 c1d0 20 e0 c1 JSR WDELAY ;CY is constantly 1, writing a 1 + 329 c1d3 d0 f9 BNE HCOUNT ;Do this 64 * 256 time! + 330 c1d5 69 fe ADC #-2 ;Decrement A (CY=1 all the time) + 331 c1d7 b0 f5 BCS HCOUNT ;Not all done! + 332 c1d9 a0 1e LDY #30 ;Write a final short bit (start) + 333 c1db + 334 c1db ;------------------------------------------------------------------------- + 335 c1db ; Write a full bit cycle + 336 c1db ; + 337 c1db ; Upon entry Y contains a compensated value for the first phase of 0 + 338 c1db ; bit length. All subsequent loops don't have to be time compensated. + 339 c1db ;------------------------------------------------------------------------- + 340 c1db + 341 c1db 20 e0 c1 WRITEBIT JSR WDELAY ;Do two equal phases + 342 c1de a0 2c LDY #44 ;Load 250us counter - compensation + 343 c1e0 + 344 c1e0 88 WDELAY DEY ;Delay 250us (one phase of 2kHz) + 345 c1e1 d0 fd BNE WDELAY + 346 c1e3 90 05 BCC WRITE1 ;Write a '1' (2kHz) + 347 c1e5 + 348 c1e5 a0 2f LDY #47 ;Additional delay for '0' (1kHz) + 349 c1e7 88 WDELAY0 DEY ; (delay 250us) + 350 c1e8 d0 fd BNE WDELAY0 + 351 c1ea + 352 c1ea WRITE1 + 353 c1ea bc 20 c0 LDY FLIP,X ;Flip the output bit + 354 c1ed a0 29 LDY #41 ;Reload 250us cntr (compensation) + 355 c1ef ca DEX ;Decrement bit counter + 356 c1f0 60 RTS + 357 c1f1 + 358 c1f1 ;------------------------------------------------------------------------- + 359 c1f1 ; Increment current address and compare with last address + 360 c1f1 ;------------------------------------------------------------------------- + 361 c1f1 a5 26 INCADDR LDA HEX2L ;Compare current address with + 362 c1f3 c5 24 CMP HEX1L ; end address + 363 c1f5 a5 27 LDA HEX2H + 364 c1f7 e5 25 SBC HEX1H + 365 c1f9 e6 26 INC HEX2L ;And increment current address + 366 c1fb d0 02 BNE NOCARRY ;No carry to MSB! + 367 c1fd e6 27 INC HEX2H + 368 c1ff 60 NOCARRY RTS + 369 c200 + 370 c200 + 371 c200 ;--------------------------------------------------------------------------- + 372 c200 ; all the following code is needed for the A2 version + 373 c200 ; skip to Basic for the real thing + 374 c200 ;--------------------------------------------------------------------------- + 375 c200 IFNCONST BLD4APPLE1 + 376 c200 ;--------------------------------------------------------------------------- + 377 c200 ; build in an A2 ACI driver for ROM version + 378 c200 ; if using an A2, the version at C100 jumps to this version + 379 c200 ; and this version is at D000 (so slots 0 & 2-7 can be used) + 380 c200 ;--------------------------------------------------------------------------- + 381 c200 IFNCONST BLD4EMULROM + 382 c200 IFNCONST BLD4RAM + 383 d000 ORG $D000 ; A2 ROM or image + 384 d000 ENDIF + 385 d000 ENDIF + 386 d000 + 387 d000 ;------------------------------------------------------------------------- + 388 d000 ; ACI DRIVER + 389 d000 ;------------------------------------------------------------------------- + 390 d000 A2ACIDRIVER + 391 d000 a9 aa LDA #$aa ;Print the Tape prompt + 392 d002 20 ef ff JSR ECHO + 393 d005 a9 8d LDA #CR ;And drop the cursor one line + 394 d007 20 ef ff JSR ECHO + 395 d00a + 396 d00a a0 ff LDY #-1 ;Reset the input buffer index + 397 d00c RB_ACINEXTCHAR + 398 d00c c8 INY + 399 d00d + 400 d00d 20 4d d2 JSR A2GETCHAR + 401 d010 + 402 d010 99 00 02 STA IN,Y ;Save it into buffer + 403 d013 20 ef ff JSR ECHO ;And type it on the screen + 404 d016 c9 9b CMP #ESC + 405 d018 f0 e6 BEQ A2ACIDRIVER ;Start from scratch if ESC! + 406 d01a c9 8d CMP #CR + 407 d01c d0 ee BNE RB_ACINEXTCHAR ;Read keys until CR + 408 d01e + 409 d01e a2 ff LDX #-1 ;Initialize parse buffer pointer + 410 d020 + 411 d020 ;------------------------------------------------------------------------- + 412 d020 ; Start parsing first or a new tape command + 413 d020 ;------------------------------------------------------------------------- + 414 d020 + 415 d020 RB_NEXTCMD + 416 d020 a9 00 LDA #0 ;Clear begin and end values + 417 d022 85 24 STA HEX1L + 418 d024 85 25 STA HEX1H + 419 d026 85 26 STA HEX2L + 420 d028 85 27 STA HEX2H + 421 d02a + 422 d02a RB_NEXTCHR + 423 d02a e8 INX ;Increment input pointer + 424 d02b bd 00 02 LDA IN,X ;Get next char from input line + 425 d02e c9 d2 CMP #$d2 ;Read command? + 426 d030 f0 69 BEQ RB_READ ;Yes! + 427 d032 c9 d7 CMP #$d7 ;Write command? + 428 d034 f0 35 BEQ RB_WRITE ;Yes! (note: CY=1) + 429 d036 c9 ae CMP #$ae ;Separator? + 430 d038 f0 27 BEQ RB_SEP ;Yes! + 431 d03a c9 8d CMP #CR ;End of line? + 432 d03c f0 20 BEQ RB_GOESC ;Escape to monitor! We're done + 433 d03e c9 a0 CMP #$a0 ;Ignore spaces + 434 d040 f0 e8 BEQ RB_NEXTCHR + 435 d042 49 b0 EOR #$b0 ;Map digits to 0-9 + 436 d044 c9 0a CMP #9+1 ;Is it a decimal digit? + 437 d046 90 06 BCC RB_ACIDIG ;Yes! + 438 d048 69 88 ADC #$88 ;Map letter "A"-"F" to $FA-$FF + 439 d04a c9 fa CMP #$FA ;Hex letter? + 440 d04c 90 b2 BCC A2ACIDRIVER ;No! Character not hex! + 441 d04e + 442 d04e RB_ACIDIG + 443 d04e 0a ASL ;Hex digit to MSD of A + 444 d04f 0a ASL + 445 d050 0a ASL + 446 d051 0a ASL + 447 d052 + 448 d052 a0 04 LDY #4 ;Shift count + 449 d054 RB_ACIHEXSHIFT + 450 d054 0a ASL ;Hex digit left, MSB to carry + 451 d055 26 24 ROL HEX1L ;Rotate into LSD + 452 d057 26 25 ROL HEX1H ;Rotate into MSD + 453 d059 88 DEY ;Done 4 shifts? + 454 d05a d0 f8 BNE RB_ACIHEXSHIFT ;No! Loop + 455 d05c f0 cc BEQ RB_NEXTCHR ;Handle next character + 456 d05e + 457 d05e ;------------------------------------------------------------------------- + 458 d05e ; Return to monitor, prints \ first + 459 d05e ;------------------------------------------------------------------------- + 460 d05e + 461 d05e 4c 1a ff RB_GOESC JMP ESCAPE ;Escape back to monitor + 462 d061 + 463 d061 ;------------------------------------------------------------------------- + 464 d061 ; Separating . found. Copy HEX1 to Hex2. Doesn't clear HEX1!!! + 465 d061 ;------------------------------------------------------------------------- + 466 d061 + 467 d061 a5 24 RB_SEP LDA HEX1L ;Copy hex value 1 to hex value 2 + 468 d063 85 26 STA HEX2L + 469 d065 a5 25 LDA HEX1H + 470 d067 85 27 STA HEX2H + 471 d069 b0 bf BCS RB_NEXTCHR ;Always taken! + 472 d06b + 473 d06b ;------------------------------------------------------------------------- + 474 d06b ; Write a block of memory to tape + 475 d06b ;------------------------------------------------------------------------- + 476 d06b + 477 d06b RB_WRITE + 478 d06b ;------------------------------------------------------------------------- + 479 d06b ; save write start addresses + 480 d06b ; so we can check for keyboard + 481 d06b ; or console write sequences + 482 d06b ; and dynamicly modify code to + 483 d06b ; use original A1 driver + 484 d06b ; and then revert later on + 485 d06b ;------------------------------------------------------------------------- + 486 d06b a5 26 LDA HEX2L + 487 d06d 8d 11 bc STA RDSTRTL + 488 d070 a5 27 LDA HEX2H + 489 d072 8d 12 bc STA RDSTRTH + 490 d075 86 28 STX SAVEINDEX ;Save index in input line + 491 d077 20 ce d1 JSR A2_WRITECONVERT ; convert I/O to A1 compatible format + 492 d07a ;------------------------------------------------------------------------- + 493 d07a ; now start write of this block + 494 d07a ;------------------------------------------------------------------------- + 495 d07a + 496 d07a a9 40 LDA #64 ;Write 10 second header + 497 d07c 20 d7 d0 JSR RB_WHEADER + 498 d07f + 499 d07f 88 RB_WRNEXT DEY ;Compensate timing for extra work + 500 d080 a2 00 LDX #0 ;Get next byte to write + 501 d082 a1 26 LDA (HEX2L,X) + 502 d084 + 503 d084 a2 10 LDX #8*2 ;Shift 8 bits (decremented twice) + 504 d086 0a RB_WBITLOOP ASL ;Shift MSB to carry + 505 d087 20 e4 d0 JSR RB_WRITEBIT ;Write this bit + 506 d08a d0 fa BNE RB_WBITLOOP ;Do all 8 bits! + 507 d08c + 508 d08c 20 0c d1 JSR A2_INCADDR ;Increment address + 509 d08f a0 1e LDY #30 ;Compensate timer for extra work + 510 d091 90 ec BCC RB_WRNEXT ;Not done yet! Write next byte + 511 d093 + 512 d093 RB_RESTIDX + 513 d093 20 1b d1 JSR A2_READCONVERT ; convert buffer to A2 I/O + 514 d096 a6 28 LDX SAVEINDEX ;Restore index in input line + 515 d098 4c 20 d0 JMP RB_NEXTCMD ;Always taken! + 516 d09b + 517 d09b ;------------------------------------------------------------------------- + 518 d09b ; For case when ACI must fit in c100-c1ff - the read function must be moved + 519 d09b ; because the standard read doesn't fit with the extra mask instruction + 520 d09b ; required for the Apple II hardware + 521 d09b ;------------------------------------------------------------------------- + 522 d09b + 523 d09b RB_READ + 524 d09b ;------------------------------------------------------------------------- + 525 d09b ; save read start addresses + 526 d09b ; so we can check for keyboard + 527 d09b ; or console write sequences + 528 d09b ; and dynamicly modify code to + 529 d09b ; use A2 driver + 530 d09b ;------------------------------------------------------------------------- + 531 d09b a5 26 LDA HEX2L + 532 d09d 8d 11 bc STA RDSTRTL + 533 d0a0 a5 27 LDA HEX2H + 534 d0a2 8d 12 bc STA RDSTRTH + 535 d0a5 + 536 d0a5 20 fa d0 JSR A2_FULLCYCLE ;Wait until full cycle is detected + 537 d0a8 86 28 STX SAVEINDEX ;Save index in input line + 538 d0aa a9 16 LDA #22 ;Introduce some delay to allow + 539 d0ac 20 d7 d0 JSR RB_WHEADER ; the tape speed to stabilize + 540 d0af + 541 d0af ;------------------------------------------------------------------------- + 542 d0af ; + 543 d0af ; This read function will optionally convert and A1 keyboard reads + 544 d0af ; to calls to use our driver to read from A2 hardware by scanning + 545 d0af ; read data looking for one of three code sequences + 546 d0af ;------------------------------------------------------------------------- + 547 d0af ; + 548 d0af ; Normal start + 549 d0af ; + 550 d0af 20 fa d0 JSR A2_FULLCYCLE ;Synchronize with full cycle + 551 d0b2 a0 1c A2_NOTSTART LDY #28 ;Try to detect the much shorter + 552 d0b4 20 fd d0 JSR A2_CMPLEVEL ; start bit + 553 d0b7 b0 f9 BCS A2_NOTSTART ;Start bit not detected yet! + 554 d0b9 20 fd d0 JSR A2_CMPLEVEL ;Wait for 2nd phase of start bit + 555 d0bc + 556 d0bc a0 35 LDY #53 ;Set threshold value in middle + 557 d0be a2 08 A2_RDBYTE LDX #8 ;Receiver 8 bits + 558 d0c0 48 A2_RDBIT PHA + 559 d0c1 20 fa d0 JSR A2_FULLCYCLE ;Detect a full cycle + 560 d0c4 68 PLA + 561 d0c5 2a ROL ;Roll new bit into result + 562 d0c6 a0 34 LDY #52 ;Set threshold value in middle + 563 d0c8 ca DEX ;Decrement bit counter + 564 d0c9 d0 f5 BNE A2_RDBIT ;Read next bit! + 565 d0cb 81 26 STA (HEX2L,X) ;Save new byte + 566 d0cd + 567 d0cd 20 0c d1 JSR A2_INCADDR ;Increment address + 568 d0d0 a0 2e LDY #46 ;Compensate threshold with workload + 569 d0d2 90 ea BCC A2_RDBYTE ;Do next byte if not done yet! + 570 d0d4 + 571 d0d4 4c 93 d0 JMP RB_RESTIDX + 572 d0d7 + 573 d0d7 + 574 d0d7 + 575 d0d7 ;------------------------------------------------------------------------- + 576 d0d7 ; Write header to tape + 577 d0d7 ; + 578 d0d7 ; The header consists of an asymmetric cycle, starting with one phase of + 579 d0d7 ; approximately (66+47)x5=565us, followed by a second phase of + 580 d0d7 ; approximately (44+47)x5=455us. + 581 d0d7 ; Total cycle duration is approximately 1020us ~ 1kHz. The actual + 582 d0d7 ; frequencywill be a bit lower because of the additional workload between + 583 d0d7 ; the twoloops. + 584 d0d7 ; The header ends with a short phase of (30+47)x5=385us and a normal + 585 d0d7 ; phase of (44+47)x5=455us. This start bit must be detected by the read + 586 d0d7 ; routine to trigger the reading of the actual data. + 587 d0d7 ;------------------------------------------------------------------------- + 588 d0d7 + 589 d0d7 RB_WHEADER + 590 d0d7 a0 48 RB_HCOUNT LDY #72 ;Extra long delay + 591 d0d9 20 e9 d0 JSR RB_WDELAY ;CY is constantly 1, writing a 1 + 592 d0dc d0 f9 BNE RB_HCOUNT ;Do this 64 * 256 time! + 593 d0de 69 fe ADC #-2 ;Decrement A (CY=1 all the time) + 594 d0e0 b0 f5 BCS RB_HCOUNT ;Not all done! + 595 d0e2 a0 20 LDY #32 ;Write a final short bit (start) + 596 d0e4 + 597 d0e4 ;------------------------------------------------------------------------- + 598 d0e4 ; Write a full bit cycle + 599 d0e4 ; + 600 d0e4 ; Upon entry Y contains a compensated value for the first phase of 0 + 601 d0e4 ; bit length. All subsequent loops don't have to be time compensated. + 602 d0e4 ;------------------------------------------------------------------------- + 603 d0e4 + 604 d0e4 RB_WRITEBIT + 605 d0e4 + 606 d0e4 20 e9 d0 JSR RB_WDELAY ;Do two equal phases + 607 d0e7 a0 2f LDY #47 ;Load 250us counter - compensation + 608 d0e9 + 609 d0e9 88 RB_WDELAY DEY ;Delay 250us (one phase of 2kHz) + 610 d0ea d0 fd BNE RB_WDELAY + 611 d0ec 90 05 BCC RB_WRITE1 ;Write a '1' (2kHz) + 612 d0ee + 613 d0ee a0 32 LDY #50 ;Additional delay for '0' (1kHz) + 614 d0f0 88 RB_WDELAY0 DEY ; (delay 250us) + 615 d0f1 d0 fd BNE RB_WDELAY0 + 616 d0f3 + 617 d0f3 RB_WRITE1 + 618 d0f3 + 619 d0f3 ac 20 c0 LDY FLIP ;Flip the output bit + 620 d0f6 + 621 d0f6 a0 2e LDY #46 ;Reload 250us cntr (compensation) + 622 d0f8 ca DEX ;Decrement bit counter + 623 d0f9 60 RTS + 624 d0fa + 625 d0fa ;------------------------------------------------------------------------- + 626 d0fa ; Wait for FULL cycle (cmplevel- waits till level transisiton) + 627 d0fa ;------------------------------------------------------------------------- + 628 d0fa 20 fd d0 A2_FULLCYCLE JSR A2_CMPLEVEL ;Wait for two level changes + 629 d0fd 88 A2_CMPLEVEL DEY ;Decrement time counter + 630 d0fe ad 60 c0 LDA TAPEIN ;Get Tape In data + 631 d101 + 632 d101 ; the next instruction must be added for apple II + 633 d101 29 80 AND #$80 ;CLEAR floating bits + 634 d103 c5 29 CMP LASTSTATE ;Same as before? + 635 d105 f0 f6 BEQ A2_CMPLEVEL ;Yes! + 636 d107 85 29 STA LASTSTATE ;Save new data + 637 d109 + 638 d109 c0 80 CPY #128 ;Compare threshold + 639 d10b 60 RTS + 640 d10c ;------------------------------------------------------------------------- + 641 d10c ; Increment current address and compare with last address + 642 d10c ;------------------------------------------------------------------------- + 643 d10c a5 26 A2_INCADDR LDA HEX2L ;Compare current address with + 644 d10e c5 24 CMP HEX1L ; end address + 645 d110 a5 27 LDA HEX2H + 646 d112 e5 25 SBC HEX1H + 647 d114 e6 26 INC HEX2L ;And increment current address + 648 d116 d0 02 BNE A2_NOCARRY ;No carry to MSB! + 649 d118 e6 27 INC HEX2H + 650 d11a 60 A2_NOCARRY RTS + 651 d11b + 652 d11b ;------------------------------------------------------------------------- + 653 d11b ; one block read + 654 d11b ; modify A1 code that touches PIA to A2 version as it is loaded + 655 d11b ; these are keyboard and display functions + 656 d11b ; + 657 d11b ; APPLE 1 version + 658 d11b ;ACIWAITCHAR + 659 d11b ; ad 11 d0 LDA KBDCR ;Wait for key press + 660 d11b ; 30 FB BPL ACIWAITCHAR ;No key yet! + 661 d11b ; ad 10 d0 LDA KBD ;Clear strobe + 662 d11b ; converted to our version + 663 d11b ; 20 3a d1 JSR A2GETCHAR + 664 d11b ; ea NOP + 665 d11b ; ea NOP + 666 d11b ; ea NOP + 667 d11b ; ea NOP + 668 d11b ; ea NOP + 669 d11b ; + 670 d11b ; instead if BPL is not present or has mismatching branch offset + 671 d11b ; ad 11 d0 LDA KBDCR + 672 d11b ; is converted to + 673 d11b ; ad 00 c0 LDA KBD + 674 d11b ; + 675 d11b ; + 676 d11b ; + 677 d11b ; ad 10 d0 LDA KBD + 678 d11b ; is converted to + 679 d11b ; 8d 10 c0 STA KBDCR ;Clear strobe + 680 d11b ; + 681 d11b ; finally there are some cases where presence of character is + 682 d11b ; determined with the bit command + 683 d11b ; 2c 11 d0 BIT KBDCR + 684 d11b ; is converted to + 685 d11b ; 2c 00 c00 BIT KBD + 686 d11b ; + 687 d11b ; + 688 d11b ; + 689 d11b ;------------------------------------------------------------------------- + 690 d11b + 691 d11b 00 77 CNVRTTERM EQU $77 ; UNIQUE CHAR NEEDED TO TERMINATE CONVERSION STRINGS + 692 d11b + 693 d11b A2_READCONVERT + 694 d11b a9 00 LDA #0 ; RDCONVERT can be turned off + 695 d11d cd 01 bc CMP RDCONVERT + 696 d120 d0 4d BNE A2_READCONVERTDONE ; not zero, then skip conversion + 697 d122 a2 00 LDX #0 + 698 d124 a0 00 LDY #0 + 699 d126 ; + 700 d126 ; check next string through all of memory + 701 d126 ; + 702 d126 + 703 d126 A2_READCONVERT_1 ; for this string, scan all of read memory + 704 d126 8c 06 bc STY savey ;save compare string starting point + 705 d129 + 706 d129 b9 90 d1 LDA CNVRT_IN,Y + 707 d12c c9 77 CMP #CNVRTTERM ; Termination character? + 708 d12e f0 3f BEQ A2_READCONVERTDONE ;all done checking, exit + 709 d130 + 710 d130 ad 11 bc LDA RDSTRTL ; start of memory load + 711 d133 85 26 STA HEX2L + 712 d135 ad 12 bc LDA RDSTRTH + 713 d138 85 27 STA HEX2H + 714 d13a + 715 d13a ; + 716 d13a ; restart currnet string + 717 d13a ; + 718 d13a A2_READCONVERT_8 + 719 d13a a5 26 LDA HEX2L ;save memory starting point + 720 d13c 8d 13 bc STA HEX2LB + 721 d13f a5 27 LDA HEX2H + 722 d141 8d 14 bc STA HEX2HB + 723 d144 ac 06 bc LDY savey + 724 d147 + 725 d147 A2_READCONVERT1 + 726 d147 a1 26 LDA (HEX2L),X ; fetch byte from memory + 727 d149 d9 90 d1 CMP CNVRT_IN,Y ; compare + 728 d14c f0 11 BEQ A2_READCONVERT1_2 ; this byte does match, process + 729 d14e ; + 730 d14e ; mo match, restart match string + 731 d14e ; + 732 d14e 20 0c d1 JSR A2_INCADDR + 733 d151 90 e7 BCC A2_READCONVERT_8 ; not end of memory - restart scan for current string + 734 d153 + 735 d153 ; + 736 d153 ; end of memory block - go to next string + 737 d153 ; + 738 d153 A2_READCONVERT1_3 + 739 d153 c8 INY + 740 d154 b9 90 d1 LDA CNVRT_IN,Y + 741 d157 c9 77 CMP #CNVRTTERM ; Termination character? + 742 d159 d0 f8 BNE A2_READCONVERT1_3 ; not end of block, keep looking + 743 d15b c8 INY ; found end, move to start of next block + 744 d15c 4c 26 d1 JMP A2_READCONVERT_1 ; rescan memory with next string + 745 d15f ; + 746 d15f ; match - keep going until mismatch or end of string + 747 d15f ; + 748 d15f A2_READCONVERT1_2 + 749 d15f c8 INY + 750 d160 b9 90 d1 LDA CNVRT_IN,Y + 751 d163 c9 77 CMP #CNVRTTERM ; Termination character? + 752 d165 f0 09 BEQ A2_READCONVERT3 ; end of string - this is match do substitute + 753 d167 20 0c d1 JSR A2_INCADDR ; next memory address + 754 d16a 90 db BCC A2_READCONVERT1 ; not done - keep scanning + 755 d16c + 756 d16c 4c 53 d1 JMP A2_READCONVERT1_3 ; not a complete match - try next string + 757 d16f + 758 d16f ;------------------------------------------------------------------------- + 759 d16f ; Finished with READ + 760 d16f ;------------------------------------------------------------------------- + 761 d16f A2_READCONVERTDONE + 762 d16f 60 RTS + 763 d170 + 764 d170 ;------------------------------------------------------------------------- + 765 d170 ; Substitute string here + 766 d170 ;------------------------------------------------------------------------- + 767 d170 + 768 d170 A2_READCONVERT3 ; match - substitute here + 769 d170 ac 06 bc LDY savey + 770 d173 + 771 d173 ad 13 bc LDA HEX2LB ;restore memory starting point + 772 d176 85 26 STA HEX2L + 773 d178 ad 14 bc LDA HEX2HB + 774 d17b 85 27 STA HEX2H + 775 d17d A2_READCONVERT4 + 776 d17d b9 90 d1 LDA CNVRT_IN,Y + 777 d180 c9 77 CMP #CNVRTTERM ; Termination character? + 778 d182 f0 b6 BEQ A2_READCONVERT_8 ; done with sustibute, continue checking + 779 d184 b9 af d1 LDA CNVRT_OUT,Y + 780 d187 c8 INY + 781 d188 81 26 STA (HEX2L),X + 782 d18a 20 0c d1 JSR A2_INCADDR + 783 d18d 4c 7d d1 JMP A2_READCONVERT4 + 784 d190 + 785 d190 ;------------------------------------------------------------------------- + 786 d190 ; conversion strings + 787 d190 ; IN(what we are looking for + 788 d190 ; OUT (what we change it to) + 789 d190 ;------------------------------------------------------------------------- + 790 d190 + 791 d190 CNVRT_IN + 792 d190 ad 11 d0 CI1 LDA $d011 + 793 d193 10 fb BPL CI1 + 794 d195 ad 10 d0 LDA $d010 + 795 d198 77 DC.b CNVRTTERM + 796 d199 ad 11 d0 LDA $d011 + 797 d19c 77 DC.b CNVRTTERM + 798 d19d ad 10 d0 LDA $d010 + 799 d1a0 77 DC.b CNVRTTERM + 800 d1a1 2c 11 d0 BIT $d011 + 801 d1a4 77 DC.b CNVRTTERM + 802 d1a5 CI2 + 803 d1a5 2c 12 d0 BIT $D012 + 804 d1a8 30 fb BMI CI2 + 805 d1aa 8d 12 d0 STA $D012 + 806 d1ad 77 DC.b CNVRTTERM + 807 d1ae - IFCONST BLD4RAM + 808 d1ae - JSR $FFEF + 809 d1ae - DC.b CNVRTTERM + 810 d1ae ENDIF + 811 d1ae 77 DC.b CNVRTTERM + 812 d1af + 813 d1af CNVRT_OUT + 814 d1af 20 4d d2 JSR A2GETCHAR + 815 d1b2 ea NOP + 816 d1b3 ea NOP + 817 d1b4 ea NOP + 818 d1b5 ea NOP + 819 d1b6 ea NOP + 820 d1b7 77 DC.b CNVRTTERM + 821 d1b8 ad 00 c0 LDA KBD + 822 d1bb 77 DC.b CNVRTTERM + 823 d1bc 8d 10 c0 STA KBDCR + 824 d1bf 77 DC.b CNVRTTERM + 825 d1c0 2c 00 c0 BIT KBD + 826 d1c3 77 DC.b CNVRTTERM + 827 d1c4 20 ef ff JSR ECHO + 828 d1c7 ea NOP + 829 d1c8 ea NOP + 830 d1c9 ea NOP + 831 d1ca ea NOP + 832 d1cb ea NOP + 833 d1cc 77 DC.b CNVRTTERM + 834 d1cd - IFCONST BLD4RAM + 835 d1cd - JSR ECHO + 836 d1cd - DC.b CNVRTTERM + 837 d1cd ENDIF + 838 d1cd 77 DC.b CNVRTTERM + 839 d1ce + 840 d1ce + 841 d1ce ;------------------------------------------------------------------------- + 842 d1ce ; one block write + 843 d1ce ; undo read convert when writing to tape so tape + 844 d1ce ; can be loaded and run on a real actual A1 + 845 d1ce ;------------------------------------------------------------------------- + 846 d1ce A2_WRITECONVERT + 847 d1ce a9 00 LDA #0 ; RDCONVERT can be turned off + 848 d1d0 cd 01 bc CMP RDCONVERT + 849 d1d3 d0 4d BNE A2_WRITECONVERTDONE ; not zero, then skip conversion + 850 d1d5 a2 00 LDX #0 + 851 d1d7 a0 00 LDY #0 + 852 d1d9 ; + 853 d1d9 ; check next string through all of memory + 854 d1d9 ; + 855 d1d9 + 856 d1d9 A2_WRITECONVERT_1 ; for this string, scan all of read memory + 857 d1d9 8c 06 bc STY savey ;save compare string starting point + 858 d1dc + 859 d1dc b9 af d1 LDA CNVRT_OUT,Y + 860 d1df c9 77 CMP #CNVRTTERM ; Termination character? + 861 d1e1 f0 3f BEQ A2_WRITECONVERTDONE ;all done checking, exit + 862 d1e3 + 863 d1e3 ad 11 bc LDA RDSTRTL ; reset block address + 864 d1e6 85 26 STA HEX2L + 865 d1e8 ad 12 bc LDA RDSTRTH + 866 d1eb 85 27 STA HEX2H + 867 d1ed + 868 d1ed ; + 869 d1ed ; restart currnet string + 870 d1ed ; + 871 d1ed A2_WRITECONVERT_8 + 872 d1ed a5 26 LDA HEX2L ;save memory starting point + 873 d1ef 8d 13 bc STA HEX2LB + 874 d1f2 a5 27 LDA HEX2H + 875 d1f4 8d 14 bc STA HEX2HB + 876 d1f7 ac 06 bc LDY savey + 877 d1fa + 878 d1fa A2_WRITECONVERT1 + 879 d1fa a1 26 LDA (HEX2L),X ; fetch byte from memory + 880 d1fc d9 af d1 CMP CNVRT_OUT,Y ; compare + 881 d1ff f0 11 BEQ A2_WRITECONVERT1_2 ; this byte does match, process + 882 d201 ; + 883 d201 ; mo match, restart match string + 884 d201 ; + 885 d201 20 0c d1 JSR A2_INCADDR + 886 d204 90 e7 BCC A2_WRITECONVERT_8 ; not end of memory - restart scan for current string + 887 d206 + 888 d206 ; + 889 d206 ; end of memory block - go to next string + 890 d206 ; + 891 d206 A2_WRITECONVERT1_3 + 892 d206 c8 INY + 893 d207 b9 af d1 LDA CNVRT_OUT,Y + 894 d20a c9 77 CMP #CNVRTTERM ; Termination character? + 895 d20c d0 f8 BNE A2_WRITECONVERT1_3 ; not end of block, keep looking + 896 d20e c8 INY ; found end, move to start of next block + 897 d20f 4c d9 d1 JMP A2_WRITECONVERT_1 ; rescan memory with next string + 898 d212 ; + 899 d212 ; match - keep going until mismatch or end of string + 900 d212 ; + 901 d212 A2_WRITECONVERT1_2 + 902 d212 c8 INY + 903 d213 b9 af d1 LDA CNVRT_OUT,Y + 904 d216 c9 77 CMP #CNVRTTERM ; Termination character? + 905 d218 f0 13 BEQ A2_WRITECONVERT3 ; end of string - this is match do substitute + 906 d21a 20 0c d1 JSR A2_INCADDR ; next memory address + 907 d21d 90 db BCC A2_WRITECONVERT1 ; not done - keep scanning + 908 d21f + 909 d21f 4c 06 d2 JMP A2_WRITECONVERT1_3 ; not a complete match - try next string + 910 d222 + 911 d222 ;------------------------------------------------------------------------- + 912 d222 ; Finished with WRITE CONVERSION + 913 d222 ;------------------------------------------------------------------------- + 914 d222 A2_WRITECONVERTDONE + 915 d222 ad 11 bc LDA RDSTRTL ; reset block address + 916 d225 85 26 STA HEX2L + 917 d227 ad 12 bc LDA RDSTRTH + 918 d22a 85 27 STA HEX2H + 919 d22c + 920 d22c 60 RTS + 921 d22d ;------------------------------------------------------------------------- + 922 d22d ; Substitute string here + 923 d22d ;------------------------------------------------------------------------- + 924 d22d + 925 d22d A2_WRITECONVERT3 ; match - substitute here + 926 d22d ac 06 bc LDY savey + 927 d230 + 928 d230 ad 13 bc LDA HEX2LB ;restore memory starting point + 929 d233 85 26 STA HEX2L + 930 d235 ad 14 bc LDA HEX2HB + 931 d238 85 27 STA HEX2H + 932 d23a A2_WRITECONVERT4 + 933 d23a b9 af d1 LDA CNVRT_OUT,Y + 934 d23d c9 77 CMP #CNVRTTERM ; Termination character? + 935 d23f f0 ac BEQ A2_WRITECONVERT_8 ; done with sustibute, continue checking + 936 d241 b9 90 d1 LDA CNVRT_IN,Y + 937 d244 c8 INY + 938 d245 81 26 STA (HEX2L),X + 939 d247 20 0c d1 JSR A2_INCADDR + 940 d24a 4c 3a d2 JMP A2_WRITECONVERT4 + 941 d24d + 942 d24d ;------------------------------------------------------------------------- + 943 d24d ; output driver - uses hires memory + 944 d24d ;------------------------------------------------------------------------- + 945 d24d + 946 d24d A2GETCHAR + 947 d24d 6c 02 bc JMP (READVECTOR) ;Allow user override of default get char function + 948 d250 A2GETCHAR2 + 949 d250 20 af d2 JSR TOGGLE + 950 d253 ad 00 c0 LDA KBD ;Wait for key press + 951 d256 10 f5 BPL A2GETCHAR ;No key yet! + 952 d258 8d 10 c0 STA KBDCR ;Clear strobe + 953 d25b c9 88 CMP #$88 ; left arrow + 954 d25d d0 02 BNE A2_GC_NOT_BS ; brnch no + 955 d25f a9 df LDA #BS ; convert to _ + 956 d261 A2_GC_NOT_BS + 957 d261 c9 95 CMP #$95 ; right arrow + 958 d263 d0 06 BNE A2_GC_RET ; no, exit + 959 d265 20 11 d4 JSR CLEAR ; yes, clear screen and + 960 d268 + 961 d268 4c 4d d2 JMP A2GETCHAR ; get next char (this is a special HW emulation + 962 d26b ; function so skip call to READVECTOR) + 963 d26b A2_GC_RET + 964 d26b 60 RTS + 965 d26c + 966 d26c + 967 d26c ;------------------------------------------------------------------------- + 968 d26c ; output driver - uses hires memory + 969 d26c ;------------------------------------------------------------------------- + 970 d26c ;;; Magic Numbers + 971 d26c 00 f0 SCRINIT EQU $f0 + 972 d26c 00 f0 PG0SAVEFLG EQU $f0 + 973 d26c ;;; Definitions + 974 d26c c0 54 HRPG1 EQU $C054 + 975 d26c c0 55 HRPG2 EQU $C055 + 976 d26c c0 56 LORES EQU $C056 + 977 d26c c0 57 HIRES EQU $C057 + 978 d26c c0 50 TXTCLR EQU $C050 + 979 d26c c0 51 TXTMOD EQU $C051 + 980 d26c c0 52 MIXCLR EQU $C052 + 981 d26c fd 0c GETCHAR EQU $FD0C + 982 d26c + 983 d26c ;;; Page Zero Temps (8 locations reserved) + 984 d26c 00 00 TRGLOW EQU $00 + 985 d26c 00 01 TRGHIGH EQU $01 + 986 d26c 00 02 SRCLOW EQU $02 + 987 d26c 00 03 SRCHIGH EQU $03 + 988 d26c 00 05 CNT2 EQU $05 + 989 d26c 00 06 CNT3 EQU $06 + 990 d26c + 991 d26c ; Last location of low res + 992 d26c 07 f8 LASTLOCATION EQU $7F8 + 993 d26c + 994 d26c ;;; Entry point for testing + 995 d26c 20 83 d3 START JSR INIT + 996 d26f 20 0c fd L0 JSR GETCHAR + 997 d272 20 47 d4 JSR PUTCH + 998 d275 4c 6f d2 JMP L0 + 999 d278 00 BRK + 1000 d279 + 1001 d279 ;;; Move the cursor + 1002 d279 ee 0d bc MVCSR INC CURCOL + 1003 d27c ad 0d bc LDA CURCOL + 1004 d27f c9 28 CMP #40 + 1005 d281 10 14 BPL NXTROW + 1006 d283 MD + 1007 d283 a9 00 LDA #0 + 1008 d285 cd 00 bc CMP TURBO + 1009 d288 d0 0c BNE MR + 1010 d28a + 1011 d28a a0 00 LDY #0 + 1012 d28c a2 0c ML0 LDX #12 ; speed fine tuning + 1013 d28e e8 ML1 INX + 1014 d28f d0 fd BNE ML1 + 1015 d291 c8 INY + 1016 d292 c0 09 CPY #$9 + 1017 d294 d0 f6 BNE ML0 + 1018 d296 60 MR RTS + 1019 d297 NXTROW + 1020 d297 a9 00 LDA #0 + 1021 d299 8d 0d bc STA CURCOL + 1022 d29c + 1023 d29c ad 0c bc LDA CURROW ; don't increment current row until in case + 1024 d29f ; we are already at bottom of screen + 1025 d29f c9 17 CMP #23 ; if a reset comes in, it could leave us on an illegal row + 1026 d2a1 30 06 BMI NXTROW2 + 1027 d2a3 + 1028 d2a3 20 14 d3 JSR SCROLL ; scrolling bottom line, do not advance CURROW + 1029 d2a6 4c 83 d2 JMP MD + 1030 d2a9 + 1031 d2a9 NXTROW2 + 1032 d2a9 ee 0c bc INC CURROW ; not at bottom of screen advance to next row (CURROW) + 1033 d2ac 4c 83 d2 JMP MD + 1034 d2af + 1035 d2af ;;; Toggle the cursor + 1036 d2af TOGGLE + 1037 d2af + 1038 d2af ee 0e bc INC COUNTER0 + 1039 d2b2 d0 5f BNE DT + 1040 d2b4 ee 0f bc INC COUNTER1 + 1041 d2b7 d0 5a BNE DT + 1042 d2b9 48 PHA + 1043 d2ba a9 e0 LDA #CNTSTRT + 1044 d2bc 8d 0f bc STA COUNTER1 + 1045 d2bf ; + 1046 d2bf ; if screen has not been cleared- toggle betweeen hi res pages + 1047 d2bf ; + 1048 d2bf ad 09 bc LDA SCRNCLRD + 1049 d2c2 30 1b BMI TOGGLE2 + 1050 d2c4 ; + 1051 d2c4 ; toggle from hi-res to low-res + 1052 d2c4 ; + 1053 d2c4 ad 10 bc LDA CURSOR + 1054 d2c7 d0 0b BNE TOGGLE1 + 1055 d2c9 a9 20 LDA #32 + 1056 d2cb 8d 10 bc STA CURSOR + 1057 d2ce ad 54 c0 LDA HRPG1 + 1058 d2d1 4c 12 d3 JMP TOGGLE4 + 1059 d2d4 + 1060 d2d4 TOGGLE1 + 1061 d2d4 a9 00 LDA #0 + 1062 d2d6 8d 10 bc STA CURSOR + 1063 d2d9 ad 55 c0 LDA HRPG2 + 1064 d2dc 4c 12 d3 JMP TOGGLE4 + 1065 d2df ; + 1066 d2df ; else toggle cursor + 1067 d2df ; + 1068 d2df TOGGLE2 + 1069 d2df 8e 07 bc STX savex + 1070 d2e2 8c 06 bc STY savey + 1071 d2e5 20 1a d5 JSR SAVPG0 + 1072 d2e8 + 1073 d2e8 ad 10 bc LDA CURSOR + 1074 d2eb d0 05 BNE SETSPC + 1075 d2ed a9 20 LDA #32 + 1076 d2ef 4c f4 d2 JMP DRWCUR + 1077 d2f2 a9 00 SETSPC LDA #0 + 1078 d2f4 8d 10 bc DRWCUR STA CURSOR + 1079 d2f7 ae 0d bc LDX CURCOL + 1080 d2fa ac 0c bc LDY CURROW + 1081 d2fd 20 ed d4 JSR GETBLOK + 1082 d300 ae 10 bc LDX CURSOR + 1083 d303 20 ca d4 JSR GETCHB + 1084 d306 20 b3 d4 JSR DRAWCH + 1085 d309 20 3b d5 JSR LODPG0 + 1086 d30c ae 07 bc LDX savex + 1087 d30f ac 06 bc LDY savey + 1088 d312 TOGGLE4 + 1089 d312 68 PLA + 1090 d313 60 DT RTS + 1091 d314 + 1092 d314 ;;; Scrolls the screen at the end + 1093 d314 SCROLL + 1094 d314 ;------------------------------------------------------------------------------------------------------------ + 1095 d314 ; + 1096 d314 ; HIRES is organized + 1097 d314 ; into three blocks, offset by 0x28 bytes each, starting at 2000 + 1098 d314 ; each block holds 8 lines of text, offset by 0x80 bytes + 1099 d314 ; each line of text is split into 8 rows of pixels offset by 0x400 bytes + 1100 d314 ; + 1101 d314 ; this function starts at second from top row copy all pixels to row above it and continues down the screen + 1102 d314 ; + 1103 d314 ;------------------------------------------------------------------------------------------------------------ + 1104 d314 ; first block -set up starting addresses + 1105 d314 ; + 1106 d314 a2 00 ldx #0 ;index into graphics table - starts at zero + 1107 d316 ; top loop - 24 lines of characters per page - copy bottom 23 lines (first line scrolls off top) + 1108 d316 a9 17 LDA #23 + 1109 d318 85 05 STA CNT2 + 1110 d31a + 1111 d31a ; + 1112 d31a ; next line of text + 1113 d31a ; + 1114 d31a scr1 + 1115 d31a bd 5c d5 LDA PG1ROWS,x ; target + 1116 d31d 85 01 STA TRGHIGH + 1117 d31f e8 inx + 1118 d320 bd 5c d5 LDA PG1ROWS,x ; target + 1119 d323 85 00 STA TRGLOW + 1120 d325 e8 inx + 1121 d326 bd 5c d5 LDA PG1ROWS,x ; src + 1122 d329 85 03 STA SRCHIGH + 1123 d32b e8 inx + 1124 d32c bd 5c d5 LDA PG1ROWS,x ; scr + 1125 d32f 85 02 STA SRCLOW + 1126 d331 ca DEX ;next pass target is current source + 1127 d332 a9 08 LDA #8 + 1128 d334 85 06 STA CNT3 + 1129 d336 4c 47 d3 JMP scr2.1 + 1130 d339 ; + 1131 d339 ; adjust address to next line of pixels + 1132 d339 ; + 1133 d339 scr2 + 1134 d339 + 1135 d339 a9 04 LDA #$4 + 1136 d33b 18 CLC + 1137 d33c 65 03 ADC SRCHIGH + 1138 d33e 85 03 STA SRCHIGH + 1139 d340 a9 04 LDA #$4 + 1140 d342 18 CLC + 1141 d343 65 01 ADC TRGHIGH + 1142 d345 85 01 STA TRGHIGH + 1143 d347 scr2.1 + 1144 d347 a0 27 LDY #39 + 1145 d349 ;copy 40 characters that make up a line of pixels + 1146 d349 scr3 + 1147 d349 b1 02 LDA (SRCLOW),y + 1148 d34b 91 00 STA (TRGLOW),y + 1149 d34d 88 DEY + 1150 d34e 10 f9 BPL scr3 ; repeat for 40 characters that make line of pixels + 1151 d350 c6 06 DEC CNT3 + 1152 d352 d0 e5 BNE scr2 ; done with this line of pixels =- goto to next liine of pixels + 1153 d354 c6 05 DEC CNT2 + 1154 d356 d0 c2 BNE scr1 ; done with this line of characters - goto next line of chars + 1155 d358 + 1156 d358 20 5c d3 JSR CLEAR_LINE + 1157 d35b 60 RTS + 1158 d35c + 1159 d35c ; + 1160 d35c ; clear line - X contains line # + 1161 d35c ; + 1162 d35c CLEAR_LINE + 1163 d35c bd 5c d5 LDA PG1ROWS,x ; target (was last source) + 1164 d35f 85 01 STA TRGHIGH + 1165 d361 e8 inx + 1166 d362 bd 5c d5 LDA PG1ROWS,x ; target + 1167 d365 85 00 STA TRGLOW + 1168 d367 + 1169 d367 a9 08 LDA #8 + 1170 d369 85 06 STA CNT3 + 1171 d36b 4c 75 d3 JMP CL4.1 + 1172 d36e ; + 1173 d36e ; adjust address to next line of pixels + 1174 d36e ; + 1175 d36e CL4 + 1176 d36e a9 04 LDA #$4 + 1177 d370 18 CLC + 1178 d371 65 01 ADC TRGHIGH + 1179 d373 85 01 STA TRGHIGH + 1180 d375 CL4.1 + 1181 d375 a0 27 LDY #39 + 1182 d377 a9 00 lda #$0 + 1183 d379 ;copy 40 characters that make up a line of pixels + 1184 d379 CL5 + 1185 d379 91 00 STA (TRGLOW),y + 1186 d37b 88 DEY + 1187 d37c 10 fb BPL CL5 ; repeat for 40 characters that make line of pixels + 1188 d37e c6 06 DEC CNT3 + 1189 d380 d0 ec BNE CL4 ; done with this line of pixels =- goto to next liine of pixels + 1190 d382 60 RTS + 1191 d383 + 1192 d383 + 1193 d383 ;;; Initialize the graphics system, set cursor and clear the screen + 1194 d383 INIT + 1195 d383 ; + 1196 d383 ; Initialize default keyboard in and video out routines + 1197 d383 a9 4a LDA #PUTCH2 + 1200 d38a 8d 05 bc STA WRITVECTOR+1 + 1201 d38d + 1202 d38d a9 50 LDA #A2GETCHAR2 + 1205 d394 8d 03 bc STA READVECTOR+1 + 1206 d397 + 1207 d397 ad 00 bc LDA TURBO ;clear computer driven turbo mode + 1208 d39a 29 01 AND #TURBOUSR ;but save user turbo mode + 1209 d39c 8d 00 bc STA TURBO + 1210 d39f ; + 1211 d39f ; reset could have occurred during video driver operations + 1212 d39f ; attempt to restore page 0 if possible + 1213 d39f ; there is a case where we were in the process of saving + 1214 d39f ; or restoring page zero variables when reset occurred + 1215 d39f ; we cannot recover from that case + 1216 d39f ; + 1217 d39f 20 3b d5 JSR LODPG0 ;restore page zero variables + 1218 d3a2 ; + 1219 d3a2 ; determine whether we should emulate power up screen + 1220 d3a2 ; + 1221 d3a2 a9 f0 LDA #SCRINIT + 1222 d3a4 cd 08 bc CMP POWERUPINIT ;have we initialized power up screen + 1223 d3a7 d0 12 BNE INITSCREEN ;no, let's do it + 1224 d3a9 cd 09 bc CMP SCRNCLRD ;has user cleared the screen? + 1225 d3ac d0 0c BNE INITEXIT ;no, leave graphics mode alone + 1226 d3ae + 1227 d3ae ; + 1228 d3ae ; clear screen already done - set HGR PG 2 mode + 1229 d3ae ; + 1230 d3ae 8d 57 c0 STA HIRES ; set high res pg 2 graphics mode + 1231 d3b1 8d 55 c0 STA HRPG2 ; if this is power up, this will be changed below + 1232 d3b4 8d 50 c0 STA TXTCLR + 1233 d3b7 8d 52 c0 STA MIXCLR + 1234 d3ba INITEXIT + 1235 d3ba 60 RTS + 1236 d3bb ; + 1237 d3bb ; initialize lowres page 1 as startup screen + 1238 d3bb ; + 1239 d3bb + 1240 d3bb INITSCREEN + 1241 d3bb a9 04 LDA #$04 ;START ADDRESS + 1242 d3bd 85 27 STA HEX2H + 1243 d3bf a9 00 LDA #$0 + 1244 d3c1 85 26 STA HEX2L + 1245 d3c3 + 1246 d3c3 a9 0b LDA #$0B ;END ADDRESS + 1247 d3c5 85 25 STA HEX1H + 1248 d3c7 a9 f8 LDA #$F8 + 1249 d3c9 85 24 STA HEX1L + 1250 d3cb + 1251 d3cb a0 00 LDY #0 + 1252 d3cd + 1253 d3cd INIT1 + 1254 d3cd a9 df LDA #$DF ; underbar + 1255 d3cf 91 26 STA (HEX2L),y + 1256 d3d1 20 0c d1 JSR A2_INCADDR + 1257 d3d4 b0 13 BCS INIT2 + 1258 d3d6 a9 08 LDA #$08 ; check address range <800 use at sign + 1259 d3d8 24 27 BIT HEX2H ; >800 use space + 1260 d3da d0 04 BNE INIT1_1 + 1261 d3dc a9 c0 LDA #$C0 ; at sign + 1262 d3de 30 02 BMI INIT1_2 + 1263 d3e0 INIT1_1 + 1264 d3e0 a9 a0 LDA #$A0 ; space + 1265 d3e2 INIT1_2 + 1266 d3e2 91 26 STA (HEX2L),y ; save it + 1267 d3e4 20 0c d1 JSR A2_INCADDR + 1268 d3e7 90 e4 BCC INIT1 + 1269 d3e9 + 1270 d3e9 INIT2 + 1271 d3e9 + 1272 d3e9 a9 00 LDA #0 + 1273 d3eb 8d 09 bc STA SCRNCLRD ; now indicate that screen has been not cleared + 1274 d3ee 8d 0c bc STA CURROW + 1275 d3f1 8d 0d bc STA CURCOL + 1276 d3f4 8d 00 bc STA TURBO ; default not turbo mode + 1277 d3f7 8d 01 bc STA RDCONVERT ; default convert cassette reads + 1278 d3fa a9 e0 LDA #CNTSTRT + 1279 d3fc 8d 0f bc STA COUNTER1 + 1280 d3ff + 1281 d3ff 8d 56 c0 STA LORES ; set lowres pg 1 graphics mode for start up screen + 1282 d402 8d 54 c0 STA HRPG1 ; use page 1 + 1283 d405 8d 51 c0 STA TXTMOD + 1284 d408 8d 52 c0 STA MIXCLR + 1285 d40b + 1286 d40b a9 f0 LDA #SCRINIT + 1287 d40d 8d 08 bc STA POWERUPINIT ; indicate power on init done + 1288 d410 + 1289 d410 60 RTS + 1290 d411 + 1291 d411 ;;; Clears hires page 1 + 1292 d411 CLEAR + 1293 d411 8c 06 bc STY savey + 1294 d414 8e 07 bc STX savex + 1295 d417 20 1a d5 JSR SAVPG0 + 1296 d41a + 1297 d41a a2 00 LDX #0 + 1298 d41c + 1299 d41c CLEAR2 + 1300 d41c 20 5c d3 JSR CLEAR_LINE + 1301 d41f e8 INX + 1302 d420 e0 30 CPX #48 + 1303 d422 d0 f8 BNE CLEAR2 + 1304 d424 ;; page cleared + 1305 d424 + 1306 d424 a9 f0 LDA #SCRINIT + 1307 d426 8d 09 bc STA SCRNCLRD ;indicate screen cleared + 1308 d429 + 1309 d429 8d 57 c0 STA HIRES ; set high res pg 2 graphics mode + 1310 d42c 8d 55 c0 STA HRPG2 + 1311 d42f 8d 50 c0 STA TXTCLR + 1312 d432 8d 52 c0 STA MIXCLR + 1313 d435 + 1314 d435 a9 00 LDA #$00 + 1315 d437 ; + 1316 d437 ; cursor to top left + 1317 d437 ; + 1318 d437 8d 0c bc STA CURROW + 1319 d43a 8d 0d bc STA CURCOL + 1320 d43d + 1321 d43d 20 3b d5 JSR LODPG0 + 1322 d440 ac 06 bc LDY savey + 1323 d443 ae 07 bc LDX savex + 1324 d446 60 RTS + 1325 d447 + 1326 d447 ;;; Prints character from A to the screen + 1327 d447 PUTCH + 1328 d447 6c 04 bc JMP (WRITVECTOR) ;allow user override of default video out routine + 1329 d44a PUTCH2 + 1330 d44a 48 PHA + 1331 d44b 8c 06 bc STY savey + 1332 d44e 8e 07 bc STX savex + 1333 d451 + 1334 d451 ac 09 bc LDY SCRNCLRD + 1335 d454 10 27 BPL PUTCH_DROP ;if plus, screen has not been cleared, so drop + 1336 d456 + 1337 d456 20 1a d5 JSR SAVPG0 + 1338 d459 ;; drop the high bit + 1339 d459 29 7f AND #$7F + 1340 d45b ;; check for return + 1341 d45b c9 0d CMP #$0D + 1342 d45d f0 26 BEQ ENTERKY + 1343 d45f ;; it's a regular key + 1344 d45f 20 0d d5 JSR GETCODE + 1345 d462 8d 0a bc STA CHAR + 1346 d465 ;; get the block address + 1347 d465 ae 0d bc LDX CURCOL + 1348 d468 ac 0c bc LDY CURROW + 1349 d46b 20 ed d4 JSR GETBLOK + 1350 d46e ;; get block bytes + 1351 d46e ae 0a bc LDX CHAR + 1352 d471 20 ca d4 JSR GETCHB + 1353 d474 ;; render the char to the block + 1354 d474 20 b3 d4 JSR DRAWCH + 1355 d477 ;; restore state and exit + 1356 d477 20 79 d2 PD JSR MVCSR + 1357 d47a 20 3b d5 JSR LODPG0 + 1358 d47d + 1359 d47d PUTCH_DROP + 1360 d47d ac 06 bc LDY savey + 1361 d480 ae 07 bc LDX savex + 1362 d483 68 PLA + 1363 d484 60 RTS + 1364 d485 + 1365 d485 ENTERKY ; print spaces until end of line (use turbo mode) + 1366 d485 ad 00 bc LDA TURBO + 1367 d488 09 02 ORA #TURBOCMP ; set computer turbo mode + 1368 d48a 8d 00 bc STA TURBO ; turbo mode to clear end of line + 1369 d48d ENTERKY1 + 1370 d48d ac 0c bc LDY CURROW + 1371 d490 ae 0d bc LDX CURCOL + 1372 d493 e0 28 CPX #40 + 1373 d495 f0 11 BEQ ENTERKY_EXIT + 1374 d497 20 ed d4 JSR GETBLOK + 1375 d49a a2 00 LDX #0 ; space key + 1376 d49c 20 ca d4 JSR GETCHB + 1377 d49f 20 b3 d4 JSR DRAWCH + 1378 d4a2 ee 0d bc INC CURCOL + 1379 d4a5 4c 8d d4 JMP ENTERKY1 + 1380 d4a8 + 1381 d4a8 ENTERKY_EXIT + 1382 d4a8 ad 00 bc LDA TURBO + 1383 d4ab 29 01 AND #TURBOUSR ; reset computer turbo mode, saving user mode + 1384 d4ad 8d 00 bc STA TURBO ; + 1385 d4b0 4c 77 d4 JMP PD + 1386 d4b3 + 1387 d4b3 ;;; Draws character to block + 1388 d4b3 a2 00 DRAWCH LDX #0 + 1389 d4b5 8a L6 TXA + 1390 d4b6 a8 TAY + 1391 d4b7 b1 02 LDA (SRCLOW),Y + 1392 d4b9 a0 00 LDY #0 + 1393 d4bb 91 00 STA (TRGLOW),Y + 1394 d4bd e8 INX + 1395 d4be a5 01 LDA TRGHIGH + 1396 d4c0 18 CLC + 1397 d4c1 69 04 ADC #$4 + 1398 d4c3 85 01 STA TRGHIGH + 1399 d4c5 e0 08 CPX #8 + 1400 d4c7 30 ec BMI L6 + 1401 d4c9 60 RTS + 1402 d4ca + 1403 d4ca ;;; Get byte for char in X + 1404 d4ca a0 8c GETCHB LDY #SPCODE + 1407 d4d0 84 03 STY SRCHIGH + 1408 d4d2 e0 00 L5 CPX #0 + 1409 d4d4 f0 16 BEQ D + 1410 d4d6 ca DEX + 1411 d4d7 a9 08 LDA #8 + 1412 d4d9 18 CLC + 1413 d4da 65 02 ADC SRCLOW + 1414 d4dc 85 02 STA SRCLOW + 1415 d4de b0 03 BCS AC + 1416 d4e0 4c d2 d4 JMP L5 + 1417 d4e3 a9 00 AC LDA #0 + 1418 d4e5 65 03 ADC SRCHIGH + 1419 d4e7 85 03 STA SRCHIGH + 1420 d4e9 4c d2 d4 JMP L5 + 1421 d4ec 60 D RTS + 1422 d4ed + 1423 d4ed ;;; Gets the block address at X,Y + 1424 d4ed 98 GETBLOK TYA + 1425 d4ee 20 ff d4 JSR GETROW + 1426 d4f1 ;; add the column + 1427 d4f1 8a TXA + 1428 d4f2 18 CLC + 1429 d4f3 65 00 ADC TRGLOW + 1430 d4f5 85 00 STA TRGLOW + 1431 d4f7 b0 01 BCS A1 + 1432 d4f9 60 RTS + 1433 d4fa a9 00 A1 LDA #0 + 1434 d4fc 65 01 ADC TRGHIGH + 1435 d4fe 60 RTS + 1436 d4ff + 1437 d4ff ;;; Gets the row (A) address + 1438 d4ff 0a GETROW ASL ; multiply row by two, there are two bytes per address + 1439 d500 a8 TAY + 1440 d501 b9 5c d5 LDA PG1ROWS,Y + 1441 d504 85 01 STA TRGHIGH + 1442 d506 c8 INY + 1443 d507 b9 5c d5 LDA PG1ROWS,Y + 1444 d50a 85 00 STA TRGLOW + 1445 d50c 60 RTS + 1446 d50d + 1447 d50d ;;; Converts ASCII code to table index + 1448 d50d 38 GETCODE SEC + 1449 d50e e9 20 SBC #$20 + 1450 d510 30 05 BMI NC + 1451 d512 c9 40 CMP #$40 + 1452 d514 10 01 BPL NC + 1453 d516 60 RTS + 1454 d517 a9 00 NC LDA #0 + 1455 d519 60 RTS + 1456 d51a + 1457 d51a ;;; Store page zero data + 1458 d51a 48 SAVPG0 PHA + 1459 d51b 8e 0b bc STX TMP1 + 1460 d51e + 1461 d51e a9 f0 LDA #PG0SAVEFLG ;check saved flag + 1462 d520 cd 25 bc CMP PG0SAVD + 1463 d523 f0 11 BEQ SD ;already saved, just exit + 1464 d525 + 1465 d525 a2 00 LDX #0 + 1466 d527 b5 00 L1 LDA $00,X + 1467 d529 9d 15 bc STA TMPG0,X + 1468 d52c e8 INX + 1469 d52d e0 08 CPX #$8 + 1470 d52f d0 f6 BNE L1 + 1471 d531 + 1472 d531 a9 f0 LDA #PG0SAVEFLG ;set save flag to saved after completely saved + 1473 d533 8d 25 bc STA PG0SAVD ;this way, if a reset comes in before we are done + 1474 d536 ;we will not corrupt zero page + 1475 d536 ;as the reset code restores zero page if flag set + 1476 d536 + 1477 d536 ae 0b bc SD LDX TMP1 + 1478 d539 68 PLA + 1479 d53a 60 RTS + 1480 d53b + 1481 d53b ;;; Restore page zero data + 1482 d53b LODPG0 + 1483 d53b 48 PHA + 1484 d53c 8e 0b bc STX TMP1 + 1485 d53f + 1486 d53f a9 f0 LDA #PG0SAVEFLG ;checked saved flag + 1487 d541 cd 25 bc CMP PG0SAVD + 1488 d544 d0 11 BNE LD ;not saved, exit + 1489 d546 + 1490 d546 a2 00 LDX #0 + 1491 d548 bd 15 bc L2 LDA TMPG0,X + 1492 d54b 95 00 STA $00,X + 1493 d54d e8 INX + 1494 d54e e0 08 CPX #$8 + 1495 d550 d0 f6 BNE L2 + 1496 d552 + 1497 d552 a9 0f LDA #<(~PG0SAVEFLG) ;clear saved flag to not saved after completely restored + 1498 d554 ;this way, if a reset comes in before we are done + 1499 d554 8d 25 bc STA PG0SAVD ;as the reset code restores zero page if flag set + 1500 d557 + 1501 d557 ae 0b bc LD LDX TMP1 + 1502 d55a 68 PLA + 1503 d55b 60 RTS + 1504 d55c + 1505 d55c + 1506 d55c ;;; tables + 1507 d55c 40 00 40 80*PG1ROWS HEX 4000 4080 4100 4180 4200 4280 4300 4380 4028 40A8 4128 41A8 4228 42A8 4328 43A8 4050 40D0 4150 41D0 4250 42D0 4350 43D0 + 1508 d58c 00 00 00 00*SPCODE HEX 00 00 00 00 00 00 00 00 + 1509 d594 00 08 08 08*EXPCODE HEX 00 08 08 08 08 08 00 08 + 1510 d59c 00 14 14 14*QUOCODE HEX 00 14 14 14 00 00 00 00 + 1511 d5a4 00 14 14 3e*NUMCODE HEX 00 14 14 3e 14 3e 14 14 + 1512 d5ac 00 08 3c 0a*STRCODE HEX 00 08 3c 0a 1c 28 1e 08 + 1513 d5b4 00 06 26 10*PERCODE HEX 00 06 26 10 08 04 32 30 + 1514 d5bc 00 04 0a 0a*AMPCODE HEX 00 04 0a 0a 04 2a 12 2c + 1515 d5c4 00 08 08 08*SQCODE HEX 00 08 08 08 00 00 00 00 + 1516 d5cc 00 08 04 02*RPCODE HEX 00 08 04 02 02 02 04 08 + 1517 d5d4 00 08 10 20*LPCODE HEX 00 08 10 20 20 20 10 08 + 1518 d5dc 00 08 2a 1c*STACODE HEX 00 08 2a 1c 08 1c 2a 08 + 1519 d5e4 00 00 08 08*PLSCODE HEX 00 00 08 08 3e 08 08 00 + 1520 d5ec 00 00 00 00*CMACODE HEX 00 00 00 00 00 08 08 04 + 1521 d5f4 00 00 00 00*MINCODE HEX 00 00 00 00 3e 00 00 00 + 1522 d5fc 00 00 00 00*DOTCODE HEX 00 00 00 00 00 00 00 08 + 1523 d604 00 00 20 10*FSCODE HEX 00 00 20 10 08 04 02 00 + 1524 d60c 00 1c 22 32*0CODE HEX 00 1c 22 32 2a 26 22 1c + 1525 d614 00 08 0c 08*1CODE HEX 00 08 0c 08 08 08 08 1c + 1526 d61c 00 1c 22 20*2CODE HEX 00 1c 22 20 18 04 02 3e + 1527 d624 00 3e 20 10*3CODE HEX 00 3e 20 10 18 20 22 1c + 1528 d62c 00 10 18 14*4CODE HEX 00 10 18 14 12 3e 10 10 + 1529 d634 00 3e 02 1e*5CODE HEX 00 3e 02 1e 20 20 22 1c + 1530 d63c 00 38 04 02*6CODE HEX 00 38 04 02 1e 22 22 1c + 1531 d644 00 3e 20 10*7CODE HEX 00 3e 20 10 08 04 04 04 + 1532 d64c 00 1c 22 22*8CODE HEX 00 1c 22 22 1c 22 22 1c + 1533 d654 00 1c 22 22*9CODE HEX 00 1c 22 22 3c 20 10 0e + 1534 d65c 00 00 00 08*COLCODE HEX 00 00 00 08 00 08 00 00 + 1535 d664 00 00 00 08*SEMCODE HEX 00 00 00 08 00 08 08 04 + 1536 d66c 00 10 08 04*LTCODE HEX 00 10 08 04 02 04 08 10 + 1537 d674 00 00 00 3e*EQCODE HEX 00 00 00 3e 00 3e 00 00 + 1538 d67c 00 04 08 10*GTCODE HEX 00 04 08 10 20 10 08 04 + 1539 d684 00 1c 22 10*QESCODE HEX 00 1c 22 10 08 08 00 08 + 1540 d68c 00 1c 22 2a*ATCODE HEX 00 1C 22 2A 3A 1A 02 3C + 1541 d694 00 08 14 22*ACODE HEX 00 08 14 22 22 3e 22 22 + 1542 d69c 00 1e 22 22*BCODE HEX 00 1e 22 22 1e 22 22 1e + 1543 d6a4 00 1c 22 02*CCODE HEX 00 1c 22 02 02 02 22 1c + 1544 d6ac 00 1e 22 22*DCODE HEX 00 1e 22 22 22 22 22 1e + 1545 d6b4 00 3e 02 02*ECODE HEX 00 3e 02 02 1e 02 02 3e + 1546 d6bc 00 3e 02 02*FCODE HEX 00 3e 02 02 1e 02 02 02 + 1547 d6c4 00 3c 02 02*GCODE HEX 00 3c 02 02 02 32 22 3c + 1548 d6cc 00 22 22 22*HCODE HEX 00 22 22 22 3e 22 22 22 + 1549 d6d4 00 1c 08 08*ICODE HEX 00 1c 08 08 08 08 08 1c + 1550 d6dc 00 20 20 20*JCODE HEX 00 20 20 20 20 20 22 1c + 1551 d6e4 00 22 12 0a*KCODE HEX 00 22 12 0a 06 0a 12 22 + 1552 d6ec 00 02 02 02*LCODE HEX 00 02 02 02 02 02 02 3e + 1553 d6f4 00 22 36 2a*MCODE HEX 00 22 36 2a 2a 22 22 22 + 1554 d6fc 00 22 22 26*NCODE HEX 00 22 22 26 2a 32 22 22 + 1555 d704 00 1c 22 22*OCODE HEX 00 1c 22 22 22 22 22 1c + 1556 d70c 00 1e 22 22*PCODE HEX 00 1e 22 22 1e 02 02 02 + 1557 d714 00 1c 22 22*QCODE HEX 00 1c 22 22 22 2a 12 2c + 1558 d71c 00 1e 22 22*RCODE HEX 00 1e 22 22 1e 0a 12 22 + 1559 d724 00 1c 22 02*SCODE HEX 00 1c 22 02 1c 20 22 1c + 1560 d72c 00 3e 08 08*TCODE HEX 00 3e 08 08 08 08 08 08 + 1561 d734 00 22 22 22*UCODE HEX 00 22 22 22 22 22 22 1c + 1562 d73c 00 22 22 22*VCODE HEX 00 22 22 22 22 22 14 08 + 1563 d744 00 22 22 22*WCODE HEX 00 22 22 22 2a 2a 36 22 + 1564 d74c 00 22 22 14*XCODE HEX 00 22 22 14 08 14 22 22 + 1565 d754 00 22 22 14*YCODE HEX 00 22 22 14 08 08 08 08 + 1566 d75c 00 3e 20 10*ZCODE HEX 00 3e 20 10 08 04 02 3e + 1567 d764 00 3e 06 06*LBCODE HEX 00 3e 06 06 06 06 06 3e + 1568 d76c 00 00 02 04*BSCODE HEX 00 00 02 04 08 10 20 00 + 1569 d774 00 3e 30 30*RBCODE HEX 00 3e 30 30 30 30 30 3e + 1570 d77c 00 00 00 08*CRTCODE HEX 00 00 00 08 14 22 00 00 + 1571 d784 00 00 00 00*UNDCODE HEX 00 00 00 00 00 00 00 3e + 1572 d78c + 1573 d78c + 1574 d78c + 1575 d78c ;------------------------------------------------------------------------ + 1576 d78c ; BASIC SUPPORT FUNCTIONs + 1577 d78c ; peek and poke of the PIA is emulated + 1578 d78c ; using A2 hardware drivers + 1579 d78c ;------------------------------------------------------------------------ + 1580 d78c ;------------------------------------------------------------------------ + 1581 d78c ; POKE of D012 is emulated by calling + 1582 d78c ; A2 putchar routine + 1583 d78c ;------------------------------------------------------------------------ + 1584 d78c 00 ce ACC EQU $ce ; must be same as basic "acc" + 1585 d78c A2POKE + 1586 d78c 20 34 ee JSR getbyte + 1587 d78f a5 ce LDA ACC + 1588 d791 48 PHA + 1589 d792 20 15 e7 JSR get16bit + 1590 d795 a9 d0 LDA #$D0 + 1591 d797 c5 cf CMP ACC+1 + 1592 d799 f0 04 BEQ A2POKE2 + 1593 d79b A2POKE1 + 1594 d79b 68 PLA + 1595 d79c 91 ce STA (ACC),Y + 1596 d79e 60 RTS + 1597 d79f A2POKE2 + 1598 d79f a9 12 LDA #$12 + 1599 d7a1 c5 ce CMP ACC + 1600 d7a3 d0 f6 BNE A2POKE1 + 1601 d7a5 ;------------------------------------------------------------------------ + 1602 d7a5 ; Poke D012 == A2 ECHO CALL + 1603 d7a5 ;------------------------------------------------------------------------ + 1604 d7a5 68 PLA + 1605 d7a6 4c ef ff JMP ECHO + 1606 d7a9 + 1607 d7a9 ;------------------------------------------------------------------------ + 1608 d7a9 ; PEEK of D012, D011 and D010 is emulated by using + 1609 d7a9 ; equivalent A2 functionality + 1610 d7a9 ;------------------------------------------------------------------------ + 1611 d7a9 + 1612 d7a9 A2PEEK + 1613 d7a9 20 15 e7 JSR get16bit + 1614 d7ac a9 d0 LDA #$D0 + 1615 d7ae c5 cf CMP ACC+1 + 1616 d7b0 f0 07 BEQ A2PEEK3 + 1617 d7b2 A2PEEK1 + 1618 d7b2 b1 ce LDA (ACC),Y + 1619 d7b4 A2PEEK2 + 1620 d7b4 94 9f STY syn_stk_l+31,X + 1621 d7b6 4c 08 e7 JMP push_ya_noun_stk + 1622 d7b9 + 1623 d7b9 ;------------------------------------------------------------------------ + 1624 d7b9 ; Peek D012 == determine if terminal is ready for output + 1625 d7b9 ; in the A2 memory mapped video driver case - the answer + 1626 d7b9 ; is always yes -so return positive number(or zero in this case) + 1627 d7b9 ;------------------------------------------------------------------------ + 1628 d7b9 A2PEEK3 + 1629 d7b9 a9 12 LDA #$12 + 1630 d7bb c5 ce CMP ACC + 1631 d7bd d0 05 BNE A2PEEK4 + 1632 d7bf a9 00 LDA #$00 + 1633 d7c1 4c b4 d7 JMP A2PEEK2 + 1634 d7c4 ;------------------------------------------------------------------------ + 1635 d7c4 ; Peek D011 == A2 read c000 (does keyboard have char, yes if MSB set) + 1636 d7c4 ;------------------------------------------------------------------------ + 1637 d7c4 A2PEEK4 + 1638 d7c4 a9 11 LDA #$11 + 1639 d7c6 c5 ce CMP ACC + 1640 d7c8 d0 06 BNE A2PEEK5 + 1641 d7ca ad 00 c0 LDA KBD + 1642 d7cd 4c b4 d7 JMP A2PEEK2 + 1643 d7d0 ;------------------------------------------------------------------------ + 1644 d7d0 ; Peek D010 == A2 getchar (also clears strobe) + 1645 d7d0 ;------------------------------------------------------------------------ + 1646 d7d0 A2PEEK5 + 1647 d7d0 a9 10 LDA #$10 + 1648 d7d2 c5 ce CMP ACC + 1649 d7d4 d0 dc BNE A2PEEK1 + 1650 d7d6 ad 00 c0 LDA KBD + 1651 d7d9 8d 10 c0 STA KBDCR + 1652 d7dc 4c b4 d7 JMP A2PEEK2 + 1653 d7df + 1654 d7df - IFCONST BLD4RAM + 1655 d7df - STORAGE + 1656 d7df ENDIF + 1657 d7df ENDIF ; end of A2 driver code + 1658 d7df ;------------------------------------------------------------------------ + 1659 d7df ; VERSION + 1660 d7df ;------------------------------------------------------------------------ + 1661 d7df - IFCONST BLD4RAM + 1662 d7df - ORG $6FFE + 1663 d7df ELSE + 1664 dffe ORG $DFFE + 1665 dffe ENDIF + 1666 dffe 05 01 DC.w $0105 + 1667 e000 + 1668 e000 ;------------------------------------------------------------------------ + 1669 e000 ; BASIC + 1670 e000 ;------------------------------------------------------------------------ +------- FILE a1basic-universal.asm LEVEL 2 PASS 2 + 0 e000 INCLUDE a1basic-universal.asm + 1 e000 PROCESSOR 6502 + 2 e000 LIST ON + 3 e000 ; + 4 e000 ;------------------------------------------------------------------------ + 5 e000 ; Apple 1 Basic by Steve Wozniak + 6 e000 ; + 7 e000 ; This source was derived from BASIC disassembly done by Eric Smith. + 8 e000 ; This derivation is reproduced and distributed with permission of + 9 e000 ; Eric Smith + 10 e000 ; + 11 e000 ; Eric Smith's disassembly can be found at + 12 e000 ; http://www.brouhaha.com/~eric/retrocomputing/apple/apple1/basic/ + 13 e000 ; + 14 e000 ; Do not reproduce or distribute without permission + 15 e000 ; + 16 e000 ; copyright 2010, Mike Willegal + 17 e000 ; copyright 2003, Eric Smith + 18 e000 ; + 19 e000 ;------------------------------------------------------------------------ + 20 e000 ; Disassembly of Apple 1 BASIC + 21 e000 ; 17-Sep-2003 + 22 e000 ; Apple 1 BASIC was written by Steve Wozniak + 23 e000 ; This disassembly is copyright 2003 Eric Smith + 24 e000 ; http://www.brouhaha.com/~eric/retrocomputing/apple/apple1/basic/ + 25 e000 ;------------------------------------------------------------------------ + 26 e000 + 27 e000 ;------------------------------------------------------------------------ + 28 e000 ; build with 6502 assembler called DASM using the following command line + 29 e000 ; + 30 e000 ; Huston's version of Basic (may be latest version available) + 31 e000 ; http://www.apple1notes.com/Home/Programs.html + 32 e000 ;./dasm a1basic.asm -DHUSTNBASIC=0 -oa1hbas.o -la1hbas.lst + 33 e000 ; + 34 e000 ; Sander's version of Basic (same as Eric Smith's original disassembly) + 35 e000 ; http://www.apple1notes.com/Home/Programs.html + 36 e000 ;./dasm a1basic.asm -DSNDERBASIC=0 -oa1sbas.o -la1sbas.lst + 37 e000 ; + 38 e000 ; Vince Briel's version of Basic + 39 e000 ; (can't find the link right now) + 40 e000 ;./dasm a1basic.asm -DBRIELBASIC=0 -oa1bbas.o -la1bbas.lst + 41 e000 ; + 42 e000 ; Pagetable version of Basic (only PIA address different from Briel's version) + 43 e000 ; http://www.pagetable.com/?p=32 + 44 e000 ;./dasm a1basic.asm -DPAGETBASIC=0 -oa1pbas.o -la1pbas.lst + 45 e000 ; + 46 e000 ;------------------------------------------------------------------------ + 47 e000 ;------------------------------------------------------------------------- + 48 e000 ; Defines - this code can be built one of four ways + 49 e000 ; 1. clone/real Apple 1 HW + 50 e000 ; 2. runs in ram of real or virtual Apple 2 + 51 e000 ; 3. runs in virtual apple 2 as ROM + 52 e000 ; 4. runs in plug in board of real Apple 2 + 53 e000 ; + 54 e000 ; select one of these three options + 55 e000 ;------------------------------------------------------------------------- + 56 e000 + 57 e000 ;BLD4APPLE1 EQU 0 ;ACTUAL APPLE 1 or CLONE + 58 e000 ;BLD4RAM EQU 0 ;RAM of virtual or real A2 + 59 e000 ;BLD4EMULROM EQU 0 ;ROM of virtual A2 + 60 e000 ;BLD4ROMBD EQU 0 ;ROM board in Real A2 + 61 e000 + 62 e000 + 63 e000 ;------------------------------------------------------------------------ + 64 e000 ; BASIC source taken from http://www.brouhaha.com + 65 e000 ; IFCONST added to allow building Apple 1 basic version found + 66 e000 ; on Vince Briels site + 67 e000 ;------------------------------------------------------------------------ + 68 e000 ; up to four versions of Apple 1 Basic Known + 69 e000 ; use defines to control which one we build + 70 e000 ;SNDERBASIC EQU 0 ;from Wendell Sander's site (DEFAULT) + 71 e000 ;SMITHBASIC EQU 0 ;original source from brouhaha.com + 72 e000 ;BRIELBASIC EQU 0 ;version used in Replica 1 + 73 e000 ;HUSTNBASIC EQU 0 ;huston version from Wendell's site + 74 e000 + 75 e000 + 76 e000 ;------------------------------------------------------------------------ + 77 e000 ; Disassembly of Apple 1 BASIC + 78 e000 ; 17-Sep-2003 + 79 e000 ; Apple 1 BASIC was written by Steve Wozniak + 80 e000 ; This disassembly is copyright 2003 Eric Smith + 81 e000 ; http://www.brouhaha.com/~eric/retrocomputing/apple/apple1/basic/ + 82 e000 ;------------------------------------------------------------------------ + 83 e000 + 84 e000 00 00 LOCZERO EQU $00 + 85 e000 00 1d Z1d EQU $1d + 86 e000 00 24 ch EQU $24 + 87 e000 00 25 cv EQU $25 + 88 e000 00 48 zp48 EQU $48 + 89 e000 00 49 zp49 EQU $49 + 90 e000 00 4a lomem EQU $4a + 91 e000 00 4b zp4b EQU $4b + 92 e000 00 4c himem EQU $4c + 93 e000 00 4d zp4d EQU $4d + 94 e000 00 4e rnd EQU $4e + 95 e000 00 50 noun_stk_l EQU $50 + 96 e000 00 58 syn_stk_h EQU $58 + 97 e000 00 60 zp60 EQU $60 + 98 e000 00 78 noun_stk_h_str EQU $78 + 99 e000 00 80 syn_stk_l EQU $80 + 100 e000 00 85 zp85 EQU $85 + 101 e000 00 a0 noun_stk_h_int EQU $a0 + 102 e000 00 a8 txtndxstk EQU $a8 + 103 e000 00 c8 text_index EQU $c8 + 104 e000 00 c9 leadbl EQU $c9 + 105 e000 00 ca pp EQU $ca + 106 e000 00 cb zpcb EQU $cb + 107 e000 00 cc pv EQU $cc + 108 e000 00 ce acc EQU $ce + 109 e000 00 cf zpcf EQU $cf + 110 e000 00 d0 srch EQU $d0 + 111 e000 00 d1 tokndxstk EQU $d1 + 112 e000 00 d2 srch2 EQU $d2 + 113 e000 00 d4 if_flag EQU $d4 + 114 e000 00 d5 cr_flag EQU $d5 + 115 e000 00 d6 current_verb EQU $d6 + 116 e000 00 d7 precedence EQU $d7 + 117 e000 00 d8 x_save EQU $d8 + 118 e000 00 d9 run_flag EQU $d9 + 119 e000 00 da aux EQU $da + 120 e000 00 dc pline EQU $dc + 121 e000 00 e0 pverb EQU $e0 + 122 e000 00 e2 p1 EQU $e2 + 123 e000 00 e4 p2 EQU $e4 + 124 e000 00 e6 p3 EQU $e6 + 125 e000 00 f1 token_index EQU $f1 + 126 e000 00 f2 pcon EQU $f2 + 127 e000 00 f4 auto_inc EQU $f4 + 128 e000 00 f6 auto_ln EQU $f6 + 129 e000 00 f8 auto_flag EQU $f8 + 130 e000 00 f9 char EQU $f9 + 131 e000 00 fa leadzr EQU $fa + 132 e000 00 fb for_nest_count EQU $fb + 133 e000 00 fc gosub_nest_count EQU $fc + 134 e000 00 fd synstkdx EQU $fd + 135 e000 00 fe synpag EQU $fe + 136 e000 01 00 gstk_pverbl EQU $0100 + 137 e000 01 08 gstk_pverbh EQU $0108 + 138 e000 01 10 gstk_plinel EQU $0110 + 139 e000 01 18 gstk_plineh EQU $0118 + 140 e000 01 20 fstk_varl EQU $0120 + 141 e000 01 28 fstk_varh EQU $0128 + 142 e000 01 30 fstk_stepl EQU $0130 + 143 e000 01 38 fstk_steph EQU $0138 + 144 e000 01 40 fstk_plinel EQU $0140 + 145 e000 01 48 fstk_plineh EQU $0148 + 146 e000 01 50 fstk_pverbl EQU $0150 + 147 e000 01 58 fstk_pverbh EQU $0158 + 148 e000 01 60 fstk_tol EQU $0160 + 149 e000 01 68 fstk_toh EQU $0168 + 150 e000 02 00 buffer EQU $0200 + 151 e000 + 152 e000 - IFCONST BLD4APPLE1 + 153 e000 - IFCONST SMITHBASIC + 154 e000 -DSP EQU $D0F2 ;DISPLAY port in PIA + 155 e000 -KBDCR EQU $D0F1 ;Keyboard control port + 156 e000 -KBD EQU $D0F0 ;KEYBOARD data port + 157 e000 - ENDIF + 158 e000 - IFCONST BRIELBASIC + 159 e000 -DSP EQU $D012 ;DISPLAY port in PIA + 160 e000 -KBDCR EQU $D011 ;Keyboard control port (WS BASIC) + 161 e000 -KBD EQU $D010 ;KEYBOARD data port + 162 e000 - ENDIF + 163 e000 - IFCONST SNDERBASIC + 164 e000 -DSP EQU $D0F2 ;DISPLAY port in PIA + 165 e000 -KBDCR EQU $D011 ;Keyboard control port (WS BASIC) + 166 e000 -KBD EQU $D010 ;KEYBOARD data port + 167 e000 - ENDIF + 168 e000 - IFCONST HUSTNBASIC + 169 e000 -DSP EQU $D0F2 ;DISPLAY port in PIA + 170 e000 -KBDCR EQU $D011 ;Keyboard control port (WS BASIC) + 171 e000 -KBD EQU $D010 ;KEYBOARD data port + 172 e000 - ENDIF + 173 e000 - + 174 e000 ENDIF + 175 e000 - IFCONST BLD4RAM + 176 e000 - ORG $7000 + 177 e000 ELSE + 178 e000 ORG $E000 + 179 e000 ENDIF + 180 e000 + 181 e000 4c b0 e2 Pe000 JMP cold + 182 e003 + 183 e003 rdkey + 184 e003 - IFCONST BLD4APPLE1 + 185 e003 - LDA KBDCR ;Wait for key press + 186 e003 - BPL rdkey ;No key yet! + 187 e003 - LDA KBD ;Clear strobe + 188 e003 ELSE + 189 e003 20 4d d2 JSR A2GETCHAR + 190 e006 ea NOP + 191 e007 ea NOP + 192 e008 ea NOP + 193 e009 ea NOP + 194 e00a ea NOP + 195 e00b ENDIF + 196 e00b 60 RTS + 197 e00c + 198 e00c 8a Se00c TXA + 199 e00d 29 20 AND #$20 ; 32 + 200 e00f f0 23 BEQ Le034 + 201 e011 + 202 e011 a9 a0 Se011 LDA #$a0 ; 160 + 203 e013 85 e4 STA p2 + 204 e015 4c c9 e3 JMP cout + 205 e018 + 206 e018 a9 20 Se018 LDA #$20 ; 32 + 207 e01a + 208 e01a c5 24 Se01a CMP ch + 209 e01c b0 0c BCS nextbyte + 210 e01e a9 8d LDA #$8d ; 141 . + 211 e020 a0 07 LDY #$07 ; 7 . + 212 e022 20 c9 e3 Le022 JSR cout + 213 e025 a9 a0 LDA #$a0 ; 160 + 214 e027 88 DEY + 215 e028 d0 f8 BNE Le022 + 216 e02a + 217 e02a a0 00 nextbyte LDY #$00 ; 0 . + 218 e02c b1 e2 LDA (p1),Y + 219 e02e e6 e2 INC p1 + 220 e030 d0 02 BNE Le034 + 221 e032 e6 e3 INC p1+1 + 222 e034 60 Le034 RTS + 223 e035 + 224 e035 20 15 e7 list_comman JSR get16bit + 225 e038 20 76 e5 JSR find_line2 + 226 e03b a5 e2 Le03b LDA p1 + 227 e03d c5 e6 CMP p3 + 228 e03f a5 e3 LDA p1+1 + 229 e041 e5 e7 SBC p3+1 + 230 e043 b0 ef BCS Le034 + 231 e045 20 6d e0 JSR list_line + 232 e048 4c 3b e0 JMP Le03b + 233 e04b + 234 e04b a5 ca list_all LDA pp + 235 e04d 85 e2 STA p1 + 236 e04f a5 cb LDA pp+1 + 237 e051 85 e3 STA p1+1 + 238 e053 a5 4c LDA himem + 239 e055 85 e6 STA p3 + 240 e057 a5 4d LDA himem+1 + 241 e059 85 e7 STA p3+1 + 242 e05b d0 de BNE Le03b + 243 e05d + 244 e05d 20 15 e7 list_cmd JSR get16bit + 245 e060 20 6d e5 JSR find_line + 246 e063 a5 e4 LDA p2 + 247 e065 85 e2 STA p1 + 248 e067 a5 e5 LDA p2+1 + 249 e069 85 e3 STA p1+1 + 250 e06b b0 c7 BCS Le034 + 251 e06d + 252 e06d 86 d8 list_line STX x_save + 253 e06f a9 a0 LDA #$a0 ; 160 + 254 e071 85 fa STA leadzr + 255 e073 20 2a e0 JSR nextbyte + 256 e076 98 TYA + 257 e077 85 e4 list_int STA p2 + 258 e079 20 2a e0 JSR nextbyte + 259 e07c aa TAX + 260 e07d 20 2a e0 JSR nextbyte + 261 e080 20 1b e5 JSR prdec + 262 e083 20 18 e0 Le083 JSR Se018 + 263 e086 84 fa STY leadzr + 264 e088 aa TAX + 265 e089 10 18 BPL list_token + 266 e08b 0a ASL + 267 e08c 10 e9 BPL list_int + 268 e08e a5 e4 LDA p2 + 269 e090 d0 03 BNE Le095 + 270 e092 20 11 e0 JSR Se011 + 271 e095 8a Le095 TXA + 272 e096 20 c9 e3 Le096 JSR cout + 273 e099 a9 25 Le099 LDA #$25 ; 37 % + 274 e09b 20 1a e0 JSR Se01a + 275 e09e aa TAX + 276 e09f 30 f5 BMI Le096 + 277 e0a1 85 e4 STA p2 + 278 e0a3 c9 01 list_token CMP #$01 ; 1 . + 279 e0a5 d0 05 BNE Le0ac + 280 e0a7 a6 d8 LDX x_save + 281 e0a9 4c cd e3 JMP crout + 282 e0ac 48 Le0ac PHA + 283 e0ad 84 ce STY acc + 284 e0af a2 ed LDX #[syntabl2>>8] ; $ED, when from ROM, $AD from RAM + 285 e0b1 86 cf STX acc+1 + 286 e0b3 c9 51 CMP #$51 ; 81 Q + 287 e0b5 90 04 BCC Le0bb + 288 e0b7 c6 cf DEC acc+1 + 289 e0b9 e9 50 SBC #$50 ; 80 P + 290 e0bb 48 Le0bb PHA + 291 e0bc b1 ce LDA (acc),Y + 292 e0be aa Le0be TAX + 293 e0bf 88 DEY + 294 e0c0 b1 ce LDA (acc),Y + 295 e0c2 10 fa BPL Le0be + 296 e0c4 e0 c0 CPX #$c0 ; 192 @ + 297 e0c6 b0 04 BCS Le0cc + 298 e0c8 e0 00 CPX #$00 ; 0 . + 299 e0ca 30 f2 BMI Le0be + 300 e0cc aa Le0cc TAX + 301 e0cd 68 PLA + 302 e0ce e9 01 SBC #$01 ; 1 . + 303 e0d0 d0 e9 BNE Le0bb + 304 e0d2 24 e4 BIT p2 + 305 e0d4 30 03 BMI Le0d9 + 306 e0d6 20 f8 ef JSR Seff8 + 307 e0d9 b1 ce Le0d9 LDA (acc),Y + 308 e0db 10 10 BPL Le0ed + 309 e0dd aa TAX + 310 e0de 29 3f AND #$3f ; 63 ? + 311 e0e0 85 e4 STA p2 + 312 e0e2 18 CLC + 313 e0e3 69 a0 ADC #$a0 ; 160 + 314 e0e5 20 c9 e3 JSR cout + 315 e0e8 88 DEY + 316 e0e9 e0 c0 CPX #$c0 ; 192 @ + 317 e0eb 90 ec BCC Le0d9 + 318 e0ed 20 0c e0 Le0ed JSR Se00c + 319 e0f0 68 PLA + 320 e0f1 c9 5d CMP #$5d ; 93 ] + 321 e0f3 f0 a4 BEQ Le099 + 322 e0f5 c9 28 CMP #$28 ; 40 ( + 323 e0f7 d0 8a BNE Le083 + 324 e0f9 f0 9e BEQ Le099 + 325 e0fb + 326 e0fb 20 18 e1 paren_substr JSR Se118 + 327 e0fe 95 50 STA noun_stk_l,X + 328 e100 d5 78 CMP noun_stk_h_str,X + 329 e102 90 11 Le102 BCC Le115 + 330 e104 a0 2b string_err LDY #$2b ; 43 + + 331 e106 4c e0 e3 go_errmess_1 JMP print_err_msg + 332 e109 + 333 e109 20 34 ee comma_substr JSR getbyte + 334 e10c d5 50 CMP noun_stk_l,X + 335 e10e 90 f4 BCC string_err + 336 e110 20 e4 ef JSR Sefe4 + 337 e113 95 78 STA noun_stk_h_str,X + 338 e115 4c 23 e8 Le115 JMP left_paren + 339 e118 + 340 e118 20 34 ee Se118 JSR getbyte + 341 e11b f0 e7 BEQ string_err + 342 e11d 38 SEC + 343 e11e e9 01 SBC #$01 ; 1 . + 344 e120 60 RTS + 345 e121 + 346 e121 20 18 e1 str_arr_dest JSR Se118 + 347 e124 95 50 STA noun_stk_l,X + 348 e126 18 CLC + 349 e127 f5 78 SBC noun_stk_h_str,X + 350 e129 4c 02 e1 JMP Le102 + 351 e12c a0 14 Le12c LDY #$14 ; 20 . + 352 e12e d0 d6 BNE go_errmess_1 + 353 e130 + 354 e130 20 18 e1 dim_str JSR Se118 + 355 e133 e8 INX + 356 e134 b5 50 Le134 LDA noun_stk_l,X + 357 e136 85 da STA aux + 358 e138 65 ce ADC acc + 359 e13a 48 PHA + 360 e13b a8 TAY + 361 e13c b5 78 LDA noun_stk_h_str,X + 362 e13e 85 db STA aux+1 + 363 e140 65 cf ADC acc+1 + 364 e142 48 PHA + 365 e143 c4 ca CPY pp + 366 e145 e5 cb SBC pp+1 + 367 e147 b0 e3 BCS Le12c + 368 e149 a5 da LDA aux + 369 e14b 69 fe ADC #$fe ; 254 ~ + 370 e14d 85 da STA aux + 371 e14f a9 ff LDA #$ff ; 255 . + 372 e151 a8 TAY + 373 e152 65 db ADC aux+1 + 374 e154 85 db STA aux+1 + 375 e156 c8 Le156 INY + 376 e157 b1 da LDA (aux),Y + 377 e159 d9 cc 00 CMP pv,Y + 378 e15c d0 0f BNE Le16d + 379 e15e 98 TYA + 380 e15f f0 f5 BEQ Le156 + 381 e161 68 Le161 PLA + 382 e162 91 da STA (aux),Y + 383 e164 99 cc 00 STA pv,Y + 384 e167 88 DEY + 385 e168 10 f7 BPL Le161 + 386 e16a e8 INX + 387 e16b 60 RTS + 388 e16c ea NOP ; "j" + 389 e16d a0 80 Le16d LDY #$80 ; 128 . + 390 e16f d0 95 Le16f BNE go_errmess_1 + 391 e171 + 392 e171 a9 00 input_str LDA #$00 ; 0 . + 393 e173 20 0a e7 JSR push_a_noun_stk + 394 e176 a0 02 LDY #$02 ; 2 . + 395 e178 94 78 STY noun_stk_h_str,X + 396 e17a 20 0a e7 JSR push_a_noun_stk + 397 e17d a9 bf LDA #$bf ; 191 ? + 398 e17f 20 c9 e3 JSR cout + 399 e182 a0 00 LDY #$00 ; 0 . + 400 e184 20 9e e2 JSR read_line + 401 e187 94 78 STY noun_stk_h_str,X + 402 e189 ea NOP + 403 e18a ea NOP + 404 e18b ea NOP + 405 e18c + 406 e18c b5 51 string_lit LDA noun_stk_l+1,X + 407 e18e 85 ce STA acc + 408 e190 b5 79 LDA noun_stk_h_str+1,X + 409 e192 85 cf STA acc+1 + 410 e194 e8 INX + 411 e195 e8 INX + 412 e196 20 bc e1 JSR Se1bc + 413 e199 b5 4e Le199 LDA rnd,X + 414 e19b d5 76 CMP syn_stk_h+30,X + 415 e19d b0 15 BCS Le1b4 + 416 e19f f6 4e INC rnd,X + 417 e1a1 a8 TAY + 418 e1a2 b1 ce LDA (acc),Y + 419 e1a4 b4 50 LDY noun_stk_l,X + 420 e1a6 c4 e4 CPY p2 + 421 e1a8 90 04 BCC Le1ae + 422 e1aa a0 83 LDY #$83 ; 131 . + 423 e1ac d0 c1 BNE Le16f + 424 e1ae 91 da Le1ae STA (aux),Y + 425 e1b0 f6 50 INC noun_stk_l,X + 426 e1b2 90 e5 BCC Le199 + 427 e1b4 b4 50 Le1b4 LDY noun_stk_l,X + 428 e1b6 8a TXA + 429 e1b7 91 da STA (aux),Y + 430 e1b9 e8 INX + 431 e1ba e8 INX + 432 e1bb 60 RTS + 433 e1bc + 434 e1bc b5 51 Se1bc LDA noun_stk_l+1,X + 435 e1be 85 da STA aux + 436 e1c0 38 SEC + 437 e1c1 e9 02 SBC #$02 ; 2 . + 438 e1c3 85 e4 STA p2 + 439 e1c5 b5 79 LDA noun_stk_h_str+1,X + 440 e1c7 85 db STA aux+1 + 441 e1c9 e9 00 SBC #$00 ; 0 . + 442 e1cb 85 e5 STA p2+1 + 443 e1cd a0 00 LDY #$00 ; 0 . + 444 e1cf b1 e4 LDA (p2),Y + 445 e1d1 18 CLC + 446 e1d2 e5 da SBC aux + 447 e1d4 85 e4 STA p2 + 448 e1d6 60 RTS + 449 e1d7 + 450 e1d7 b5 53 string_eq LDA noun_stk_l+3,X + 451 e1d9 85 ce STA acc + 452 e1db b5 7b LDA noun_stk_h_str+3,X + 453 e1dd 85 cf STA acc+1 + 454 e1df b5 51 LDA noun_stk_l+1,X + 455 e1e1 85 da STA aux + 456 e1e3 b5 79 LDA noun_stk_h_str+1,X + 457 e1e5 85 db STA aux+1 + 458 e1e7 e8 INX + 459 e1e8 e8 INX + 460 e1e9 e8 INX + 461 e1ea a0 00 LDY #$00 ; 0 . + 462 e1ec 94 78 STY noun_stk_h_str,X + 463 e1ee 94 a0 STY noun_stk_h_int,X + 464 e1f0 c8 INY + 465 e1f1 94 50 STY noun_stk_l,X + 466 e1f3 b5 4d Le1f3 LDA himem+1,X + 467 e1f5 d5 75 CMP syn_stk_h+29,X + 468 e1f7 08 PHP + 469 e1f8 48 PHA + 470 e1f9 b5 4f LDA rnd+1,X + 471 e1fb d5 77 CMP syn_stk_h+31,X + 472 e1fd 90 07 BCC Le206 + 473 e1ff 68 PLA + 474 e200 28 PLP + 475 e201 b0 02 BCS Le205 + 476 e203 56 50 Le203 LSR noun_stk_l,X + 477 e205 60 Le205 RTS + 478 e206 a8 Le206 TAY + 479 e207 b1 ce LDA (acc),Y + 480 e209 85 e4 STA p2 + 481 e20b 68 PLA + 482 e20c a8 TAY + 483 e20d 28 PLP + 484 e20e b0 f3 BCS Le203 + 485 e210 b1 da LDA (aux),Y + 486 e212 c5 e4 CMP p2 + 487 e214 d0 ed BNE Le203 + 488 e216 f6 4f INC rnd+1,X + 489 e218 f6 4d INC himem+1,X + 490 e21a b0 d7 BCS Le1f3 + 491 e21c + 492 e21c 20 d7 e1 string_neq JSR string_eq + 493 e21f 4c 36 e7 JMP not_op + 494 e222 + 495 e222 20 54 e2 mult_op JSR Se254 + 496 e225 06 ce Le225 ASL acc + 497 e227 26 cf ROL acc+1 + 498 e229 90 0d BCC Le238 + 499 e22b 18 CLC + 500 e22c a5 e6 LDA p3 + 501 e22e 65 da ADC aux + 502 e230 85 e6 STA p3 + 503 e232 a5 e7 LDA p3+1 + 504 e234 65 db ADC aux+1 + 505 e236 85 e7 STA p3+1 + 506 e238 88 Le238 DEY + 507 e239 f0 09 BEQ Le244 + 508 e23b 06 e6 ASL p3 + 509 e23d 26 e7 ROL p3+1 + 510 e23f 10 e4 BPL Le225 + 511 e241 4c 7e e7 JMP Le77e + 512 e244 a5 e6 Le244 LDA p3 + 513 e246 20 08 e7 JSR push_ya_noun_stk + 514 e249 a5 e7 LDA p3+1 + 515 e24b 95 a0 STA noun_stk_h_int,X + 516 e24d 06 e5 ASL p2+1 + 517 e24f 90 28 BCC Le279 + 518 e251 4c 6f e7 JMP negate + 519 e254 + 520 e254 a9 55 Se254 LDA #$55 ; 85 U + 521 e256 85 e5 STA p2+1 + 522 e258 20 5b e2 JSR Se25b + 523 e25b + 524 e25b a5 ce Se25b LDA acc + 525 e25d 85 da STA aux + 526 e25f a5 cf LDA acc+1 + 527 e261 85 db STA aux+1 + 528 e263 20 15 e7 JSR get16bit + 529 e266 84 e6 STY p3 + 530 e268 84 e7 STY p3+1 + 531 e26a a5 cf LDA acc+1 + 532 e26c 10 09 BPL Le277 + 533 e26e ca DEX + 534 e26f 06 e5 ASL p2+1 + 535 e271 20 6f e7 JSR negate + 536 e274 20 15 e7 JSR get16bit + 537 e277 a0 10 Le277 LDY #$10 ; 16 . + 538 e279 60 Le279 RTS + 539 e27a + 540 e27a 20 6c ee mod_op JSR See6c + 541 e27d f0 c5 BEQ Le244 + 542 e27f ff DC.B $ff ; "." + 543 e280 c9 84 Le280 CMP #$84 ; 132 . + 544 e282 d0 02 BNE Le286 + 545 e284 46 f8 LSR auto_flag + 546 e286 c9 df Le286 CMP #$df ; 223 _ + 547 e288 f0 11 BEQ Le29b + 548 e28a c9 9b CMP #$9b ; 155 . + 549 e28c f0 06 BEQ Le294 + 550 e28e 99 00 02 STA buffer,Y + 551 e291 c8 INY + 552 e292 10 0a BPL read_line + 553 e294 a0 8b Le294 LDY #$8b ; 139 . + 554 e296 20 c4 e3 JSR Se3c4 + 555 e299 + 556 e299 a0 01 Se299 LDY #$01 ; 1 . + 557 e29b 88 Le29b DEY + 558 e29c 30 f6 BMI Le294 + 559 e29e + 560 e29e 20 03 e0 read_line JSR rdkey + 561 e2a1 ea NOP + 562 e2a2 ea NOP + 563 e2a3 20 c9 e3 JSR cout + 564 e2a6 c9 8d CMP #$8d ; 141 . + 565 e2a8 d0 d6 BNE Le280 + 566 e2aa a9 df LDA #$df ; 223 _ + 567 e2ac 99 00 02 STA buffer,Y + 568 e2af 60 RTS + 569 e2b0 20 d3 ef cold JSR mem_init_4k + 570 e2b3 20 cd e3 warm JSR crout + 571 e2b6 46 d9 Le2b6 LSR run_flag + 572 e2b8 a9 be LDA #$be ; 190 > + 573 e2ba 20 c9 e3 JSR cout + 574 e2bd a0 00 LDY #$00 ; 0 . + 575 e2bf 84 fa STY leadzr + 576 e2c1 24 f8 BIT auto_flag + 577 e2c3 10 0c BPL Le2d1 + 578 e2c5 a6 f6 LDX auto_ln + 579 e2c7 a5 f7 LDA auto_ln+1 + 580 e2c9 20 1b e5 JSR prdec + 581 e2cc a9 a0 LDA #$a0 ; 160 + 582 e2ce 20 c9 e3 JSR cout + 583 e2d1 a2 ff Le2d1 LDX #$ff ; 255 . + 584 e2d3 9a TXS + 585 e2d4 20 9e e2 JSR read_line + 586 e2d7 84 f1 STY token_index + 587 e2d9 8a TXA + 588 e2da 85 c8 STA text_index + 589 e2dc a2 20 LDX #$20 ; 32 + 590 e2de 20 91 e4 JSR Se491 + 591 e2e1 a5 c8 LDA text_index + 592 e2e3 69 00 ADC #$00 ; 0 . + 593 e2e5 85 e0 STA pverb + 594 e2e7 a9 00 LDA #$00 ; 0 . + 595 e2e9 aa TAX + 596 e2ea 69 02 ADC #$02 ; 2 . + 597 e2ec 85 e1 STA pverb+1 + 598 e2ee a1 e0 LDA (pverb,X) + 599 e2f0 29 f0 AND #$f0 ; 240 p + 600 e2f2 c9 b0 CMP #$b0 ; 176 0 + 601 e2f4 f0 03 BEQ Le2f9 + 602 e2f6 4c 83 e8 JMP Le883 + 603 e2f9 a0 02 Le2f9 LDY #$02 ; 2 . + 604 e2fb b1 e0 Le2fb LDA (pverb),Y + 605 e2fd 99 cd 00 STA pv+1,Y + 606 e300 88 DEY + 607 e301 d0 f8 BNE Le2fb + 608 e303 20 8a e3 JSR Se38a + 609 e306 a5 f1 LDA token_index + 610 e308 e5 c8 SBC text_index + 611 e30a c9 04 CMP #$04 ; 4 . + 612 e30c f0 a8 BEQ Le2b6 + 613 e30e 91 e0 STA (pverb),Y + 614 e310 a5 ca LDA pp + 615 e312 f1 e0 SBC (pverb),Y + 616 e314 85 e4 STA p2 + 617 e316 a5 cb LDA pp+1 + 618 e318 e9 00 SBC #$00 ; 0 . + 619 e31a 85 e5 STA p2+1 + 620 e31c a5 e4 LDA p2 + 621 e31e c5 cc CMP pv + 622 e320 a5 e5 LDA p2+1 + 623 e322 e5 cd SBC pv+1 + 624 e324 90 45 BCC Le36b + 625 e326 a5 ca Le326 LDA pp + 626 e328 f1 e0 SBC (pverb),Y + 627 e32a 85 e6 STA p3 + 628 e32c a5 cb LDA pp+1 + 629 e32e e9 00 SBC #$00 ; 0 . + 630 e330 85 e7 STA p3+1 + 631 e332 b1 ca LDA (pp),Y + 632 e334 91 e6 STA (p3),Y + 633 e336 e6 ca INC pp + 634 e338 d0 02 BNE Le33c + 635 e33a e6 cb INC pp+1 + 636 e33c a5 e2 Le33c LDA p1 + 637 e33e c5 ca CMP pp + 638 e340 a5 e3 LDA p1+1 + 639 e342 e5 cb SBC pp+1 + 640 e344 b0 e0 BCS Le326 + 641 e346 b5 e4 Le346 LDA p2,X + 642 e348 95 ca STA pp,X + 643 e34a ca DEX + 644 e34b 10 f9 BPL Le346 + 645 e34d b1 e0 LDA (pverb),Y + 646 e34f a8 TAY + 647 e350 88 Le350 DEY + 648 e351 b1 e0 LDA (pverb),Y + 649 e353 91 e6 STA (p3),Y + 650 e355 98 TYA + 651 e356 d0 f8 BNE Le350 + 652 e358 24 f8 BIT auto_flag + 653 e35a 10 09 BPL Le365 + 654 e35c b5 f7 Le35c LDA auto_ln+1,X + 655 e35e 75 f5 ADC auto_inc+1,X + 656 e360 95 f7 STA auto_ln+1,X + 657 e362 e8 INX + 658 e363 f0 f7 BEQ Le35c + 659 e365 10 7e Le365 BPL Le3e5 + 660 e367 00 BRK + 661 e368 00 BRK + 662 e369 00 BRK + 663 e36a 00 BRK ; "..." + 664 e36b a0 14 Le36b LDY #$14 ; 20 . + 665 e36d d0 71 BNE print_err_msg + 666 e36f + 667 e36f 20 15 e7 del_comma JSR get16bit + 668 e372 a5 e2 LDA p1 + 669 e374 85 e6 STA p3 + 670 e376 a5 e3 LDA p1+1 + 671 e378 85 e7 STA p3+1 + 672 e37a 20 75 e5 JSR find_line1 + 673 e37d a5 e2 LDA p1 + 674 e37f 85 e4 STA p2 + 675 e381 a5 e3 LDA p1+1 + 676 e383 85 e5 STA p2+1 + 677 e385 d0 0e BNE Le395 + 678 e387 + 679 e387 20 15 e7 del_cmd JSR get16bit + 680 e38a + 681 e38a 20 6d e5 Se38a JSR find_line + 682 e38d a5 e6 LDA p3 + 683 e38f 85 e2 STA p1 + 684 e391 a5 e7 LDA p3+1 + 685 e393 85 e3 STA p1+1 + 686 e395 a0 00 Le395 LDY #$00 ; 0 . + 687 e397 a5 ca Le397 LDA pp + 688 e399 c5 e4 CMP p2 + 689 e39b a5 cb LDA pp+1 + 690 e39d e5 e5 SBC p2+1 + 691 e39f b0 16 BCS Le3b7 + 692 e3a1 a5 e4 LDA p2 + 693 e3a3 d0 02 BNE Le3a7 + 694 e3a5 c6 e5 DEC p2+1 + 695 e3a7 c6 e4 Le3a7 DEC p2 + 696 e3a9 a5 e6 LDA p3 + 697 e3ab d0 02 BNE Le3af + 698 e3ad c6 e7 DEC p3+1 + 699 e3af c6 e6 Le3af DEC p3 + 700 e3b1 b1 e4 LDA (p2),Y + 701 e3b3 91 e6 STA (p3),Y + 702 e3b5 90 e0 BCC Le397 + 703 e3b7 a5 e6 Le3b7 LDA p3 + 704 e3b9 85 ca STA pp + 705 e3bb a5 e7 LDA p3+1 + 706 e3bd 85 cb STA pp+1 + 707 e3bf 60 RTS + 708 e3c0 20 c9 e3 Le3c0 JSR cout + 709 e3c3 c8 INY + 710 e3c4 + 711 e3c4 b9 00 eb Se3c4 LDA error_msg_tbl,Y + 712 e3c7 30 f7 BMI Le3c0 + 713 e3c9 + 714 e3c9 c9 8d cout CMP #$8d ; 141 . + 715 e3cb d0 06 BNE Le3d3 + 716 e3cd + 717 e3cd a9 00 crout LDA #$00 ; 0 . + 718 e3cf 85 24 STA ch + 719 e3d1 a9 8d LDA #$8d ; 141 . + 720 e3d3 e6 24 Le3d3 INC ch + 721 e3d5 Le3d5 + 722 e3d5 - IFCONST BLD4APPLE1 + 723 e3d5 - BIT DSP + 724 e3d5 - BMI Le3d5 + 725 e3d5 - STA DSP + 726 e3d5 ELSE + 727 e3d5 20 ef ff JSR ECHO ; use monitor function to output + 728 e3d8 ea nop + 729 e3d9 ea nop + 730 e3da ea nop + 731 e3db ea nop + 732 e3dc ea nop + 733 e3dd ENDIF + 734 e3dd + 735 e3dd 60 RTS + 736 e3de a0 06 too_long_err LDY #$06 ; 6 + 737 e3e0 20 d3 ee print_err_msg JSR print_err_msg2 + 738 e3e3 24 d9 BIT run_flag + 739 e3e5 30 03 Le3e5 BMI Le3ea + 740 e3e7 4c b6 e2 JMP Le2b6 + 741 e3ea 4c 9a eb Le3ea JMP Leb9a + 742 e3ed 2a Le3ed ROL + 743 e3ee 69 a0 ADC #$a0 ; 160 + 744 e3f0 dd 00 02 CMP buffer,X + 745 e3f3 d0 53 BNE Le448 + 746 e3f5 b1 fe LDA (synpag),Y + 747 e3f7 0a ASL + 748 e3f8 30 06 BMI Le400 + 749 e3fa 88 DEY + 750 e3fb b1 fe LDA (synpag),Y + 751 e3fd 30 29 BMI Le428 + 752 e3ff c8 INY + 753 e400 86 c8 Le400 STX text_index + 754 e402 98 TYA + 755 e403 48 PHA + 756 e404 a2 00 LDX #$00 ; 0 . + 757 e406 a1 fe LDA (synpag,X) + 758 e408 aa TAX + 759 e409 4a Le409 LSR + 760 e40a 49 48 EOR #$48 ; 72 H + 761 e40c 11 fe ORA (synpag),Y + 762 e40e c9 c0 CMP #$c0 ; 192 @ + 763 e410 90 01 BCC Le413 + 764 e412 e8 INX + 765 e413 c8 Le413 INY + 766 e414 d0 f3 BNE Le409 + 767 e416 68 PLA + 768 e417 a8 TAY + 769 e418 8a TXA + 770 e419 4c c0 e4 JMP Le4c0 + 771 e41c + 772 e41c e6 f1 put_token INC token_index + 773 e41e a6 f1 LDX token_index + 774 e420 f0 bc BEQ too_long_err + 775 e422 9d 00 02 STA buffer,X + 776 e425 60 Le425 RTS + 777 e426 a6 c8 Le426 LDX text_index + 778 e428 a9 a0 Le428 LDA #$a0 ; 160 + 779 e42a e8 Le42a INX + 780 e42b dd 00 02 CMP buffer,X + 781 e42e b0 fa BCS Le42a + 782 e430 b1 fe LDA (synpag),Y + 783 e432 29 3f AND #$3f ; 63 ? + 784 e434 4a LSR + 785 e435 d0 b6 BNE Le3ed + 786 e437 bd 00 02 LDA buffer,X + 787 e43a b0 06 BCS Le442 + 788 e43c 69 3f ADC #$3f ; 63 ? + 789 e43e c9 1a CMP #$1a ; 26 . + 790 e440 90 6f BCC Le4b1 + 791 e442 69 4f Le442 ADC #$4f ; 79 O + 792 e444 c9 0a CMP #$0a ; 10 . + 793 e446 90 69 BCC Le4b1 + 794 e448 a6 fd Le448 LDX synstkdx + 795 e44a c8 Le44a INY + 796 e44b b1 fe LDA (synpag),Y + 797 e44d 29 e0 AND #$e0 ; 224 ` + 798 e44f c9 20 CMP #$20 ; 32 + 799 e451 f0 7a BEQ Le4cd + 800 e453 b5 a8 LDA txtndxstk,X + 801 e455 85 c8 STA text_index + 802 e457 b5 d1 LDA tokndxstk,X + 803 e459 85 f1 STA token_index + 804 e45b 88 Le45b DEY + 805 e45c b1 fe LDA (synpag),Y + 806 e45e 0a ASL + 807 e45f 10 fa BPL Le45b + 808 e461 88 DEY + 809 e462 b0 38 BCS Le49c + 810 e464 0a ASL + 811 e465 30 35 BMI Le49c + 812 e467 b4 58 LDY syn_stk_h,X + 813 e469 84 ff STY synpag+1 + 814 e46b b4 80 LDY syn_stk_l,X + 815 e46d e8 INX + 816 e46e 10 da BPL Le44a + 817 e470 f0 b3 Le470 BEQ Le425 + 818 e472 c9 7e CMP #$7e ; 126 ~ + 819 e474 b0 22 BCS Le498 + 820 e476 ca DEX + 821 e477 10 04 BPL Le47d + 822 e479 a0 06 LDY #$06 ; 6 . + 823 e47b 10 29 BPL go_errmess_2 + 824 e47d 94 80 Le47d STY syn_stk_l,X + 825 e47f a4 ff LDY synpag+1 + 826 e481 94 58 STY syn_stk_h,X + 827 e483 a4 c8 LDY text_index + 828 e485 94 a8 STY txtndxstk,X + 829 e487 a4 f1 LDY token_index + 830 e489 94 d1 STY tokndxstk,X + 831 e48b 29 1f AND #$1f ; 31 . + 832 e48d a8 TAY + 833 e48e b9 20 ec LDA syntabl_index,Y + 834 e491 + 835 e491 0a Se491 ASL + 836 e492 a8 TAY + 837 e493 ; when running from a000, shifts to AC or AD + 838 e493 ; when running from e000 shifts to EC or ED + 839 e493 a9 76 LDA #[syntabl_index>>9] + 840 e495 2a ROL + 841 e496 85 ff STA synpag+1 + 842 e498 d0 01 Le498 BNE Le49b + 843 e49a c8 INY + 844 e49b c8 Le49b INY + 845 e49c 86 fd Le49c STX synstkdx + 846 e49e b1 fe LDA (synpag),Y + 847 e4a0 30 84 BMI Le426 + 848 e4a2 d0 05 BNE Le4a9 + 849 e4a4 a0 0e LDY #$0e ; 14 . + 850 e4a6 4c e0 e3 go_errmess_2 JMP print_err_msg + 851 e4a9 c9 03 Le4a9 CMP #$03 ; 3 . + 852 e4ab b0 c3 BCS Le470 + 853 e4ad 4a LSR + 854 e4ae a6 c8 LDX text_index + 855 e4b0 e8 INX + 856 e4b1 bd 00 02 Le4b1 LDA buffer,X + 857 e4b4 90 04 BCC Le4ba + 858 e4b6 c9 a2 CMP #$a2 ; 162 " + 859 e4b8 f0 0a BEQ Le4c4 + 860 e4ba c9 df Le4ba CMP #$df ; 223 _ + 861 e4bc f0 06 BEQ Le4c4 + 862 e4be 86 c8 STX text_index + 863 e4c0 20 1c e4 Le4c0 JSR put_token + 864 e4c3 c8 INY + 865 e4c4 88 Le4c4 DEY + 866 e4c5 a6 fd LDX synstkdx + 867 e4c7 b1 fe Le4c7 LDA (synpag),Y + 868 e4c9 88 DEY + 869 e4ca 0a ASL + 870 e4cb 10 cf BPL Le49c + 871 e4cd b4 58 Le4cd LDY syn_stk_h,X + 872 e4cf 84 ff STY synpag+1 + 873 e4d1 b4 80 LDY syn_stk_l,X + 874 e4d3 e8 INX + 875 e4d4 b1 fe LDA (synpag),Y + 876 e4d6 29 9f AND #$9f ; 159 . + 877 e4d8 d0 ed BNE Le4c7 + 878 e4da 85 f2 STA pcon + 879 e4dc 85 f3 STA pcon+1 + 880 e4de 98 TYA + 881 e4df 48 PHA + 882 e4e0 86 fd STX synstkdx + 883 e4e2 b4 d0 LDY srch,X + 884 e4e4 84 c9 STY leadbl + 885 e4e6 18 CLC + 886 e4e7 a9 0a Le4e7 LDA #$0a ; 10 . + 887 e4e9 85 f9 STA char + 888 e4eb a2 00 LDX #$00 ; 0 . + 889 e4ed c8 INY + 890 e4ee b9 00 02 LDA buffer,Y + 891 e4f1 29 0f AND #$0f ; 15 . + 892 e4f3 65 f2 Le4f3 ADC pcon + 893 e4f5 48 PHA + 894 e4f6 8a TXA + 895 e4f7 65 f3 ADC pcon+1 + 896 e4f9 30 1c BMI Le517 + 897 e4fb aa TAX + 898 e4fc 68 PLA + 899 e4fd c6 f9 DEC char + 900 e4ff d0 f2 BNE Le4f3 + 901 e501 85 f2 STA pcon + 902 e503 86 f3 STX pcon+1 + 903 e505 c4 f1 CPY token_index + 904 e507 d0 de BNE Le4e7 + 905 e509 a4 c9 LDY leadbl + 906 e50b c8 INY + 907 e50c 84 f1 STY token_index + 908 e50e 20 1c e4 JSR put_token + 909 e511 68 PLA + 910 e512 a8 TAY + 911 e513 a5 f3 LDA pcon+1 + 912 e515 b0 a9 BCS Le4c0 + 913 e517 a0 00 Le517 LDY #$00 ; 0 . + 914 e519 10 8b BPL go_errmess_2 + 915 e51b + 916 e51b 85 f3 prdec STA pcon+1 + 917 e51d 86 f2 STX pcon + 918 e51f a2 04 LDX #$04 ; 4 . + 919 e521 86 c9 STX leadbl + 920 e523 a9 b0 Le523 LDA #$b0 ; 176 0 + 921 e525 85 f9 STA char + 922 e527 a5 f2 Le527 LDA pcon + 923 e529 dd 63 e5 CMP dectabl,X + 924 e52c a5 f3 LDA pcon+1 + 925 e52e fd 68 e5 SBC dectabh,X + 926 e531 90 0d BCC Le540 + 927 e533 85 f3 STA pcon+1 + 928 e535 a5 f2 LDA pcon + 929 e537 fd 63 e5 SBC dectabl,X + 930 e53a 85 f2 STA pcon + 931 e53c e6 f9 INC char + 932 e53e d0 e7 BNE Le527 + 933 e540 a5 f9 Le540 LDA char + 934 e542 e8 INX + 935 e543 ca DEX + 936 e544 f0 0e BEQ Le554 + 937 e546 c9 b0 CMP #$b0 ; 176 0 + 938 e548 f0 02 BEQ Le54c + 939 e54a 85 c9 STA leadbl + 940 e54c 24 c9 Le54c BIT leadbl + 941 e54e 30 04 BMI Le554 + 942 e550 a5 fa LDA leadzr + 943 e552 f0 0b BEQ Le55f + 944 e554 20 c9 e3 Le554 JSR cout + 945 e557 24 f8 BIT auto_flag + 946 e559 10 04 BPL Le55f + 947 e55b 99 00 02 STA buffer,Y + 948 e55e c8 INY + 949 e55f ca Le55f DEX + 950 e560 10 c1 BPL Le523 + 951 e562 60 RTS + 952 e563 01 0a 64 e8*dectabl DC.B $01,$0a,$64,$e8,$10 ; "..dh." + 953 e568 00 00 00 03*dectabh DC.B $00,$00,$00,$03,$27 ; "....'" + 954 e56d + 955 e56d a5 ca find_line LDA pp + 956 e56f 85 e6 STA p3 + 957 e571 a5 cb LDA pp+1 + 958 e573 85 e7 STA p3+1 + 959 e575 + 960 e575 e8 find_line1 INX + 961 e576 + 962 e576 a5 e7 find_line2 LDA p3+1 + 963 e578 85 e5 STA p2+1 + 964 e57a a5 e6 LDA p3 + 965 e57c 85 e4 STA p2 + 966 e57e c5 4c CMP himem + 967 e580 a5 e5 LDA p2+1 + 968 e582 e5 4d SBC himem+1 + 969 e584 b0 26 BCS Le5ac + 970 e586 a0 01 LDY #$01 ; 1 . + 971 e588 b1 e4 LDA (p2),Y + 972 e58a e5 ce SBC acc + 973 e58c c8 INY + 974 e58d b1 e4 LDA (p2),Y + 975 e58f e5 cf SBC acc+1 + 976 e591 b0 19 BCS Le5ac + 977 e593 a0 00 LDY #$00 ; 0 . + 978 e595 a5 e6 LDA p3 + 979 e597 71 e4 ADC (p2),Y + 980 e599 85 e6 STA p3 + 981 e59b 90 03 BCC Le5a0 + 982 e59d e6 e7 INC p3+1 + 983 e59f 18 CLC + 984 e5a0 c8 Le5a0 INY + 985 e5a1 a5 ce LDA acc + 986 e5a3 f1 e4 SBC (p2),Y + 987 e5a5 c8 INY + 988 e5a6 a5 cf LDA acc+1 + 989 e5a8 f1 e4 SBC (p2),Y + 990 e5aa b0 ca BCS find_line2 + 991 e5ac 60 Le5ac RTS + 992 e5ad + 993 e5ad 46 f8 new_cmd LSR auto_flag + 994 e5af a5 4c LDA himem + 995 e5b1 85 ca STA pp + 996 e5b3 a5 4d LDA himem+1 + 997 e5b5 85 cb STA pp+1 + 998 e5b7 + 999 e5b7 a5 4a clr LDA lomem + 1000 e5b9 85 cc STA pv + 1001 e5bb a5 4b LDA lomem+1 + 1002 e5bd 85 cd STA pv+1 + 1003 e5bf a9 00 LDA #$00 ; 0 . + 1004 e5c1 85 fb STA for_nest_count + 1005 e5c3 85 fc STA gosub_nest_count + 1006 e5c5 85 fe STA synpag + 1007 e5c7 a9 00 LDA #$00 ; 0 . + 1008 e5c9 85 1d STA Z1d + 1009 e5cb 60 RTS + 1010 e5cc a5 d0 Le5cc LDA srch + 1011 e5ce 69 05 ADC #$05 ; 5 . + 1012 e5d0 85 d2 STA srch2 + 1013 e5d2 a5 d1 LDA tokndxstk + 1014 e5d4 69 00 ADC #$00 ; 0 . + 1015 e5d6 85 d3 STA srch2+1 + 1016 e5d8 a5 d2 LDA srch2 + 1017 e5da c5 ca CMP pp + 1018 e5dc a5 d3 LDA srch2+1 + 1019 e5de e5 cb SBC pp+1 + 1020 e5e0 90 03 BCC Le5e5 + 1021 e5e2 4c 6b e3 JMP Le36b + 1022 e5e5 a5 ce Le5e5 LDA acc + 1023 e5e7 91 d0 STA (srch),Y + 1024 e5e9 a5 cf LDA acc+1 + 1025 e5eb c8 INY + 1026 e5ec 91 d0 STA (srch),Y + 1027 e5ee a5 d2 LDA srch2 + 1028 e5f0 c8 INY + 1029 e5f1 91 d0 STA (srch),Y + 1030 e5f3 a5 d3 LDA srch2+1 + 1031 e5f5 c8 INY + 1032 e5f6 91 d0 STA (srch),Y + 1033 e5f8 a9 00 LDA #$00 ; 0 . + 1034 e5fa c8 INY + 1035 e5fb 91 d0 STA (srch),Y + 1036 e5fd c8 INY + 1037 e5fe 91 d0 STA (srch),Y + 1038 e600 a5 d2 LDA srch2 + 1039 e602 85 cc STA pv + 1040 e604 a5 d3 LDA srch2+1 + 1041 e606 85 cd STA pv+1 + 1042 e608 a5 d0 LDA srch + 1043 e60a 90 43 BCC Le64f + 1044 e60c 85 ce execute_var STA acc + 1045 e60e 84 cf STY acc+1 + 1046 e610 20 ff e6 JSR get_next_prog_byte + 1047 e613 30 0e BMI Le623 + 1048 e615 c9 40 CMP #$40 ; 64 @ + 1049 e617 f0 0a BEQ Le623 + 1050 e619 4c 28 e6 JMP Le628 + 1051 e61c 06 c9 49 d0* DC.B $06,$c9,$49,$d0,$07,$a9,$49 ; ".IIP.)I" + 1052 e623 85 cf Le623 STA acc+1 + 1053 e625 20 ff e6 JSR get_next_prog_byte + 1054 e628 a5 4b Le628 LDA lomem+1 + 1055 e62a 85 d1 STA tokndxstk + 1056 e62c a5 4a LDA lomem + 1057 e62e 85 d0 Le62e STA srch + 1058 e630 c5 cc CMP pv + 1059 e632 a5 d1 LDA tokndxstk + 1060 e634 e5 cd SBC pv+1 + 1061 e636 b0 94 BCS Le5cc + 1062 e638 b1 d0 LDA (srch),Y + 1063 e63a c8 INY + 1064 e63b c5 ce CMP acc + 1065 e63d d0 06 BNE Le645 + 1066 e63f b1 d0 LDA (srch),Y + 1067 e641 c5 cf CMP acc+1 + 1068 e643 f0 0e BEQ Le653 + 1069 e645 c8 Le645 INY + 1070 e646 b1 d0 LDA (srch),Y + 1071 e648 48 PHA + 1072 e649 c8 INY + 1073 e64a b1 d0 LDA (srch),Y + 1074 e64c 85 d1 STA tokndxstk + 1075 e64e 68 PLA + 1076 e64f a0 00 Le64f LDY #$00 ; 0 . + 1077 e651 f0 db BEQ Le62e + 1078 e653 a5 d0 Le653 LDA srch + 1079 e655 69 03 ADC #$03 ; 3 . + 1080 e657 20 0a e7 JSR push_a_noun_stk + 1081 e65a a5 d1 LDA tokndxstk + 1082 e65c 69 00 ADC #$00 ; 0 . + 1083 e65e 95 78 STA noun_stk_h_str,X + 1084 e660 a5 cf LDA acc+1 + 1085 e662 c9 40 CMP #$40 ; 64 @ + 1086 e664 d0 1c BNE fetch_prog_byte + 1087 e666 88 DEY + 1088 e667 98 TYA + 1089 e668 20 0a e7 JSR push_a_noun_stk + 1090 e66b 88 DEY + 1091 e66c 94 78 STY noun_stk_h_str,X + 1092 e66e a0 03 LDY #$03 ; 3 . + 1093 e670 f6 78 Le670 INC noun_stk_h_str,X + 1094 e672 c8 INY + 1095 e673 b1 d0 LDA (srch),Y + 1096 e675 30 f9 BMI Le670 + 1097 e677 10 09 BPL fetch_prog_byte + 1098 e679 + 1099 e679 a9 00 execute_stmt LDA #$00 ; 0 . + 1100 e67b 85 d4 STA if_flag + 1101 e67d 85 d5 STA cr_flag + 1102 e67f a2 20 LDX #$20 ; 32 + 1103 e681 48 push_old_verb PHA + 1104 e682 a0 00 fetch_prog_byte LDY #$00 ; 0 . + 1105 e684 b1 e0 LDA (pverb),Y + 1106 e686 10 18 Le686 BPL execute_token + 1107 e688 0a ASL + 1108 e689 30 81 BMI execute_var + 1109 e68b 20 ff e6 JSR get_next_prog_byte + 1110 e68e 20 08 e7 JSR push_ya_noun_stk + 1111 e691 20 ff e6 JSR get_next_prog_byte + 1112 e694 95 a0 STA noun_stk_h_int,X + 1113 e696 24 d4 Le696 BIT if_flag + 1114 e698 10 01 BPL Le69b + 1115 e69a ca DEX + 1116 e69b 20 ff e6 Le69b JSR get_next_prog_byte + 1117 e69e b0 e6 BCS Le686 + 1118 e6a0 c9 28 execute_token CMP #$28 ; 40 ( + 1119 e6a2 d0 1f BNE execute_verb + 1120 e6a4 a5 e0 LDA pverb + 1121 e6a6 20 0a e7 JSR push_a_noun_stk + 1122 e6a9 a5 e1 LDA pverb+1 + 1123 e6ab 95 78 STA noun_stk_h_str,X + 1124 e6ad 24 d4 BIT if_flag + 1125 e6af 30 0b BMI Le6bc + 1126 e6b1 a9 01 LDA #$01 ; 1 . + 1127 e6b3 20 0a e7 JSR push_a_noun_stk + 1128 e6b6 a9 00 LDA #$00 ; 0 . + 1129 e6b8 95 78 STA noun_stk_h_str,X + 1130 e6ba f6 78 Le6ba INC noun_stk_h_str,X + 1131 e6bc 20 ff e6 Le6bc JSR get_next_prog_byte + 1132 e6bf 30 f9 BMI Le6ba + 1133 e6c1 b0 d3 BCS Le696 + 1134 e6c3 24 d4 execute_verb BIT if_flag + 1135 e6c5 10 06 BPL Le6cd + 1136 e6c7 c9 04 CMP #$04 ; 4 . + 1137 e6c9 b0 d0 BCS Le69b + 1138 e6cb 46 d4 LSR if_flag + 1139 e6cd a8 Le6cd TAY + 1140 e6ce 85 d6 STA current_verb + 1141 e6d0 b9 98 e9 LDA verb_prec_tbl,Y + 1142 e6d3 29 55 AND #$55 ; 85 U + 1143 e6d5 0a ASL + 1144 e6d6 85 d7 STA precedence + 1145 e6d8 68 Le6d8 PLA + 1146 e6d9 a8 TAY + 1147 e6da b9 98 e9 LDA verb_prec_tbl,Y + 1148 e6dd 29 aa AND #$aa ; 170 * + 1149 e6df c5 d7 CMP precedence + 1150 e6e1 b0 09 BCS do_verb + 1151 e6e3 98 TYA + 1152 e6e4 48 PHA + 1153 e6e5 20 ff e6 JSR get_next_prog_byte + 1154 e6e8 a5 d6 LDA current_verb + 1155 e6ea 90 95 BCC push_old_verb + 1156 e6ec b9 10 ea do_verb LDA verb_adr_l,Y + 1157 e6ef 85 ce STA acc + 1158 e6f1 b9 88 ea LDA verb_adr_h,Y + 1159 e6f4 85 cf STA acc+1 + 1160 e6f6 20 fc e6 JSR Se6fc + 1161 e6f9 4c d8 e6 JMP Le6d8 + 1162 e6fc + 1163 e6fc 6c ce 00 Se6fc JMP (acc) + 1164 e6ff + 1165 e6ff e6 e0 get_next_prog_byte INC pverb + 1166 e701 d0 02 BNE Le705 + 1167 e703 e6 e1 INC pverb+1 + 1168 e705 b1 e0 Le705 LDA (pverb),Y + 1169 e707 60 RTS + 1170 e708 + 1171 e708 94 77 push_ya_noun_stk STY syn_stk_h+31,X + 1172 e70a + 1173 e70a ca push_a_noun_stk DEX + 1174 e70b 30 03 BMI Le710 + 1175 e70d 95 50 STA noun_stk_l,X + 1176 e70f 60 RTS + 1177 e710 a0 66 Le710 LDY #$66 ; 102 f + 1178 e712 4c e0 e3 go_errmess_3 JMP print_err_msg + 1179 e715 + 1180 e715 a0 00 get16bit LDY #$00 ; 0 . + 1181 e717 b5 50 LDA noun_stk_l,X + 1182 e719 85 ce STA acc + 1183 e71b b5 a0 LDA noun_stk_h_int,X + 1184 e71d 85 cf STA acc+1 + 1185 e71f b5 78 LDA noun_stk_h_str,X + 1186 e721 f0 0e BEQ Le731 + 1187 e723 85 cf STA acc+1 + 1188 e725 b1 ce LDA (acc),Y + 1189 e727 48 PHA + 1190 e728 c8 INY + 1191 e729 b1 ce LDA (acc),Y + 1192 e72b 85 cf STA acc+1 + 1193 e72d 68 PLA + 1194 e72e 85 ce STA acc + 1195 e730 88 DEY + 1196 e731 e8 Le731 INX + 1197 e732 60 RTS + 1198 e733 + 1199 e733 20 4a e7 eq_op JSR neq_op + 1200 e736 + 1201 e736 20 15 e7 not_op JSR get16bit + 1202 e739 98 TYA + 1203 e73a 20 08 e7 JSR push_ya_noun_stk + 1204 e73d 95 a0 STA noun_stk_h_int,X + 1205 e73f c5 ce CMP acc + 1206 e741 d0 06 BNE Le749 + 1207 e743 c5 cf CMP acc+1 + 1208 e745 d0 02 BNE Le749 + 1209 e747 f6 50 INC noun_stk_l,X + 1210 e749 60 Le749 RTS + 1211 e74a + 1212 e74a 20 82 e7 neq_op JSR subtract + 1213 e74d 20 59 e7 JSR sgn_fn + 1214 e750 + 1215 e750 20 15 e7 abs_fn JSR get16bit + 1216 e753 24 cf BIT acc+1 + 1217 e755 30 1b BMI Se772 + 1218 e757 ca Le757 DEX + 1219 e758 60 Le758 RTS + 1220 e759 + 1221 e759 20 15 e7 sgn_fn JSR get16bit + 1222 e75c a5 cf LDA acc+1 + 1223 e75e d0 04 BNE Le764 + 1224 e760 a5 ce LDA acc + 1225 e762 f0 f3 BEQ Le757 + 1226 e764 a9 ff Le764 LDA #$ff ; 255 . + 1227 e766 20 08 e7 JSR push_ya_noun_stk + 1228 e769 95 a0 STA noun_stk_h_int,X + 1229 e76b 24 cf BIT acc+1 + 1230 e76d 30 e9 BMI Le758 + 1231 e76f + 1232 e76f 20 15 e7 negate JSR get16bit + 1233 e772 + 1234 e772 98 Se772 TYA + 1235 e773 38 SEC + 1236 e774 e5 ce SBC acc + 1237 e776 20 08 e7 JSR push_ya_noun_stk + 1238 e779 98 TYA + 1239 e77a e5 cf SBC acc+1 + 1240 e77c 50 23 BVC Le7a1 + 1241 e77e a0 00 Le77e LDY #$00 ; 0 . + 1242 e780 10 90 BPL go_errmess_3 + 1243 e782 + 1244 e782 20 6f e7 subtract JSR negate + 1245 e785 + 1246 e785 20 15 e7 add JSR get16bit + 1247 e788 a5 ce LDA acc + 1248 e78a 85 da STA aux + 1249 e78c a5 cf LDA acc+1 + 1250 e78e 85 db STA aux+1 + 1251 e790 20 15 e7 JSR get16bit + 1252 e793 + 1253 e793 18 Se793 CLC + 1254 e794 a5 ce LDA acc + 1255 e796 65 da ADC aux + 1256 e798 20 08 e7 JSR push_ya_noun_stk + 1257 e79b a5 cf LDA acc+1 + 1258 e79d 65 db ADC aux+1 + 1259 e79f 70 dd BVS Le77e + 1260 e7a1 95 a0 Le7a1 STA noun_stk_h_int,X + 1261 e7a3 + 1262 e7a3 60 unary_pos RTS + 1263 e7a4 + 1264 e7a4 20 15 e7 tab_fn JSR get16bit + 1265 e7a7 a4 ce LDY acc + 1266 e7a9 f0 05 BEQ Le7b0 + 1267 e7ab 88 DEY + 1268 e7ac a5 cf LDA acc+1 + 1269 e7ae f0 0c BEQ Le7bc + 1270 e7b0 60 Le7b0 RTS + 1271 e7b1 + 1272 e7b1 a5 24 tabout LDA ch + 1273 e7b3 09 07 ORA #$07 ; 7 . + 1274 e7b5 a8 TAY + 1275 e7b6 c8 INY + 1276 e7b7 a9 a0 Le7b7 LDA #$a0 ; 160 + 1277 e7b9 20 c9 e3 JSR cout + 1278 e7bc c4 24 Le7bc CPY ch + 1279 e7be b0 f7 BCS Le7b7 + 1280 e7c0 60 RTS + 1281 e7c1 + 1282 e7c1 20 b1 e7 print_com_num JSR tabout + 1283 e7c4 + 1284 e7c4 20 15 e7 print_num JSR get16bit + 1285 e7c7 a5 cf LDA acc+1 + 1286 e7c9 10 0a BPL Le7d5 + 1287 e7cb a9 ad LDA #$ad ; 173 - + 1288 e7cd 20 c9 e3 JSR cout + 1289 e7d0 20 72 e7 JSR Se772 + 1290 e7d3 50 ef BVC print_num + 1291 e7d5 88 Le7d5 DEY + 1292 e7d6 84 d5 STY cr_flag + 1293 e7d8 86 cf STX acc+1 + 1294 e7da a6 ce LDX acc + 1295 e7dc 20 1b e5 JSR prdec + 1296 e7df a6 cf LDX acc+1 + 1297 e7e1 60 RTS + 1298 e7e2 + 1299 e7e2 20 15 e7 auto_cmd JSR get16bit + 1300 e7e5 a5 ce LDA acc + 1301 e7e7 85 f6 STA auto_ln + 1302 e7e9 a5 cf LDA acc+1 + 1303 e7eb 85 f7 STA auto_ln+1 + 1304 e7ed 88 DEY + 1305 e7ee 84 f8 STY auto_flag + 1306 e7f0 c8 INY + 1307 e7f1 a9 0a LDA #$0a ; 10 . + 1308 e7f3 85 f4 Le7f3 STA auto_inc + 1309 e7f5 84 f5 STY auto_inc+1 + 1310 e7f7 60 RTS + 1311 e7f8 + 1312 e7f8 20 15 e7 auto_com JSR get16bit + 1313 e7fb a5 ce LDA acc + 1314 e7fd a4 cf LDY acc+1 + 1315 e7ff 10 f2 BPL Le7f3 + 1316 e801 + 1317 e801 20 15 e7 var_assign JSR get16bit + 1318 e804 b5 50 LDA noun_stk_l,X + 1319 e806 85 da STA aux + 1320 e808 b5 78 LDA noun_stk_h_str,X + 1321 e80a 85 db STA aux+1 + 1322 e80c a5 ce LDA acc + 1323 e80e 91 da STA (aux),Y + 1324 e810 c8 INY + 1325 e811 a5 cf LDA acc+1 + 1326 e813 91 da STA (aux),Y + 1327 e815 e8 INX + 1328 e816 + 1329 e816 60 Te816 RTS + 1330 e817 + 1331 e817 68 begin_line PLA + 1332 e818 68 PLA + 1333 e819 + 1334 e819 24 d5 colon BIT cr_flag + 1335 e81b 10 05 BPL Le822 + 1336 e81d + 1337 e81d 20 cd e3 print_cr JSR crout + 1338 e820 + 1339 e820 46 d5 print_semi LSR cr_flag + 1340 e822 60 Le822 RTS + 1341 e823 + 1342 e823 a0 ff left_paren LDY #$ff ; 255 . + 1343 e825 84 d7 STY precedence + 1344 e827 + 1345 e827 60 right_paren RTS + 1346 e828 + 1347 e828 20 cd ef if_stmt JSR Sefcd + 1348 e82b f0 07 BEQ Le834 + 1349 e82d a9 25 LDA #$25 ; 37 % + 1350 e82f 85 d6 STA current_verb + 1351 e831 88 DEY + 1352 e832 84 d4 STY if_flag + 1353 e834 e8 Le834 INX + 1354 e835 60 RTS + 1355 e836 a5 ca run_warm LDA pp + 1356 e838 a4 cb LDY pp+1 + 1357 e83a d0 5a BNE Le896 + 1358 e83c + 1359 e83c a0 41 gosub_stmt LDY #$41 ; 65 A + 1360 e83e a5 fc LDA gosub_nest_count + 1361 e840 c9 08 CMP #$08 ; 8 . + 1362 e842 b0 5e BCS go_errmess_4 + 1363 e844 a8 TAY + 1364 e845 e6 fc INC gosub_nest_count + 1365 e847 a5 e0 LDA pverb + 1366 e849 99 00 01 STA gstk_pverbl,Y + 1367 e84c a5 e1 LDA pverb+1 + 1368 e84e 99 08 01 STA gstk_pverbh,Y + 1369 e851 a5 dc LDA pline + 1370 e853 99 10 01 STA gstk_plinel,Y + 1371 e856 a5 dd LDA pline+1 + 1372 e858 99 18 01 STA gstk_plineh,Y + 1373 e85b + 1374 e85b 20 15 e7 goto_stmt JSR get16bit + 1375 e85e 20 6d e5 JSR find_line + 1376 e861 90 04 BCC Le867 + 1377 e863 a0 37 LDY #$37 ; 55 7 + 1378 e865 d0 3b BNE go_errmess_4 + 1379 e867 a5 e4 Le867 LDA p2 + 1380 e869 a4 e5 LDY p2+1 + 1381 e86b 85 dc run_loop STA pline + 1382 e86d 84 dd STY pline+1 + 1383 e86f - IFCONST BLD4APPLE1 + 1384 e86f - BIT KBDCR + 1385 e86f ELSE + 1386 e86f 2c 00 c0 BIT KBD + 1387 e872 ENDIF + 1388 e872 30 4f BMI Le8c3 + 1389 e874 18 CLC + 1390 e875 69 03 ADC #$03 ; 3 . + 1391 e877 90 01 BCC Le87a + 1392 e879 c8 INY + 1393 e87a a2 ff Le87a LDX #$ff ; 255 . + 1394 e87c 86 d9 STX run_flag + 1395 e87e 9a TXS + 1396 e87f 85 e0 STA pverb + 1397 e881 84 e1 STY pverb+1 + 1398 e883 20 79 e6 Le883 JSR execute_stmt + 1399 e886 24 d9 BIT run_flag + 1400 e888 10 49 BPL end_stmt + 1401 e88a 18 CLC + 1402 e88b a0 00 LDY #$00 ; 0 . + 1403 e88d a5 dc LDA pline + 1404 e88f 71 dc ADC (pline),Y + 1405 e891 a4 dd LDY pline+1 + 1406 e893 90 01 BCC Le896 + 1407 e895 c8 INY + 1408 e896 c5 4c Le896 CMP himem + 1409 e898 d0 d1 BNE run_loop + 1410 e89a c4 4d CPY himem+1 + 1411 e89c d0 cd BNE run_loop + 1412 e89e a0 34 LDY #$34 ; 52 4 + 1413 e8a0 46 d9 LSR run_flag + 1414 e8a2 4c e0 e3 go_errmess_4 JMP print_err_msg + 1415 e8a5 + 1416 e8a5 a0 4a return_stmt LDY #$4a ; 74 J + 1417 e8a7 a5 fc LDA gosub_nest_count + 1418 e8a9 f0 f7 BEQ go_errmess_4 + 1419 e8ab c6 fc DEC gosub_nest_count + 1420 e8ad a8 TAY + 1421 e8ae b9 0f 01 LDA gstk_plinel-1,Y + 1422 e8b1 85 dc STA pline + 1423 e8b3 b9 17 01 LDA gstk_plineh-1,Y + 1424 e8b6 85 dd STA pline+1 + 1425 e8b8 + 1426 e8b8 be ff 00 DC.B $be,$ff,$00 + 1427 e8bb ; LDX synpag+1,Y + 1428 e8bb + 1429 e8bb b9 07 01 LDA gstk_pverbh-1,Y + 1430 e8be a8 Le8be TAY + 1431 e8bf 8a TXA + 1432 e8c0 4c 7a e8 JMP Le87a + 1433 e8c3 a0 63 Le8c3 LDY #$63 ; 99 c + 1434 e8c5 20 c4 e3 JSR Se3c4 + 1435 e8c8 a0 01 LDY #$01 ; 1 . + 1436 e8ca b1 dc LDA (pline),Y + 1437 e8cc aa TAX + 1438 e8cd c8 INY + 1439 e8ce b1 dc LDA (pline),Y + 1440 e8d0 20 1b e5 JSR prdec + 1441 e8d3 + 1442 e8d3 4c b3 e2 end_stmt JMP warm + 1443 e8d6 c6 fb Le8d6 DEC for_nest_count + 1444 e8d8 + 1445 e8d8 a0 5b next_stmt LDY #$5b ; 91 [ + 1446 e8da a5 fb LDA for_nest_count + 1447 e8dc f0 c4 Le8dc BEQ go_errmess_4 + 1448 e8de a8 TAY + 1449 e8df b5 50 LDA noun_stk_l,X + 1450 e8e1 d9 1f 01 CMP fstk_varl-1,Y + 1451 e8e4 d0 f0 BNE Le8d6 + 1452 e8e6 b5 78 LDA noun_stk_h_str,X + 1453 e8e8 d9 27 01 CMP fstk_varh-1,Y + 1454 e8eb d0 e9 BNE Le8d6 + 1455 e8ed b9 2f 01 LDA fstk_stepl-1,Y + 1456 e8f0 85 da STA aux + 1457 e8f2 b9 37 01 LDA fstk_steph-1,Y + 1458 e8f5 85 db STA aux+1 + 1459 e8f7 20 15 e7 JSR get16bit + 1460 e8fa ca DEX + 1461 e8fb 20 93 e7 JSR Se793 + 1462 e8fe 20 01 e8 JSR var_assign + 1463 e901 ca DEX + 1464 e902 a4 fb LDY for_nest_count + 1465 e904 b9 67 01 LDA fstk_toh-1,Y + 1466 e907 95 9f STA syn_stk_l+31,X + 1467 e909 b9 5f 01 LDA fstk_tol-1,Y + 1468 e90c a0 00 LDY #$00 ; 0 . + 1469 e90e 20 08 e7 JSR push_ya_noun_stk + 1470 e911 20 82 e7 JSR subtract + 1471 e914 20 59 e7 JSR sgn_fn + 1472 e917 20 15 e7 JSR get16bit + 1473 e91a a4 fb LDY for_nest_count + 1474 e91c a5 ce LDA acc + 1475 e91e f0 05 BEQ Le925 + 1476 e920 59 37 01 EOR fstk_steph-1,Y + 1477 e923 10 12 BPL Le937 + 1478 e925 b9 3f 01 Le925 LDA fstk_plinel-1,Y + 1479 e928 85 dc STA pline + 1480 e92a b9 47 01 LDA fstk_plineh-1,Y + 1481 e92d 85 dd STA pline+1 + 1482 e92f be 4f 01 LDX fstk_pverbl-1,Y + 1483 e932 b9 57 01 LDA fstk_pverbh-1,Y + 1484 e935 d0 87 BNE Le8be + 1485 e937 c6 fb Le937 DEC for_nest_count + 1486 e939 60 RTS + 1487 e93a + 1488 e93a a0 54 for_stmt LDY #$54 ; 84 T + 1489 e93c a5 fb LDA for_nest_count + 1490 e93e c9 08 CMP #$08 ; 8 . + 1491 e940 f0 9a BEQ Le8dc + 1492 e942 e6 fb INC for_nest_count + 1493 e944 a8 TAY + 1494 e945 b5 50 LDA noun_stk_l,X + 1495 e947 99 20 01 STA fstk_varl,Y + 1496 e94a b5 78 LDA noun_stk_h_str,X + 1497 e94c 99 28 01 STA fstk_varh,Y + 1498 e94f 60 RTS + 1499 e950 + 1500 e950 20 15 e7 to_clause JSR get16bit + 1501 e953 a4 fb LDY for_nest_count + 1502 e955 a5 ce LDA acc + 1503 e957 99 5f 01 STA fstk_tol-1,Y + 1504 e95a a5 cf LDA acc+1 + 1505 e95c 99 67 01 STA fstk_toh-1,Y + 1506 e95f a9 01 LDA #$01 ; 1 . + 1507 e961 99 2f 01 STA fstk_stepl-1,Y + 1508 e964 a9 00 LDA #$00 ; 0 . + 1509 e966 99 37 01 Le966 STA fstk_steph-1,Y + 1510 e969 a5 dc LDA pline + 1511 e96b 99 3f 01 STA fstk_plinel-1,Y + 1512 e96e a5 dd LDA pline+1 + 1513 e970 99 47 01 STA fstk_plineh-1,Y + 1514 e973 a5 e0 LDA pverb + 1515 e975 99 4f 01 STA fstk_pverbl-1,Y + 1516 e978 a5 e1 LDA pverb+1 + 1517 e97a 99 57 01 STA fstk_pverbh-1,Y + 1518 e97d 60 RTS + 1519 e97e + 1520 e97e 20 15 e7 Te97e JSR get16bit + 1521 e981 a4 fb LDY for_nest_count + 1522 e983 a5 ce LDA acc + 1523 e985 99 2f 01 STA fstk_stepl-1,Y + 1524 e988 a5 cf LDA acc+1 + 1525 e98a 4c 66 e9 JMP Le966 + 1526 e98d 00 00 00 00* DC.B $00,$00,$00,$00,$00,$00,$00,$00 ; "........" + 1527 e995 00 00 00 DC.B $00,$00,$00 ; "..." + 1528 e998 00 00 00 ab*verb_prec_tbl DC.B $00,$00,$00,$ab,$03,$03,$03,$03 ; "...+...." + 1529 e9a0 03 03 03 03* DC.B $03,$03,$03,$03,$03,$03,$03,$03 ; "........" + 1530 e9a8 03 03 3f 3f* DC.B $03,$03,$3f,$3f,$c0,$c0,$3c,$3c ; "..??@@<<" + 1531 e9b0 3c 3c 3c 3c* DC.B $3c,$3c,$3c,$3c,$3c,$30,$0f,$c0 ; "<<<<<0.@" + 1532 e9b8 IFCONST HUSTNBASIC + 1533 e9b8 c3 ff 55 00* DC.B $c3,$ff,$55,$00,$ab,$ab,$03,$03 ; "L.U.++.." + 1534 e9c0 - ELSE + 1535 e9c0 - DC.B $cc,$ff,$55,$00,$ab,$ab,$03,$03 ; "L.U.++.." + 1536 e9c0 ENDIF + 1537 e9c0 ff ff 55 ff* DC.B $ff,$ff,$55,$ff,$ff,$55,$cf,$cf ; "..U..UOO" + 1538 e9c8 cf cf cf ff* DC.B $cf,$cf,$cf,$ff,$55,$c3,$c3,$c3 ; "OOO.UCCC" + 1539 e9d0 55 f0 f0 cf* DC.B $55,$f0,$f0,$cf,$56,$56,$56,$55 ; "UppOVVVU" + 1540 e9d8 ff ff 55 03* DC.B $ff,$ff,$55,$03,$03,$03,$03,$03 ; "..U....." + 1541 e9e0 03 03 ff ff* DC.B $03,$03,$ff,$ff,$ff,$03,$03,$03 ; "........" + 1542 e9e8 03 03 03 03* DC.B $03,$03,$03,$03,$03,$03,$03,$03 ; "........" + 1543 e9f0 03 03 03 03* DC.B $03,$03,$03,$03,$03,$00,$ab,$03 ; "......+." + 1544 e9f8 57 03 03 03* DC.B $57,$03,$03,$03,$03,$07,$03,$03 ; "W......." + 1545 ea00 03 03 03 03* DC.B $03,$03,$03,$03,$03,$03,$03,$03 ; "........" + 1546 ea08 03 03 aa ff* DC.B $03,$03,$aa,$ff,$ff,$ff,$ff,$ff ; "..*....." + 1547 ea10 + 1548 ea10 + 1549 ea10 verb_adr_l + 1550 ea10 17 ff ff 19* DC.B begin_line,$ff,$ff,>colon,>list_cmd,>list_comman,>list_all,>Teff2 + 1571 ea90 ef e3 e3 e5* DC.B >Tefec,>del_cmd,>del_comma,>new_cmd,>clr,>auto_cmd,>auto_com,>man_cmd + 1572 ea98 ef ef e7 e7* DC.B >Tef80,>Tef96,>add,> subtract,>mult_op,>divide,>eq_op,>neq_op + 1573 eaa0 ec ec ec e7* DC.B >Tec13,> Tec06,> Tec0b,>neq_op,>Tec01,> Tec40,> Tec47,>mod_op + 1574 eaa8 IFCONST HUSTNBASIC + 1575 eaa8 ee ff e8 e1* DC.B >bogus_eea6,$ff,>left_paren,>comma_substr,>goto_stmt,>Te816,>string_input,>input_num_comma + 1576 eab0 - ELSE + 1577 eab0 - DC.B $0,$ff,>left_paren,>comma_substr,>goto_stmt,>Te816,>string_input,>input_num_comma + 1578 eab0 ENDIF + 1579 eab0 ff ff e0 ff* DC.B $ff,$ff,>paren_substr,$ff,$ff,>num_array_subs,>peek_fn,>rnd_fn + 1580 eab8 e7 e7 00 ff* DC.B >sgn_fn,>abs_fn,$00,$ff,>left_paren,>unary_pos,>negate,>not_op + 1581 eac0 e8 e1 e2 ee* DC.B >left_paren,>string_eq,>string_neq,>len_fn,>bogus_eec2,>Teeae,>Teeba,>left_paren + 1582 eac8 ff ff e1 e1* DC.B $ff,$ff,>str_arr_dest,>dim_str,>dim_num,>print_str,>print_num,>print_semi + 1583 ead0 ee e7 ff ff* DC.B >print_str_comma,>print_com_num,$ff,$ff,$ff,>call_stmt,>dim_str,>dim_num + 1584 ead8 e7 e8 ef ef* DC.B >tab_fn,>end_stmt,>string_input,>input_prompt,>input_num_stmt,>for_stmt,>var_assign,>to_clause + 1585 eae0 e9 e8 e8 e8* DC.B >Te97e,>next_stmt,>next_stmt,>return_stmt,>gosub_stmt,$ff,>Te816,>goto_stmt + 1586 eae8 e8 ee e7 e8* DC.B >if_stmt,>print_str,>print_num,>print_cr,>poke_stmt,>Tef0c,>Tee4e,>poke_stmt + 1587 eaf0 ee ef ee ee* DC.B >plot_comma,>poke_stmt,>bogus_eea6,>Teeb0,>poke_stmt,>Teebc,>Teec6,>vtab_stmt + 1588 eaf8 e1 e8 e8 ff* DC.B >string_lit,>var_assign,>right_paren,$ff,$ff,$ff,$ff,$ff + 1589 eb00 be b3 b2 b7*error_msg_tbl DC.B $be,$b3,$b2,$b7,$b6,$37,$d4,$cf ; ">32767TO" + 1590 eb08 cf a0 cc cf* DC.B $cf,$a0,$cc,$cf,$ce,$47,$d3,$d9 ; "O LONGSY" + 1591 eb10 ce d4 c1 58* DC.B $ce,$d4,$c1,$58,$cd,$c5,$cd,$a0 ; "NTAXMEM " + 1592 eb18 c6 d5 cc 4c* DC.B $c6,$d5,$cc,$4c,$d4,$cf,$cf,$a0 ; "FULLTOO " + 1593 eb20 cd c1 ce d9* DC.B $cd,$c1,$ce,$d9,$a0,$d0,$c1,$d2 ; "MANY PAR" + 1594 eb28 c5 ce 53 d3* DC.B $c5,$ce,$53,$d3,$d4,$d2,$c9,$ce ; "ENSSTRIN" + 1595 eb30 47 ce cf a0* DC.B $47,$ce,$cf,$a0,$c5,$ce,$44,$c2 ; "GNO ENDB" + 1596 eb38 c1 c4 a0 c2* DC.B $c1,$c4,$a0,$c2,$d2,$c1,$ce,$c3 ; "AD BRANC" + 1597 eb40 48 be b8 a0* DC.B $48,$be,$b8,$a0,$c7,$cf,$d3,$d5 ; "H>8 GOSU" + 1598 eb48 c2 53 c2 c1* DC.B $c2,$53,$c2,$c1,$c4,$a0,$d2,$c5 ; "BSBAD RE" + 1599 eb50 d4 d5 d2 4e* DC.B $d4,$d5,$d2,$4e,$be,$b8,$a0,$c6 ; "TURN>8 F" + 1600 eb58 cf d2 53 c2* DC.B $cf,$d2,$53,$c2,$c1,$c4,$a0,$ce ; "ORSBAD N" + 1601 eb60 c5 d8 54 d3* DC.B $c5,$d8,$54,$d3,$d4,$cf,$d0,$d0 ; "EXTSTOPP" + 1602 eb68 c5 c4 a0 c1* DC.B $c5,$c4,$a0,$c1,$d4,$20,$aa,$aa ; "ED AT **" + 1603 eb70 aa 20 a0 c5* DC.B $aa,$20,$a0,$c5,$d2,$d2,$0d,$be ; "* ERR.>" + 1604 eb78 b2 b5 35 d2* DC.B $b2,$b5,$35,$d2,$c1,$ce,$c7,$45 ; "255RANGE" + 1605 eb80 c4 c9 4d d3* DC.B $c4,$c9,$4d,$d3,$d4,$d2,$a0,$cf ; "DIMSTR O" + 1606 eb88 d6 c6 4c dc* DC.B $d6,$c6,$4c,$dc,$0d,$d2,$c5,$d4 ; "VFL\.RET" + 1607 eb90 d9 d0 c5 a0* DC.B $d9,$d0,$c5,$a0,$cc,$c9,$ce,$c5 ; "YPE LINE" + 1608 eb98 8d 3f DC.B $8d,$3f ; ".?" + 1609 eb9a 46 d9 Leb9a LSR run_flag + 1610 eb9c 90 03 BCC Leba1 + 1611 eb9e 4c c3 e8 JMP Le8c3 + 1612 eba1 a6 cf Leba1 LDX acc+1 + 1613 eba3 9a TXS + 1614 eba4 a6 ce LDX acc + 1615 eba6 a0 8d LDY #$8d ; 141 . + 1616 eba8 d0 02 BNE Lebac + 1617 ebaa + 1618 ebaa a0 99 input_num_stmt LDY #$99 ; 153 . + 1619 ebac 20 c4 e3 Lebac JSR Se3c4 + 1620 ebaf 86 ce STX acc + 1621 ebb1 ba TSX + 1622 ebb2 86 cf STX acc+1 + 1623 ebb4 a0 fe LDY #$fe ; 254 ~ + 1624 ebb6 84 d9 STY run_flag + 1625 ebb8 c8 INY + 1626 ebb9 84 c8 STY text_index + 1627 ebbb 20 99 e2 JSR Se299 + 1628 ebbe 84 f1 STY token_index + 1629 ebc0 a2 20 LDX #$20 ; 32 + 1630 ebc2 a9 30 LDA #$30 ; 48 0 + 1631 ebc4 20 91 e4 JSR Se491 + 1632 ebc7 e6 d9 INC run_flag + 1633 ebc9 a6 ce LDX acc + 1634 ebcb + 1635 ebcb a4 c8 input_num_comma LDY text_index + 1636 ebcd 0a ASL + 1637 ebce 85 ce Lebce STA acc + 1638 ebd0 c8 INY + 1639 ebd1 b9 00 02 LDA buffer,Y + 1640 ebd4 c9 74 CMP #$74 ; 116 t + 1641 ebd6 f0 d2 BEQ input_num_stmt + 1642 ebd8 49 b0 EOR #$b0 ; 176 0 + 1643 ebda c9 0a CMP #$0a ; 10 . + 1644 ebdc b0 f0 BCS Lebce + 1645 ebde c8 INY + 1646 ebdf c8 INY + 1647 ebe0 84 c8 STY text_index + 1648 ebe2 b9 00 02 LDA buffer,Y + 1649 ebe5 48 PHA + 1650 ebe6 b9 ff 01 LDA buffer-1,Y + 1651 ebe9 a0 00 LDY #$00 ; 0 . + 1652 ebeb 20 08 e7 JSR push_ya_noun_stk + 1653 ebee 68 PLA + 1654 ebef 95 a0 STA noun_stk_h_int,X + 1655 ebf1 a5 ce LDA acc + 1656 ebf3 c9 c7 CMP #$c7 ; 199 G + 1657 ebf5 d0 03 BNE Lebfa + 1658 ebf7 20 6f e7 JSR negate + 1659 ebfa 4c 01 e8 Lebfa JMP var_assign + 1660 ebfd ff ff ff 50 DC.B $ff,$ff,$ff,$50 ; "...P" + 1661 ec01 + 1662 ec01 20 13 ec Tec01 JSR Tec13 + 1663 ec04 d0 15 BNE Lec1b + 1664 ec06 + 1665 ec06 20 0b ec Tec06 JSR Tec0b + 1666 ec09 d0 10 BNE Lec1b + 1667 ec0b + 1668 ec0b 20 82 e7 Tec0b JSR subtract + 1669 ec0e 20 6f e7 JSR negate + 1670 ec11 50 03 BVC Lec16 + 1671 ec13 + 1672 ec13 20 82 e7 Tec13 JSR subtract + 1673 ec16 20 59 e7 Lec16 JSR sgn_fn + 1674 ec19 56 50 LSR noun_stk_l,X + 1675 ec1b 4c 36 e7 Lec1b JMP not_op + 1676 ec1e ff ff DC.B $ff,$ff ; ".." + 1677 ec20 c1 ff 7f d1*syntabl_index DC.B $c1,$ff,$7f,$d1,$cc,$c7,$cf,$ce ; "A..QLGON" + 1678 ec28 c5 9a 98 8b* DC.B $c5,$9a,$98,$8b,$96,$95,$93,$bf ; "E......?" + 1679 ec30 b2 32 2d 2b* DC.B $b2,$32,$2d,$2b,$bc,$b0,$ac,$be ; "22-+<0,>" + 1680 ec38 35 8e 61 ff* DC.B $35,$8e,$61,$ff,$ff,$ff,$dd,$fb ; "5.a...]{" + 1681 ec40 + 1682 ec40 20 c9 ef Tec40 JSR Sefc9 + 1683 ec43 15 4f ORA rnd+1,X + 1684 ec45 10 05 BPL Lec4c + 1685 ec47 + 1686 ec47 20 c9 ef Tec47 JSR Sefc9 + 1687 ec4a 35 4f AND rnd+1,X + 1688 ec4c 95 50 Lec4c STA noun_stk_l,X + 1689 ec4e 10 cb BPL Lec1b + 1690 ec50 4c c9 ef JMP Sefc9 + 1691 ec53 40 60 8d 60* DC.B $40,$60,$8d,$60,$8b,$00,$7e,$8c ; "@`.`..~." + 1692 ec5b 33 00 00 60* DC.B $33,$00,$00,$60,$03,$bf,$12,$00 ; "3..`.?.." + 1693 ec63 40 89 c9 47* DC.B $40,$89,$c9,$47,$9d,$17,$68,$9d ; "@.IG..h." + 1694 ec6b 0a 00 40 60* DC.B $0a,$00,$40,$60,$8d,$60,$8b,$00 ; "..@`.`.." + 1695 ec73 7e 8c 3c 00* DC.B $7e,$8c,$3c,$00,$00,$60,$03,$bf ; "~.<..`.?" + 1696 ec7b 1b 4b 67 b4* DC.B $1b,$4b,$67,$b4,$a1,$07,$8c,$07 ; ".Kg4!..." + 1697 ec83 ae a9 ac a8* DC.B $ae,$a9,$ac,$a8,$67,$8c,$07,$b4 ; ".),(g..4" + 1698 ec8b af ac b0 67* DC.B $af,$ac,$b0,$67,$9d,$b2,$af,$ac ; "/,0g.2/," + 1699 ec93 af a3 67 8c* DC.B $af,$a3,$67,$8c,$07,$a5,$ab,$af ; "/#g..%+/" + 1700 ec9b b0 f4 ae a9* DC.B $b0,$f4,$ae,$a9,$b2,$b0,$7f,$0e ; "0t.)20.." + 1701 eca3 27 b4 ae a9* DC.B $27,$b4,$ae,$a9,$b2,$b0,$7f,$0e ; "'4.)20.." + 1702 ecab 28 b4 ae a9* DC.B $28,$b4,$ae,$a9,$b2,$b0,$64,$07 ; "(4.)20d." + 1703 ecb3 a6 a9 67 af* DC.B $a6,$a9,$67,$af,$b4,$af,$a7,$78 ; "&)g/4/'x" + 1704 ecbb b4 a5 ac 78* DC.B $b4,$a5,$ac,$78,$7f,$02,$ad,$a5 ; "4%,x..-%" + 1705 ecc3 b2 67 a2 b5* DC.B $b2,$67,$a2,$b5,$b3,$af,$a7,$ee ; "2g"53/'n" + 1706 eccb b2 b5 b4 a5* DC.B $b2,$b5,$b4,$a5,$b2,$7e,$8c,$39 ; "254%2~.9" + 1707 ecd3 b4 b8 a5 ae* DC.B $b4,$b8,$a5,$ae,$67,$b0,$a5,$b4 ; "48%.g0%4" + 1708 ecdb b3 27 af b4* DC.B $b3,$27,$af,$b4,$07,$9d,$19,$b2 ; "3'/4...2" + 1709 ece3 af a6 7f 05* DC.B $af,$a6,$7f,$05,$37,$b4,$b5,$b0 ; "/&..7450" + 1710 eceb ae a9 7f 05* DC.B $ae,$a9,$7f,$05,$28,$b4,$b5,$b0 ; ".)..(450" + 1711 ecf3 ae a9 7f 05* DC.B $ae,$a9,$7f,$05,$2a,$b4,$b5,$b0 ; ".)..*450" + 1712 ecfb ae a9 e4 ae* DC.B $ae,$a9,$e4,$ae,$a5,$00,$ff,$ff ; ".)d.%..." + 1713 ed03 47 a2 a1 b4*syntabl2 DC.B $47,$a2,$a1,$b4,$7f,$0d,$30,$ad ; "G"!4..0-" + 1714 ed0b a9 a4 7f 0d* DC.B $a9,$a4,$7f,$0d,$23,$ad,$a9,$a4 ; ")$..#-)$" + 1715 ed13 67 ac ac a1* DC.B $67,$ac,$ac,$a1,$a3,$00,$40,$80 ; "g,,!#.@." + 1716 ed1b c0 c1 80 00* DC.B $c0,$c1,$80,$00,$47,$8c,$68,$8c ; "@A..G.h." + 1717 ed23 db 67 9b 68* DC.B $db,$67,$9b,$68,$9b,$50,$8c,$63 ; "[g.h.P.c" + 1718 ed2b 8c 7f 01 51* DC.B $8c,$7f,$01,$51,$07,$88,$29,$84 ; "...Q..)." + 1719 ed33 80 c4 80 57* DC.B $80,$c4,$80,$57,$71,$07,$88,$14 ; ".D.Wq..." + 1720 ed3b ed a5 ad af* DC.B $ed,$a5,$ad,$af,$ac,$ed,$a5,$ad ; "m%-/,m%-" + 1721 ed43 a9 a8 f2 af* DC.B $a9,$a8,$f2,$af,$ac,$af,$a3,$71 ; ")(r/,/#q" + 1722 ed4b 08 88 ae a5* DC.B $08,$88,$ae,$a5,$ac,$68,$83,$08 ; "...%,h.." + 1723 ed53 68 9d 08 71* DC.B $68,$9d,$08,$71,$07,$88,$60,$76 ; "h..q..`v" + 1724 ed5b b4 af ae 76* DC.B $b4,$af,$ae,$76,$8d,$76,$8b,$51 ; "4/.v.v.Q" + 1725 ed63 07 88 19 b8* DC.B $07,$88,$19,$b8,$a4,$ae,$b2,$f2 ; "...8$.2r" + 1726 ed6b b3 b5 f3 a2* DC.B $b3,$b5,$f3,$a2,$a1,$ee,$a7,$b3 ; "35s"!n'3" + 1727 ed73 e4 ae b2 eb* DC.B $e4,$ae,$b2,$eb,$a5,$a5,$b0,$51 ; "d.2k%%0Q" + 1728 ed7b 07 88 39 81* DC.B $07,$88,$39,$81,$c1,$4f,$7f,$0f ; "..9.AO.." + 1729 ed83 2f 00 51 06* DC.B $2f,$00,$51,$06,$88,$29,$c2,$0c ; "/.Q..)B." + 1730 ed8b 82 57 8c 6a* DC.B $82,$57,$8c,$6a,$8c,$42,$ae,$a5 ; ".W.j.B.%" + 1731 ed93 a8 b4 60 ae* DC.B $a8,$b4,$60,$ae,$a5,$a8,$b4,$4f ; "(4`.%(4O" + 1732 ed9b 7e 1e 35 8c* DC.B $7e,$1e,$35,$8c,$27,$51,$07,$88 ; "~.5.'Q.." + 1733 eda3 09 8b fe e4* DC.B $09,$8b,$fe,$e4,$af,$ad,$f2,$af ; "..~d/-r/" + 1734 edab e4 ae a1 dc* DC.B $e4,$ae,$a1,$dc,$de,$9c,$dd,$9c ; "d.!\^.]." + 1735 edb3 de dd 9e c3* DC.B $de,$dd,$9e,$c3,$dd,$cf,$ca,$cd ; "^].C]OJM" + 1736 edbb cb 00 47 9d* DC.B $cb,$00,$47,$9d,$ad,$a5,$ad,$af ; "K.G.-%-/" + 1737 edc3 ac 76 9d ad* DC.B $ac,$76,$9d,$ad,$a5,$ad,$a9,$a8 ; ",v.-%-)(" + 1738 edcb e6 a6 af 60* DC.B $e6,$a6,$af,$60,$8c,$20,$af,$b4 ; "f&/`. /4" + 1739 edd3 b5 a1 f2 ac* DC.B $b5,$a1,$f2,$ac,$a3,$f2,$a3,$b3 ; "5!r,#r#3" + 1740 eddb 60 8c 20 ac* DC.B $60,$8c,$20,$ac,$a5,$a4,$ee,$b5 ; "`. ,%$n5" + 1741 ede3 b2 60 ae b5* DC.B $b2,$60,$ae,$b5,$b2,$f4,$b3,$a9 ; "2`.52t3)" + 1742 edeb ac 60 8c 20* DC.B $ac,$60,$8c,$20,$b4,$b3,$a9,$ac ; ",`. 43)," + 1743 edf3 7a 7e 9a 22* DC.B $7a,$7e,$9a,$22,$20,$00,$60,$03 ; "z~." .`." + 1744 edfb bf 60 03 bf* DC.B $bf,$60,$03,$bf,$1f ; "?`.?." + 1745 ee00 + 1746 ee00 20 b1 e7 print_str_comma JSR tabout + 1747 ee03 + 1748 ee03 e8 print_str INX + 1749 ee04 e8 INX + 1750 ee05 b5 4f LDA rnd+1,X + 1751 ee07 85 da STA aux + 1752 ee09 b5 77 LDA syn_stk_h+31,X + 1753 ee0b 85 db STA aux+1 + 1754 ee0d b4 4e LDY rnd,X + 1755 ee0f 98 Lee0f TYA + 1756 ee10 d5 76 CMP syn_stk_h+30,X + 1757 ee12 b0 09 BCS Lee1d + 1758 ee14 b1 da LDA (aux),Y + 1759 ee16 20 c9 e3 JSR cout + 1760 ee19 c8 INY + 1761 ee1a 4c 0f ee JMP Lee0f + 1762 ee1d a9 ff Lee1d LDA #$ff ; 255 . + 1763 ee1f 85 d5 STA cr_flag + 1764 ee21 60 RTS + 1765 ee22 + 1766 ee22 e8 len_fn INX + 1767 ee23 a9 00 LDA #$00 ; 0 . + 1768 ee25 95 78 STA noun_stk_h_str,X + 1769 ee27 95 a0 STA noun_stk_h_int,X + 1770 ee29 b5 77 LDA syn_stk_h+31,X + 1771 ee2b 38 SEC + 1772 ee2c f5 4f SBC rnd+1,X + 1773 ee2e 95 50 STA noun_stk_l,X + 1774 ee30 4c 23 e8 JMP left_paren + 1775 ee33 ff DC.B $ff ; "." + 1776 ee34 + 1777 ee34 20 15 e7 getbyte JSR get16bit + 1778 ee37 a5 cf LDA acc+1 + 1779 ee39 d0 28 BNE gr_255_err + 1780 ee3b a5 ce LDA acc + 1781 ee3d Tee3d + 1782 ee3d 60 RTS + 1783 ee3e plot_comma + 1784 ee3e IFCONST HUSTNBASIC + 1785 ee3e 20 08 e7 JSR push_ya_noun_stk + 1786 ee41 94 a0 STY $a0,X + 1787 ee43 Tee43 + 1788 ee43 a5 d0 LDA $D0 + 1789 ee45 d0 04 BNE Tee4b + 1790 ee47 c6 d1 DEC $D1 + 1791 ee49 30 f2 BMI Tee3d + 1792 ee4b Tee4b + 1793 ee4b c6 d0 DEC $D0 + 1794 ee4d ; LDA $D2 + 1795 ee4d a5 DC.b $a5 + 1796 ee4e Tee4e ;MJW wrong address, so we have to break this instruction + 1797 ee4e d2 DC.b $d2 + 1798 ee4f + 1799 ee4f a0 00 LDY #$00 + 1800 ee51 20 08 e7 JSR push_ya_noun_stk + 1801 ee54 man_cmd + 1802 ee54 a5 d3 LDA $D3 + 1803 ee56 ; STA $A0,X + 1804 ee56 95 DC.b $95 + 1805 ee57 vtab_stmt + 1806 ee57 a0 DC.b $a0 + 1807 ee58 20 22 e2 JSR mult_op + 1808 ee5b 4c 43 ee JMP Tee43 + 1809 ee5e - ELSE + 1810 ee5e - + 1811 ee5e - JSR getbyte + 1812 ee5e - LDY text_index + 1813 ee5e - CMP #$30 ; 48 0 + 1814 ee5e - BCS range_err + 1815 ee5e - CPY #$28 ; 40 ( + 1816 ee5e - BCS range_err + 1817 ee5e - RTS + 1818 ee5e - NOP + 1819 ee5e - NOP + 1820 ee5e - + 1821 ee5e - + 1822 ee5e -Tee4e JSR getbyte + 1823 ee5e - RTS + 1824 ee5e ENDIF + 1825 ee5e ; + 1826 ee5e - IFCONST SNDERBASIC ;WSANDER BASIC HERE + 1827 ee5e - NOP + 1828 ee5e - NOP + 1829 ee5e - + 1830 ee5e -man_cmd LSR auto_flag + 1831 ee5e - RTS + 1832 ee5e - + 1833 ee5e -vtab_stmt JSR getbyte + 1834 ee5e - CMP #$18 ; 24 . + 1835 ee5e - BCS range_err + 1836 ee5e - STA cv + 1837 ee5e - RTS + 1838 ee5e - NOP + 1839 ee5e - NOP + 1840 ee5e ELSE ;OTHER BASIC + 1841 ee5e - IFNCONST HUSTNBASIC ; omit next 12 bytes if Hustn basic + 1842 ee5e - nop + 1843 ee5e -Lee53 + 1844 ee5e - txa + 1845 ee5e -man_cmd + 1846 ee5e - ldx #$1 + 1847 ee5e -Lee56 + 1848 ee5e - ; ldy acc,x + 1849 ee5e - DC.b $B4 + 1850 ee5e -vtab_stmt ;wrong address MJW + 1851 ee5e - DC.b acc + 1852 ee5e - + 1853 ee5e - sty himem,x + 1854 ee5e - ldy zp48,x + 1855 ee5e - sty pp,x + 1856 ee5e - + 1857 ee5e - dex + 1858 ee5e - IFCONST BRIELBASIC ;BRIEL BASIC HERE + 1859 ee5e - beq Lee56 + 1860 ee5e - ELSE + 1861 ee5e - beq man_cmd + 1862 ee5e - ENDIF + 1863 ee5e - tax + 1864 ee5e - rts + 1865 ee5e ELSE ;HUSTNBASIC + 1866 ee5e 85 25 STA cv + 1867 ee60 60 RTS + 1868 ee61 ea NOP + 1869 ee62 ea NOP + 1870 ee63 ENDIF + 1871 ee63 ENDIF + 1872 ee63 a0 77 gr_255_err LDY #$77 ; 119 w + 1873 ee65 4c e0 e3 go_errmess_5 JMP print_err_msg + 1874 ee68 a0 7b range_err LDY #$7b ; 123 { + 1875 ee6a d0 f9 BNE go_errmess_5 + 1876 ee6c + 1877 ee6c 20 54 e2 See6c JSR Se254 + 1878 ee6f a5 da LDA aux + 1879 ee71 d0 07 BNE Lee7a + 1880 ee73 a5 db LDA aux+1 + 1881 ee75 d0 03 BNE Lee7a + 1882 ee77 4c 7e e7 JMP Le77e + 1883 ee7a 06 ce Lee7a ASL acc + 1884 ee7c 26 cf ROL acc+1 + 1885 ee7e 26 e6 ROL p3 + 1886 ee80 26 e7 ROL p3+1 + 1887 ee82 a5 e6 LDA p3 + 1888 ee84 c5 da CMP aux + 1889 ee86 a5 e7 LDA p3+1 + 1890 ee88 e5 db SBC aux+1 + 1891 ee8a 90 0a BCC Lee96 + 1892 ee8c 85 e7 STA p3+1 + 1893 ee8e a5 e6 LDA p3 + 1894 ee90 e5 da SBC aux + 1895 ee92 85 e6 STA p3 + 1896 ee94 e6 ce INC acc + 1897 ee96 88 Lee96 DEY + 1898 ee97 d0 e1 BNE Lee7a + 1899 ee99 60 RTS + 1900 ee9a ff ff ff ff* DC.B $ff,$ff,$ff,$ff,$ff,$ff ; "......" + 1901 eea0 + 1902 eea0 20 15 e7 call_stmt JSR get16bit + 1903 eea3 6c ce 00 JMP (acc) + 1904 eea6 - IFCONST BRIELBASIC ;BRIEL BASIC + 1905 eea6 -bogus_eea6 + 1906 eea6 - LDA himem + 1907 eea6 - BNE Leeac + 1908 eea6 - dec zp4d + 1909 eea6 -Leeac + 1910 eea6 - dec himem + 1911 eea6 -Teeae + 1912 eea6 - lda zp48 + 1913 eea6 -Teeb0 + 1914 eea6 - bne Leeb4 + 1915 eea6 - dec zp49 + 1916 eea6 -Leeb4 + 1917 eea6 - dec zp48 + 1918 eea6 -Leeb6 + 1919 eea6 - ldy #$00 + 1920 eea6 - lda (himem),y + 1921 eea6 -Teeba + 1922 eea6 - sta (zp48),y + 1923 eea6 -Teebc + 1924 eea6 - lda pp + 1925 eea6 - cmp himem + 1926 eea6 - lda zpcb + 1927 eea6 -bogus_eec2 + 1928 eea6 - sbc zp4d + 1929 eea6 - bcc bogus_eea6 + 1930 eea6 -Teec6 jmp Lee53 + 1931 eea6 - + 1932 eea6 - + 1933 eea6 - + 1934 eea6 ELSE ;SANDER/HUSTON (NOT BREIL) BASIC HERE + 1935 eea6 + 1936 eea6 + 1937 eea6 bogus_eea6 ;DC.B $20,$34,$ee,$c5,$c8,$90,$bb,$85 ; " 4nEH.;." + 1938 eea6 - IFCONST SNDERBASIC ;WSANDER BASIC HERE + 1939 eea6 - JSR getbyte + 1940 eea6 - CMP text_index + 1941 eea6 - BCC range_err + 1942 eea6 - ; sta LOCZERO + 1943 eea6 - DC.b $85 + 1944 eea6 - + 1945 eea6 -Teeae LDA himem+1 + 1946 eea6 - + 1947 eea6 -Teeb0 PHA + 1948 eea6 - LDA himem + 1949 eea6 - JSR push_ya_noun_stk + 1950 eea6 -Leeb6 + 1951 eea6 - PLA + 1952 eea6 - STA noun_stk_h_int,X + 1953 eea6 - RTS + 1954 eea6 - + 1955 eea6 -Teeba LDA lomem+1 + 1956 eea6 - + 1957 eea6 -Teebc PHA + 1958 eea6 - LDA lomem + 1959 eea6 - JMP Lefb3 + 1960 eea6 -bogus_eec2 + 1961 eea6 - LDA zp85 + 1962 eea6 - DC.b $2D,$60 + 1963 eea6 -Teec6 JSR getbyte + 1964 eea6 ELSE ;HUSTON BASIC HERE + 1965 eea6 20 15 e7 JSR get16bit + 1966 eea9 a5 cf LDA zpcf + 1967 eeab 10 08 BPL Leeb5 + 1968 eead 98 TYA + 1969 eeae Teeae + 1970 eeae ca DEX + 1971 eeaf ; JSR push_ya_noun_stk + 1972 eeaf 20 DC.b $20 + 1973 eeb0 Teeb0 + 1974 eeb0 08 e7 DC.b $08,$e7 + 1975 eeb2 + 1976 eeb2 94 a0 STY noun_stk_h_int,x + 1977 eeb4 60 rts + 1978 eeb5 Leeb5 + 1979 eeb5 ; STA tokndxstk + 1980 eeb5 85 DC.b $85 + 1981 eeb6 Leeb6 + 1982 eeb6 d1 DC.b $d1 + 1983 eeb7 + 1984 eeb7 a5 ce LDA acc + 1985 eeb9 ; STA srch + 1986 eeb9 85 DC.b $85 + 1987 eeba Teeba + 1988 eeba d0 DC.b $d0 + 1989 eebb + 1990 eebb ; JSR get16bit + 1991 eebb 20 DC.b $20 + 1992 eebc Teebc + 1993 eebc 15 e7 DC.b $15, $e7 + 1994 eebe + 1995 eebe a5 ce LDA acc + 1996 eec0 85 d2 STA srch2 + 1997 eec2 bogus_eec2 + 1998 eec2 a5 cf LDA zpcf + 1999 eec4 85 d3 STA $d3 + 2000 eec6 Teec6 + 2001 eec6 a9 01 LDA #$01 + 2002 eec8 4c 3e ee JMP plot_comma + 2003 eecb ENDIF + 2004 eecb ENDIF + 2005 eecb - IFNCONST HUSTNBASIC ; omit next 2 bytes if Hustn basic + 2006 eecb - CMP #$28 ; 40 ( + 2007 eecb ENDIF + 2008 eecb b0 9b Leecb BCS range_err + 2009 eecd a8 TAY + 2010 eece a5 c8 LDA text_index + 2011 eed0 60 RTS + 2012 eed1 ea NOP + 2013 eed2 ea NOP + 2014 eed3 + 2015 eed3 98 print_err_msg2 TYA + 2016 eed4 aa TAX + 2017 eed5 a0 6e LDY #$6e ; 110 n + 2018 eed7 20 c4 e3 JSR Se3c4 + 2019 eeda 8a TXA + 2020 eedb a8 TAY + 2021 eedc 20 c4 e3 JSR Se3c4 + 2022 eedf a0 72 LDY #$72 ; 114 r + 2023 eee1 4c c4 e3 JMP Se3c4 + 2024 eee4 + 2025 eee4 20 15 e7 Seee4 JSR get16bit + 2026 eee7 06 ce Leee7 ASL acc + 2027 eee9 26 cf ROL acc+1 + 2028 eeeb 30 fa BMI Leee7 + 2029 eeed b0 dc BCS Leecb + 2030 eeef d0 04 BNE Leef5 + 2031 eef1 c5 ce CMP acc + 2032 eef3 b0 d6 BCS Leecb + 2033 eef5 60 Leef5 RTS + 2034 eef6 + 2035 eef6 peek_fn + 2036 eef6 - IFCONST BLD4APPLE1 + 2037 eef6 - JSR get16bit + 2038 eef6 ELSE + 2039 eef6 4c a9 d7 JMP A2PEEK + 2040 eef9 ENDIF + 2041 eef9 b1 ce LDA (acc),Y + 2042 eefb 94 9f STY syn_stk_l+31,X + 2043 eefd 4c 08 e7 JMP push_ya_noun_stk + 2044 ef00 + 2045 ef00 poke_stmt + 2046 ef00 - IFCONST BLD4APPLE1 + 2047 ef00 - JSR getbyte + 2048 ef00 ELSE + 2049 ef00 4c 8c d7 JMP A2POKE + 2050 ef03 ENDIF + 2051 ef03 a5 ce LDA acc + 2052 ef05 48 PHA + 2053 ef06 20 15 e7 JSR get16bit + 2054 ef09 68 PLA + 2055 ef0a 91 ce STA (acc),Y + 2056 ef0c + 2057 ef0c 60 Tef0c RTS + 2058 ef0d ff ff ff DC.B $ff,$ff,$ff ; "..." + 2059 ef10 + 2060 ef10 20 6c ee divide JSR See6c + 2061 ef13 a5 ce LDA acc + 2062 ef15 85 e6 STA p3 + 2063 ef17 a5 cf LDA acc+1 + 2064 ef19 85 e7 STA p3+1 + 2065 ef1b 4c 44 e2 JMP Le244 + 2066 ef1e + 2067 ef1e 20 e4 ee dim_num JSR Seee4 + 2068 ef21 4c 34 e1 JMP Le134 + 2069 ef24 + 2070 ef24 20 e4 ee num_array_subs JSR Seee4 + 2071 ef27 b4 78 LDY noun_stk_h_str,X + 2072 ef29 b5 50 LDA noun_stk_l,X + 2073 ef2b 69 fe ADC #$fe ; 254 ~ + 2074 ef2d b0 01 BCS Lef30 + 2075 ef2f 88 DEY + 2076 ef30 85 da Lef30 STA aux + 2077 ef32 84 db STY aux+1 + 2078 ef34 18 CLC + 2079 ef35 65 ce ADC acc + 2080 ef37 95 50 STA noun_stk_l,X + 2081 ef39 98 TYA + 2082 ef3a 65 cf ADC acc+1 + 2083 ef3c 95 78 STA noun_stk_h_str,X + 2084 ef3e a0 00 LDY #$00 ; 0 . + 2085 ef40 b5 50 LDA noun_stk_l,X + 2086 ef42 d1 da CMP (aux),Y + 2087 ef44 c8 INY + 2088 ef45 b5 78 LDA noun_stk_h_str,X + 2089 ef47 f1 da SBC (aux),Y + 2090 ef49 b0 80 BCS Leecb + 2091 ef4b 4c 23 e8 JMP left_paren + 2092 ef4e + 2093 ef4e 20 15 e7 rnd_fn JSR get16bit + 2094 ef51 a5 4e LDA rnd + 2095 ef53 20 08 e7 JSR push_ya_noun_stk + 2096 ef56 a5 4f LDA rnd+1 + 2097 ef58 d0 04 BNE Lef5e + 2098 ef5a c5 4e CMP rnd + 2099 ef5c 69 00 ADC #$00 ; 0 . + 2100 ef5e 29 7f Lef5e AND #$7f ; 127 . + 2101 ef60 85 4f STA rnd+1 + 2102 ef62 95 a0 STA noun_stk_h_int,X + 2103 ef64 a0 11 LDY #$11 ; 17 . + 2104 ef66 a5 4f Lef66 LDA rnd+1 + 2105 ef68 0a ASL + 2106 ef69 18 CLC + 2107 ef6a 69 40 ADC #$40 ; 64 @ + 2108 ef6c 0a ASL + 2109 ef6d 26 4e ROL rnd + 2110 ef6f 26 4f ROL rnd+1 + 2111 ef71 88 DEY + 2112 ef72 d0 f2 BNE Lef66 + 2113 ef74 a5 ce LDA acc + 2114 ef76 20 08 e7 JSR push_ya_noun_stk + 2115 ef79 a5 cf LDA acc+1 + 2116 ef7b 95 a0 STA noun_stk_h_int,X + 2117 ef7d 4c 7a e2 JMP mod_op + 2118 ef80 + 2119 ef80 20 15 e7 Tef80 JSR get16bit + 2120 ef83 a4 ce LDY acc + 2121 ef85 - IFCONST SNDERBASIC ;SANDER BASIC HERE + 2122 ef85 - CPY lomem + 2123 ef85 - LDA acc+1 + 2124 ef85 - SBC lomem+1 + 2125 ef85 - BCC Lefab_efad + 2126 ef85 - STY himem + 2127 ef85 - LDA acc+1 + 2128 ef85 - STA himem+1 + 2129 ef85 -Lef93 JMP new_cmd + 2130 ef85 - + 2131 ef85 -Tef96 JSR get16bit + 2132 ef85 - LDY acc + 2133 ef85 - CPY himem + 2134 ef85 - LDA acc+1 + 2135 ef85 - SBC himem+1 + 2136 ef85 - BCS Lefab_efad + 2137 ef85 - STY lomem + 2138 ef85 - LDA acc+1 + 2139 ef85 - STA lomem+1 + 2140 ef85 - BCC Lef93 + 2141 ef85 -Lefab_efad JMP Leecb + 2142 ef85 - ;DC.B ;$a5,$4d,$48,$a5,$4c ; "%MH%L" + 2143 ef85 - lda zp4d + 2144 ef85 - pha + 2145 ef85 - lda himem + 2146 ef85 ELSE + 2147 ef85 IFCONST HUSTNBASIC + 2148 ef85 c4 4a CPY lomem + 2149 ef87 a5 cf LDA zpcf + 2150 ef89 e5 4b SBC zp4b + 2151 ef8b 90 1e BCC Lefab + 2152 ef8d 84 4c STY himem + 2153 ef8f a5 cf LDA zpcf + 2154 ef91 85 4d STA zp4d + 2155 ef93 Lef93 + 2156 ef93 4c ad e5 JMP new_cmd + 2157 ef96 Tef96 + 2158 ef96 20 15 e7 JSR get16bit + 2159 ef99 a4 ce LDY acc + 2160 ef9b c4 4c CPY himem + 2161 ef9d a5 cf LDA zpcf + 2162 ef9f e5 4d SBC zp4d + 2163 efa1 b0 08 BCS Lefab + 2164 efa3 84 4a STY lomem + 2165 efa5 a5 cf LDA zpcf + 2166 efa7 85 4b sta zp4b + 2167 efa9 90 e8 bcc Lef93 + 2168 efab Lefab + 2169 efab 4c cb ee JMP Leecb + 2170 efae a5 4d LDA zp4d + 2171 efb0 48 PHA + 2172 efb1 a5 4c LDA himem + 2173 efb3 - ELSE + 2174 efb3 - cpy himem + 2175 efb3 - lda zpcf + 2176 efb3 - sbc zp4d + 2177 efb3 - bcc Lefac + 2178 efb3 - sty zp48 + 2179 efb3 - lda zpcf + 2180 efb3 - sta zp49 + 2181 efb3 - jmp Leeb6 + 2182 efb3 -Tef96 + 2183 efb3 - jsr get16bit + 2184 efb3 - ldy acc + 2185 efb3 - cpy pp + 2186 efb3 - lda zpcf + 2187 efb3 - sbc zpcb + 2188 efb3 - bcs Lefac + 2189 efb3 - sty lomem + 2190 efb3 - lda zpcf + 2191 efb3 - sta zp4b + 2192 efb3 - jmp clr + 2193 efb3 -Lefac + 2194 efb3 - jmp Leecb + 2195 efb3 - + 2196 efb3 -Lefab_efad + 2197 efb3 - nop + 2198 efb3 - nop + 2199 efb3 - nop + 2200 efb3 - nop + 2201 efb3 ENDIF + 2202 efb3 ENDIF + 2203 efb3 20 c9 ef Lefb3 JSR Sefc9 + 2204 efb6 + 2205 efb6 20 71 e1 string_input JSR input_str + 2206 efb9 4c bf ef JMP Lefbf + 2207 efbc + 2208 efbc 20 03 ee input_prompt JSR print_str + 2209 efbf a9 ff Lefbf LDA #$ff ; 255 . + 2210 efc1 85 c8 STA text_index + 2211 efc3 a9 74 LDA #$74 ; 116 t + 2212 efc5 8d 00 02 STA buffer + 2213 efc8 60 RTS + 2214 efc9 + 2215 efc9 20 36 e7 Sefc9 JSR not_op + 2216 efcc e8 INX + 2217 efcd + 2218 efcd 20 36 e7 Sefcd JSR not_op + 2219 efd0 b5 50 LDA noun_stk_l,X + 2220 efd2 60 RTS + 2221 efd3 + 2222 efd3 a9 00 mem_init_4k LDA #$00 ; 0 . + 2223 efd5 85 4a STA lomem + 2224 efd7 85 4c STA himem + 2225 efd9 a9 08 LDA #$08 ; 8 . + 2226 efdb 85 4b STA lomem+1 + 2227 efdd a9 10 LDA #$10 ; 16 . + 2228 efdf 85 4d STA himem+1 + 2229 efe1 4c ad e5 JMP new_cmd + 2230 efe4 + 2231 efe4 d5 78 Sefe4 CMP noun_stk_h_str,X + 2232 efe6 d0 01 BNE Lefe9 + 2233 efe8 18 CLC + 2234 efe9 4c 02 e1 Lefe9 JMP Le102 + 2235 efec + 2236 efec 20 b7 e5 Tefec JSR clr + 2237 efef 4c 36 e8 JMP run_warm + 2238 eff2 + 2239 eff2 20 b7 e5 Teff2 JSR clr + 2240 eff5 4c 5b e8 JMP goto_stmt + 2241 eff8 + 2242 eff8 e0 80 Seff8 CPX #$80 ; 128 . + 2243 effa d0 01 BNE Leffd + 2244 effc 88 DEY + 2245 effd 4c 0c e0 Leffd JMP Se00c + 2246 f000 + 2247 f000 + 2248 f000 + 2249 f000 +------- FILE a2a1emulv5_1.asm + 1672 f000 + 1673 f000 ;------------------------------------------------------------------------- + 1674 f000 ; + 1675 f000 ; The WOZ Monitor for the Apple 1 + 1676 f000 ; Written by Steve Wozniak 1976 + 1677 f000 ; + 1678 f000 ;------------------------------------------------------------------------- + 1679 f000 + 1680 f000 + 1681 f000 IFNCONST BLD4RAM + 1682 ff00 ORG $FF00 + 1683 ff00 ENDIF + 1684 ff00 + 1685 ff00 ;------------------------------------------------------------------------- + 1686 ff00 ; Memory declaration + 1687 ff00 ;------------------------------------------------------------------------- + 1688 ff00 + 1689 ff00 + 1690 ff00 + 1691 ff00 + 1692 ff00 + 1693 ff00 00 24 XAML EQU $24 ;Last "opened" location Low + 1694 ff00 00 25 XAMH EQU $25 ;Last "opened" location High + 1695 ff00 00 26 STL EQU $26 ;Store address Low + 1696 ff00 00 27 STH EQU $27 ;Store address High + 1697 ff00 00 28 L EQU $28 ;Hex value parsing Low + 1698 ff00 00 29 H EQU $29 ;Hex value parsing High + 1699 ff00 00 2a YSAV EQU $2A ;Used to see if hex value is given + 1700 ff00 00 2b MODE EQU $2B ;$00=XAM, $7F=STOR, $AE=BLOCK XAM + 1701 ff00 + 1702 ff00 02 00 IN EQU $0200 ;Input buffer + 1703 ff00 + 1704 ff00 - IFCONST BLD4APPLE1 + 1705 ff00 -MONDSP EQU $D012 ;PIA.B display output register + 1706 ff00 -MONDSPCR EQU $D013 ;PIA.B display control register + 1707 ff00 ENDIF + 1708 ff00 + 1709 ff00 ; KBD b7..b0 are inputs, b6..b0 is ASCII input, b7 is constant high + 1710 ff00 ; Programmed to respond to low to high KBD strobe + 1711 ff00 ; DSP b6..b0 are outputs, b7 is input + 1712 ff00 ; CB2 goes low when data is written, returns high when CB1 goes high + 1713 ff00 ; Interrupts are enabled, though not used. KBD can be jumpered to IRQ, + 1714 ff00 ; whereas DSP can be jumpered to NMI. + 1715 ff00 + 1716 ff00 + 1717 ff00 + 1718 ff00 ;------------------------------------------------------------------------- + 1719 ff00 ; Let's get started + 1720 ff00 ; + 1721 ff00 ; Remark the RESET routine is only to be entered by asserting the RESET + 1722 ff00 ; line of the system. This ensures that the data direction registers + 1723 ff00 ; are selected. + 1724 ff00 ;------------------------------------------------------------------------- + 1725 ff00 + 1726 ff00 d8 RESET CLD ;Clear decimal arithmetic mode + 1727 ff01 58 CLI + 1728 ff02 IFNCONST BLD4APPLE1 + 1729 ff02 20 83 d3 JSR INIT ;init display driver + 1730 ff05 ENDIF + 1731 ff05 a0 7f LDY #$7f ;Mask for DSP data direction reg + 1732 ff07 - IFCONST BLD4APPLE1 + 1733 ff07 - STY MONDSP ; (DDR mode is assumed after reset) + 1734 ff07 ENDIF + 1735 ff07 a9 a7 LDA #$a7 ;KBD and DSP control register mask + 1736 ff09 - IFCONST BLD4APPLE1 + 1737 ff09 - STA KBDCR ;Enable interrupts, set CA1, CB1 for + 1738 ff09 - STA MONDSPCR ; positive edge sense/output mode. + 1739 ff09 ELSE + 1740 ff09 ea NOP + 1741 ff0a ea NOP + 1742 ff0b ea NOP + 1743 ff0c ea NOP + 1744 ff0d ea NOP + 1745 ff0e ea NOP + 1746 ff0f ENDIF + 1747 ff0f + 1748 ff0f ; Program falls through to the GETLINE routine to save some program bytes + 1749 ff0f ; Please note that Y still holds $7F, which will cause an automatic Escape + 1750 ff0f + 1751 ff0f ;------------------------------------------------------------------------- + 1752 ff0f ; The GETLINE process + 1753 ff0f ;------------------------------------------------------------------------- + 1754 ff0f + 1755 ff0f c9 df NOTCR CMP #BS ;Backspace key? + 1756 ff11 f0 13 BEQ BACKSPACE ;Yes + 1757 ff13 c9 9b CMP #ESC ;ESC? + 1758 ff15 f0 03 BEQ ESCAPE ;Yes + 1759 ff17 c8 INY ;Advance text index + 1760 ff18 10 0f BPL NEXTCHAR ;Auto ESC if line longer than 127 + 1761 ff1a + 1762 ff1a a9 5c ESCAPE LDA #PROMPT ;Print prompt character + 1763 ff1c 20 ef ff JSR ECHO ;Output it. + 1764 ff1f + 1765 ff1f a9 8d GETLINE LDA #CR ;Send CR + 1766 ff21 20 ef ff JSR ECHO + 1767 ff24 + 1768 ff24 a0 01 LDY #0+1 ;Start a new input line + 1769 ff26 88 BACKSPACE DEY ;Backup text index + 1770 ff27 30 f6 BMI GETLINE ;Oops, line's empty, reinitialize + 1771 ff29 + 1772 ff29 NEXTCHAR + 1773 ff29 - IFCONST BLD4APPLE1 + 1774 ff29 - LDA KBDCR ;Wait for key press + 1775 ff29 - BPL NEXTCHAR ;No key yet! + 1776 ff29 - LDA KBD ;Clear strobe + 1777 ff29 ELSE + 1778 ff29 20 4d d2 JSR A2GETCHAR + 1779 ff2c ea NOP + 1780 ff2d ea NOP + 1781 ff2e ea NOP + 1782 ff2f ea NOP + 1783 ff30 ea NOP + 1784 ff31 ENDIF + 1785 ff31 99 00 02 STA IN,Y ;Add to text buffer + 1786 ff34 20 ef ff JSR ECHO ;Display character + 1787 ff37 c9 8d CMP #CR + 1788 ff39 d0 d4 BNE NOTCR ;It's not CR! + 1789 ff3b + 1790 ff3b ; Line received, now let's parse it + 1791 ff3b + 1792 ff3b a0 ff LDY #-1 ;Reset text index + 1793 ff3d a9 00 LDA #0 ;Default mode is XAM + 1794 ff3f aa TAX ;X=0 + 1795 ff40 + 1796 ff40 0a SETSTOR ASL ;Leaves $7B if setting STOR mode + 1797 ff41 + 1798 ff41 85 2b SETMODE STA MODE ;Set mode flags + 1799 ff43 + 1800 ff43 c8 BLSKIP INY ;Advance text index + 1801 ff44 + 1802 ff44 b9 00 02 NEXTITEM LDA IN,Y ;Get character + 1803 ff47 c9 8d CMP #CR + 1804 ff49 f0 d4 BEQ GETLINE ;We're done if it's CR! + 1805 ff4b c9 ae CMP #$AE ;"." + 1806 ff4d 90 f4 BCC BLSKIP ;Ignore everything below "."! + 1807 ff4f f0 f0 BEQ SETMODE ;Set BLOCK XAM mode ("." = $AE) + 1808 ff51 c9 ba CMP #$BA ;":" + 1809 ff53 f0 eb BEQ SETSTOR ;Set STOR mode! $BA will become $7B + 1810 ff55 c9 d2 CMP #$d2 ;"R" + 1811 ff57 f0 3b BEQ RUN ;Run the program! Forget the rest + 1812 ff59 86 28 STX L ;Clear input value (X=0) + 1813 ff5b 86 29 STX H + 1814 ff5d 84 2a STY YSAV ;Save Y for comparison + 1815 ff5f + 1816 ff5f ; Here we're trying to parse a new hex value + 1817 ff5f + 1818 ff5f b9 00 02 NEXTHEX LDA IN,Y ;Get character for hex test + 1819 ff62 49 b0 EOR #$B0 ;Map digits to 0-9 + 1820 ff64 c9 0a CMP #9+1 ;Is it a decimal digit? + 1821 ff66 90 06 BCC DIG ;Yes! + 1822 ff68 69 88 ADC #$88 ;Map letter "A"-"F" to $FA-FF + 1823 ff6a c9 fa CMP #$FA ;Hex letter? + 1824 ff6c 90 11 BCC NOTHEX ;No! Character not hex + 1825 ff6e + 1826 ff6e 0a DIG ASL + 1827 ff6f 0a ASL ;Hex digit to MSD of A + 1828 ff70 0a ASL + 1829 ff71 0a ASL + 1830 ff72 + 1831 ff72 a2 04 LDX #4 ;Shift count + 1832 ff74 0a HEXSHIFT ASL ;Hex digit left, MSB to carry + 1833 ff75 26 28 ROL L ;Rotate into LSD + 1834 ff77 26 29 ROL H ;Rotate into MSD's + 1835 ff79 ca DEX ;Done 4 shifts? + 1836 ff7a d0 f8 BNE HEXSHIFT ;No, loop + 1837 ff7c c8 INY ;Advance text index + 1838 ff7d d0 e0 BNE NEXTHEX ;Always taken + 1839 ff7f + 1840 ff7f c4 2a NOTHEX CPY YSAV ;Was at least 1 hex digit given? + 1841 ff81 f0 97 BEQ ESCAPE ;No! Ignore all, start from scratch + 1842 ff83 + 1843 ff83 24 2b BIT MODE ;Test MODE byte + 1844 ff85 50 10 BVC NOTSTOR ;B6=0 is STOR, 1 is XAM or BLOCK XAM + 1845 ff87 + 1846 ff87 ; STOR mode, save LSD of new hex byte + 1847 ff87 + 1848 ff87 a5 28 LDA L ;LSD's of hex data + 1849 ff89 81 26 STA (STL,X) ;Store current 'store index'(X=0) + 1850 ff8b e6 26 INC STL ;Increment store index. + 1851 ff8d d0 b5 BNE NEXTITEM ;No carry! + 1852 ff8f e6 27 INC STH ;Add carry to 'store index' high + 1853 ff91 4c 44 ff TONEXTITEM JMP NEXTITEM ;Get next command item. + 1854 ff94 + 1855 ff94 ;------------------------------------------------------------------------- + 1856 ff94 ; RUN user's program from last opened location + 1857 ff94 ;------------------------------------------------------------------------- + 1858 ff94 + 1859 ff94 6c 24 00 RUN JMP (XAML) ;Run user's program + 1860 ff97 + 1861 ff97 ;------------------------------------------------------------------------- + 1862 ff97 ; We're not in Store mode + 1863 ff97 ;------------------------------------------------------------------------- + 1864 ff97 + 1865 ff97 30 2b NOTSTOR BMI XAMNEXT ;B7 = 0 for XAM, 1 for BLOCK XAM + 1866 ff99 + 1867 ff99 ; We're in XAM mode now + 1868 ff99 + 1869 ff99 a2 02 LDX #2 ;Copy 2 bytes + 1870 ff9b b5 27 SETADR LDA L-1,X ;Copy hex data to + 1871 ff9d 95 25 STA STL-1,X ; 'store index' + 1872 ff9f 95 23 STA XAML-1,X ; and to 'XAM index' + 1873 ffa1 ca DEX ;Next of 2 bytes + 1874 ffa2 d0 f7 BNE SETADR ;Loop unless X = 0 + 1875 ffa4 + 1876 ffa4 ; Print address and data from this address, fall through next BNE. + 1877 ffa4 + 1878 ffa4 d0 14 NXTPRNT BNE PRDATA ;NE means no address to print + 1879 ffa6 a9 8d LDA #CR ;Print CR first + 1880 ffa8 20 ef ff JSR ECHO + 1881 ffab a5 25 LDA XAMH ;Output high-order byte of address + 1882 ffad 20 dc ff JSR PRBYTE + 1883 ffb0 a5 24 LDA XAML ;Output low-order byte of address + 1884 ffb2 20 dc ff JSR PRBYTE + 1885 ffb5 a9 3a LDA #":" ;Print colon + 1886 ffb7 20 ef ff JSR ECHO + 1887 ffba + 1888 ffba a9 20 PRDATA LDA #" " ;Print space + 1889 ffbc 20 ef ff JSR ECHO + 1890 ffbf a1 24 LDA (XAML,X) ;Get data from address (X=0) + 1891 ffc1 20 dc ff JSR PRBYTE ;Output it in hex format + 1892 ffc4 86 2b XAMNEXT STX MODE ;0 -> MODE (XAM mode). + 1893 ffc6 a5 24 LDA XAML ;See if there's more to print + 1894 ffc8 c5 28 CMP L + 1895 ffca a5 25 LDA XAMH + 1896 ffcc e5 29 SBC H + 1897 ffce b0 c1 BCS TONEXTITEM ;Not less! No more data to output + 1898 ffd0 + 1899 ffd0 e6 24 INC XAML ;Increment 'examine index' + 1900 ffd2 d0 02 BNE MOD8CHK ;No carry! + 1901 ffd4 e6 25 INC XAMH + 1902 ffd6 + 1903 ffd6 a5 24 MOD8CHK LDA XAML ;If address MOD 8 = 0 start new line + 1904 ffd8 29 07 AND #$07 + 1905 ffda 10 c8 BPL NXTPRNT ;Always taken. + 1906 ffdc + 1907 ffdc ;------------------------------------------------------------------------- + 1908 ffdc ; Subroutine to print a byte in A in hex form (destructive) + 1909 ffdc ;------------------------------------------------------------------------- + 1910 ffdc + 1911 ffdc 48 PRBYTE PHA ;Save A for LSD + 1912 ffdd 4a LSR + 1913 ffde 4a LSR + 1914 ffdf 4a LSR ;MSD to LSD position + 1915 ffe0 4a LSR + 1916 ffe1 20 e5 ff JSR PRHEX ;Output hex digit + 1917 ffe4 68 PLA ;Restore A + 1918 ffe5 + 1919 ffe5 ; Fall through to print hex routine + 1920 ffe5 + 1921 ffe5 ;------------------------------------------------------------------------- + 1922 ffe5 ; Subroutine to print a hexadecimal digit + 1923 ffe5 ;------------------------------------------------------------------------- + 1924 ffe5 + 1925 ffe5 29 0f PRHEX AND #$0F ;Mask LSD for hex print + 1926 ffe7 09 30 ORA #"0" ;Add "0" + 1927 ffe9 c9 3a CMP #"9"+1 ;Is it a decimal digit? + 1928 ffeb 90 02 BCC ECHO ;Yes! output it + 1929 ffed 69 06 ADC #6 ;Add offset for letter A-F + 1930 ffef + 1931 ffef ; Fall through to print routine + 1932 ffef + 1933 ffef ;------------------------------------------------------------------------- + 1934 ffef ; Subroutine to print a character to the terminal + 1935 ffef ;------------------------------------------------------------------------- + 1936 ffef ECHO + 1937 ffef - IFCONST BLD4APPLE1 + 1938 ffef - BIT MONDSP ;DA bit (B7) cleared yet? + 1939 ffef - BMI ECHO ;No! Wait for display ready + 1940 ffef - STA MONDSP ;Output character. Sets DA + 1941 ffef - RTS + 1942 ffef ELSE + 1943 ffef c9 20 CMP #$20 + 1944 fff1 30 02 BMI ECHO1 + 1945 fff3 09 80 ORA #$80 + 1946 fff5 ECHO1 + 1947 fff5 4c 47 d4 JMP PUTCH ; use hi-res screen + 1948 fff8 ENDIF + 1949 fff8 + 1950 fff8 ;------------------------------------------------------------------------- + 1951 fff8 ; Vector area + 1952 fff8 ;------------------------------------------------------------------------- + 1953 fff8 IFNCONST BLD4RAM + 1954 fff8 ORG $fff8 + 1955 fff8 00 00 DC.W $0000 ;Unused, what a pity + 1956 fffa 00 0f NMI_VEC DC.W $0F00 ;NMI vector + 1957 fffc 00 ff RESET_VEC DC.W RESET ;RESET vector + 1958 fffe 00 00 IRQ_VEC DC.W $0000 ;IRQ vector + 1959 10000 ENDIF + 1960 10000 + 1961 10000 ;------------------------------------------------------------------------- + 1962 10000 + 1963 10000 diff --git a/Source and Serial Driver/a2a1emulv5_1/a2a1emulv5_1.o b/Source and Serial Driver/a2a1emulv5_1/a2a1emulv5_1.o new file mode 100644 index 0000000000000000000000000000000000000000..a075af688671d013ba3876be56ef088e3a1670d5 GIT binary patch literal 17410 zcmeHOdvp`mnV*qlSr!Jy;3Q7slDo$5WE-6{BsAfGfi^f~z{(~-+O*r*le*Zu>9Xl* zu$!I*r_s1tV)ckqt80_e4vxpsIDSaRO6wjqv4ibs1eUH}fNe-*TQ3u04J-8 zlC&qMyMK3eCEdq&zx&nRlw$rc64f)ahwA?vN$ zav3EKPk5|BR}7T0LgAZUeCgF(QechsoMQko&8=K_Ux9Lk zFX*n~s`G_SDA0qimfv(-5>`P}c)*NrSd)YYA#kH^p}@L9U%(Y=FB`7FX;@S|(EIv*_K zQy5}GCc1&aGQNR@HN-N$hQTs^c+oNj0bjSCV}Ff>Vl;zq8NvvnaSIp>;fKHwZUIC1 z>s}575bL?13hd{Fxc&TBrm;i~&~Ch~ffA@1t-H%QC|4*OTGQNoZtDs+p9ku#B+W^8 zw`ko*a>uNLN*c7l8u7$g@f8o7JGdBEQb@)G`^+E*ysayVh;$IKDD$1J_CXJr^{IpG ze-5^$VYq@|a0NsvNcaGR53In3>y{O`q5^v89B~zJOHpBAx+@-l5PEQtSn*dXxBo9H zw|}8BVI?Y$fy(h>D;HJP4t>$$zfwNV)&gy~rTk+L&g&H~zuwayR~Nu@tZ@cekdv4fnP(ZoEW77RKHBY&W}N7z#sl2Z{bF z*$sV%?inRKNfKf|9g63+Ep@k~y3r>iZHyavN6^s`^!R97GU>`Pu7Q&7;*bS4+N;+Q4ZW)#|8Kr7oO#+&yg*>O~AZ2E*_G@vdKMB8&zBkN$NkY8P%ke^S zZiyn?*Ma*yCvCN8+|r08$t@WzDz`LbQM+3ycL8mo+@O`D4Cvf=#Xt&RWZBhi+0kt= zc3b|bo0Bwr9^6djN=x`0=?b4MP|`;S$uM%Plyup7SR-{CRFV)US%c8*6t^YS4Y-0_ z3+;~MXW7|pdA8f~G!ZB%7M+^|xBxUjtbu|yL<0tV1u~%Mm#PL?aY3LR00yveFKXPy zizQo9+)N%6FW4_HRdUhNQH$RF1={-CX#Z^J^TK5uv^GTrT0xfpYakyc(kCNOf4pO0 zpsDvqDA(|GKxa752{k5_FltfNG(uX3o_p%IB9 z9R(4t4#h?eWRy}o<)C4RmC~Hv-bK3ELwt%`JA({KauGu^x#C|faRc@_ZY8aOa#4rx za7P0=yZ~V2xZ9S%F!`JT1H+&1aNDRwhUK>zim0Nhjnu}fP1L5U&D7?qqWmKJ#{7-; zP5GPboAWo@i;P8hqj4kNWZZ-|8#m+6AI0d1qjXeydU~9ep1vMF>6C7rJ~Mxnj?(5b zI?Y1{N~70n3RgTp5pLpi8kI_=fszbDh=H&$Qq8r6DgJz_Et(3y<%73&#>TCZA#NP4YCwJHlj$k%B!8sN_& zUlOiE%rX#9T0tCyP%o)PqhlZqGQo#9DGB^cyuF!Og^%5%T1V;9v$ED_WlFpb*zHYlU8`Uy(%t8@TmU)+2?5 zgfA;Ax9}mt4+)|-sSFt6X@V$`1NCX+@t8FO;!!vD@Tir?2++ ztS%DINs=hG^OTeZOj=lWNt}WB8HmFI49TzD^Ap4b0to~X2qX|lAdo=d|1$zfH0zkU zZBudw3Xrl|68Io1PfMsT+mR|+gk%H>0Ul%vlyfRP1rLpW}*Qn9@DGJWnD%sUhNGg%FGc*_H%D7a?swRbWd=ipTmysVY^%dJ) zmHb4hzqr>MI_{Vj$IEZ><5J9CI>AqPoa19BD7?H*xm0#=y#55(dY7ZzIiU*MBns&x zf~vL>0_i=_OrX&3rfMs}f_FX5`&>Wc{n03LPr9~`?seR;u-)$TbsBqvR*mo)?m0NV zV6=uhjRy{nN246@kFT9k@j)mwF+=eo=%QdoXq*pnu4h2h&v`##A-U0LRG?}rYXwiO z(Y0;#WnHnWWVFJXT$b-p?KE;w2_G!8=Q}Ps$NPf#lZBFnZH`mu)Fk^z1U);Y_2v4l zOEbi9={R(41X>s|D=FG=ZiET4`yyf(=^|ppCTK&}e0EPn+QEm=^HZXa9~b@n1dIYx z*c1`{Oo~?^mWb zLIc)s$Eo2sHRALh42Qii501kG@WKQ*?g+SoHm{et>I~S;&cMNN9lio;rMND?e93k> zs$6FFkrO@)pO>KN;uK&w%}3D7FoU3~X+DIP-I^(3m?;q+hJ@(t10_Ns$DJ@GRQ;j; zpcsZQ(jRsP4~DG)G0b~`^Ed}B_H3q%<>#T}0;4Wwqnj)+P^m0mrJ58x%c^8Ev%(Wd=RwCSWw{8UL+XK#||$ zPxyKMVqJ0djZSQmGbBBKwSfYpR0YdR=Zg=NUNmGjm-S!8^Zb1E#oi0}!$#R-x&YG3 z?BYd-Bv8!j{Ke||22HgrIryvM{Mi>m;>8ll24hOa z%h|F)BVJRMK%YSOYsg^sGCdrv|6!Eayy`qyAz={lktlRs2)Z!X$BLoQHb<^NJA)yg z2Ry&yZs`5+OF=$tRfi)P9?^Fp=n?%Ff(|eD#1&Xuy|A_(7q{{!#HaWH=<)0PXZ%eF zzs8BUJc%C?2g@mbSR8_}IUG7}RkQy#E&2{((eD9oh>);{lUSvO z-H$b*Pq`??;=DkgAqCfle2}gS`Hib#m{}JW#4jzD8KSRr95_UO>BN7WwFyL2qfbdP zi+&}Q6SGs2?Jyl-ko9IeE_299>V(BrFSP;<{Ul@VG3Ln(824vhI@5R-^7>dj*t-o62oFCoM*3r` z_?dFK|1*2na+*KWbr;Q_eK$sRCDX7>y%H5(h;J9pGtD078E7+mbgKWl@Vt0k>9xCz zWB1WmaaE4x!O@`WL7E@$dX$duNnZ5p2%@9j#_L_XY1{9+en7)c4CC*1H#S~}zDxSf zqcpRkYXhlv3#qn*?%GA;U-n<;glEO;vQ9Y^z7Dn2BidD2pAW|c=qo5#{{vd|*YBn! zy8c00qUs+dxNIP}Y@q?G5`xvPFSs8BZuUnrWS98Dy{-NWw_!tf_qs)ASl)dswu5>b zIAXCK@bwcH+i~pJu^o!y-??)qA>Jwt=i9%K0}1MF^)5n7{&Gfh{2+W+EOz(z*E}_U z{+D}N|1fps*{a|0zsO>~v2~?($yT~(%PUQ>)hW;4`OfiJ?0PJgy|Dc|Mk==Y%{`B- zK9!mEe%qf`$726rSf%boR1b&~467Q4-*GoTMjQ8?J}s_`-T8dJy7y~T>UOyPYjRNT z{#`Y6UcBCDEEWv}0&{cI)1go#GCMaHiA=%o{Q2|bH#HlJPDZ11AID;WSZr<(>KIA(K!s7M5d$i*Z z?>su<_X{WdzAeLJzLB2i2Koks{?QXGp$_!;#su6m)aQF>aT$8eu;LiY7pHasx~Yxl8elmAeYPq1K>P!Ger+V`hw zzi`tu<}rCtJsfiykYs>UPI#=z*XYsnKC;EhKUIdv3#ksp18hJj?usoA?lPMo`s5Gb zki93u(gXn`T6rGdftH-d3>o=*B1ZM%4A^OevtKOM6Wc#{;sd|0?@-^z#+-kE&( z?vD1R{Yj5@x*ToN{-pd{VPQNBwuw|Mwx!`n=l-RJR;h9S($(PaZfQC4CS2Wj_f%0^ zYRYORcE3^fhOy>_n*H?C$>su6Rd3agy5BZhq4gq8u;D^+eb9& zDuE54f}iNzUI#`;igTQtD%s_;Qj8zvqGYqR39gE@m!OBiG$L2gu(jojJ`UE)T0z8E z(?4jK?}y_>d|I5a5&VNAeVp28fA~qirb1s7UmjyX_9?!4yj2Gd8D6Oh+*_dBnm&55uRP~TX`0q zI6fsYacQHKn9czE75yQ^J`yGV^U**Rrp;_BET##UKp=rY0)Yeq2?P=dBoIg-kU$`T zKmvgT0tp2E{t-C+9K4k*?3dn(zd?Nt9xAPb2a#$Ivt0V#=WoWxA4T4J^BSJ&03DvT zjR^3+@Mb-KfBeeLqKwFG)=w2h{*&@C{6qZl>ip^gQz2Zwed({^Y2^L*2KZ}uer|ym z-nPbp-a_TSv+p-DV*aae7lK{kJoa0$7qcnD{S7Kto-N0=+KVsa^!N+c)%T0pefeTesKC(^&V~mXIbwD}GUF-U3J!&0Wd+Mq4Dw@ERk>D1231NG OyJZBfnU_;BiuxaHM%=^z literal 0 HcmV?d00001 diff --git a/Source and Serial Driver/a2a1emulv5_1/a2a1emulv5_1.rom b/Source and Serial Driver/a2a1emulv5_1/a2a1emulv5_1.rom new file mode 100644 index 0000000000000000000000000000000000000000..645265cec2ececca6f88f74e498b1be1b6c16674 GIT binary patch literal 17408 zcmeHNdsG|OnV*q_5Hhw0OcIh%=Pu@*Y@?ILiJSP?*luIujE%C1?X+pPvnQp7-E_U_ zX$(!z+N9A?t%G{xQ`7~gZpVZn8p30QQCfP`1Y#f>VWi<@8?X}rdf_-Q;21xMt^KaB z^XS>r-GBCv?$MRzKEC_i@B8lWzV8e{{BK+|?&1i<5r`uYM<9+s9Dz6jaRlNB#1V)i z5Jw=6KpcTM0&xW52*eTi8$>{8qKQGlg65)J)ZIdLQA;gER~?}Og7k(mDOu#1`lvv# zS!_0&!{$c*OsJ$GWsh`5SueFJxspXe5~oC-6eYb?TV|H7TU+$tIE_9hD<|75UsX=g z_eA+DHiyqM1>%kmc)WUgp+XFE-|hwa6t4cS1gd+im+&4Y)#P1Vc(O4Zx{ zYSsB1ONxunwWPYL@{o53kT^5=ITC?EKq7evBZ2N6YRse2;1~Sp`e0QaAaiCAVq6Bg zF$l=q806MtWUdbaG7m2!0|LHoy+HqZkSjtngSUV{5RD-KKs*FMGy@P{_b?y;qnBR^ z_$|ip`%7qu0n#P!tS304TI=ex4oT(8=9Ux}o70l&VspW~HMlxyu4b+4c+Qx0NJ)Vj zSi|lZt9;c>=L{{!l_Z=oK|eFZ0B_40EFuj=EX#bSvu(%?&6+$!|NBr&iVR(llFK1d zj>88ad>~aeELl?J@^WaQ3)q!IFDO@ zwr1I6?eG^h{tM${Y|T)ITgE>&{G!T*+J?b2>N40SnPrvmkz|v#C}ou1In1EfMo{t% zw0)G`KTOQ7bhWH>NqJNn7)`k3WR9p$j>tFMT}kpHBM=tIPj*Rn8MG*Egnn&!Hra*! zRWA7lv#VY5cZ&qSWwom%$z`~=g>uO&1Z1IHEzfq*sUuJrqPubQSBWlYJ9N)!%#$D> z`qSZ9ZtF@{bFvG4GTKVHkY^N~7)6htZcW5ZS<2KC!d+~_pTBpSB_r4;V!k@&t2}~^ z)zaHX1WkI0npNX~WJxa9p*ljCscU^&IoOvVzmL8*!hA_WtkBD`LNRWEz}!o~eUTA% zSTrtS)RN#5j24wk7`CWgErctdv=A<^N>B!LE;)4|2{5wk?Xv9dvKYH8|Ioz<8a5YZ zrW|1fn=M>rvp7Qd=m_pc;u=Ahl`Ct6E`v(oVkBz-s-5JrB)b4tkZU1bG5johx-8Fj zS)RrM1;wIsF#s2U28h)YP=|=$AYX+HDEcMUAS)&atOLLRHs(c*t7y4obCQe7h2r^# zq?JkzT6x-{cYT4j{x;e_8~(g-DFd}lQh`;_B*5y=gMswPDCmzh40IIQG72m)KyOx=Io-t><(ANuag%6jBHoy z3g{-EGswX3=Q~_BVwqv}ZH7XkuyPBrrE)8=wQ?J=t+Ft$(7q*ai+yX}R{OTRZT3QA zp}fVoMc!)MDsMAxlRtkGrNfTU5ou{@F1nB(D2mvmT8nUcgGRMB6GF(>X*3$(&%}QmZbZ~75RYqt z9fY74YtiT^NP|r9A&yG|KNYKQMrOfd_oy}!y0py9O_`Yl2*-cOhkAirNPt@l`c-RH z*sfTpA~r(yLzx>1$b@2<1KLc4nsPwffXd0@%Q9A~GK-D8PJ~nK=ayVSY$p zyRkB0h@~;EIE~AJ{tR4S01-Q^C&nKO(qid?f=95tG;De-#C$Pau$@}$pvJiN2x@P@ z4kG~U;4iyP=;2x3TZHZi3v#bd(UczXGD2#vS6(co=c2dCi4Jeg?`d5)mXjb5bjMjC z1(>uj?cz8E@pBM|2^f-pgFCXg$#DeY2*eSHBM?X6{~Cb=k`||KpQ)I``AAtOaBP5< zrUlfSB_<0NE)hY3gM&OrIHp2VaA=-pU@b-mg8Wo(h@TFI#X0n2KmE9$_pnoZKReCS zY>3~TKu5uEJ(kO3jDQf0Q@F+(7i#F?l)a;qSE!$3?GoyD8+vm z>&!;?_?rxu*?>gK35{pzf$1WXxBinVpY*g<)90Hp7CF34W`~!$?(Y&ce!{TOR60Y- zGg$$H8eN@(45}%AGaE=R<3OC*-vuY@WPhpjeWgLllMgGuL+O(?<7kC7g}a~jPs8S8 z)M)(_1?FrO^tuQnmGFicl8JGpU#_54lU$m78j=yGksUAb7TKK@>_myLsK*oR7pM90 zvYYI<5Ve;~uoG^__}D2zUR|qPEu2QxM1k#5&RZRs1!h2vDN1)$L z)l|R<*7-E+b^eU?MIy*G>D)DXK)hpdm)+s*F!ltj8tyf@`^fmB(HiV99zHT2i7>!F zzF|hi2B6Ty48aDWi2~`taW=p>p8-)nXMLCj=SCtCj;N`q;oLPw=g!fWbw$qN(Q<2I zX`ZOsV`M-H8z{BsiI*JXy#e`?#p1=C;u&;il71wNo}JQqb9~m7>3pbU9GW%^H4K}T zByA`sOajntu*y!*yKOb~U8pVDDI`O=p4eB(MLZnDC5o(D)|}5O}#;D zcHA`NyQ|!_MQ|H;vuVeZMJYF>E-M*v%a=;+hv}|BXufe?aUBEH8{GmIfuiDd$IblB zJLGrZ8c@`hZIwaf9BlzT-Jlw4*XY zjVmXlgJPzK(r{WTzoxPwTJv0kyT;xyUo)70pS=@Z)Z2vv1#DC%-R`OzV4_J*;8wx- zl+@zM9gS{$0cq7p^@<+)jJC>+G6HUMBQTovl<$@nFy#00C+q@yskW%O-uE}BkTEwHQfRYJROqu1eKz!>mk9Y`AWu5{Oij7Rptczq?nltqI0B}4uakrMvqD+L`W#-Uo|pBN zvR-q9K|AmaRtvV;IE+EBPgX4&8T5-V`VP*?@)_=h>s1)(K3T(il}kc2#tZZrTyR6s z3+dXR&$te{nRR(W{L*BZ&U;J7frIyzO#It<8;3TSx=UD zg+UIi6DC)^&;m5{ldy5lY#OcdHF{x6Z1lwp@v@6B6JIf#uDW3)d}_M9Ol|N{3s4xZ zXLv1xZZZyC4b~Q>v@~m!O29MiD8offXBadvrz8s5>}j)UB*O5XhJN|G+!p>bNhkiJ zJ@gsxuOmo)vQ9_x=jteuKVO$lf@E0zVc~jIew+_91le<@^Ke~jC{8a^TS<3)v})`q z>o-lJpM|o!szvo}Kal_wW;AxIK38pD9=RKC^eOCfReHcaiM* zccVmSA_>#fD-rI6*mB`G*W`AbgF4eEruvq+=lLb2$L=(a-ABrbvtle4wg#OKlI(cr zqhxGN@}OUb5gG9`EOqW9ZNKmQ0SPNH^uOE9*sug`m++lONh-B-GgiADt1Tuw_mc82 z`<58)S$;{guzVzhQroNqu9- z8tsZ5Wa0K#8l&ryp1<>*{%CY58qHeV^&KM-UH9hxN7kLm$b7%`PwS%5zcZ{=_aLGh z#BqkT4a4uanjRyK2hX16H%9M#K2P2AH6nQzTz@r+gsX3FHJKaJ8;M3Ee!qWiZhATx z42Ngu=EC7AcrISNh@Yw1Xk;=Hnfo{z^+%&~vyekXBjIp3GC4I14vJ4s&dr7+vtbZ7 zJ2@AQ%*}l~6PcNTolhhR59G~3Ir!oKEC`F~efLEBAKrO%#OLEq`MleQ$Gjum&kghr zaDAhvXiOdG_KtCK_fW6*ncF@m@E?6booK3VSV;krjqQ&SV-dWVKqzT56x55+(Dc8|0Zy4UZd3=`oO&b}V3!jb zYxFj_^{f{!anetfA^bv4RNTM@grd&qa_26!alBXh05;kC!!(HzFrqaVKZc30^!J#jl{V=U+1r}lLmPpnRC z6`Jk)suMffn#Fy`+S{7j-h>qYcANNVtI#eUT9wm``S9%-m2)}n=1~f zTKM*T_0AJ*Z_TzlJNWj4)!*#+Bj37DMy5&>}P(TDcDVea+3s--N5{uI@@=dv$5`#J)F5-!N9cP<@DeI?NoQ{5n@eBQl^)YUHglk(t@VKA!0S-v?msrPwlAD; zUaCJn`__p-Yx~DMF9Q9WuXYz7TKypLwAP&ee)2E&R{wML)>hu$!Cw;xErjgRsdSVJ0*ot^r856y*-|?}-0!eS072mM)ZqQfT#QiG_mBV(tEc}G-nFdCz z%y3;iCu@4W;%SB!&fdC4rmN2`-#O#kW>5*I5)c{!p<()4Qz$S?KRgM3M}^Y}ZPtOj=P-|^W$PAJ~e_#yYSLo42pJ@5&4JMVjIV$@WuM{vO;~% zuAE}Cyujo2pZD1~`jsgt(ctab!TW+wS=X9q(7Tgv2`*D11)D>i33Az|tP#3@mfu;# z(=gW1OOy0_b4ZO_$SwTTf)7TIgd^}cjqvV<-NDlE#_cJAib)%-kjZp7zoI_`=|>{i ze?H=`lu0w443lZx#Sw@j5Jw=6KpcTM0&xW52*eSHBM?U*jzAoN|NjV_eGb0L7$=n_4Y=@AEIiW3M7_eR<b$||U+eSF}F1|_6-XFVivnV4{tMyYw zk^ZE73}47UUX@psZz_Pxw=aDfpMt*+uZK_L^K$Y%@U^k*?g96CT5+A@GjlWYztAR&O4k9n2TI vLs{@bBb(34Po&&MJHVk}v^0Npl0kaRsw&e;$e>E9q_>a4HS=mBN)Z1AJwe?x literal 0 HcmV?d00001 diff --git a/Source and Serial Driver/serial6000.605BR_6000R.aif b/Source and Serial Driver/serial6000.605BR_6000R.aif new file mode 100644 index 0000000000000000000000000000000000000000..aa151ad6ec417d668307ac74ddf40ed3c2a6bdac GIT binary patch literal 94744 zcmeIyL2F%A5C`xRSE3tn=PpY(O4@3Pt7sAffu<>GzJNl}ieym{{Ca*ZSH7F_kJI7Y zyjP>U--C4K&Y3g!bx3|^msb~K@69LQou8eZTwYv^F+Lr8WAFUO@!_{0|FwBsJ}+NB zKi$-RdHeM6e~&hwKQ|xI9{e8oJKz8ZIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70 z103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh1 z4sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4 zIKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G z-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$ zfCC)h00%h00S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h0 z0S<70103K02ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K0 z2ROh14sd`29N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00%h00S<70103K02ROh14sd`2 z9N+*4IKTl8aDW3G-~b0WzyS_$fCC)h00-W6pg;Zd8&dyTmVDcDHe35rOU#^E&$e1U zU(09jS$gwR&)4!kyFTa6TCBZ!XRYPlwpu-}dG7bP^vr(JS7+3G?ffCLGBYu=`tvT| zquxoLSnv0D)SjFr*0WhYtL1Kw>Fsgp>$U!zS*hoFcDL5n@;WDZV!cyqOTGTovspf? z)%(e7-%_j3$=BlAj5;TGYi%vBy_sEm*UoFtT3+wgyuRms?q)`hnU{K=>+E{AEwATw zM$LQA?47m0=l!|QtIx@MoV_zWeSYmtzSf)iTHN*ieSMjoo*HLosU$`eif6l$sXLoBY{o88qGq3h$erk!S&tiHK>vyZQ+^x0biRnp9Ju&qjbDp@ICr_+* zYAtzUy_-8ZOH94SdN#{tjF9zBSVq0LIk~(T zeZ!34nGV8Wy zNyhc{<1s!PzrA|%`iEclpKeNDK0WyQ`06&j8rLr#jq%ZVcKpS`qs^rU{%2jc0UNLZ z8?XTzumKye0UNLZ8?XTzumKye0UNLZ8?XTzumKye0UNLZ8?XTzumKye0UNLZ8?XTz I_)j+QH@?56Q~&?~ literal 0 HcmV?d00001 diff --git a/bb-v5_1.pdf b/bb-v5_1.pdf new file mode 100644 index 0000000000000000000000000000000000000000..83595d27f7b55a78263549bf2997d95e969d6a42 GIT binary patch literal 1123864 zcmb5U1y~)+5-u7-a7pms5}d$d;qLBEaCdhI79_X_cM0w;0fIwtcXvr}*SA)(CFksY z?!6DbVP>kUtE;Q4s;mEjOioCI7D&g0M7F*$GJymSWTGdcC$iBuC*tA)NSRq1>DimT zH!>sw0;Gt5Kn6xO7AAl!5s--iAWQ^gWo2ey0LTzAG60motw4GPAV7>rgNTipg$T$% z&!ok}gJfiF2oi@x^w$rPtG$si5_lj6HYEB#et;}QKc9%Kk>G!k80c9*s(^ofqXF6e zNn>GP{%<};An+f2j6kM;jKRpj%=(YM%=GO4O=F^G`v)Hr14y&~(vO*u9rzC#3lrmi z(^wc-nEpXyWdFywEKL8L8!J8QKl-w=F#cn1Y(UT={HJ~(m6`urKPCo7dWQevV_^mU zLuVFN2H-#V*xCMjjezu^CHgO00qL254F5GZAdrcP>A!RVvVu(T4_ncLo$0T6INIx( zSsK|RfxJ@@$bAC~(*qcz7Hf?Tz%Tklpi zXQv4eQbfL$R$JXBCsp~Hr*z{oWG(ND8FYoEH6wMLdmDvZQ#;|e2mB7YcJLFVzVVFV zyyci{@<$t^8Aarli6_Gf(k`1vKMLEYeC<}*YF6mg@a3H1hGxj*EV2G3n@1->}n!TVC7#cNmZGmDq!L@&+u5SsK*IFl1?isEiSg zc zO%Mp?mp4{hW5_FNxP?#EE<84@HyT5Y?ii+kyj+-t-lB*zOf&oTdRT|Z(U`(~RUVN4ybi|46~zoMCJ#s@PeXe=lNLv=K1 z_-C6g)ceiL_Lt4GeYJ|Z$iS^W8}}bN-)$dMOr9(kttu~E^v0IZcj6I2LwrORkKX7- z=3SDjbroJrj~>3tUFss(3`J`~*5UZ(_AuiZXW;WCqQU|{~ey^pCD!UoFz zTVt7q)R)L;np;~k`nlbWZv^wxhF)^>Mf8(B?j>oli@9H@)5LlV1p(YSEOSF_ae#q@Yd1*x&ov0TfFK5F}SOKw*B@n`9xsORqnYtIymNZmwL zDqb|u&7!8ft1=N<#~gOgs+d_6Um&DAEtV$Z(h(zb&>EPiUP9lq&3(6EA2HBHi2pfp zSvozThg`G+Kb?cVjky>{HRlWB-MG@)v@LN04zIVgoh8J|N<)#yhq{ZzstOG>tC^MK zos4McJSd$p-1kdWOmu;h4GQ0{@y9VgcLQ-ST}I}(h3~YQ#M^tD(cX)|V<9;7NXEC) z+}fdw)+s290UO9@F++)9Wt!i7l#2{`8;{5jYvB5-zmI?LYq(kPYeIcmIP zdi_V(y6Y%nkL9Ku*-BWHKw^GMW({?LLX)C5o3DK9BTW~o70ld7!agqf4>6L|Wrb=i zJT1QJzf&ZBbItu0RiLk1B?g6T{HRY}L0!F0uyE!`30l0^;t;X|4wvESs~6Fr{WIq{ z+{(%IB;3<12q++*CJZ~*%Yj`KSN`wqRp{C<{`>OJ?H05UB_o){;_N5_zDZBlkbG~F zS}g4k%!o#`7KFTy_}nMv3QUN;&N-2F*>b3+?7PP;X}v^W^Tk*Q>_fcp$9Q8DC4opb z9|Uooat-}0NT<>ZZeN%E?0U>z>?0B%=hqHa{*yiT_Vf-$N?AYqdWv^6T!D`$y3bT_ z8y>(L^B+m(k1hIF*8G*TRLqQAjO;;~)!4}1$lAcj0hGst%K)}+-$nbxP;kS4EpKa1chGu&IvsKC7%<6wODi}G~INAR))jL^zbC9h- zMiaN92W?WI3I#l4kjj=e_KLQ821cMJK}Ap#6KFFB&qWdV=QD^+1T-@cgOU=M0kk86 z7?hMi49q{}00bFyKn=`4tpMVdv$ru&G;-7cfND8_l98(;Xi$*31wjS_S<}eg(d_5) zNej}0Wd6NqETC-_tQ1Isu&bk}BG`5y2GO5d{aR4bpIZH)Jc#e-l7g4=_r(M2_seM% zo%9{Si>_qvWb~62JP#ow2LpRETSpsv&|H2F36Rora1=Dv0}(*wqpF#qqp5=i11lR5 zBO_QAGkCxM;}=Z%MFms9PhdXq^@|V8`@gO~Wq|K2K(J4;GBEsgfoPyD8cb&eNdvcl zrGjOFpTTrC&}ctIUNdW9IarfDq7gFap~cASx>*t}H^sZ*Qh&Nh@GuX(+7(DmCo? zFf+J5w^6n>1D6}1Mfe$h0AXv;tC_V4XaTJGtsTt%djD(XeqUs;mx2~q10XIa2p$!5 zB4GI$=30M8G1yOjIfAU5G&l;S0WvoBR(h5IL4G129X&u+j>r+@8URIUJqHU=o3#RB~LR0erpp;P*(eW?*Aw*L5G%qsV)C5BgKL>V}~NL`OrUJaS79Y z!NQMia%Rt^QnmPQyroKJpRe$tlZfhk! zi@j?ut*JF_-KjxZ+OgO5@YYhRq0VAEvU;n!anc}un&5DTUHkIEtgnB3i+8;CfNN=~ zvkpJv1UT?j+A6i_@jHQ+a-e7eq4mZ!g|Ovg58oy54}^ZABT?iLoc?+Z_5#XD6Sg*RHVYHF+7 zQ`GpV<=-qSDBO=3Z?NwLydaMHIFE5}knUwG0rhrPql9cxbnu^~X0ueT~s+$7p) zmK!SFCk@7tU>AE88+^@*^HKN=Lk$0Qr9-6m6QFZbo?+bddkD8O=tP$fs&3N!@mhr@ zOwq5_G^qbFgdmoi^1a+;<}Ux7Up9;ph!Dp8`aL}dZff{2v`J2N``oUjEz9q(X2(ok zE_)xOPfiYcFU3-3Jr7&9S)|PtXgNLSY-Y{02zj3nd-NR5FqY?ZAfTUB7CvkHx2+zW zNB$00;J*gzuZaA=MC&i6|9iNCBktFLf1QUwXu&_vL%;iMjmm=c2Ft65=MSAPJS%+y zwzW_zV0Aga@WX#jah%#Frh-??GhnXT-A84IzCwUSV}Rva$JqK z0&9imrNNAzDPCfl1+^WMMhHEsh_DD>HUu!CbfUDc`$;u%Pbk)86%M%z@w%Ms^3I8yR`awz1|KQP)~ zU&Xr@9eaDGuTD3*c9obVSHg;X-g|h{Sg2c)R&|JSUmtm-*XJqGMdt*_qXZsh!n*VN z;7Mwjh5ra9n7sD5wQzj6*{QCse#3My)-34o3g?~e>%^6w*JDiO>lBc|OO^-l!F-K% zPIdll7V9&pn9%D*3^(I%v()mFd`hjPd~`12pM}NoCiCRpC>FPElb1`h8SBY+W-Wv=Gj6er z$mQ!ocdq?d>mL(75z7(Ze^65lz;Le2I~~wkPa6R4>h~RMW+B;`f6VMWEan>=Mx<=y zAYa#=O~?#4emx7kXjQQD`Gz$)6?kwUc?6L|v5lp7z4pNeCy}6P-n}gFhK1xyUophj z(8J|wGu|2ZvEf>0x(Mfvl#7DNR;+Lwjo58bG1X)9gXh|sR5{pf417KAKGbX6|+MJu1O-IOWWC>1)xOCqUKtjJV{ znKTJ{Z<~!~s|q7yn)5?UJ*yG(tco^H0ly&BML3_U=BnX&sDvasz{aPzlJ3zc{7>DlW#mYmom12Pi3I0 zLccG5gPGQ8rE5WM%C4kIQmTLIe$M~Y>d`W0miZ~oWvgPM@lh*WJZWux%KS0qjPZ=| zG~O-rzT@7v*x_vx@-^Oqz+m)D&%cRj$^)2()f@?wr z6ZVwY;$%K5{^xBjE}JG-O;05m!#G!QO=%vTC0BF}h=T~7)2>VZueVF%-JxBeYgh~R zd&YT076Y4rh{ zKJ))|Yy_Ph>Hi1{Lp@Nf4vGlS;hy=|0r;=8j)Ay}h;)bBA z-OSOAR_yNz`?pH^r*KfbYy3pZi4^`m&ioYg9|a3D8>sUC2Y&l|y`mYbWwFkO&bM+# zvt8*)31qw)R(i#607ZD|S9=Gk&(P$<3#PTJNK7bd8N0nUuN6%^pj4Td{Ub$ME{DK|R`W8Y=7cN+q6c_pi7BQlIoQ}(~^9CcK7R^BU~PaIf# zc(PPiZwW!5?&2$$BDDyzGX?TwGS_@O4X(nj@nP77tQy7a=w6F~i{*z`>k~xonAc0w z`%y8x9Tlh|_kq$L-3XmMqzb9Vch})ujSEUPG=J6X1f7dE-8a8m@wmnnJ{?oGePW%; zRsHwI)8kD7e|OtOKiiiP?ynBp?Ot|pv)#t>h(;@HQYwVt2}?xhh*8-yQ6fb^=eCb! zGO^*rLW}y1ubRr?ykLw>qIVnFgp!TSU)=+Z#SI#(S23~KgY|Q2KB>o6T|Ll}lvGLy`-t&ruAZ_MZR?=>8K50n`5YsEl@bMF50rmL_aQxBtPuS$oHZaTreg=>8OTypU(-VQdCH>U(_dB@#PyPP@ zTR^h`KY;kN0OgSn{89{C~Osln0Ut?gzdYnSY0C{;iPsA85_b zO$j2a*h(PGsATLc7+ zX@M{-&<8>AJb_Fa|KdPeK#(^`Xo1jPkdOXc9iRrtQM8yDHNd|1o6l%5vuS`_Mhk@VfWA=v z>Z}1C04xu*##$__KSlrUFyM*(Tq@AyK%ZDynSU-mD+mx|{pB`nKj;332Whc^5W-(x z$Hx4ZnqbjvAUF@KAqWnl2Tx23^i7!kmnX3^YJf)20)6QF*#Kl^*8t;2THw#xK#)N2 z@IW>YKE?J6_5_0Z0YRTc!IK1lmQc~n0R(mZ`l<>3@(RL*g;ak+PyfET{R1XqWMl)w zSO3_={`f`-h9+4US%2TsEaO}>l|?aoM~+h6h@O*-qhBlypjC_*iN8--YB!k`%S>U1|4;ap(H6Ap-v#AlZ8Ke& ze#A*Z&GOb!sjvA#$c%+#CTe%Ii|xu|M^Xqo`h7Dt8V-J|t8bQqbC$cwX`6|anq(HnK13E? z7S2TM?f7SKws6Nt6;Lmrm|zo44N^W0b*gl4+f>iv%nDdOSgqAohe~KWh>KIbR<=D( ztbsmb8o{ybZYbtM z5|^zo#SvHNTvbJ=%qi_4?Fcr#c=o;?A|o9=9LnPUj}*#@oqzVV|2&9=-y~+)V&$5)U4ZV zo$x+MyG`P?nDf4%8|u8R|HxoUEiH(iJB}}r=f;$xGA^PH&@jW)%A=|Yg5Sj+%FSgG z%#fqw&Q-1nhTmgv$#klTiNL;37hvK+Iw;rVto zfz$hUjQlI9;^!X-#v*lL(cjwoGPdOED}6wq?ue7%v-RWbfh>6OQYnCN9qH&We>HGGmaF+XBH%8ue#jbi>7O|LTLJU=SAtSQrT4Kf3ug99bA7uP^pyz>ppEr0jSY~u_usM;QoYM` z*dlq`gZf#YYPeSC%rf~O9b*$+pRC@B@# z8Wk8xv1N?bm~{p)&iYthZPxc1wTeHKVW#9Cv|?t_zHX3(%wYo(kK>atR98caP`*^d z48MWFvPDU-#a6Y&n28GI+T7rqk`QP77$x%8HL#v&!TSWMyFj&C#BxI&%P+%_zKhztc~eF$4BO5&ck&l)ak`h68Yo zB&xNTj~xq!Au2IuF>fb~0tP3k*)}SOZb{5kl?YGVNUQ?pjV6b<$zFD9Y_($?U{mr&qUqbrX}F^*Mr`PL zRQhS@dCc;-sIpSoxazIAH(VS9YTjb(7sOawY*IgEvMpj11|brof}#>GGKp+55q)Kh zEiyX>sdb}eO;mD*QzeMaBEy#IVVN z8Pum#k!m6$9{e5{AVSs$*RS1IW{{qfKo;QHBi0d7Z(w8>1icv~l32&y3IZ}hAn7kU zSx{LpM>mku;WAM?qC_w_nrg*oUxRG+7VwFs~3gjdovzS#Wbg|XstEudOB0Y09HfxH=e#U0`aD|*H zWb-hb;-12j)7LhcFZT#*;)-^UYQncidzG>tKeB`nH>-UfgE~d?;kmhV5*tEax}w&sKgrSNSmY=6k!rH1<>;|qKy7+-_a^D;~L3GyJ-I!ah~6x&zJVQ)%O_3 zbqT93XQn)Y$O&RA~Ik((fSn$QmS z9EL`kBO0-W&E9W$aXiC#K(+opG1jSsK+C;P#=ji+;>M5kd&|q0w50c_q@$2z?c)L+ zkVI>X0HF?S$EJ&2(-Gchs2%EJ*Mw6q?n!{&cCOyo_m0w;cQLOX8O2Ta(7Y1;(ZWen zn*#l}KsQU$tTJpk7e3#y*ca*ZVg4;drCGA?o>@;X3i<{<24^!7``oxEA0wLr#b+T< zJxROjO~J!Gwd2RAGp&8ih6^XH=pf@2d0xzQvvcpfs*rxl$I)|0`<3Jb_0E--a20SZ z$XdQBy?F=Al7l5Tg&w}S&d-6mTqCp{gE*xdL#OJF?%=-*( zL8!v?je!40UaTw@-sViO}aD)Uj;8Ae2fHnJ$E4u~+yX$vJ|BqDeVI4abBX zF_wfvDuNHQ19z$32QL%#llrJHwoksmd}~H>cf;iKur@hDMCG#DG|`YqVQ)dbp=;eq zj3>g#*36IAz%6>sss^TK*(%73Skk-{N6!JjmJW6FPnE5&={r5*LvYWh8is;$na z7cv_VE75Ixsp7?Kp^V8E&tK}paI*In!wI_4JwbQ|7s64vz23=|ef=#b6E43XqSx)t zpNsDE$c-Jri0ttTFH~#ibe&ak$FPiTdyb;`XgLQe%nY%{(eL=-8`qN?4%45+4b#so znJx#|HjDc2VMj6@CuyMBuhEKl5%y2%i&DZ^2im+a($zz8&`FB)YJ3BU-gL@07IH;# zoMn1v_gmR1cX>rp$V z$6~z1?&a&VOvTWpM!9+`Tx*#s3g>F{yi{1nI;FZ)mY&crzi0E6F{yw)G_d3t^*|~3tqw%Spoxo zDUsH>UuQs~QG(bg+Foo(j)rT1iy|yPoHbml2dTNGtw>gA>uy;q&97p;M>h`R&5UBR zM>CR%1`@@BUl^f3nx3*9-f}g-#GZI$^U96pX!~GP7-6y)LFD7Ruu9?Yn0JOXVR7H8 z5>km)VnVmpEhSLQFq`74lX{RZRqdUfAbW!7 zu|a_>!A>=<^joL0_E4t&FL;D1Z)Eku6=G{2cz%33u>U5>q0fdxDe|p zQOWeH5~;O&-jS;WQ_Ite8~0i6^^9&|Hp&u2)t=^|mc~+zP!m#piSz4++p4mct}Wo6 zs@!M$D)!aOYvLjPw)7%n{pQLH{8NAQL8WMhzVP<TBmP?bkl99ilqRE{DZ~(`q8%rmq`j6OqT{qfve=)FQn^sP(Ubv&Kh% zJ=(t_tNdA4!p?k-t~h;jJdTu>qSyG)!Wz$Z#i1=%!;bRH9^TUasZ2FXnpy9l_>l3u zC*zNB#yNfId`FFtsY102Z+0dNW~_+*-pc{x(zc=*_jXfovD zVY*_)k#uc^Jx6xiFF2g2n5L2tult0e+zisH7ON#lPK<_a_s5bu3q{S4kJyuT9kCAH zh;DJ>~bgi#+pPtHOwK&PxgqLK`Ft~dd%BaW6(H`D4bU|StTS+_q9QJ4v$$5 z+ER4Q@^Vjx9uL^WQt&fe9?#N_yi=caJt#kQSC^Z}TkMsu1rUFof|)>2a+sZme?m&L zQR?K2dr?}nAHu@7l(%21U!z}><6VQF zxa?VE)IHN8KT^1acs)*fd-Ix?pX=fxbSo?vqK7G(t_y{dM=0c|qh*gj$xQ|vK#9Y) z$!5Eld`-{e%?lyGtrQuRFpd}umxMF?J8#lTvmg&GEX$*E95!p0c(1OpOY16dDa*}+X*FIYbQ_EX%@c*w!_mM<9HirarQ&rtn~YI@Xc=R;;{OWu)d5E8YokGdc-O>4G1)IF}r@W;LSr{8a~Mh#VoTbsa)7Q zu^YG24yx_%jib#u;P4$d_0HniaW2zptfDJeCPbfSbdM~|s^`nohMaSOxlPh6W3?kU zU^bz*C6_ruzzO@t@@c4qwc0YUwNo*sJJLuiw0C3c+|(z(ZnX5I%SptKXAcCB5qR76=7?5+ z4_$(}UrvlJQFY@#4-@jE&b|+@f3RNZ%6%y?et7ZhBlQ>m8OR#JThj=Ud+nEc7*-cJ z@62dqFnS=F8`y-K(JMl{?)LYO$|9K@N4Va}F79i_he4&vAf4?#ENAE*atk!JR^7NK z#8bqMRBTPodtL!-IIONYo=eRvCC2X7&{%y;a-bOTijxoKxjIRL zEp!NzDI0>Y9kc@dySL6?LOF`nsM&_%-%jg9X{R}qIeJxDe9M~#$WtqCB2YVqepSY0 z(a^W!oK0?97;>H_B=T>488D(Q^A@{A&2EPIJO^(sa1IUk^IAJEB}Ht~L_dY-=N`re zbej}+;+|)+oU15f42=Skx@aQ|$D;evCBbV@(BBsiQ4{t@l2cVQAQayZ;pKh1f6}jF zC2D;Jw`?fXeh*pcDzyqJL}Xo^0+roTe6}|;&7$r#-|8|J!rQb=aP!JWDbM-n;c{HT zZ03r$9oO!roSui|B31P0L8ei^yN>bg|HX13(2(!J>+Xd4fIiSolA zCEMU*iJ&;@7t_tpyKlV+OR_4CJRc>|C3eg^G1=5vAM3Np!aAX}yg2SyJutM1-ft$* zI>u?2GvpSMq^7PlG1(Tt-omZU(=)%6GHh-rX(U58w}$7OEMiADr|SD`{3PYy#v@kq z&Y392V_xc2A`ulLMCvHkm{y0fSjSQ79lPb45(I~ms;n-3*u!4(D#2qw5xnjC!h^(y z@%w>u>nLfWGs_4r(Q-dlX>5+S?!&7vi&!LXke>dne1^0k<4DlwPoiCQ))ipKF#MDTDPTq?5P z=jdp?u9jjqqk9iqs?1L4whqHpMbhz;FWLojE_G~lb55^V30H9CcY8!<&RBb6PY_g3 z6r?o!i<_4rA3YoitFrK`9O@fnN$>TgNE_~RuSpUefb z6PLrGDb-_#*^MKrX5$*RgU(;FgL?VwiNX#*z1(dJhc%Td<|Cf>wSQ=}+?W4Ynk{jN zI>=T{T%KN*A1Mm3?LadT!#ZrU;%mu-Fj7|02w2tB1UMvWr~%!PxOo4=#hrX|{x4;l_<;zE>~V@M z7IfEzw9K;=Oh--fqn>cx79J%KH6>Ga@8^X?D&rxi6u8jElmQv5XlF%Bp#OEyoan@C zc0Al~Q^4<1P>@*vk;vY<(Z(v+uDithGWGGeyr0LzU2DdBuIw_ipp4UF*z^10(vFty z)v{;9cdg5Iy1QrbMm`_DeE0y*Q!L|Y8CziXBKXa9KM}E%{Ai+z;@EW}GzodM`J@WE zMJ|g1;gq2ig}Onu_{4Of@;rwKh27@7!(q{w)YGc{VcT%(gV)ND`(|PS*EJ1{YA2LC z%h9`6R)%Fy+v}IwC%&_IsfCy}q!*6coeP`rP00Rys?DycW_77ioZ&L%&2rkb<)3D# zw4+&RE)!+gl~dc!@Q5Bp+s$1g#FWuoTI>Qv*xLC5;BrYGY(fs+Iu=Y6>m67*de-AM zMWQ{6<3JjO@$zL){1nde%qRA`H~$+M->5$-*>*84EWPt4Q2pa;8b&}cs@NuOXQRUF zb^~uxIoX^K5h7BKM5M|G3f`82CQNq9oz+5DZFyY-6TZ>sgEYJ=m)ajq_M5YzPqJN$ z-C9>~Gf%E#ZmAB4^yuct7f0E;c|{+m*RFKR(oo-?i(p+KhRpQb49@Y*yCa|MFs<`I zFzHHjd1Ansg@@_GDnJrhN{pF`6+oEBC_E2Rx_+%0P*s3q{X;dTMxhk%LmeCB`y|VK znvhIr-A9x!Z|M%=qpJ-3QFlM!@aIfOoQr#Wq9nNCw5LQDIl?^nG#yO52Wu=Z7Wa(f zfSwK!U7_=~f>^K$Ucbro1M9%H*Xg%~HxvcoZ#KSN!W7R0ef6D~5o4tDyarUoSqnE_ zY&4jZLW!W8LBqlVMDy9l5viGTHU>*%W@7a#6KQva#tOpP78{1T&(+`h&lw(uzfskv zT2Gf0oZ&=v!9{)z3u)~A$`$3#&qs77VO!mjfFTk+r?}4lTH||#Ap`!rE+(xfJDf!A|k>6Q)NP^-7#lmxO zYb6p(m_6m7H*^)ibf8Ays8MWcXzgcdb$y?B2?P{Xc*GyO5B)x4S$~<(g^vAZ#nM0`M>O$<2r?VZrbZ|; z&0gpO8XG-NWNKDvDbh|dBFt!YnTOAWz>82kD7&P0RfEx5LLUz|P7nm*pu{R;+ zDD8vthW3Ui47se^-6@LB)*WX@-AsLnCZgI2Jl;!1-5-7V&shu2zt=*$ggk_vLGjLU zQanv*ttUC8iF1DwA_%@q#9`_w9qLE!rekjuYn7_hULKZ%7s-MS^Ub0{ZR95!)^o^{ zbJokm`c}X$z;N%Ew!Vk;?1U<4RiBeU1}lf*_t16r<4{ z{G&p$q%ci8*~gwoi*}J$JT2mDpR;O$xMvx}P}!&S$Wxe?9rQHI_1dkTN(rTsv&pq& z6|}P$%T^1YF06H3u4VWHVZtCtLaDPt429W+8@H;c%f=O>72`NVrQ|{jg&i5EK5J*G zSzE5hpABf@7R>B1FRG~W&dK8EMZ#tnE$56gQc(~Ri<9M^CxXr^K;=!k%fW1u6yp?= zz=HygreP(MGK6Z-hQ%tY$l-S`88@CLQ{41`qt&tjEB~^2NxLId3|&|KHl#7lbId<+D)f1M%AgcC@RqfHy2mSZu{|abtM9=yLOlv9qK86f zr#$8G)J0k;*Quu6N02<(CIX6Rls3P;-DmOk!;)#(y|Gg)+?$3>Y( zu9xjGUxbx`HlUs%rc!j5i%@)zAvXE$W9&xe3tDnOU!=bKn;5Zxp$0adLb6?1`Fj$d zBvkT#Rw}BwfCwyYwWgacZ(Lk;S(fHvk3xMXCoP&3pclWk%F5naj*xh`+FZOFgu+*z z>+Sl_8s8i5<6VnxOfU!p$!)$Bs$VZLIr#8<-nE3%OLy2^bw0H@ZSvH-#0%;w^_L}{ zxnqliW2Mee*~&314Q5S4CwS|Iw-7LQw84&COXS8M{H$w2ucf6UgPVikT=l++i2z&TUUtoFkgo-+QoSSu;i??K&w3(i>LJq##LWYt!1xM?<|AXYI_O>c0(|!-;DJa0S#to zW<=^~lvxO~>|P;P%X|=sTXierdQ5$T5zF~~s;Khu`Fw)#yuD=| zo^pha^42*gf<6@TcN#Q(w8+%ARD)ya& z8&7TL_&BXos1l^3dZc?SsMyifd14mQuY(MIUVUdXL79~q+m>{IhNY#$>FJ84hItHP z?4(QBQyZq-B$>BoFkI-|f}&x?*rMecJ)fFcSr%j{<@A#9$mjX~VR1e=mowADWa@d^ zD6UhYr#eslrGedoP8Vmw+=h8nl*Nig1I?spB{iH-#6gt#~#)!e27QdyP9@1Ib# zSt_JcP4K>6wN!iV^jzMYS?b)|@vPk7^*XmoY_Btkq7uDePzaBWjDdKbSBe;*CXxxM zg28Vl8VEfDqqeYyJ6c+mvO zjps@zp77Dp_^le**CB7^||O?0(!b!1BU6%EPUwbp)7zZ zVOI-xAD7Opjj_IbS~#3NTs)i~K8pAeag=|>c!zhFdZ&4J{ZRREVwjLK5N!3Zjyg_IA_erB`iO>|eNl_^os-LR64u2_%7^bD(UU~9~`qMq6EIIaWHKc2t69JDbc}* z_r4Vvc^mM}63VPZE_^0WSUL|l>$gp8uze`djxL;@%;)xqR@D)RN3cVyA&Wt{6Ux#G zn^Fynjegt44cjg?9g-}MuDnx?$s9>Kjz#2ogVN%%o?L1Fc)zt96%e*vcX-O1P;<@o zuvP3?*<{Nqp+{j&l|l6+xYl~Y`hNX#RJT}5{vGi_vZ~8%Y4;kYPPnp90@RUo9_6+& zqbj8mnv=}Y0@Y#Adim(mx!^kFSi%_YF8;aoHbYZ#<<2{8`ydIHy?7ySm59&dcsiXT zIJAdKb!OHZorLP6Y6w;D(7jn;lyyEyl4G!Nha@}6nxbfD_7a9icJH}LLMAw$$X7!s9*G03Z}3iu`vC4kMGHI1<6CG@R}^p%w!a z^@B;(P%GXD;>8HK>F>|{j_|3=I}EfUAI>dcE_M&NBk>640uViHq$+E@6P6W|^^`L> zPc|Bp=DUv5BNH}H!rz_Dt?b%oj+tf$!?3R0v~@r_V>4_85qOnfhS&nd0LWf6L7;!J zdna_jl7>N+Vue05igx@VLlu%mmGAhOWYXoP5M5H(oC+d>L5G@8K$it~UK3`QNaa>R zQ6kdh>xLKCgJyc!96NGrb;WC|(sG&&RwS>XN2f&8HBxLF-h_N^vd}7xeFK3&cu}J; z>?%!@yw0|#KB1n{QiW|xeB;ZXME>G^D7}J)%7{XAB5aFeW8;8wZrZ4BidTQb~_v zN;AbNY~PF6;9!k~ey8Nb;h}p>sg*L|j**ly7cVD;PyT+Q?@sp`oqqV6eM~Lg>tf;B zXWI??ws|cCB#dZC(MdphDu(p7LJ0{3GE+L7VBvKXs*ZQqWF-~UX%%%ObT2~5d^M<2 zOfv)oL`W6YA)dDn`1M=dVd_Ur^6)dU?8OOF39;s!GxV| zw!GQ?)ot=rVR#`v)%?viclJQUca7BO{LX9|e}OqzTKVieGHh`}=DDjHA_ac*!CMj@ z3j7eV#>|+i3329j*R&Ez?wCHaGQ5McY0+$X+9BUp6J{Lfc$?evFjTd&-TRbvgd?u{ zW&z~%j84jl^l{70YE0eJGAyT@eUP6$xQ*AcB_LEg+9@DS*WZ&X&J{=MIzyH!PBz;k z_ecuFAf^mipG7Otx@D7*#&U47&^MKm-4E&D&Qy6ZdAhq~X7TTO4YDoW%{Q`cV?kRJ z_DEu&t+6audA2(7LCT1LEvWftJLoZy#ZQEiaUpK~#IO2^PN6pubr z-S%F+eX7XRfKADV%$Lz<=XLFCNXCp}>E2sP^4t0plH&=(tpssCxj280PSi3vOl7QM z+3YZO%5|-jZl2lWcmgkiL&HlVq9f){3e5iIf*U7oQ}S9_J6f;?hVrwyJ(3%JF}jHc zaiH&>p`ai+``e5-JMDBpVUTAjl{cepH$xNg zHpAv<(c`qn4q~dMyrh3v+&R9&Q@ddJ^<%ExeUoxPUp%h!N(p$- z=lG;Vu(W>|uPmrvOg{;c-gxLzz!zl@bx6sZC@=o712VdkcFBo_Oyqg+7iv~BMU*yl z2`yLJcN$rfb86I)HtE{SGf?ir76%;fl#Mv2vB_#oPVddkJUNA8a6Dmdqbm(tkoy@u z37?+Lx>6C=ip{_#TKdi=v08NW^~;E#x9#hi_DEWPzo=+^rm3=%Di^(ajrahcN9CQa ziM1d6HHJc1g;Zj{RPzWSff3;Ixs;n*<7DQW`Xf|7jiz3~_=xgB*m!b)XvE(CovRRXuH=qF}s80Y~qfFM#*}eslAnW<-LUKxQXr6FY+NZ?4S2mjz)Tg zVi(238yuRJCh|H2e>G}F8INX3$AMMhyfidf_k@Tt`Jg4DAF@&v)=$)GT6F960_{2M zjRR|;ROKU!n{i-v_j~A*=Bzmy)kCe;ZQIAul8@)d(D;W2DG#)jGHSd(d-6tHF`&g|vZ(J>N$3#G!QU^1Uc`kf!%riJ8V)_%lJXnN@E^Pi0YD1>(_>=4s^H;z|iRp+38xH%$oRxOQJ{iuUP zIJ$zm0-pietv-#7K%>#ivP%Pd>&h6hq?7CSq(A-zClMs~5@Y z24cC*WN-93Gpf?e1PToq_Tnb8-`ZKbl(MG99M`-!s`w;BW+c9uiKrizK|u-R+ZcN* zG8uFMPuov*jk|{oz4bW;nXXKJKkfoPD^X%0)_I1!G}^}8KN_%OPm~6UReEfN?54po z(8(3+$8M3d+<%Hb1m!@Quua#Ym^_fBpP@EG6oU1Yg^spVC9~%L19L!(zuqXv{qq#frs^ldVBqFejc7t5~~a`BY0YZ2)1d5u~03bDO+KJdZ5 z@Ok6gNBcgRn+)j+Gk1gW{c`!>Vm7J~{)nd|gHAqSyx!l&XfwWb#hSmqeB0B)HsMv_ zkZ@c$E7%{=B{ZWQLNGwMi9j{!qWRO+nGi50L}Ppnzmg|-6EMl5j0u=Ij?>m;8u~KR z$-%$PfwaWo|IK=aI$_jQW7)Ii&Uky@vS2*e)PX#|UDv$n{@^1s*6mL|GnG)|HUeKa zZ2at@2ixz$Su}8&Eq4?E)WO;%felJYb`IMit&*OV#vCl;U|6=+D~b~1a3sCqupAZ{ z0;61%=w#tYSTsmfivdZFX*NHdmlWBba3(x~L@1Vs&}$G zt(-fq&z##*3^1Hhi7P>pDV5r?q0tb`ORzF9x#@qfQCymY%4UN}q0Pot#2!P&fxa%3 zD2hB*ZBv3TcXsSThtLf)f)4jDSkMmzqpdtCmCFq^y+%ZHt$l~c_qN;h`~M~#I^Di? zS|!a%IefpLd}`{`_nH)^X63;LcWv(hb~T`yhw}^m#G^IBX5kil&wbB7F45ECI~0Wo@IfZTdJ;~yHW>6KEERP|g-9Y)OF9xY2@9R53h0zd zxpD~rD&@@1Tbc=YX7HObaRHi{41?b`pb}?Gb7an>&-B2=GYD`&9w=Bdlk*S}1dvE| z^X8!*!r!R$p}%Zf9-SJn(7>-*c2GcCSlnOCdGdhCmt3mOOzY2kf8Z>m+sLJ&$ePjzy?jh z4Nq|NRs`DKijmc@FiyG^*}ZhDl||5u!R|#x7?>jFZE7^rNL0l=IOL9+V8FvscE!vg z2x-RfS%i$K!J!9tuboHL$bbHm>mM0k8DD(#JNyXRU|dCYs0*z?DdVc~A?mzz_S}du zWc+&M%!SKnHhuxKavb<6S+IIk92?1ZUJ8v#_&MQQEkFg zX#(kKKMM;o>%wrShICeT7Z5JM;*yvGhJ}d2qtvz+Thjev-r*@5?kLX+ zi+7eUkZ*M8Lb`m-er3NFl4b5_K*CI z&d}!~9+!rrBkFbaw)!7wQl&`Mull1YNmFeSeNz67j8`pnLQsoUqFa>~OK}t%RaNji z8B|J@q!^3XOmt;zeQZzcOpJ`hoIamOWvcNSXF^P>v?Qxh3E32l=oYr-A)lC-*D_j? zt1>aKO+PAO6BQS;d<}w~1$wr4vAp{tUtuJy`$4OAb2)7KKKOJRD_S?=4e+ErsM&OT z04{*!DYyk>-2v(|;WpiF8uCY2HwFX#YCBaizZuuVU+8)F$IJJwjvIRh=FacMTYok1 z#pP4z=keuj#yNxU2g|#RkLc~ggY%<8#-PfZ*^WKL_UGCidR^i6PmYDk|E;gJYxsc8 z*jn*A94kB+CnkW`Em$kc=5MP?^^fIZ;+TWnmTy6Ck`LBm;w(q3a;bM4n|GS@Y# z#jGT*Suf?+Hf(9Y8;;gyL~|z+dMUpu@Js*?9MydZ&Bc&pB-836$V|qOZx&|_p)L6; zOl)y+jHV_;s#Op}uy$&dT_4QA`e`3+Xug>>;S``z>x;8Y9-ahcHgS&b+EzLf)wXx|t+kSd&QA{zu zG4l4tH7jP%d9ru&>NPu#{;{)GK<^VDSA1UrT^a&T1+hc z(PJiVp_3r@u??}_!G357r(VhTpWGQ~v~?NBj%~bu*Q^K{vtS>IZ8&but^}U+u9a={ z|IuCbu}z$J`2D?S`@Zb+=lSC`x? ze=1-m+zrJ#JUfW&=@52kf+rM`l~_3B5ex=ZaXJuY(`~G(2EBqM>Gf!YCT1|kgCVUq z#G;=gG)ve;j+Vx20W-8Xik2c>Ulb7<1TC5H*2%%-y1(4rm|eRQu1~G*-Q9e%IlH@e zU>7DFRAqW58WYDJ|LN;bT*BhX5nfd-qsJN_|7PmKJ3(^<%7b1AMfnMx%%j?Iv(bebq$jQqWs+A)LAy43zE6MK}x5B*<2u(bg(R!NTrev zNs{?=I!=@z}6&%>5Ci09jHN&oUhDENldg|^qka8C^K$zuZ$2~fILR%{+EXqyaIcA1{=45J zfT{v#FFNEz1L1Qk(vq#>wqR9d?Eay~(T|(ona7j&ehjDKj}V(Ss;xdF66`)k@~#Hv z`teGnoX7jE`<>rbX4IL?Om-$mn~Wv_JL$Ze5>2AhAsUAjQb+3ZgoZT+1sigCU`hY|W{vaR<3GQYa)H z7>k9R8WEi)%p^Wr)!G9bi)+=+1e1_@YQFBCIdI=kgPXPoVb_CBqAieclaNjeB(`Km z8uSA>y~su+igprhQthlxlTlcj^>Obd&7cLdC04qC(ph;chJ=dCUku{sv@H+!?-N7f z`iJRmE$hX@zLK34n8Rl!L4Y(HEKr8`n1H%t{PPO7QzoXnxSw zp7SOhyip-NHAUk26B5rDilfu@)d{A;3`heZ!$J2!1v50mvPp->>tSd*?ubOf5n}Ne zqhaq**c)k&g3U=q<+vT;_BPqicvuJHr6b{3yz>{BLk{?~&JM->F>gE&3txaw(GZFe z>_}u5CKtT(jrq!C!+UEuqbnT^)KO1E7e5|d{M0g&8sG9%bXjP4pbpX41c>TVp=i#0 z;Nt(r7tq6jpIWubECK;+2cK!{hj+Vk4}ZD&;qd;(!I{41!pzRKcuuPgsnZe-HY@Of zUuBbm<~*vSc&M3gPE4(!6@}^B4_|=;SD!Pex(*Ns-d-Vob0QbPqV6fQUwBQRys!!| zj{xEhj^p{bLn|5NB+3z38j>y++xeuE;Q?_j7lOJ?4sni6c7nKd&RsXjA!ZZ33C&^l zEw`AtbbH~ZRGTL)rg8IkuA2qJYsjl#yqiJ_^8G^-kiIaB|o=TzK zx{t#6OAa8O#6|-}M&Lj)Qa*$60$f5N*bb`pS|6$Je|dE>qPO$uR%Mg-LKs%#%yi>d z#;@KvOdg8x;wRK;m@|HzXb>|>*FDS?Fw<7%~h|y;ej)VrE)%P;os8sZ=5Y+#} zFcGW}R?^VoejX>xt#4iq`3XoRT{8yZiWrmpir>|IhJ5*&#eYx-s9ExkI_f3;a>w7* zyDFxmgC=YaAK{KvPI0IB*DV*=U$R#-SF<Drn>D+lmcTYHG_5h6)i)vCG zDhMR~>25;+i4ji#k^QT-07PSy8L1>bg4Ni=1du)I3VcSPL8sB3t>Xnvh>j5*bies& zbUM;iQd*Ko2S1VUCBmI`2NNRQVpOFrt-Ogj+M0@h*F3l8P&Jxexu=O<-U3cn$J2#$ zno~r7D*MLC_XZTk688j@pi*AmU!xu_ZCpB&i{>yzY+ zxx$p)mKBk~A3i_ut>&}K-BCea7WJu{YAb`KJ!5zt=`~L;&QlkSyO5VK(C^k0x6NQO z!x0OF8MrR4MQv~>Y*OfONH&Q)g+kptEpM~)fqr2Q<2n~r{=;a&{}AxG7VLmTvZ#eGGep$JsXO2c^&8S&+mDkub-ro9VZyT z90fNI!xdr%^=Eh^B}}~sWP&yDv8$z7a8HbOToim$BV#gZGa{eMTFM%7cLlFvAVUo15r?nGSSO^kttuZGyeqG-ezlS2L@f6UHs%1T*0@g$*vZo}^rE+~v0G$vSOa5?=N$ zJFs`MCIRmf{B8)*+aapQkJuUtBDPZ3Y&k^I(r_VPo}p1hsNg`1s8cF74PNM;7_fOM z5wq~{AZedn#H?JMi0B6>m2k)pvD!kWu5Id`rndBqtH!1jmK5-b-^LXoZ8q0&e9MMc z4(@trQM{GS6}Ig8#kWBBrxzm@66N;>HX#!HSEVu}5s#RlaqCqOzq;KtzB;FLz z$Tq3y0&ro$j^r~vyBk3xf-v8P=mjwxzEaLZOQdcy%#~A{t1E|5D$8mgRkEdX;aEDB z5wTnt9xc(72(ppZJIJ;ku?>Tzg;qJGPov@kan!>_hj7${YK6J00*j|pwxms6hH9YW z@%C`2A!)Yx7dPD-ph7wv;5gT7a+-9LujsRp{*nEkmVdW#WU_1P^V2^i4s%QhbhO8D zf@jPgv(|#I&TVO%J>8iCIFBoB#>d+|O+bI}Gmx2mV_5_o-2U+2Ui;-N^xp8zuZjDJ z{V<r7rZEYe=;Gzmz=UO#bxLeTqt zRrU_sa#u<<{+4R~TE3-l_|!<;XlcN-3@pM9o9d_ynA->H-C7GFVosWczXNz^tUC1; z;5FRxQ&swi*}M-R++m@wA&!a=kBFYZm!B&?P5xo~>YeREtXw9H5x+f+QnUt6q4l`@n(d z;tPY5O2FIbr7dD$euMSK_4aM$f3e!$b@=w~-D^IX)5fQSn1}Js3wM^f%UjnMj4afw z25YHw5g$N5vtxbOQfvS_QylH@>g%5D`f>OE2CX)%%^15q-MQ{D;*sn=$3C~Q(@w?v zVo{IADFzyFaX!`AY=qy5$Wr4XxW(d2lYRckg2?d*5t+LnIpE>y^>|0lGB6-I=P%kR z?iFzn1+;lcn{45R;_bbX(|#13En4!&?(U(SigTxPuT$eh88I{{&y(dTC_S*4Jd?P)XHXn!~~w9?^I9=rdW;Y8oW z2lzb5SJ3up>ohuM=E%0i(;~!aW8`_A9thVEsqIjbd8>@mJx3o&xLRglK`4 zIpLP=MuccIB6w&*Cn*|=v0_pOqgQ0OQ3eIM-h(W*nUbQihCsK|oPau413a%}A236`*NOZbIXAo|I?vP9J0ks^}Tvere%~U%;0l2DRt~Q|CD@`rP)j!x4 zC%st{Qy1G-KY|w$gAcsg#wF%g77R3Z-uuI;)RM|v*3`C^IFU^gc(5*H@afAh^#$_J z_HC*>G*%>8*4(-lOpk7Ul&;tx&6?S2hC$uq7Koz>cAVj&D44AUGaKT90>`;IYN3mD zPqCbzvTE3nVH(F+eJDsuYUAWg(^|>TeL-1~(nT3!lZU*$jC5YOOKq9esG+Vx14}5j z?`54P)ZwIBq@clXjr~SG7Hw~j#_~@+oHbe%6Vn_$)XN(1%xJ}Pf4BC>9t^#JPxW+?dOu4FG@~=jt~@yUn|w2$gj&%+t=!bE$FkVj z;`p54AUDqJVD>Tp4E`^u*Ki^y@-1A3A94&S4{~G5&l!>r1adYh6^^Bb|HBcg~rI=Aa9z)Tw8d+qYpiVQ$nGjHu#;-8Q3ly7G#*+ylL0 zdFh_BehSY?Gs7o&rVL*VO0C&O?ja^YoSiZ}%td2)$zul&;DrK&f-2Szf!;9SGyaK6 zTZ$E&+x88$k|qpz0-jU}oSi=Mv#!fWk8jkfVW&V@v|lQLVNDXq>?Z8*gHaR}I6vng zEcY%h`$gJIV}d}gzfkz+r9&hypn$epSsJ{g9qotq9Dvx%hQ7Xpc##oR^dMLj5xiog zNp#US@sd@bkGq^WhQkkgn<$^oL8mK&;ku%@ItO(*K>)@cmQwLy`4o!TRq?dcdadq? zUGmMnNn+)lnjly@H(}R%eJv)F6e-j0yBjAJ5~>6s5`vg=*!Pfe~bi=!dDgb3qeBvKOMVz}5Nbcx~Nk}xKQ zX_1(Kf9ZsyI_xj1!$VcISV%?uZUU$K$5}_VzuTMb4hFpra(t}Zm55Ul`XI4F)uoT6 zuBFhS)Fm*e+6L4@F|+QNdQC-#RCsc60B2*v8NxtiL&liFv&*wJ4#Kmx`HL};%X-8Z zV|2N?)&{d{RkQU@>P&-Lt-VbTQ;(|WMVY$73mv$37H$ zogmOX$4B>7M9)ZZ*PgS5V9yg;WwPjz53Ez=Lgx-GzbogKp03QM@>5zTPqNLEC^3@8 zi7;pDqwI6r`Uj%x)jd!oQuN1%g20)2j*Ctd6eEgK);p$V~%UL z0p!6>n(eZ%_Qa{3B(@_rzPYdCK&emLGF(!(c2z9n?7{~`#Yf=-$u(173E9VY!Gx+h^(vUZ{m=3-Q(@&QO9 z=R5-#oksbb4v&$tmh{P|d#^#DwY0mY<$8mS#4!?!6H!1$;DX1{C}NV$QP3!`dV8Iz zMe3Qj9;U#fhOtJjCIdj2n;V4My>H;ash9VUd_nv9PDNI%tZNssKoGszZM&Yo^5IW9 z5^ACM8O?dH`kVPnZ)|VXEGy?teZ$!NXcD&9An3E0=6c1B4;HU#Kec;0w9B7Lo5S_Y z)eiA}A9fH1rq}E07Anani%IN-*6*h;rx(+|L*Gw-+)9qMf)2A>6{Pn_XL!{Q{6=`S^}U<|DV=skc-3jAWBXsg zIu)?mC>prNlNpkAK_DGTTNJTo;9_x_0)TbfTbU?ArzwayO9gZm0;8TLSA%V&@Q{A> z?%;yc6apOpo`&-p>NPdO4x*sQ#&DaPOC3Hs_l+-a&xHq{vB2rcHgD2|smTFl^Zvuv z0Ql9@49mxh;M|7Ri4R`9a1-le>3A;=aNK%V&GRv{Ac4|eaJWCv{?|Xg12VeDSs>UK zAkGQoh&s9u0L2gpCV)Qm641V?x_wziya(o(6HF)=z><+j2%2%i-OP&5HUqJ_tr=~8 zp_z$!NoZEj@_)m!o4%;U_0*8Y`LGC%{{Kwh*}FZn3Z& z#e8RKZzY}R7?>$*m914&s&nnB`%CCApqAT_EWlKWMOe^;CTyLyr|A8*L)Js|5t>Sv z+l2P(aQwmVTms+x5!^#3mQ+Wmg%f<&RlgwdLHO_W_u!*Pe=jMyYzSqBzt~3ML{Q1P zNJ43`W*t2EkiXBP{D65~7HNxtST1x4{7Yq!*tFw}W8Q%|29O-cDG<8Z8(i)763l*Z z7S1lzX1K;cbUyIy3jYs|U%pcVaJK|^OU4n;E#CnQUs-~i`uG&$2~lEnQYFj*AUZ<< zgp3mbL}G#gfHa1IZZ53S@wu058g``eilZnNwvk|1pW}}Mmqx`lWLWyL9*LnRVm3D zQ3DbZLNjhZr#f5Ru$C^~;;-_zDhnL5vakrZ%&*~s^sLO@;JK@O&*DlMA_tQ(B%3Gv zAFiuEwvDTf-+Sk~cXv+gyYr7bpU*!pKF2=W=lpOkPVClQvnEZKz?!n8g=~m0S_F+q znNlWJsq7EZzorO8yRr$XhyfCR40TPENduxO4HBSg1GO8d9b)PRY^~5xRa6?gymwBf z4cWf;^zQkO_xXI^=ll84LHDeVf_#8TTSYS5BjYM`3lH*tfSu~Tu1Z=9_dhkTm{*Q7 z46i<1U+84$AC5KGAIUzP&V=LphQ3;T5K7frx+N=Xtl?YH+zOt1Js0T80BYQGt z?FiW0^BJeVuFWuTWbkuOOixUv!(-rI^pBdSM{<#(?Wl%3Q9?yESA;;^4X1Hl_TAEf4#}3DjaYx0Y(oyAD z>?D6O{H^#BzZ5eZ!9)dSMoQBQ^RSC=oMmcu;Oal0|{6FV}nIp ziITSIfd|I7;8P@c{cuud6GX27m49%Hc@E<<1h~d`O=Om7hK1R}qvLc&My|o|*Lt0Q0p zp_dA+NHW%1_podSe7AKEBBlejuJ$BtaH7j9jp!Ks9_*B zUi~`)9?Ao#5ETYFXv1=BU?w$_o-r1~OP(cWRbP!=X5Y`s`_PzwOdM4_0~N=p2plU^ zScMaWN;nkCvG{1Lk_&a`90Nb`Z@{StjuEQLLar)T@V2w;OYACpjrAzDY_Ry}7>-lP z8A?cCx!xh*m+2v0l!j@FjoH1lrt9S%Ix0A<5b_L5(*9wxcUWShJ;XxQdusOP_03tr zlf7uW>`}Xw+LlslKgmL=gcwV-X7Pvz!ktT>xWOSjMTFFCM#b6=pF2d6^x40Cqx=BG z0r5u8_C3S=p*HcZVR8aAVHEtutWLxpkroDKr*d+tnqrQLH{0Wyp6r;j~nwxA^-`B+MUl8#`%%xc;T_=vBDYQOyT*$ ztA)1;zOe$xT0+6Xx8Lin$||;}JU=BD3RZ#lsuBE-volZ*(Y79-*mPz@_mxq3=Utf( zQm2C;*qb%LI3F zYce|cX|GxmIzM=g?(EVNAdt)XTbB=>{pR48hKt(K@ZdA7r?sB$>jl^8a|yt8n!Z@9 zc@KKIK|xa?OeC06ZtK@?zdl&+?!y73z-t1$G;Sli?Z5i*J($M$Nt8_etnmc}ZbImt zk^uy8N)`mKhydD`z$&vJK_Ges0KAg7DzSn9d06EI89}@#g9fXoxNHNxj3C+{?AYD# z>nSNK&W^q;{8CsKXdxINXf57RZ(^sUskg+%YuH`6u4%(2&Ys|h$GWZVjQEMai}o!3 zssvCBXIt|@inseeG+uYhGm z{&?!A8(J!#FUWXjE-%|!G|A@j|LuxCuHi`WR6m z_M)m3)F#gZvBa^$LNoSBE{B9>yOJ{o}ciX7`Cpr?u?%P!JDnMjnxii*9SnG zKYad8Ft)kU*5Hr8i--pgFeAx^K-D3oF)-p`*1(NdQtw_QsNEFbr|b!Ap#c^d#NYb| zcrZ8T)~!P?&_(7+Du~n5!A8EJ(kW<4`J{JVft0EkQ5yPKOEXR_W2LlmzEjIAV69*z zZ?~SgeL~%O$9>+~Apti%*S^)YKi5>P=YNz}du&^075}d9%XS`4oTn4l>5b#qv6ncm z0 zKM**PE^w%^wx)rHwsL*?#p7a9K7tYyV)u53x?Rwx+Hy=Q3_1f$v{)Q!waemjH=n_K z3{^}y&bS44C^Y4(xhtH#MY_Dt|Gq=-pTPEG3!>39~#6dG)qZpB0m* zc9)F@D;z~hTc96G9n6NF9dh=6slbu4Yo2hb3tHWM!5>Hu2E7GEYJ1zJ-UkN{pKA;> zPjn$;I5(t&+mH2gA72(Hg*o`Cg0*$mbVDg;u;7>l8adRe8pqVrTpd@X;g##UFK#Z9 z+@>G&gqd&i%P=wID|Gb8hK*0ZOwu&f=NVOCSH$DO2e4^5i|Kb;juiw;$(qGVmI$ZR<%Z9Csc{wyw3+hhKLqdU3YU5rUV z`54QvKXpG)XN`8b!*lgwFLP(+8iHN!mN{>#*WEMcRYj*ORobQni|YG)sc2A?;+do@ ziE5&Lqr2q$Wl=lRQ0e@&34i=hZLLdHGCg6`1Aj2u7WVmrHGRp{y^{E>?CR~iBn)2E zCNJvghP+Q1=O%&xKSok1>gw>jq~sdfBoC&iy^J$>)W;uHIx?$4Zi&wZ38yhE(wB={9?9qw54flq`Lp9Q0+jr z(=E1-Tb@t9Cx|1dy5@6{-c1wFNs>@vEwDv`mBGrzkB)A(yFE7Sa`*d0uvk2}SF1py zz~5Mg`{W^X3Mpj$BaPNTuiFc+bTN19T!W{>UBA&A>VTuX?Xl>l(h?=n!oRoHyc8hu_7T+#66%xKH^o|X&C+Pu+w z?(dl{Q3{nq)&kik31Va@+rOi_F*}voosC;wR~?Sj-uP&(Q~0L4B=!}{qv@}8?BCv6 zA8oT(8bwJ^>_vM%{n^eIS|>l*T@d5NGMkF08xpO{A$OnGHzinlWDtKCf94xnBVL#9y+E#C2y4kmGKQRbUtx z0R}KnvXkCU$Q{7B?_w-r?1ODIjEUMnHadg-HpqAc>n_74KHM7wdO>dRY!heMW5Nq; znot_zGI|W%qokLq6Uk39twUjwFP{wgu7lnOE#%3znw+a>LX5KAhL0*i&scuX(&CG` zcgc@RIm-~Kv+NS|dlTf7##l4#Z{u>l#=ea2utB72vGjx7CGjTPkF`C@^K3vG%l*d^ z$;B-(R%Q7H(-a>YhrRU3dsW*CduyPdto>`Bj03WSbGe#3vto~BL7c-_c)!Q^WarX zcNo6lNJQ}mGEp;plvW1WQPW>0abM_rB?H?*H$YE-CO{s%w^>>PZ;B@m#Vumz9*R+l z6N(Z34iJAlcA*EwIk&I=c6M0~ zKN5N#FcC4Vf6wwaNBKuvjmDUARNHMh%>86rji&9EaGv|uMJPV0BV?N+zZ*K;5gK_Z zxa3>I?@PG)KE^VXr;InaR&4o<`10_1J+DphI5ToQ*>lMchV4w7^1Nf}3_B?=F~5;B zn}G=$gSt=`nnB}`tq(ac335S~FmMCTKSFDuG@S#{Tpg`}-wD3A4(IXyu{Hu7r*Kyj z@Bnlk!Ij7{IXfF}?mxRc@lcCLdh?DUOyQ4Tva6T)ZZ#9#aoi}H9$Nf z4*6A9PP_>*cN*u0;X}?t{wI&ma-KhK@i?sGvSLo&$Le6;X7K{E0(W3;8+e!VyG}AY ztwB}`zP%Fe=EMy8%hEV)poINV@jR>Lcl?phd(HidRVT=1mnXpgJo93I2K>vB>szQM zQO(ioj!;jv#}dhoQr$saiSgPp2DwpNc>Qf-(`?m0>v!00^(SmMy$PPR$#7z*9)U}q27e@7v(PH94Nv22=a^1`Y`j^&+#$Md4XQcdFd^h zIhlG3BPT9WUuKUn%{Ih)nF#7r6l<@OI5Q89~@(Ix(I!PYMNHP;96I4X};SZ6I3bv>b#HwIK zR6r0F{2?M$`>{W0YAsr@m0C($TdU)_@7RMeZbJm`F-hJ=9bMC$8?t5NL zWOp0u=*&}&Q}af*ZRB&R;%CLl>U>f>t2ii8D=u%zVVzroG<8m^*0mj(PED`AIn^G~-(_}g+qL--ni zT63~b)^Y)oo$n*(EQ}hfb%wQ!WtTqF>^esw)yfwgYs=X5wL8h;qJc3bswRkh6;~u@ zb&>Twt77XCYkx-!iVcgcw$U|+LKZhiJ`rqEHHoWVq)E`M`lEI0zFqgK96I~BTq_;WQmZyS0o;Me5e3hH zNRy`^(&WA@((Jxk;FJCuw%|F0MjK*hqgg@Myx$4$UNqW>G-)*3K$e1x!Bpds zu^zR742>j(*sW+(h&wORq|t0c_)(z8{w;VKCDv%Z zd%9KquSe_c<^9Q`W$pe=IO`OJtnbiI_>8lD|1sW0mV(14YR@Zt*BTr%A5weEr&r-I za+n(}`NCB!GS9Ws)g0rEDU8_^n;g43t|0DS_bB&nPam(#`?&XDe6b@5DG5hAtxr5R zad+ps&R=(FN*a;0IO*sqd%G5NeLuM*`J-+NyDjWKx<_u0)jczMW}I4{GCt*#)Y8kNPjj%)z^|3$p97HykfH*XEAukPhjP4(X5%>5vZTkpDLUfw;Ap z12*?OzSR*>?5tmNPK^=bc$auzSO!0gXJErXr!OHTK8ZW z3oSiHt~xWIbq}(6y`{&hr}%`{Jt*V`OOKO&HxFptg93hP>2B$dbM@A`yvLPp=^p7% za81;@_;pRUbgz1kYpJEH8BTPqu=LIHKuWXu(qxtY=(?+ zfr?y1-5r*1Oz;PS;p^&5qiC#QI2QTFRMj;lSkn;p2Ltu37W!_rX%q(oVPkYd$n-T> zx2O7R&HBk^RYQ$0Wa-A_V687;sX48x;jcG*M#!x4*Gnj7r4bJKD$QD7XtoiY6&+1c zO^u2oSQYZsRr@Q9V$&BEZ}qC*(I@z;Y(<7v8w?mN*Hgm2K&3BKX}dJ*!$w2EG{noC z2GgiqQWvaWBCQ$i?^qLw&M^G}g(_1*tTF@AJKYyD1M^IOMYS0a^H8+?RPhot0>MzN zug0`rvQ)e(rxn%ypdNC_Cl6KnqYWo16JJfs?3nWIDpNmhnxRUgS|T%R>T6Azq`AJp zw~V#zqL5*R!;T|UT*=d+hT27;*`_gT)iKe^ggq2+W=F#GS#kPWqO9~CH$6o)wSEXEit-iq*HrJ2nL73jcBm!3fV{&9o3rb~dgT5gxp|fOd1ii8+Ke=u z&XGnzUfz(Ly!@Pkp_13uFJi((8$aP2d-ul3ev7mAkXLp_g0MoO?20Z(l0DuP$>@ge z=z*R%Rd^v4r=b^mBMp7f7Y5SN52vF)1|S2O7>Gg0!eC@0NBHCn0nJ8&oN!Xn&_#kdDcgzuJNIqt=MxF0KommgpZW3dVkG7b-; z5szRs*5FaB#bb2iaeDA1)?q!Kq8CqN1D?S~Jd5Y>JT_r7w%`S9#WuW%?Rbgt!mvBA z6R+YmypA{UCU)U1?8e*JgLkkOKg7Fu5AWkg_%VKhpW*{y;h*Cd_$7XYeb|p*qZz-! zhxn~9^6zi}ALA4J9tUv-z!rn4VUXMYZ01~WO3gP6s^ z%w`UAc?R>C&jOywvp9r>9LixF&Ji5Rvw05B<#`;%B97+y9K#D(%(1+X<2ardaRMiD z5-;W@yp)qUg;P0=C7jMuUdGE=#u>bVGkGPiqL1aQU?ok?Vil|D=hd9e8rCwvAnQ1X zA=cw%hS|WmyoT5EI?m(uoX;D$fH(3c-ppHgD;M%M-p)ICC-34S-p$3lhfBDW%eb8P z@;=_r6ldtkMzRoxJCU@~I?&jOv!*{s%8=lKCIt*})!TplF z>(JS@ZQHil6V~dJNMpwa39?# z_t|}MU)?wN-TiPs-7ojs{c(RIN7(@&1Va>fA}XRGI%2>JF%b)~5eIP*5Al%z36Thi zkpxMR49SrKDUk}Pkp^jz4(X8r8IcK@kp)?i4cUCfiG(&T=KufejYqWtk+QJ9E@Pj|vp*;f70UZ&DAap`7LeLqZ2tzm`&;?!5 z4c*ZLJ<$uj(Fc9e5B)I!12G7LF$6;~48t)3BQXl2F$QBX4&yNa6EO*sF$GgG4bw3L zGcgOZF$Z%o5A(4A3$X}`u>?!849l?sE3pczu?B0g4(qW28?gzSu?1VP4coB;JFyG9 zu?Ksx5BqTd2XP38aRf(k499T-Cvgg=aRz5`4(D+J7jX%faRpa#4cBo4H*pKMaR+yC z5BKo^5Ag_(@dQut4A1cbFYyYm@dj`44)5^+AMpvF@daP;4d3wtKk*B{@dtnDK}V1j zjZx^ysEo$wj6pBPWGu#J9L8ll#%BU1WFjVJ5+-FbCT9w!WGbd+8m47Bre_9bWF}^2 z7G`BOW@irOWG?1r9_D2}=4SyGWFZ!25f)`J7H0{TWGR+r8J1-^mS+W4WF=N+6;@?6 zR%Z>?WG&Wa9oA(%)@K7YWFt0a6EtLmw1_1 zc$L?9oi})sw|JX(c$fEhpAYzukNB8R_>|B1oG@KzxbPfe7$3kD8be&y2rL{+qP}nwr$(CZO`nnZJT>+oL|D3T~SmdHz% zC|Z^%XqG5>n#hZqD4LomsG2Cb9?Q!fE7~3_=pHNiqR0!NC>o$BD4-}gq{vI8C|aZ_ zXrw54sK|?`D4M7!sHiBpEX&I*E7~k8=qxMwu*eIsC>pUSD6uFxwa81gC|b27v~5g? z{k>1>-KgjRToS-RDaUtFtQTC;D1cOICtMQIS(e#e7UEf!>RA@^S(f`^;Rj+74`LA( zVv!zX5hP-fEMgHgVv#*$5kO**NMaFDVv$*75n^JIYGM&{Vv&1j;fHDwk7^N?YLT97 z5u|F7tZEUpYLUHY5x{DZ$Z8SMYLVG&5#nl*>S_`5YLWYJ;RkaO4|5R~bCDi(5hQbw zEOQYxbCErD5kPa1NOKWUbCFqf5n^+ZYI6~DbCG*_;)i<@k9!i9dy<}g5~O>Qta}o* zdy>6*62NU|RPn;y*WH)m`jKe14t$rNcrKQUpTNgZxwM_oP8 z@Rrhtph+NUB~D#R)NqtCprA=9X=P4bS`a z#4x?SCMm^;q;T?jDU&LBk|g5?5<&jX?*scWoWhZ!d3ElBzs^Amy_${A8rHgo?~{6j z&$WWNzrIJL9c|2W7}OFNjLC%v<z9hyXNzHe*eH{Stk&9A?xWxs2ssFgE@d{#=!SD~lebrfy6pqxu$IOur0nVcMBP(I`o-H9><0CQ9t`OzHFt>GT9>?|jqg zan|m!*4|#Ly=GK%ORw7O-id2N;OHslsMv+?U&ESX2Lp`J&ted`ai-191s*WMgmC6W zW~tbXkVy^~>Cgx+e(ouciQJGQO$DKnQuop)i$kcBTeB3BEiE>m!e1H}8j`IYx*;&a zg>8`~juII+RB0>^BZ&f40e&V4xHq7Ms88(EL<}bh7%7c+u#`~v7#=E2rj}g@_tKEq z4|Yf>k+Ngisdo!7X!Qbz_bgiZ<&iX=!3ciV4%w zN~`rIFtSZj=DB{wdp;NQ#o5hLsLER5A@@?MCg%{49=7o~hIBP}g|nVsT7R4z%}1Lu zFo4W+Ue{M7RVd0LwU>+W zvU^kSR!O1O`a)MR=r_N6kiEaXc|Kp<9CsUcdgwO6Bw_B!$vh4NeK>+GJSisY=*X*o zLlClo>E04Mv)SwCFnmvxw60&~{-0X=Ki|MU8%gF7nm4J%2I~eJbDpRV2D!4YQnq|N zP|kG*0`W_8$X}PFg~P0Lir9B^@fh8N4RZr*sJaBUn4D%+mdZC+ogknFB6-tYJ2U)0 zJCev+?#BDT4Q3f&UO`%m&{SI!G{e13JL;Q7!);tmK_~x^!7Q!n+YG}}4`4b1rUFex zv(`eOyf0w(Aq~Rg38c6-ckcUU%u;6bjASEo@-Yc*cA7#8OQXb2t{fF87mZ;B5|(aGeqG}y64 z1N#)~K|6aQ>rkFq#)!@fvdocIvpaqV?w=x&=(SLMaAN%(fquy z(hV>I%kbPc1S@m-i(s6=I=c%1djyUrThLUYX_l=Tfi#y?myjf6_!1=P%0)|1g7Egh z8sre#T!6-M@?~tMyGG`F(bw7)7Mm3peWP>{R?b$I$QjR6+Y;+3j&{x=MIc|>UI}i* zP;oVh^uxIZ2e$)o%4cxGTac%@22E^CuVKw>ZS)^@+($57Vq|DyUgck|85FJcZ~k(! zISlW4gT-Q%CrMd{ekgmdYyrd;0w zwXn2irEX5FUF+LY%m9VcEbRe^wTirk(+}VAX5N*Wmf}(|rKp;oBU~g9X6t`KAIeF8 zP7URWXO5I*sXRt(In|toy>~=JlwOV<$snAJnOVLbnfjKohhv|*Y3A&98}h0&ij&?ZSb}Q8IX4?DN~kBAd>tF8 zLM^wp|NY?Ywn*(1#<{p6HI!-cOce-f=`9)}BoeT!?h>93&Vwpx;%s_(79Yvy?YiKi zXWY)VV8X>XBxET=u#f$EzYC16NZu_Kw zE~}UW@spA;EMQw>Jo{^kr_KEgv@uR-ez`A7Tnjcg-K6E@XIOU@ka#k5vud7gw#5 zZWcA0Od`{~hkT%5>RR`Ue8$P16XQtjJX%WCuxgT`vCb==e%;^>RiAnOC5?8fMX7wc zcAXQ6T2aNQR&|)hvYfJed;4sEb}?rq>hzbZqzU>AzP=b}B=L|CyN594C} zFqoF!aEBwk)0H{s$&@ZanKPX;oj;j7nMa*NosTr1G^aAZ!<9YY$sY1z2l0jcM1G~X zRoW}-lM6@#qyy1~>_&E{xLvrF8{~ueVg6kF4~Y3HY3OBkx42!{E9sXCO1plj?`3`_ z^QZg2wmbknAYaf==vRzeraiO1N&hqeIv`!pZfJLnSF`=R5I@xK|AOJ~#x*_EZ0gS@ zAHAczAV1h|j@Q$@{|yFx9rupv^RV4HF3G@be>L#Hd~rXyUp;Og_D=g}gYv-m;Qo(* z-k-WZx&YmP?jX02dnkQW{%Zf9fDi7oR-bRw9$G(LpdN59xG%yd;j75)|1ThN`+skf zdujc2L3*IQ(7qU3jO`}&lY6QCG(lRRZGgRypOm*%eRcl_AU>iZ(1Z9!{3LmmyiM7o z>Q@V_1J=Xvb^OVO;luD{yialXF?pT5P1~m%)C=w1dJ)@Ec~#xl3b8}+Re4p}{}qw^ zFQ77*XE&HTlxg2bp9_k2mE5$n4MzEhw!{)v{t~Xj(>lAi-~L-~gaxfrpEszf_1J8g zon-D7?7JA_{}7Y95xxO@6}VAPH^(<|p01T|z|eLct7Fx?Jd&<;JEW)*$8w*~u^@tA zb(vY(o^B;=^xW}lI&U(pZRD1p67TETuw5R`vQkD?y`QrS4U&`=Hu=xa>x!$Ujf|62&pw@q)($Y8+47%cF3W`vs7v;XSe&*z zLTiamI5e)Qj;j&tJQc0$^O;RWx2+Z5)3Tvfq8m2TQH{2LeV81jPwAtuX@x?5zvOJG z%Xz+$b+**C#Ic4K^i+w33*?^IIjX@Os;l`DD}mc1i#j0c4%?=e z44Z`ydidYU7Q3XK&0;kD9q_ZCr=2K((9hLPc&C)dy2Nj(0D*MP6zVGA=L-uFN9^WG5jDv69;V+ZOF3#Z|_FaaXmk*EYkB6#B#X0uS3`{FhsS>pp?nQQs@k$CR@cawg%<8|_2g4$)>g zzYNJ+!?>>_od7=Wq(iUEl6F{YjsR_0I8Q}B&4`=n`r0}t!V4SHgxq8 zoIl=d2xJCV*IRJn587_mupKy9Q`9-!+bGxYEps}asc2#90J#>TkpHd{uD7a;-|w!c zY;>_r@Qh5?#lk=7iRS*zG6L#+!*6VFmxztu_q&M~z8vzTUfut6Z9&zuy?R9$efpVt z0SUi3x1teF^Bwl|Y3Y31K`bPbzV?#l9{+H&&Hlu)f4csI{V_01>SYlE@}=#m>tfrzd#_hd`{wIj|}RA_scW?@f;-4T=ZDi})#R&xj6n1rrlY zSYU6?jtqGP3llu%gcbR8{Zr(eo{WjK$y3PI1@?IH=PC~Pk*ect7+qfo;NJXc?Ssy) zX%~af&-rJ*$Ej$z^2q58vNr7NTgJAx)&6tnnT|BAZPD}e`{;ha_4#!vO>WDW&y+8* zBz1o))213Z_xt-~;1)a43R! z+c@YA`9w*penM8h6(WO<2zgTV#npd!p z&YTuNWDFlS7zyKrc#90i@ziT!Oa zVzgtlWAHPm40o63uNL;!9Ucj4@4wt`D`ap$5C8+Y0=rYka=I>dR+%vTl^}$P6BFHZIF7wDF`MWkg67i41Ks<2mEKDyvCY6s*CrT$_;;wC{q+u32=RWY6d8J zD0(T=1(SVidv)4#ejK?nnO`bDAoQQumX2K*6I){^XGaqQoBtp?LrWNjU-v|M{9nY) zO{e5+Vxx-B&P*q2XX`9$U}HijDkdl-CQhYnVPoP%qiAPiVEf-bQ44DmMtlYqw%=P7 z{~J;Ioz&ULoKDux(Z;~~zacdf3o~Up(j{lAk z6tr{KqG6KhJWsuy?j|q?2$qu(mJ~ur;$b!Kdft{ohOH_v;!%*Mh>_q#l26UYB#?Q9}!Vq|A*Lia!Y48N;kVq@Ut{a^18QaoZy)xueN9rgQ} z<3&{^9NbV`Shob804~DA+K6alX~A3x2@w&2Vu(99+#|ZfLMTvF+T(;Zj1?{cRY3mS z!YPWCyHi!k#Y-(@)wAbO)3?`Ysr+iLrR!nBKTQo1PWPIX zbO4Owte1huPoak8H`-AxWmY#>f9seU_>(7PfzJ7Kee|; z;BZnf7&;n<&SCkO-f%b~m!3{<;dqc-T(MX>o6ceNoZazoLMN`^7ljlrc8%_3o%*(QGD{ z%WwanWNLEk{h#FXa<l>cnO<1L9C`2ndA8`Hpo3;MN?VMs zC|*(CyfHLAC>9pF7G~Rf)+dnkKrs^v3kuU)`lqx&DQoH9(y_?X+^9Ce#cb$4&6UNB z_s+*`L!Q+bl4z6YzoJD_a$i65hhKU;2-RUbiKP~NKpUPlZLAVjwG3`JA^;cX-uBf> zHB!|QD+;Q!TtU~-4b&~gnx3|i-`~x?$ z08fZl2q2X10Hqwz7U|)d8X&V8aC6f`PSXQLUP0s-&?f1DMm_X+`z?$2G45}v6dO>? z>>)Sj;JzCEAh3stXOC!T4|r(ziF`%_j0uv`8gYRhFeE0#|M+k(ALK`xm z3)Q1KphGf+8nBDv6|QVOn*!?G0Cqc6*A^o*Y^EJ zP^0vN)Uy7vW@trdZD_q~4Stz&2=WrROo-=98%DHl=&q&=+SU3@QVGZ#pwiOY#Lrqc zaVShOk~N1q$oMMx3i(p|VDifSD%iH{HR-kJwd~dBdm;N#=M4x5bsjVw1Qm)js4<9% zijiH~ON28a#n=0;RiL;h;QNaOrTz5!LAU$xEcD}dTE`@MQt$caFYP+G9*I8{Z?hNP z5JJtiQ!U3$pd1@z8r{vNZ0tQIh-0bS{0%t_mWL9!V8I^SN)M1LbpYDm0Y%DKNb(R` z@MFpY8G&>ms+NQeBjypoV#^P51*yZQw`>M1FMS1Ym-&F*U-@(|>YJjjRzT3_4tmT& zrW8T;(X^n|%Rxfw5d_S-^|eYgu~zt)Iq2zT=qsE`{n$qSp6k}ICa-vBO+Ok;UwVU7 z@CC75US3GAoJ=*PT%S@cn3KeXg%`J&dVTG^tmz8q&y! zd&o+M=AQLU1oa?i(W5`G2lS^Wq}Aa*#|jBf z$g?iibx}zf#f{(k=fXkcv8nTvjgiiG%Acj^$rKY>!?mF7)v;}wU{@>?ePCDV0T9`n zF0{J8ex=<*RNVw}m0}9Xg8z(5$_8Z8g~bd|rG`NpWL)FN8HFlpnAHrEqahAem9xY*IaJbLx^qPO&szX!=*@~lEoJ-2x!Vuk|j4e z0AmHt)?e`FrGq2v5hxOeoEg+EPkjBWJY(Bw@7Ep{wC96fp&?RujO93jjl$EAOc2dj zHA=^&Jw$ku{4PTY)PbS%0?XJRN^W}m#Cj|-et+0c{%lTT($CHR!`YWz&-lju|oW`7jLLfCEu_!3CFlYu7=BOHtK$;EJo*n{tC-9tzh z`rF;vSJ>2ec^mKNVM%B~EV+|KVFMN)Vp~ANj!yN9H(7sizrcqs++2S->B)GT{@BoXyi`l`=?0~I)p;r!l?yqeb%QXG z1+!~&pcbO9l&i`pG!%{k#Zu|&!XmGO6&&3&!VwV)sGU;85u(tvoKTd3`TZ-DP|8|d zLS<F?@iVQ{oP*OjgdiqwT_ov*4yT z?umaxF|E=PQ~e_Yz2OZhh$>OoJqHk8APGt*QfF1i7fgl4hSF9MI;=|$XY~z5pcU~p zKbBs2b{g^3!KDmw35t)CY|L*ZPbQwUO3YjQ-@A~z_|o81NzC)np-7Iw*p#kQi2f&b zhGz06a;Y4}9xODPCG26ie>T^S| ztFl9wT3Kb3FC<`ueA#Wi4JP(oqbejmf#hO`Ms!Pjz5E#UDfNcH&~P3IUXbV<)ZGpF z-d2KO89ZKYPtf+pg7v^?ZVwG+-v&!AF3fCr~4flOS_-c?8KF*ht{j@?%=GX zHoA!uiovWY2F8rC@F{gg@Ch^26pADy=vLToR;qG0bZFp`<$#oE0@SY4jcz8yw_YPj z*Y5^_lJ#F!+{N8+y+^XBm^DSOD?~4FPCk==T1?{3w3hRBhj3~DVDSZp%J`XxPd&4j zeO6_7rEfxXqJocJXZzmV!evQOV**ENm<;q`EU0jK14ny4_~v{k6mS-+SA?^L?ob11 zP`2yJ5c$w1dlG$bNF5MZHcucqIuhP5iOlD~nUE`qUxz;`F5e>F}Ky*i&vMlvm8#xt@-eMe|)^eh9J}OkS29}v$~|g*S1t(Bak$7PlU^a8auAKt(~}tE5uHG8mGEGzjOWfpn!qh zJUlcq7A@K~J@sQFGczkGQ;#K&np;y|DXD@4g1y354=ZY6!!QKh{qXT68W%~xVR7HO zbrqc5I)mr?cz(*Ipd@K%YgjiHjt53{_}mcG^oB+pfZZly75?G!VWzQkkTW^v-H%8u zb%l&)NZi^AO8@z~Qn%hP3@F+OZJjLSvBIQ5@tmGgfCHWo_l(yLPNwmynWBQs585b;d)@m&k(^?;%4iiOJpJNrF(+1tP##%AE_o6Il0Wce7UcUKa|sPXRbN+#$AA_@!V z{`7i<02ha*30!mJ#04)yW}QK7753%Uy*tsJ#8qNigjX!Din0v@9I;Gpj@((cnGRNd z=LX=zcpsFTwZ?PzI2fxf(^P5tVf~)fVb4j-y2((Rs`Go4dbq5}iT^_mA*^!bD=%W#&#r^GvmSxjzDMvu%&pbGj@ zZAd=`Pw*Xrpq!Wc^mW<|`fUU0smYXcAk`0?x$$@&nMMfQzY^Uhv`*eI2e#JB3)y~YB`}{kR zN{;~Imd+U`e_^FB2p{A1NmDND%OG37N4pOoQ-gpBLTElm*L{49ee?0<&cmN-xpfn@ zOHy!N<(JL$%-r()06|`;f0wcLkR*R=6!MdxL(?att@hZ{#&;#Rq2p7XM>Ea`5!DcF zV-02Aoe?jHFN!X1GYF0it}mUW4;jwK+~00Nnx4_@vS9%wU4fG*jSnAf4W8EwL|_4i z$SDwS5oYQ?C!4(hTLM4V>1HxWTyyF3;o6e;HN*9?<-|!^p^06kGEdyx2awF?eV>oX z_7fJ=x+T#@>9A2agb@X4#)3QDqbc1fYiI2X_tqEswm*jVS5ZxEHIOTDoLE(eMbqY& zzwmm#P$-y6RY6f(2@E#tNImV??95kv`F-#1JaCYD!W!i;7(`P>x1F&dZ?ctpFc9r< zLzez?ne$#+c0y;nyVrZSzq_mJY`$OAW}2GGHUG!+Iw%J{h!O(TRqr`T z*Aj2>^sEdt!*|r=C65O+4JZs4W1fBX8WO}<1aYBV{nVucD_CiymYKAZMn7&nVjFmN z=fa5okEc4o%AYR%e<}7^*D#Y{#Yz74M~aMEfZG8&0bc<~0HpRIlf;n@4Q%HVM4 z9uv6uJj{SB*-1i{+^N_ho7hv61kmwS4#u&+rO8P8LK0pPlPW44ftYF+p5VJg#gz-v z{Mk^T%F60n{wN+MMoN~))tUbSQmnG_(mREj8~>X5wOwzOmY}h|ps(_A7Sh*ES3@o?4*o42~UBI`(kP^A_f%c}Q1}ADX}>3TF%>mPlQEwb_=MhlL~#6K|Ft z4@QMAnuT|=3xeONgWV;$ zTh ze>V2+wp(0|?r$#HUC;i+IYc1u`C$>-TjJVVaxX$H*PIzqujDIN@znC{zjb_CS;e`o zPgqszKvjrnmVp)qngFa+Raj>Js%)vQ8?;Ph?$Oso$5v#xlbzkPnL87Y66QfK@TsK% z2}YwP9?8~H@)p3m%Yc9XL#S6L0~>5e zfXD~{^>qH};hSFe$}Ee$5y!xqKnXp^u!h9bMj#7n$*K}BA+CO(+Rl_&_G<7It9lbI zyCWHB7w)<=Xs|FNOlENpO~Rm*NhQxOJc&Z8hypAbh6Bf7WRc~dl>VST32L%V2ltzQ z_D9qm2kl4YP19xWbPUsm>Xe1Hz$|S#larfkk;8?YAB*h)>L;Qv3ZK*C_pa{yzxT8* zuC_lyS^1LNeewu4c>IsIC_dRTHK@XM>;bh%rHs2syYO*Qe#mqYg5cO}!v+56ND|W3 zXh?)4nNX-;GEx4!oPyw`98tyrfZWK3^hd#}+meXLrQM9dz!u2C5~g+jm6Io!C5*{M zFuwKA@-UoV&UR0pnadZqi!CITZ;2+X@L7T|! zW~9pbIcV7w%IV~ilkNo?fjn0vwyz!4>Td0xlD2&>Uz#!z`w^1lxVbeD=81?CNx7Uw zl7K?m|I#anLr&k2GuwG;kjC#T%}Wo2+U!Wmg-WIvYv(%r>;n1=3;FB#uwq=vOTXQO z!;*-VCo{)k=Q)2%%RpX>PWJ^4O|5`1VQTeMq?V>sX{OSa-cP(VUw=I=_DH#=#BjCl6B(_KNS{O7 zW;6NqXAcFgT)L_D)LTq1$Z$;sufkey&SbMNA$cm$8KG45{Mswmcm{d}ikam)(%$_Y zZ|I(kbPFdcUlx>c|EIw991TFCNqW{&|JqJS9_S`bqGEKGbO2CZXf)^)8#G z7ryNJrqiy!tVuOrnF?-M7}>@H9+Amz@%$>?E2wL#BlGGin`UXA4+f_@0Y1`z#kM^D za}dhJsfSf(NxCCp^XiVCUN+FGwC)BG3@~Pc@YY zTE@sY0Us`K*Gm%(=oV+rxHXVV7Kxq_09Wl+%VPj8(NK60$s2yFUM#!!EI{PN|56Cb z-pq{qulxNISVEnFI17>}J5Kbapl_0Brm`W<*9pB(yYp((Do;PQ6L&IkANU zh|{(ZoRai}e{UgOsifBaCEWV0)1s}B$1=s32FESvOmk$MF8*72nIm*wL^10C0i(?EX<` z;zeXKx=P2BaBnXy$7RRJ@MERaOQ8N<-lS>F!o&G?2YH;>yY3gl1K^`B&Sf{dMcsO2 zJHA`eOE;7BaAFpm7JgstI9tA@@K&`(*^uEtqz@bvpl^>{i%&8|ZYIGD)zXx60PQi` z-N&AJxF{dziqt3~!d-^HAG-iVWZ4`9l9KFYQ^&Voqn8|$OuXR%0%0yUafR?LDbZ-> zermzS{Hho&$N<0D-6#uW@>|CNS_%hSXEoLt`Vo4ppqHcw-1fNx_^%sTp~zF-(O)^J z-&xbT!cxl^+-npG>^zfA*X5dBt?o+RVH0-34GEm3h1CnuOrBiI*)P2SeRG!HC~yuj zx*nONbOfd+ch5pGC=jcWn;{N{H4PG~!W8BJxY~qgEVGNMyu76r=7Z1@8XZe@(4T=n zh9?-)AU@5=NJZWu3bgi$+2clOE2&i+<>Gsb!*x?)J9PObc-by^8LDPocHrDa)li*# zMDD)Vi8Hj#VX7l_J<$J&>`5bD!U=rM5xa3JJz_d+m9E$NOQxlkNPP8u`JmU@him-l zQMjA=%c-SxJFiSnF+m4VIQI`B%~hZdo3H!Vt%oR--T1~3o2drIyn&vB(Gs06+ui)+h2<>D0-05mCOon#>GSoDZ0q;H2fWesQlDegSHF>E!N zM0cH}#k&>093C$@oUeVne3lB#D{pMyqNkk6p3$sWnSLSLvN&d24=kFGLz8Cb`Nv7T z=#S@@_wU0kmup!$=Rw|-K-G5dzlLex6U6<3sq#O*^>)IG7(qqM>|mT2ZI4a0J)4$s z^*l{HQ9QpJ@XJ9cl{s@M3UI7UVLp(>96=YAVI>V6vp(3=*!D_h*Y}dzx)P$8%eNr9 zUghD5RO>RLS{P#xq?n^egbU3;m`mwOlEq7o8E++jFob`W$S zT5=SJ?Fy=gtn@OX4Ld5-dJsYPSR3H?SkA3XJ(ei?1pOdU%YY&(Cj-?WJ<67tkh}@3 z4(_kYXP~@|&PS;sKr&Lb9*uLzGoj(>F1$DWd{1horKfNLS6PK6{lsv3G>^bK;Jke) zG6q6~+!Ge>B|^{=x4-LcA_&d0KDPP*Qy9GSq684U?the#D}%|$%pZKnAp;=G!}>8{XzP(d5FlfUKl+w|UY76OB07c6 zS}33{2NZjxo9A^r$$0qX6pV_#Ij>55pu6S#^cBJA$VnS+z2@JI1~E^)jqTSOJa`{ z^+egp^u5^u_1v9XsyvP0lL zLRNM>b)*9-JPkDNGvN)w(LX>hk*+&;H7-s})c)9%#ls4V7nSESpr}Gf)!oN_^zF*e#{%Y8zFqHKJXBtilJNVUMT5 zM%M5E`+@QZm8{asp%&VFP(Fc(b%LAOHngncl1 zAm8{){8<4lhxHr$oC=;v>CLetR&L$>|1dx>r1^Gx%~^>Vd!Z0o(bIerL1q9!z;7HucT5{+CNJR(q) zp?*frm@bBwsHeOq_sX#`^CK%8M{&&9#?K{GoGNWZUFqK;31s3+P2KX%-$Y!+S2HNn zZSX^49n;2cmNRt=sxR3x`^g!@>1F{C7+?JZr2~q3UkL2ES-C!Dhs;Ki)tvN6Ap*~^ zC`=cHGOSsA4A8o@i)dSBHQXZE_3W*0Q%+-qK6erf)pq*kD2^cp|zA|jj3 zTzj{jw+vvJHK=IZU(X?(6l@zj47(Tr2*_QD$@kR@#;WTU__KT460gzgQuT9Cs>{vK z$8`6v)6r0ejEtA0=sI7UUYnm89l)cm^B^uddi%29VnoRAu4DYZEOn|@2;eT#d4xlf z`&Qq}J@Fo$G7AieH*Aw<0(jdgz0AxL$4Gx9v~20a%P85e7);lB{zr}O%)jjrHT9f~ ztxH$+dIXOu(@f3)tx2vHwYxNRukNQD5UeV!I6M!h)272yncB0X7ct10OYOy;HN2VJ zVzH~vMvypZz2b@6Vb}tI{d{^#{;w6MlpTs4j_Ucv@@^uVMb7D$7Ntb$@IY)7qI+H+FE+II+T|UL7q^Dp8vF8VO&C+|XSKpj{4MPZVCQx$In)T@ zAP{W~2ASR;Tjl;o+PBqH8?m^h8tcnOzuhk9lkSkB-p_7_N28>$&wq@)KMirYUKdCC z30Ip}etQm^R*;Q$_RB&i-{$8@kT=r36+mfcZt{GCx3pH$alKq`zIR}Q1+1Y z#kqBKzM|#|c@(@gkC~D&+)`O7l?-SUP(UysQBhc-G;*zEY{=vQiy85J=-CdgRl0Fz zrp2b|Vv1tY8`+kuYGtZfr%INGHe)K;W8PI+{=?p+s= z>RI*=h!d}}M{b#km=5U_4F%hiV;0z+$S?9MH6ZLZo*b)tK7Cj-+9(m1}qn+xr zDFDGDf`RONb{8Ng!qsO+VY*+C17p z+QnKwTt#I=>mk=d;i@R~Mb{7H7rR408HI&uccrE0mP^^oM6?1kApR3JJFpKVrGF(9 zlDi0Vk#&RQ`S!cg&C|N9m4OV@i|9;@DfjO(FY(9YKNu^cTc z(-M+EcTR(i`5a$_!E&`HX|wM7iGpEyVEcdAdgmBXn)u6mbjP-B+qP}qv2D-Xv2EM7 zZQHhO?L5CX?`D(Td^_nnold2?Q-5@&Po2+rKl(p1h{t~6 z*aV=W1n_L89w78QKr1q+>bQVm(L4Swqc>y^2tk4Yi%;^M;mvamkJv?xSf14GC@aHR zN7I19LNwPwasUk1Be=q&UQ&{VkN`02mmPRu1a7lnmI$d} z%385ZFoOwQ!FYW=y)bUd&wQWX86&6YEAEVes}ZzQwoO1J+F^g)aPQ@bd*K3l{W8oi z{$+KT?i8p2Wau;(x-k-6)RMQzjdI+{@@1e&n3MuS0r#RNvO`M2dez*+w3AD0oT>VBd?q{ix!i#rc8%|pDvK(4wONs8oO$>(e3ld9 zKFM#N;)=UM_?>S74^)+~7oxw6;zM!sZ4!lW<7yzHGYL}=ha*0bOX8mM0L5|>rcz?l zd8QIW5*m8xQlQ=FI|#fb0e86`G?HNT6U-1)Y}T>cDMOcv&?~olXeGAlCRIg^)UUN> zS4F7^E$OrqMbZ5@k)eLRZK1s31lF{xHGZ(2YSMo3drmrLH!yQqfC^0IR!~;A>N0>F^FtIvujVLBBH()r{_dHEd0YT^gNXw*6^mw$zh{SHF;eQWgf0aPq-57u+i8@Q0s zBkI&K9*c3qnISrkAnwxmleoGs=0IaD!ia&5$TL>D^-1@c<@J~XSj`LrCqkMTTdoCj z?I*SRd6o2<%crNo`+4gPmv<-Y=97>#I$?J<_B9?Jaa2Ii4Mbd>AVS}!pA~4ERQ!}Q z7ZDf`CbznCd|6-s91(fhg%Sn`ksLS=<$Y!`-2AerS_y^UUo@&XGMLrn`6~-JgJkCg zm+#}cyJzO+;kM&nbnLkvraHE^;w{{rBb-hd+xbqE^Es9QPhG(~)_2QSfIruF3^1HE zwupVW)@nrCXLA619sFbW@jza=G0U3cvdZ$I}*Ayrt=U)}P!Nxf9=*ExP z6W+y3%NOhCH7^fB9^Y|GTR@p>T!|`pCAs~!0)hx{Kx2G#_#*0}=1)~G;y)que5|^Y zXX37q7v=<|WGxLVylakjPmT6<(2#wWCyN%Z+5w3sN|!( z1|lWacWH}h7ge@6UuGH;$HgkAMdM;~<;}syP)LMQK6m|LhGW2L^}s!D&Kk9nt}Lw2 zH+l~qO11cOtq%G!&(+G+D9g)@$s^_Y7j+psU=7}r4i5tRhxi0C(HX{8&MJQu{1P?G zREt%tm*V?svXq0mf-CM+K~UE-T1jvEdl^pb)9oK>iy`;5MK1e&$dpqqOkA(-h(noX>ja0O7+<*OE`{E&zqQt^Ozf_}ijAn(vez422i|c1n!W!+6ZO{t`ciYy9}ol3T*ITVvLi`JSsn;}22dHMmrI-O*3 z5@{=93c)BRw9ui^J4qDk`^eb6MB%VhQMf@}QPvSNyUm`hwQKkcPT{vE!q;)OKC#Bn-Y@V*(pMN~w0I(E5U z9nbIV2r?Ln$tv5y8k(X!+HN7cz-BNN5hoeNE5b7LfVxF4IH|d*rDhs3Z8{)P6|7vLy3O6zNd) z0O+5vDBNg@34#}>~bBX5~yQJs%H5Xoal7CcQ zdfO0u9OejVs93!gd_^PaMSw()jX*?_#tTgDK0PpC#F*!AZlLfkLmu})`9g#v3onye zQm%>&U}^)Lhg9h8=T$t&SGSod3Cs)6IA<6*rHX?YB}_{QTZmlHl|I2fBS)X#v>bvS zb_;LN91AFwGl)Y7g{4WHc1fB(AZu^)Zx|I7>!NX`HesJoFE|7rG<1BiI>ySksnAHV z)A~CgAX=e?+bI;9xe2b%>-Sq6C-vSK!DIo&MRO;_*52Keo0ryhAgKmr4t3R^?;vH6 zVgh{(ef)U#e*78WhX@7PRl;Pt=i~T@m`_miq=6e#yq!hXW8fX=oQq0oX+}&?r-BsTuf?zuvl{ygI?;I#sZ9IZibnJ z#+!L;+7Fm0Wo0-Dsq&B)$iPug1cG?RD@66hY1w+>2vfhjSTXsz!f<6TbksdxvAr6K zn#tKPPPK-LA!A3Bu%c`ixHAlXmxO~Z21OgP_r(m#G6VWq8=)od4bU;>xD0CiWr$H) zBnoh*clf+MK?&y-lU*&ajB^J-UL}V^8FlmO&67qq0SWRq{`xmf+o!u6YflbUK3kii zt&X*ju4i?rnQgQJU-$|&R&Q4Oq2@u&ueGFS*a@=4e1`@@OEbOD#7%8A4Y-zy$?TB( zF|Q5kM0_Mxq@{$X=EB&-gg;e7RrG2H+4eOh!BV9xB4P2Q)dJR(7UUStre2c*^2Ws^n21s zkoJ3NX{}q8`-@H%q6}CMmZ?r=Ixin^NqLO9xqt}-h;yNZGal2thDx7A(V=O1rvH*K zHsTx7h3o@y(EV|!z70-TNKCd+xgg9rbZYZjnX+k%YO~+4%Wu*K)c_P^{1?>#-(VQE zaNU4vKKX{%qmMfTMXEB&Av-r`Y_~V=yaR2#8lgB&oOQSozh2OgJULmhH+$^AvWNTE zB-!(mk9r=LJyT%6FzQBsI`m|z-*3-jEWqII53_f&xxX?Ryvg!N#31^!L7r8^e3)o+ z%}X)ml3M|2Zad?JIP;A%ObbT*;m?wI;#Wu$#Cxlt)-ss~Mj;CjK_&*Z8`IEO zwoB%Sqs+`mnRT;pSzs-_G1rQsEDqH$=fOFODN)J#R(bzKQHsxbJGVW%*oGYtcL0T~ zzb2FsMI71Vqx)ySQe`&-uq*1;<7s09XrnO!+Ou$blqC3BrmH_LV= zcUEII!rUIPE%DL^5fbHqxO*p1A-%7(uXj6v+=@3Yz;OkA24dU@j~0gc{4=lfB%qM# z>bCQ>-L>_x`mx$^u-gi3!vV{K2^$v}$da7`AR`Pho`)Py9+z6W`s+e2kQP)P3@f1d z_sYRqQ0PpE;GBCUeOaiKQJ1yO`bN}T=8_Iwj3kz&Fd0Jq)#ZtgS>oF8vEA|C;puzx z;F&!#A~(c>B$fmr5MFl>%IIO|JsGBl8J$Cr!w~HCh#`#S+Fg}Lw4)mc?JN|mxeG%x z*dqpEalfFyrVzAM{he;B`mf+JF<~i?^*Qjh`&DeO(@g>SqD(bBk)tNoAmtuQ<2ceT ztXpy?&$e!IVROL?>jSeZU|a0Q6m%8P#t$u^v48j!U1<>2Uc^hgy2ug(;jjcBvU4;P zXlNca*n~i`-(Zg;4p9V(N3gr{BaK}T;Sjz>k(35uQ6d+=vsSL$!&#E@pw;{N4wh~g zv;&1OLE_aQ=UIcBfQf?|pCo-Pp+Y7{aWnx}8o@EOqkr2ly+Co&+9Y-`6f_9G|71R4XzDb=UIE_d zoU*DU6NbLemkeZfQyBiLPpKoOCcUKa-j39sMlV%-#*;V%Wgl5*SSN9tpWd#_u|%6P zw1#VP4S#-NB>j=KON603wxlM`Srl27CAT4iLkla3bnH(@N66`lk!38`YW`vdRe4Tmn4AezV zmhf4JCPZt+0v5eJU&<05`Qq&%#-LgUY@$anB25=67a()T}->1JW#s-3;{)LT_ni0!c0 zF22T0Dd+zC$$*26!7y8DOMtKmiWR2{Qr7|In??v^!SWX}zNUGy-s4U31;rFGm_&9FZp7T3jPcds-TKC+!g#T53@O1nQfVr8O4Fu0s@Q!m zS2}ALC9?=`n({NM(h^OSq{*4JwD?onzRZI!;99tlB7;ZSb@UD&i9ufPwGM5NJ@4>C z91PI8VzsmsZ1PwxKR#D$G=xCk@L#$dY&KyHgXts$fc;WtZt$=N8D7xo=Dgt>aIi=H zcs;8hB*@B$OCj6((?%r~mmHdy(w>}?{jx3_nJ`Yer}qJcU)oh;h74LXN^~lSESlB{ zT{_G~sCS;K5)-P^0eWad>)lJq%t>izlTz3hEY^F7KT6%0Z0og%IO}e7DtlWHK~!u^eC6pb4P*a`JV|3U_~-3Q7C0w4X9-69 zlYx{xlr>i*tSAgZq=<^;TQ0x`BQFtGr6vaHkSDA}GVANn;3$lx88fzmc?A_J=jpp3%#)lA-h7pA$nJITPPGH(IM&d+;&e^h1{dSaJ!i3)QZ{Gs3aL ztto?LsnI)geKPM70XmUv6F*|RFMZNJedw$?yraiT!a7WHs40@;K*ddJb$9ppjinHD z6EUi&Uuq)x6z!JB)#xpzX1N#CqI`5qg*vf}b10)$Mx~vgnmAF9vj-=rsg&5WV~?4D zyBOk2)V2Q_>|$uoGt20xFzemYa-#I2l)Dz@TEf}x61hQ!L21~F8+%X>2`Sb*)x(`0 z8~K_T==+r3Ibr+oK>bvwDd5;sZq@sCe|_1D>9-=M9%H^DD^BE92xs}J<*a~|NfT_q zqZQv(g#+?LECo3$g`KM^MbZ&N2IMM&ZOWerWfpvu#g{OsiQL_C275(;78_Zj7!P&c#igg)g;6%TG)pzzqfq^(4yFO$WaGn# zSpm#BK7O0P5)1nrgLCf7S6+kM1JQWBkJKh;D}?-fC{zY~d%N*Wh6MUS8!|92-JJ%C zF;^J(%Vk+5-bH2b!;n_O?nTSQfNzEB#i0=JF`jy$OF?VhTpGSJ&Y<=2xhoJnXf7zS zx0bNM|L2op)9HSd0D&AsV?z!-=(t5Ic4a8_Z1Z!a#k1pid6!E@H-ckUQMOh8VJlR7 z5s;uZsxt}rx1R4}P8(>l5WR`Vs;aKoI&((GjUpyP9;F1T4Dw7+S8`Wa_I_V^1N^C$ zvrw^WHdBSd8Dx%Z3molDzkkZ1by|ZY0v_!EAKth|x9N28`mZ(Rs zAQVX?onIb^sDPN4FWl&_8V*%#4&O-Y+*|6_va_jU7CK+<%kTP}!BoS=bTXO7bdq@V zjuw<2@bS+U^a!P|sxKwrBw#=w=->8VW_6syKD$ECA09ljUTUXqNw5E+r5%H2$MF^QBy_BG%H-C)<|$|B ze5q?5xEk2Vs1JhB)B{ssicx*&lKHOZ1J~V|EOqsJa6Vt~Y0^3d zUm|T|2(c{Gt>e?Z8VWVKXNaf57Q8mc37C+f(}OX=lY<0_q0_lW?yMcuF~dLfys(6N zZWd3i)J@-gVOJPq`qjMBqw_hJ^c!GdqXt~OyU52KF@4)StZ%GPX)|{=+kJqbZnPHL zI$dKL`I6XLE$%m?gf5AJ@NdogJUxNDG#^)t#LGgJhgjmu2p2?x?M@R6b7fCaqL_z$ z`_m}3+HKZR_oc?@#3DmAq?490CP?@6)sTe`NGVif`&B7qYWEk-tceB)6SRu;#SxVh zSP^L%40U}(HXTsf%XK8sT#t@LJ53rm(DY~hl(QOJ6Kma=awd$wqm^OQ>@DXFR}dol z$;YUza)P@9gZc;W*KM43n@+)J(%LMBbF$hGD53b)a{hh`3vMo>Z$sNZ-BKBd_;0=k zzXt;tcw&pVMI$oz zn~XL~mHtbiN)ipyuvw80xUanfZxW}GtavW13u}KPE!sF`Wn0@Y3~73C#o{wP{PD198i=ej@3YrI4ymWgd~N zpQ_IxB#(93AZ&e`t$^C&vZn@q1Rlyq6>q$p%{lI68qGALg;y_sE`EM|=R+=D!Hj=9 zBQ+maCo+rRYJr;rhXYaT*3%=X4|oT8vXnK&qSP?Kj?#?eHG53xVWWNW9UHJi`jyz`nnHAU&e@2R%V&zWXLu=&S~rJ^2=-DLaVDZVY?CLjQb z=7ThnAmRzjq-rJMi5WyD8B%TF;7OQgDZ9`#LhMNx|0ChnnWU$9WnT5ecn?>I26{4Y ztu24pz?=QH##P;lox1zlcE?dYP-qiD>jtN|(mdTG@TqTS+DVT<-=T_sFx)xFQXLK5knbCl6++e%EAT zO0}qfo_;0%coY$5D34v`q@_xYhE*x_Vi4neq8~Ghy6Zvrx{oapb+9Nqbj2gHd&k2s`YZkhrd) zjE4_8jWENFJBPP0yw?wb zUtFH=9^h%RUyQY6eJdxu9J`+>&(A84+cJTgd#^~7zYW6?!=0-4WQXP zx!#oyzkW5vDA_aJ%La|JlCDxd5N3{3!ePCFlS70|0X}Qhms!BZ1ZCjOe8n?Pkwrxd zzt3cYZ<1ch$u~Rm`J0=lIAq+0?7n6v+=|Ql)p2yneMBRF1*I^B^$JmU>{6CnZk7&I$ zelLT#*L`yh)R}D_63HG5KL9WQ2)o#|Qm0t8K&y1a>>iX(f7)|1?VTL`S1nCuqOW_v z)3_t*Cj98-^STA(OceT7if#W|k*wCt6yu)jV_laZQ71_#mN5%?z@C+M#-ZJMk1HCh zXB8yz#p50&~OaDlU5N&nFwu>+!M;CLr(b)>xQMD>I}&dq~H`}BYrn1nYd{-S!obv zeMLN#hechK_p{yo0?^5v5fdVj$kECun~h_#mI8rk>MV-N1@pHE0s#P}Sn&y*{bTNaIhDO$h#vYeOd^9q3#Yn49MiR=U zH<7vXk4dwUv=t1Iy!*r!Uk6t}@cw)!?;4gf-0}1koL>(1{J~SJD3OD}<+75sh2qVl z&GOc|ZS^?6)C0-Ee(N_}Ik@EcDc&9Ey#dDs{7&12ytM_v1N_eV z9j!02mo%koDdWGk9L^upJ{0G@PtVAhT<=Ow($@TG6*3Gwk&n6a%H42IB^@KL$aCE; zTJ)xBpX@vG^^poaL~Vtob2W6O&zA~4H0UqsE<$vlVqKKqmbVzam+Y4e{Ug&;_utc# zevZD`nV|IlT6}+m_|Pi;<>f^1Awqwh7XLjJ`_ZI-d?@?xmXe=Ax5(D?@5;Y+ELX>F zKv$;48ULux@6K?B513QVZXiX!7j{g&!c~_aWB#Zuj+FRLyZ!s>q)Pq#47k(S_cGC@ zXV2jnaErfBa~Gt)PivceH8jh~Y$IvSf1FIg$T9p-14;O5!H}!_y7)KtT@pzb4{EZF z0u3|ynRG|Sp7R4P%G(fv;TwNxO7>^K=h=Q(E*8|J20R$lgm1(x`$`X9`WdFbVDjP8 zyKmI-IU|zeXKe*U=|d}UBNuWrgNA zIoytBmw!n$XXJ`XB_Vg3x_Q$-JqY?Pn04>wSu+UwZqP^SmW-dd1;My9)s0gK<(gWF zx#nP7w43<$;2A<#(-2z63r10p*X{mPR))MpY(e!*>5mZf?fsmL@#K%H6&07}7+!U}ka_f_j`*OIZo}o!ZTbWnzyR6a< zvjQ``EXOESsAjLjM0=aTxMAD+vB3-LMWKol_fAzghfe2CHiV9$O{~=gcBq;`mmIP9 zmUTdmHqMbyDGq0SF1OqyWA8YfoCXUtdvApsZZ!^7m@$t4PtM7F0aizmYlxTE%0=r= z&&;NZP^BfN(osm$c!miMTL_1_TE={l67rlj+xmWSM}!f~>JGAQ=o3*Qp=WV-S9m_7 zm}u`Q4Xo%B2^MWQ<19%Y=$W}FEaaybkt?0DTw@zW)q)HiT>_%F7xwgH@BxjZBr=;* z*Bo!x;6?ssh7X6j2bU2S(nz=bMW-Ac(41tAySpnd&-r=7VhM$!Vnf|F2qw&1xADYt zjPX+LeKk+m^#7Q&9&eur{~A=ua&W!iNzfUnDppoj zz0R&?I}AsEVvKRsNYIP%lqzaFl`*N9s4$YBB#gni%a-^GP7@~vts)pP7(RH2biC17 zmLL5v$<~UR>4x@}Vpl@jP2pu}#@yDo?BoinJ-yY0^b^btr80U`E7vuEX$@b2ocOT~ zCJmpSwEvZQYpoarsw|rgD=p_(t32g*8(lCGF{`_<@{dC4b1w%y!~nUUuH7_$yC| z7^9tP24#6H)@GvNV^3Pah2Uex8Rh1F<>YX|{MEIhoa&w2b$bibV>MFJyYg=HMUA${b1u#BDEQdq;Mx;cjb&XazzA-q=p@m$ zf~Whb!_h3{M%0_dtK)t5{Nx+4?dR=2=OkBa^P7{ic^s=^g!iT9p!4^wtW?1zS&!X+ zgLlk)Y+-1$4VkceI>0^t7-_Wm0xh%-=qbn@{G<$jAo@%l{4AkxN1U_swk$asw1sX< zowgCanm-}qVmhmMgZ}(ECAh)6uKYM9Gu&>e4e8qFu7qoEHs-F_nSF|0y0Aw!HJhih zpN~RHc(X6;@K;-yNuTucn*-ul*sz~1NLH>9L3ce{ZyIv%q+NM*fIp?_*&0*qGFHmC zTs?N!&)D_AW_HYx+RQIAKZ}-8bntF-aaNG4`Orlyv8T$QkO`(~b-pSSs7%k$2fs5Y;3%{C!CQvd+XRXYqD797dH!7eBh)mLCU2%Zpuox zFrnMjzpo8GewE4?de3szwCsaw+rH|O!Af(AZ6obGL~XNnm4=1+m7CJm3@VrijniP9 zF8YbUAGJ6w6#H@2u&$LiaIw!l+2$00mMHEztxY#_gTCw!jNN`0`tM; zpLP_(@!L=dV~jHpB{}NzYynJiCCG90>{pERX7{z2-F1`gOd^N7%?ROUE?Ec0w0IVL-j6TO~>U> zgK`MOA!tUEM?aF`1OkGEOe|oYP*3K0q9%V+T`}2YcrZ)kr=k0Zzc%Y(O@)K$J_rMx zpp2ndjgj<>8%fY*4RQ|kY+74RPC16J zatVL9-@86^X}XAEPbb}%RBpO%wm+_)uTj!nrVLPAa6@p3+5#c2AQDA!PlT$|nE3usY2! zM4-mYevCPr)%9o;j%M{vr&PX~bM3?hg@VFr=MbT-=N>dD^SaRBLqEJhc}|$s#zH zz=+;0RSX`mBcom8-`B)=)lV(65e~_u3T?Q+UZJno8ZQXy&fcb%Pa+P@1E6KpPul91 z#pkGp@9!HeWShBUMpVA0XJQz6HJOV{k=ROX=XlY-0c4NtU&uTRSi>A=p%0WBSeTzwUx9D?*DTE)oR;5MJsRa4+H6E=j zD?+rsfs@Eq|9TJMvBsu4a+FOwSf%mL?VATRBbAu0#=&{#=P>*hk3Ee+&9pNSX5sEs#d%E0ACYN~_V9UrQ^QHmf2Jh5 z!l^}8>|^#PBC1sPd0i%`$;Hxo2yd#IH^p@)-onF2$dzt277S&&&F5(+!O~H>b)eav zut_}ol|VYFGMR?j`_k=D;1GlqBUFaL5WyC4p7R(_rudt2yFbd+wPLuM(42;R;cmiB zS8=ChjW1IV)hYL?B3mG}Mi1#?wg-9fX||EBKYtuj^<&~Zr_fWZM;`}TU%(hx`yU2B zTqeV@{=(1DaMMv%Vce2{0;7$1k;mqzMI9ZAa#HC9qh(UXOnc}MM&=6h-$ z&ClJk#&cJc%t^;`nf6~Am4`A3<+yP8DywkEQ2AaK*5E@14Nsyck%w=*MU`R6yX}&j zic&n4)=P^hTpQ)#J(Q)hkT5xPs5LsmI%JF*fUe|&wk9Vw^CSt$4r!VBf-os$Z!MTW zDXC!GrAwLE29P+YHzb!kp&B^qycv)rZvb@@CPda1SFO_Q4_h3m?Afp#x6EyMd&oeX zjZ5?0QgwuFLvQ$oS7Fo$1$?4kHP)%AmhxI^&*nhS5FLekx@s6Jm-%9-MBm4dKd^qx zp2;G=jlk!0Z8->Bkz8XdshHg}*KbqRt5DIf+G#!FC_J}nKDC{vyv#DMh%zD9RDX9o z0ee)J|4=VIIF;|OUy(PVs8os+ui=jP4@IKt#PCs=6VbFdnswh~kT9yCXbo*4$-{q* z0gTD+3NGG$C5rqM9jt}a*gUFzp<`%9{vfPZx|r0iha~wtWfw&-fLOp(iPGT*=YAhv zx0m=Zfm(LatVisGkY3CgSPxRw9VgMeCT&|hMD8@Uct1%_W9lwc|Fwyg-S-U7?)^?k zjkqz_fua}iHg+vUuev^LdBx>$MDdjwD9~KVP`f{WerkJZ;GjI{;Hny7G0ss8aVe*A z);Nz}99~RcTvw)bHn(uJ(D8B|dD6c}lIlA$LfSHOslDQMFll&|eAJioqDkMXv{)!Z z4Lf+yY9(vX{3J}*DZQbV=YuMC<=mMM{rUC={7U5f`pP2DBZ*HeM5f7SI+f;xLg)<4 z7L^k-;tiNCX68vqo@+Q=d&Ksj<%yZjJ6ULZ1o9xr5~Itfz4c(|3e=T6S#Z!4K*Q&% zEKHf3uwQW|s`lWxrW4$JAzEL-zh5CdURfY{gltcwEtsGHYh%kD?gV-|#6RqYbnU0g zd!ZTC%;%h1sF1h6_KOnR?WifXw4>@u))lKMVVlKLSVL{KAa_tmgGq-Xk;1Sv_uu~XvruAt3 z4(pwQn|Cw!QYOoni#H!5Z~g^>>Xqmt%2%Q{OLwOBX!#Dqa@z99_0IDJnEfO6j`WrG zE$CY`a%x+9!FOu^PR0|*9&xR=sB|Y)B&aoj&p6k~Pa)ffLl!(OBR}HGRcdjnig2^rmCFQ&JVYvYDrHGJT=R zS}WW^V=uFBZ^bDc6RxLOyv3X1jlN-eB73UyV$rZ}b)9Kt<6h0>N}Q&VeKw6PaX#^6 z!&8Ab2R6T6W!DvB8N&YnpWTYz7?9XEsy}G~cA~hp-rLhiIb~?(1TZu3soJ}+$JWn; zQ_VYUn_|f}?*a|O{H%XD!tQ4etnID35n)d=?2NwhAdNCGk}5#Y&i_9Z`AaJMuh94C zUGaqQA^DO0$N?1o3Im1$!T-UBjD){O^&_VzgcHUM<%V|`J@g+1jsqi{`A^{AM~(u< z0TY4=M@a{&2(!oZ=RM40@{er5o3fBzHkFxJQF z^}M(6_|E+2ev252V1=;aII)~UzXGtVqCiap19Q^^Z`dai7#)ZU?vlIqp?8|eC3|aP z1b!70o(126e-T{)I3H9PCKQwCSNN4O?BDu|*QBqKMw4?l*mq(DJcuP07oJ(|S7*6d z3g&|Z{M&D;6R3hC;LFwVStKS$%;cAZKG%DViw&nVE0jzsmmKO6E^Qq5pqXbWgj8HK z9Ae=~0&W4FQ>r<88HSLjKwE6;c^kg*w%vZ1bTf?#B_s?FB_IF)PK5d7MBxqxr~u(^ z4>E7VQU%&4&BJ#Cox`5Qdd67sCu{A8Ga3^2rdA5~C6~d6a_WlrD5y!1cf>OcdG>O| zSo2k_e{;&!)o742Bm zJCMCFl^>lt5KXkBN7mHpyTYIUIEyv@&gJY`aOh%>nnHP^p~PN#BCX1EKn3 z@gtssA^HJ=n@0%QYUyYRRRb{OBF$3#v9unVTi-0yKp~k#o9GGBz&Q$YCc2J8+km_8 zS_MBvqhqC+clJsfVn=xgpmN3QJPb3n`_V4S;l2ZRnn422ZP2yCJ8eY!&?y}F1VI9X z%v#;I_p;q*kjo6TT14W(Ux*Nqz!4(^-o~{#IE7zKQMgfI65(b5IlmtyP_(2Lg^Dqx zC77uA6KXk5eku~Q0cdlDI5V_j0KDg`Z&1hcJ^KZ48n*Y4pekNT+#SMB)9DoY$c`te zb&1FaA2CdGhcmoCT>q+iiR6md4fWU14eB*_lbxXVjLG~BNQ z>>!&CMWIaK*i^lyi#R~P1@?j(KpKJ8OLkNCqNYYb3VB3H*K?`mv|+3hWa@pdSlb!- z9EQnxehn*}$*637Z)wlXR%JSm#Z>56bX|gN60uyyZ1S*fL7iu&DgDDNVyGF>^R~!% z$2_F@FG{!%pb2ozR=Kpulp(qx+!8X|Zj*<_orEuY&;8|&BROYz%XgTF7cMH>!IB8$ zn)O7(hwuQG`Jua=R8Su^ zsojj6+8I!`eZD<=BH2mD0(yA4$Ykqpjd`shddQGO9F#?TMd0raz@x8DN!57 z-}$fm`#08?^~UOyW2nQ~t@P8w$F_$-B_!UtFWpg(#!dD zmV~GG%5H5V%8Nv$kgG>uhb@n^3Es|uzai%I84gABT&)X-vI^DAN0Lg_iAnKo4rpdO zcr8+x6YOHs%59aGkos_P&90nj%fnIwjd@f;S=J9%aKMo}h8@x)TR3B_`hMDBPJ}YF zpVOl%aE=4X71lnb4POL3Ek_oCZ4~kP8%h)6iLPK`4>l*S6dBFomNHkr9tS50SF8!0 z(fz0yM?|--YNG}&T}LjRAVK})^nV-^>f2ELwh*&Dk>4>M)=ulxnMswt(;bZuf6A|>`BAk+4+e4VFrqecZ zAnlH@q*%rZ-FTg@oJRYE&e97ErW|A6H~^KW$vyuM1_Uep{{|r_IosJ;8C(BHX`rW* zb1*V?Ft;%!moWOhVD98jL8oYJYW_<e|#YY%Z{2w$0R)+uG$^TDNU}5`ZN&Me1 z1zCKi{}Z8*ne48tPmDL8oOAQtZDJW4c^TQ$BXOD&DKuW zOV$HGE?H4|(-J)tK-6;XjAY~xDhjeeAp`(0Bt8%V0Hb>qEe#g|*lzr=RITPF#Aigd z?(YVME<)+?Ui#agFq)M!7yy0@b};h9CWlNe`|5JGQ|V`nO!uNB(qILoi#rheX68DJ z?5-29V~+Jf$S76+1`5+G!2ef& z{xi}J0Sda2H8|6DUNWhF4?qB;PD@U79L3y_-k4spQPckt7rs z6^Wn$^g^UHiDxLOg_;Ek=2(EKJr9}e#p?!caRDNEeSLzycynAq?==}czgFMrg@nnr zY=KWGTWh`(H6BPmH`JP`_m<{)8i2?ekly=at&E8e0Q$RbobK0Y@7H2?3N~~n{qF9k zrzc*EqRkQIS+@5a*dG3y&Y2OX&5ZlM_T!9cb>n_%=cF~&<*es*npx7-gMoZF`+7L9 z5AJTJ6cdRaMW`{O10~W`DtlMUl#8IwO{hwiKT1fx#;bD7d@HT3hBbgSt6;UX_W<`T zPyk2s0E&$;JO0~`G)eJbjJGU1d)uFzGZ61DN_)Hu1*%o)5eY^|e;o?CZG2`PHGqI} zfk<}&ss6%c`g6ep`N9MB3owU*jp5@Q`T^A;>;_c!RU1|OVI06G*(LjX3G>hRB-kO4Vjc!**i zbtwR)zj7=pqae*JWGUErNN^s`tj+1mtimaX6Kp$(_a7MnxZIoE6ndZqeOxQBj=t_% z^k{#jU2Hdq%72!-q^(H0U~qi}x4f_PUO>EXdI4m6A-B{(@RG=q3eYI|duE8~5#w{1jVMz=^~mBETsHdGaFY#aAU>L+yg?qUdGZB#9BQ-!JVRpB$jx(2HT zfd>x;r3O0&H4wv$MD7ViV&^3oO@@vVf6X_*6MFh+X9~FklN667A782EzSylL>a*ySyO0O0P&V9}?&aKap zFr$uzp^A*>7aNZn3D$4Sau$ls=uWZQ$#ewi^0Ce?PJfpS3qARK`t;~Fk!GR<1HI}a zt5fVlujzN2k(S4Xq%2CQO=(f7IIJC3mn?Tm)0QfV@i0>=c$BF99iK}W;!wMLby z%itH}7oe8OQ^paiXI3yBDP1An(cU>i`>po-9FVePC&1Gb(>k>M&c~9i4%d*^=r7Q& z>0*ZvZi>2pYC>MLwn1!%GYxUgvQ4-D@)~@Hy%FQ>b=(zwQu^Ti;Qw&`6a{68LU%BqeVpgHhLLbT{@_?pIM(v8qRDZPkfZ@l-KYT~xJbJ`26+kb6K9=rk#^DZ5g^w+#>Sq_HOhJ`ttiKd4|Jog^h!? z2muV4!Tt_UGh|RlVGYb0Ho>;WNx+VZ=E5fXwX#EGI%IKX!m@AK7js5rO=N;)%5l!L zvbPqtHMU+lx1CAaqjV&9*tqaqyidyPCf_uVTW?-<_bTF}Fhe{;+WcZ53pOn`#kOiZ z;CTjdlW?_ox*r310=Or5#JK4^`gHqrEo!QCQ#v8G^R_i_6mGBt+v!Es$u_An@Ap0m z-<@6~Ke(Tr?`R&kc0HG0n)G7zl6F#eXb{8_sn6kYki1WB&gfq7?s_nL!NtMNpx6;_ zt#j3K8SS)w(SNPK$z~P*WI?}(*ooEgI{97&LZ!qdMm-7G2D$BnTh%_@$qATQ8)+U7 zX3_Nf^n+^y^nKybg4Kd=AbNWEYx&#$TJ>##mw;;wMu(1xQ`ZyUe~pg)&OwbuvqiSK z>ACRJthpBhZUah#=OOOsr-)w*1M-J7BB=%`2X4q9$*}W?%%J1G<3Y$lkF1@fJjta@ zssyy8l`MC*u(+hedaRR>1zD1mtCVTHP<#qWj%;3uQ3-U3SHgK(xg1Y2XbDM)wRA_< ztaF~ro*SolO0lhUPXfVc>Da3fDFYLcBk=>BMt)^-Wy9L$<^Cnlnw+D7bI&S zN({t1N>!z((tH)BYEV=0Dhra2(XA=n2T<#xIr%#pP)oFBJO;aad`f7M*TYG}W$mNwaqTBh?oT0@ zt1#=qP*K@YmY6pzB+OsM7}m*bIac;o=C)~?s0~(PREwJH9`#dgRSni2?)&#TSBuZ% z&wS3W&X2BMJ%qkPb+R4PM_I0Fq}7`n#>+)F?RAu1OV3NE7EkA4EP$5HmF`zqdR=Vd(OLiuNA7} zeuHi)Vi~&p-CAw6C9G?=)BH5}wEnchCTzXBG;?ix-qXQoeXs{;mFv0tAv2UQ4GRWm zvm?D_waLwq_$~)f2&na)+L}g^7MJ#!ZkE23A(&BuF^MTy0UCE2C9WtHXCmA@;yt46D*YgTJ_>n`gb8-AOhn-NN3-GtslzbFK5E3%iSt z%aAL?tITVP>&6@Yo5@@C+oL;&yPx~02h4|(N0!I_C;6xCXY1#Wmxx!)*U~rkw~=?X z_mdCTPw>ywFUqg>Z>jIiAM2l=UqRVR(8I@n8cv!1|6Iw;$o^~n`VWKU|602Ka4@j_ zpApk4$@QL_ydj{W&m1lq?wjFBW$eg&n>^ ztUaPWp^&U*%MKCNf^<)Td611=gX;a9PvbK%aXu0f`eJvN#0PY^*NO0OP{?CMEEHL~ zDXGI14(dOQi|iY(a5MK-JX_IF;b7YAEO)gJN{=qGI>tLlrJFV%sl>X_Qty-1*4owL zk#;MxaZ{p_FArG}+PQOyqQ8fVHrn8c8ZTi?7l>*mJR?aOHHl0Y3FTGH@jFn~mIx}^ za^ppf2Z`H+!h~vufqaL&ow2dEe|$)6_6f81X?+xB z&c%hyX{HJjoDvk6RLMqZ(uggO61kOtmxz8FtG`>d8m_W?xqMe6T}!c+E*7sSY(c`@ z(5dkw<2%+@^xd%Rf$2e%{g9g#H(P{^>%zt(TUGHC3{&7#>{N_NaT^Ce&|e@gU@JfY zz$aj`_0?mehgy~9w~fl?_vYzlf#zCG4iVCtw!%V`C@OY0Y0W_QbW_;oeE_&UHf{k# zEsikH2OZu?^gr+%Zk>Z_4~vOlNR{sE7TLe#aOEjxIi@21cu5s=i>z_QjzvIc%`JHm z)p>497^ZTJ;<>d`ooVJ-aaI@1(CCNZKLx#R`t9jDCa4YV=A3TnTyCsg14{II@*J@z zT--u9+_T*8Fj7!bHc6W_pwxFJeAZ+ZRjzSl3Ee}FgLAI@=%J^2_NsSilH^o6WlMQ( zHunBDw(6S!5M@ON+j!2Cg-F<6Zz#5{N!GCh^*;mKW7~b()7!(_>)Yeo^V|K~osB!+ z-Kqq0(XsbxDO004$vMSIsXGa$9v>G~_r8oXuqBBXro1ZWQbmdq#@g>cKR*(*@lgEJNIW$gD zF^WhqC5obX1Ws9fr$mQS^e#2>CpC|h{xg`YVqJ4;*d^00zv%@HPBBEM9K3mHEZG4w z5D^6ukp--Xym%BTdlb0>3V+cBjCQf`_hgbeHkRz+IUCK2n;8&qN3uC!4`I(<1Pb+C z5K|ASp;7>Ja$W&)cbM(7mL5VaqcPQ|A=j@sJhz}8`%+%PI;YXR zuUOu5@L!Aoz>E-t7*X=;k%SOJ>>=~EPFl_5E*#V)M||9wVs<2A_ADcIG_i;5F()8+ zLj~?ItbS)N)7Wnf(jyU^9uHopI=Q20B30C3lKC!xQeH zB@dF*aUb{mc;m$GG;)V!D5K2obiwz6J}E@*lr@J3jbqZQ*jV&h#^3fz=%9xC*&J-WhcNkD)0!| zI&bo@EYrJdR$m%P^-oI;UP}#ZRFjkL26(5l_(nD3PKr1h@ zDAKH8Ew8dDF+A6-6e3$b(0xVQ+4HpO6}9R&u@XQlx(LgNwqA|FT@A;th5k{!QUPC5 za8~q9F?t@naSJza8HOh7G&UJRZOykBy}tB!WRHbz4U=k(qHc|_92LR4x5s63Qf&wA zh&gPr2Y0oHf4Sp7DHC(6H2hFP*~QFmVEd@0|FB1`9{=VHR5`)oJjb?j0N7|H19za! z0=96(t)6&l;!$GHZSUw%xbE}$`&pgH=5r4)cWO7c!p>>kv z=%DjQv5!g3H1+Kt6|_+v&A*{~;rYX$OlADi;d20l59tXnMEC5bUcU9XOz0*YV%Sm@^tfB@1ra8YVQ)hDhh4m0GJekB*I`)r4CBh zOv3#ne?!V&5<`VY88GRHl}V2%?`kP4`%>BE!ak;w1HwcaRnk4nl*%g1$9l$KOr zvk1i~E0_|?fD&bvl>N(-p%j}`a8=YqCom)uNmOp&pC>>lBA6o&ZoGBbAi`jB9Yz^f z14^UR!t#k?7y>E6O%sWSCqY=k>{qIaDpiQ>W&X07DIPmDFxG9hCN~Y&7g(WnCYU)b zRjI2k4o2@squ+(OI(hu?5DSY-7g5<1xj=Vg701?e==QvPeE+aXvb~Q;bNBwB&Hi19}?TIt}3aho51p3jV0Wp2kDd#Wh5 zOz;cZ8b!#hLA(I^kQ~VS{^}wwowEH#ZZ`JktvazVE`n|xpGOSfy_DcFt8Xzxa8xi4 zMRad5d0oLXB3C>j-|U>9@l<-B0&hzK$eARXQ-O$7!Bn!CZZWA{0cx$3>nS&TG16l} zC{Yq#3IANmd@=TY0YI|EKT#lXR5p+@p?*|AcD$G{QAo%f<7l4vk;0=Lk>#K0oEcVN zwLMLA0rz+b5LMxbIX900@~MPFJoa5iwh~NF8i*;Se{5pEM7Dp*CloIetgOMiIA-SH zWBg8^kV(Htc5rOsfRHqJ8nkQROWzaKt~GuC&G7;d`_=>zs?IZ17u1bs*0vur9g=t4 z2j9X*KHuh@wkA-GCe({(Y;w4hCLNO*DEEVHBa%-$luhgUqL-$SWtR%eg@(+Z#+c;= zuyyeaGiK|&Sot+M`4j6$N*pI}FDLTNg_HCgcU(vM%!SACFDC%1chb$(l7i4OG)<31 zsen#+$a`>!RZo08P4QP!@mz4pfTG|aaQ^-_-LN3$Q>Tl$xolqmlAxxzTfTD0R}q8n z{o8M**Ryo~7gEAc(|@z`GPcrZ?EocidWpvlA^_S$Vi`Gen3z%EZnskj&0A=GGjj#kv}DbGia@#@O0(CmVlX`MQti4YYc&ih@Zg~%SQkZO~D=hp&9I)LCRjnl6fBk#Lc6=zuD z5h6(s_9>u`$#tl_9_iIsQqc{&`gkUn%rX9P9PHG+%0$;15f*F0X$pX>A;k60PN^H% zn0oZ6gY2D4f0DjIGdCcjxqBJiu(2ty!!YjUn^w#am0y)&PBcDakn|EUigt?;T(cvd zI#KJE=Sbm>raHI67|UURXO{Vmi(ftsepjp~H{{X>7v-Yt5xeX~qjE&6N7nA4PXwc> zUtQioL|LvGp1~Rz?2bs2n+4O$UL7~Am-4+!JmmnYuX8ISxL2W8=^{BkLnrPWV^hwmxFxdgKvha|_WoSt{bpcGbiQ+reaOiCU zy?jNaQ|Q-PKVn!Ol%yM+Br4QaDzVGB?u2BUCZTbigZPv@_3y7E@~Dz%wkYjk*v_ur zayj9nk8SO&z}o#`y(CoP6{SwEPEgYi0NG}6Og;$m{_=hHPE+0KL&5B^n>*F*sg=A) zv{E(nD5yFR!^lI7qY4uNjUlu^`iON+X9>?h|Z;2y_s-$xYp2%ZI=84p&B zwqc?JZ$s#O34Rt685vqPkU8!UDA|D6jJ9eP4MA?py7b8>`nLz24H&={UO++zj%GIdA#nKGd8VvR&*oh+EKrVks(iI{l#04?IF)y8t`4h z6UJ(xi?6(Sti@G22gZAb&3y61urY&jH%P-ab=q>_CXzJ5h9YdC6s<#EcmCz^49P~t z;z>nbMHg!DB;;}9qjU=e&vL^hd!Klpug~HS+6S!*z9HYF9|4LXUMHlBj8aF$DFoXH zEoHh8y{s$0(i;?x(m^YoiHW$fo`4PIs2YY#j4lQs#$%#T?W?Q=BrbDY}txHP&9w&Qxb<=Huw%eu6KwK4znZfZG9FL9OxL`MDLSJ)~MGjiM431kB zn)t-nt{7jpq3hARMxnN#K0w_&XRGZ(_hWb5(2`lmK7>^)mV=w6X-XKEL35PMy;%f}{H9$|BrEuK z9>YGa5qpFuRG8SN99WV3A6#21${Zza{(OaAlmpuAbN1hCb>M$xIscPQcDmn@NDd-3 z97{GH5^bIy#(-H(?TJcS4B{*vkTWEoMZyT(Ee4GWCG{XXcXg`1 z!NE0iiKUvN^aFWiUY_IN1fwWcyj`9LPwxNfg)^N#hN^WpM+mwNNRG}0RxS()K{L^D zcd{}Y*tQ1`{9#!fS(sv0#KGX@Q1TD@1^co0@qoi4%8?!S)~Gc)O4cH1Y%l* zEXh?Qi1SbR$?UI!$ry&G1`Rvbgk)lHq9#l%G;LFj`pfyH-DVufjAD@^(P)fx)o+zA zGfE=Q6fXh6%%CiqkG6*7mos@O<7=Oz;rOGN{^>qlqEez&Pp{-X=~7CoMgdBeP1ZSW zRA7!QN7FfVY$yKczC{%|VIgIBAo7+0jooByvaV)j*&X;#!qS?yS>19kWE*|ECQ9A< zk3INA9a^8hv)wm<@tTA8Zs*lw#24yCN#XZN$r##X)^#%Y^ADXTCzmVT$4JVNvF)zl zFH*`gMqWg?$&}OsYqW?ak`*c36$}FLqJJvE*&tkBQFv^4*@1LcI6a>>npP2dhiD}{ ze?aj%%We>x_6d1&T;(H%OK5LQcw^JeggXHM`eNW<-gNyaF-Srmhx7!gf2u9GD98z! z3}A^csOm1gm2WpzWktB+9=Gm1(+FKd3^;~OPv%&CI=<%EcKPBiv`%|6{MH7;LQ93S z-n!6x3%)%N)Odym6B^as8(slhQoEvaNW?fcbJIeDZBye4s=Jo8#>Kqs(w6n#6gib- zS0VEbHY~XI6W&jmsQE!Gw|_lU&B0R%axf_F_5FTf6^S_nx|Z5&C*Pf;yQgm5_*|IS zj|2_97wf6=U4>Yfjs$j|Z5TDETPg;X`4@xfZeM~CMnh5<_Hu``_3ns^ezDBaNIrGb zSk*WLSo^ARvV_kM{DQJ9*$#n+V<7oP5X9MEYN3mi+D-gO_7Gbxq!fj zI@1jhT-n~{3D%YsOSRmqiYtkiXY4n+iVs!{aw9_(DV5%x$W~{)BV|d-c!N^m2K-MT zQZNp4(pWzz7!_BZQmujg)(c69*j}38-)gFIN3dpI9UMPwUZ7N>#P`&!WM(Yo;%5Pg z6N5d}6(sXfK6-Y>LErTFovo$j?(Cb38205Y#ddCO#R=Ith5fzy0az&HL`GRj0Xj*u zvtWqhsSVdMIKoW4o_naP{Y6-(L2)u<48rsA%@MPJ`hw1&&-)cTYsR|z%{x04PjSm{ z<_`XAP=Cx2JtXcr{cqB3B8`B&!)%BZ!wsZq<(AJ^~%_>joJpiYTuI zCG20*Oye1!gB!$Z7jomzk`()Mh(!ZMV-7pLy)GIMgq6Q463NW?b8$fL6 z&33F|kfC7++L;Sozk~UdLpvvF(k=mxUl3MmI~|HuS%ldoUP8Xo3U-o7;+`g9>v+;q zdM3`r!rNHBdbn8Z@9q|SJ@8V%Q2)FUO;7lE?BnCZo1_`%^klf(vUr~Dqjo|m*_tT- z%}XHSHGv)%^Nz5raZ@k+G>8^YW7=w`gnx5oV>?3q)s|gwlM0DkigYEz_e5t<)wW{LxmZd}XE?0M$N8-w(P9&OMXbPv#t z_+_K*mV_P|bWhBwxsyriX~6#N)iYsJw~7+B&F?!+piLV>0@+DlY3 z@r*{#k89?j`l3GV;{27Sh6(FG!6!v+zAvumTJESUAe zP}g_dV|2KQD#l&1#tTenRZk?srE`I7)6zibc92d^`?(gqTgpQAb8u9Nt_TLGk^(xg zj6lo>9e)66&c3nZbyl*aMn$C!)^3AT7lC5Lo$C-1lAvjSDE}=9E)HGDSB3_Jnoxg33dQvUui=g9V*&J$H*V_lQ@e*C@PJF}bZNZ!4Iyi?WVco%q+J*G4B ztX3$!CWq$WWAW`+pRxvtd)ksDTydcgvoHA|HPNbR1KX0YQMNJjbTQrLqix|dDq8{^ z?{JCeRuWjBR_bXS5t=gcs9poVu^Y8skh&%9RvU%xg38z-`nmeU8k`GpVh>AI@`z4F zi~tCrxN@~a4+6-Kd|jTx%L(8InI_U`@eAfHNluhklksURCsW0p-OQGf|A_<&Ti5VA z46c0ID>|&}b6XMXRLT{dr+0a>DGx)Xe_MDM;L|}|t!=svzXV^KdB;!jK5^~YYO(xM zkd|;J|HxVG>i(44boH@sewnzSwwT!GlI=;zvVxUFEF9_retp2R%Ms+}EJV2>PTkYc zltaYR?;II_0C^Y{HUsXD3#LD)5xCOpFQLPPFl4}-Zxx>_V19?-5m9o&TKTLGQdvbz zu1%o?W+F!BH=JHLUHG$*G`bm(fEy9&2|3Y!S1ssJNF*$zDoBu_^7m1_S%g-hLye27 zbV`G(d*g96`d1z{e2UIgG1hXN&5`JhYs*{s)@uK)2=5o>i*G93%Pv_pb=1vPXd|^oMN(~J$3L2@ zbMvk`Qo_hl^$5eZrFmv=jX&|fiQy^Itzs{^i@xIQH`s=U z6JB4=E*cEpB~N=c0#!9cn5v>)=Y}kvDS0t#gWb8yyP}-K8d>|s)d5iJ#ewzgyk((i zx7rk@>R=CbZP5Wwc1!z*235VPmVM0VN%?90zb9uSZoLEYZVMwE@05v9y!4sj;o0_p zs&bUsMfbpwdeT*qP}Uqm`gkZkw~{sqpu{3ILx&OoX?7$G=zeMeYv}+;XVQ8$li}yg zX)s+~UFz6i3joY^+2%|$KoNz`0~iqOO~g&=d>^q%ua~IvoIn^46yx}c)`q&Yr%jtVB+Tl zsvtY4`r;Zi!@Yfa&f*%9bOil$tCX?FfZ^syeyE80*152$dL|Q~1>#7a4~{Ko0y&pp9p!CNi;hD?{L;EKRfEOVZ1=%|$ zN*dmch=Rp|G0G6XIs31R7p=|}hN;6~Twc~B`|%5YE|?Msstm#e01(`Kktqx!;i!-CFyh zszkIty?n^BIqIv>(poG3`Yp%S%lvcjI$Ek6kA70`XkcPcVO0zb+MtA)HU}yv;w8wF zNW<2%vT$SF`l8`^Eu@uaSzv?Wh54uEbEVbNhxHeaN02NDLO@Y z9T1S5RrpE;#6TDdZu%-J9p01o-=D2jJ(|sIhU8G!Efp;KwJiu)1TDFE08`1H1Roj6 z%Pe`A8H@0a+GFv-%0w5S#sEv2$Gg>;OQ6`g<^5xXuEd42gcyP;LGwuzwoNp^GIX1o zz*77(`|{|jcI9(|U%|zeedQ(dko6n7*kE(0UYpqOn|pC6xf>^oEN*#Z`lYRVouCJ? z@i{zwyggudtDP_>K`qx`-qW9GQAvyB^n7#4S1#Vo4)g?G4I;9`b0?3k-U3vyg1A*d zoK>;+S^%RAMFhC1exI+^)X|Q32cAG!)Q$1LKmz>us_!VGr*je`LoR*gaw11B&qyP+ zm#@ngwo|rt>^5pG-OmESju@bB;=Va#lug*P|#MTQ3$Qo{zH87Yebv+aRGq>v6$u}6^T>MKPX znk~o-%R8c4iSgNYko+3U?o8TnnzPmVPA8U>oqD)=xe`^<%Xu$lQvu5xzyW@J|dpym0 zXm6D*?g}Do*BPTYK~7*tNW$*UCMojB+2au;3bscza8)VAK_q&pL<&a}sjkGOs?t?` z{4fYU&a5X*woF_ae3;pGG2%kP2pv*v&^x4hBC#D{B(62JX(KDlHG}U=n0rA1yM+wy(nXPP4Mu$~#deVNYX&;t8=8g{rw+D)Gu_~<6pPmAptE-&$6+?)%ECBe^ z`*-0|$Ulz^)Hc7xeWw};3vRr0_=^mnlWpIABzihUcfAI^oxd2O-9<(X;M5~@rkc$f zE$eqs`Tpu=S*CoD(}C2x7Ps`fs`AKr92%xiL0e#J7Em3MUOpkz`BLK)f%Jd3)t7w& zfqL=)MBJtKAaI`Y!#1w+z6=SH86#p39+>CJ&aA`Z_LVSu{ILhZ5AHBnWYvYQ2LxG* zI3OZ|VfB^wU@V-c}d1)U$WWG=Lm1*ioZY@>{H zqYCz1IBdJJmEl?d%b=7#|4V;ilFDq;ys$tbN0IMr7lha43l|rmNMvHiPF8{V%*f8o zi#r~`VTf2QM;7GUl#Qe$n$d3i>TxM;WE%LPszWn+-)CZ7Oqw$h+Ier)%eN!Zj6?9= zd0E}}H{)lYFQ9v=;vqT?U0iN|5CvYKZz2_tfsM zOBlD)Q7nGnIK>i*hNgHIxLY@}1IV5eMuSS2eWYXIEXZ<;-8-|JAKA{r32zRF8cC`3 zASK;`jnMMeFl;8fwdA4$XxLf%Bvnh^{_hIQfWYjN2?+$HuDG%>EeQxGgD#X#fMP4~ z135NlFU?5WV*1J)n!+U^qHHWf?j=IN?Y}1!DMTT*PlJw;sKe-NT=bn5n}ETc5m(sC zC67?DX|3N2>u^)cYdmdt95OR-4^`?hc^2-uE8SA;|#E z8r+|=&`cf5=IoU5_ChCCkycVhLNXBzlB~kiNf4x& zwL&4;I<#7#npfNBV7oHlWQjkPpOxg986re!Uf&2(t5Z#`bbN)SEJtXX!u|zPV-ba; zH8;n7h5e1phQORXQk;R%aB6at`&w_GLji`?`HN(0Q=HPNmxalGm$JjR$>H{4?Nwy~ zj6qXn##lo|gBViC1lxAZ&0;isrCCxN6>nq1x^OTK3OYj#Pd$PvnASf= zT;-2Sc5h-S<;{;HoU6A3;q%v#qCez$i>^8#3UXH;OQWe;T43f)Fl42x#CK@r1g2PfJ7^54_LfKoU&&$<(ql|f3B<_n}duK6+F3EmI$Z8qu zC;VwUr$#y(^oxnbipt#E-S)?|GIE*lqTG2LUoR0wz0*-da7DC+j zGqmf5@F(AUCg@swYvje)E@!gCS(vrBB(ca#P!3UwaXVANT>iMAy??B;kUD+RbWddu zLh;X9ZY)WeUk_tdxgyPZu^n?pTxqUBEe;9y+Tp@^dt<0wp}=C2X?kOoR4Y-4nO2C& z7kG@p5r>o&;!cE;RWpeL-(bB&1!f5m`R)bJZfL5e5;(rIVp3}XClu?qy$eW2LA}Z3b$MQo${&P zV%opj4wy}|o{3LHL?jNOYL1+E{deksHEjjc9cGN%V+O1-z|fyR7AZJ;V+)g^0mugW z3bT`{A%yapo8zFLjUm+f${tMZ9wmN1#WWPD@rrp?Vm=oq<6*C8lbjO=i7BF%ac0Y6 z72en+7_JNrn#!vsy8(=eB%;PU!|$WguBUrma~~B6H4n;U1|~O)W zzwO>+yyD}~b~0^+xm(_+)`BjnbxW%C5o7J$(-Xujm%O621BQR?Wl-Dx5idw)8BMF% z*ybVqMC0L)vDJ!jKC;%)r`gf-S@H%}GM4=&r%A6`;fdynniNJV=*@Av3}pEto+2i) zgMJQsviCBie`K(N@IliNxix{ON3L&A z28e7GPN)aOm+?e#2rl0~AT2g$lW`Ua$V>bOvXS&^v>6$jJ_-C3W@{N-kbJqZrsu1| z6R?T{|MMM}C&?b+HN9Z@0zhT$pI@lKCro9WV zW#qyd=Am=fz9YJpBpm66kRfGeh|Nu3B2^GsftN1Kdx<}V$&5vknv zDMQ%aaI9?Wo9%45bl#3fB~I~q3y%=L!*Ne9V>5T1xqs^-CNK_nv$xiJC|e4~EzvvT zYu0nXm)J$c&SQ>fupZ{Zm?F8bRvh8Er4~GefmeXhMuTi0=4!8#u&ZxFd_jLpHVZ6R z=JYS|*>Xa=jF^M~XOd)|roSH*Xd}3aNjfhU*fjy&tjVbUZ40y}_H&&~rYnwb_K0cDj47AtFD35!)8jcSi@okaP=_L~Es?C#sIxJ`ePI(t zol@Tz1q2eeuwY4DxiP#7VlG@#0ZalKq7TBq5TzLvh(^9#lJZ=egNLtiplnU9Q)|o5UuHr z>W$95cJEY77u^>m!)LivxlKbIgY31;M^p^?d7(G2fVv}hwE9%y8Qj91Jj5*`Gf})- zOVR8%&IE6mwRQzHfb0Y)~lSuolFH0^FNh-5P(t5eSLaTZ6iE%iJ;Z>bWj# zS?qaBhCt8+!0BL54Ft*aS%9GP!Lz=y4>o#!behiFy;G4V zsi(+DU;Zt-Di7S`ev?)dw2GCbm%RXLVAIegfhOPA#iEK@H0^PF_-A~gss?8m7;5P1 zTVh_PRdY<^Y9@y4C(kg$QsDN_mH zUhH}1#0M<()%yrR1%Z0h)G>JsCTD4F!3*X%cpJBCJ-nI#W}FxyJVeAJ@#;I6s~(NI zl5JgLrXDwwpTWB;IhId|Hv&NI^C{0g^tLxHa(cv%3?n+mH&@~a`cBrmpzI%Hp%M6; z;=}mu*d4Y~z8zJuGjCS4EnDtfOKQ09%0d!bd>(@zzqei$=laqoIJv zudyfhD7WEC2*K48M)JDe?LZ=gJl(#W2y!IRYzU_L?mxrH1RGP|F<)U>2GQyF?~!N8 zt;Oy8`Cg3^+jCT*ToE^&_5%}v?==li8pq5y7j88=lbnoTn-0zL;7Q#{cva$ji$frN232m=;*}COBmz+)4Tgkl6J2OuU_Xj3G`qHt6vx;R zFp&lOxOdn)t>Q=qdFmLH&l34_ro6|#GqF%;8jRwij%FGJB9WN?V#0`yq4``u=T0K$ z<77pXMRH=VW3nTV=1P-{L7C132`&FpAWiZ1VB859sxvj(WuQ#Tr4LKdC?YE5ns<^g zA_vuTSj=(&1(wj=D<9t*ofzBN0PQzHdkT>&f#1KskRcHi=%z1RkxJu@QDkhY-v*@& zmWQ?<@1eob?XS%09b#SV0@ z{%bqeSn5C}9#&zYnZf4VLfHF9#nI+(vu=IrW{yXiQhf9I4#D?!Q;(Dov>tt4jH5*m zm)&kTYEY#Xh?S~nBzA~8A?rckwesF_svT~{T2o(0Dy$`b20MY>OlLmHL>0fJX;iP$ zRbEMDOD%T9LnJMju3nOePkr(Q) zVrNk1D{>?SZ2rG36T0R?eTB^WRLL+F2(Ey$zc;(rW3WYMe~0(U`Xr<~c)P^PO;prW zrA`0f*xn@>Oh5~^_@u2DBFPvH0{C)PoQ(imzR-%}a<{QG?H|__>vvqTp{FFPd3`HN znQE*-o5ry6ejNob$3o@fC~%^02ix9wyX;g?@u??z*eHn{rC){Gu!;_xzl7}Qv^bbw zU6Qles@FM)&6Ge(vbzo25szr_UIGWUmT>R--4~vv3-FWgNd$QpV&|!@M#v+8Av(|? zSrz4T(5OohU;b(FPmOoU?Gf_qN+G#L{zYz@KOV^zJw)K+Br6z`hT z5e2m)u~2WNwZ!}uI~P+Y*P<=lp<7*I^wm9(3cEAd1Q8%%ye(=&9LxO|91cniSS_#x zs3ib?EtD?8fD(Pgp_(1rHEj|_#9*|zSCBv6s%(X0M`Df+90sCKJAjG(iMc3qzb!81 zKr$0!9yFW2-&S##;~&JlPBKy_i-S6MvVcv{GyZ%p6xI z;8<~QR6Q34m(J_(LT#+F`g!4l)+f*M>kLy5}js_ z6CUYdf@1daqoV^6Vzeyoa%ftXRjI2y5v`Cp*>ME{?*d%Xotk(E&|fxW(XjVDN_gL6 z+JR-$v(IEEOpmD*Qs4WYR%5M_O*4Ob@2qw6{bbJYZnQ<>ay@7L(DVL~xEPR6<3|;j z`6bVzG4&x>`4fq9&4Hn57Q-%w$XX><85%=amj=TE1_(6An3t<}#8_=f3Iq_To&=ML{L__SC!re-DH>%h6QUZ1T1uoT=67+pE@O65h2HOak1H(> z#H7tV6J`o`;v9<1A1t@PxseIBQYBBOGWs*FJXv~1mRvWhD2N7~24aG3$`~<*b_C%9 zNl{T|-o9{wdL+?$YK0Ic?*;1v#|>@v>X8H)u-_n}Y(?cP+n-@7sH+oQvJ1?ddbXE- zT5%Tjfrs&zFPAN>zVFRc-Sr!OpYMaLOp359R{e`Bgsgb)M@yV0f+_qUy-PYN8r~CY z&iZ1s#2H9iofw+R9HOL)@gI7kP@FKkWG@3WVmJ5+RsMKAgNt|7BHpD)ync_QJp-73 zC{qjg_-zO7cc+{8P)sto>3NU&nyqhR|L6SKg>AM(XHnI=vhxkc(=ds-=P=amGj&E|-pO zLOBX#YveRY*bUZ5+_urmPz0KQiHjGo^hC0$ghd-8ZQiQ>A$WH&1tuJF_n)uj-RL<0fKiaJ8Saf&hf^mQA(T(Z~Fh zy$8FoB!z^0ghtvW9OuXvG=;)+Wh@aYmByK6L%+@6d0wsg!+< zhNw4gtscK+eO_F>S}a0eRR4pj#pp0^ZfQjq2zIWULGb9p7*3qra7P_zo^Quf(BXJ0 zc*myuPla>Jg9GMDIb1aeE|<@d$Gip5;8_i~`@b5s>)c)B7Za00>HBa$7q#c%$M#qL z@1X34hlAf17hUVDU964vaVK`>9k@6}qh#Q&v@DRioL$DZeX)`f_!m*Zmnlo zxvPvbQ&~T8)NA-SSYF`wIreF$!Bu7>n|k(Y#>t_G3LT1?~Bz|JL z7hNbF1H0qfs=uoQOT9WsCf!kvIP3xA=|{pK+A zL7{?ruNhwC0e7R?fnO@gSuRh!+%}pf#BH_2(1I#AX2r!iHp7raiIHn(db1Z8idOwt zGsQhaeXwq?%nYgdSso8(yB$~-*i^xHbYQiwmt{CqjE(worZe4+o&?hBhq?ecDA2jU zsRqBUDw6p+kz)#i@_E~xRqEfm=Zl+;=&M%|F3^iuEyJlithD1rc6K;kpWc&YCgYXI1NNQ<0hSsC;_OUi&O?0gWYMqTIigT)@K(p5ZE}TzL~y}i3wFZH50OvA zNsiU{x_)AxVr{J2ur7lhAKtn=UAk*uGm2xihRTN&xiTf-yg!FKo?P)H(gd^C$t@Rd zaMSVb&SIga##Mq55~#oyUS+Mixa*R8CZOWKOOCH1z6pjoIe0(-ia<8?x{W zo1r&&tfKDU#X}z6<5o_HFf-PY2aIk;LMmF)U<a`t&HP0P)OIU$VjD`xa2S+JkmX}fp;U1Tcoij ztSn+pH3xSEaqO7yFUY3O6pc+^o3RWwr?0=7EkW1KfuD@y0`p1t2EpmKNu{6<+Zh$B z4nIV8lrY$i*%59d?ZPBY*}~SLO4cb8F6H?t!q8uhbpl1+P0NK_S5&k5fyXV(qG-&9 zVhqO*0{Yv{;46dUnS<4q#+^8J&gq;8h*^-5NpVo`)N_BSQ1uG{aRyI z89&a?*}3nUWNv;=M`^CR>e~2_bI4o*z*X|hhA)UueHXN^>SQ)uKeKs1tS%o8E+5`ZKQ0?? ztdLGVBXGG~yuuF#3F(mt2qA#|6U_^XQ=9niguVN4G7_yuO`m=gs$Mi=x!TGLmzOVn?v2$}4 z@0>dq5=RTcN)b|1#_tD}rx(+-hqT`S{EX`N4Vuc81B}tvmU~)SNyUZA#kyw5bVgWl z5E3W$z}xVwa!>5O9ZXRhH~1XD?|CkfqyMfXQJ+(3pnsAF;`=(b{r0)K^_gh-4hVR# zEr_rCYFqnI+!)E-8A1RtB}gGXMEsLeqEIjC_thNI0rAxEi5U^X395h zJZTn31M->JM<8O(O)xl%L6cKHb`DRO2n5I-yDe%Yvd}qylo1WIP$oB}Xly;Tz0CXS zf%AY?azxC7_q0B=E!|vTe)V-F@F(N808_QGqY(#%OP$BD*z&pSYKCOsJKCp11xoJK zs)d)DZ~GIRz*2W8yR`c@$yM}SNTV*p^y{FmugT&eLkbnyk4uW6Z5iPkY>}B6$LvPD&r2Ook!Q9QiY5 z)?nfyu!@ttX!a_D=P5vw z+E(3V6|;SO?XT|tSg=@iac6Yw3q9J+flFiq>lcnrE$3!IeQe%Ra`%3Zaq`rnhdy-q z8-w|Jcs;C!f$PMXyFg00rxT3t^R1*th4rWp7cYU!`7VRB^4jl~X<$dDVFM4Nkn6u?P5YZA9A2it%2e-*?-n4%%1NKyQ07(_CkADLvj*;yE={LRK@HN!!7~MdqNhBN z!FF5)%HVu#E*DMwdhuC0!Rtt1I)5wdc61cFtdSA)`|;d{nYYUR_52T;^rWO=x>z}I zTg@l^edXnigUj}TnUuJ5A%jp1NvZ~XVPO#|^OT&UgSrU*R?|{HH@+Ri=uJ^!s|WF+ zd(QXP%|H$0RPbUXtz_=Rbc&6O3phZ`_9#iJIXAnCIYX4?e5+48h96wDfFL5WA>lS< zdlO`9$$hpzCoWA`^7$?EDq{JaLm-=Y1>nrkQpSNW@)~+6yqr{y= zP$F?vXrz|>F=T!b66RV8nE{X7w6MX)QF6;pQvM5xq@cPx)gsv=+8P^<5xI{BMfuxj zU+%Y_ehm@HOzL^P*6A}jyC(h8T`d?OK44o+Fy&mml}5&`dNGQmafC8?J!IrteP;3S zo*U_?#2(g7^b~)wha5AKk|#91yFv@&5T23 zY{X804GJ8>U?4&WMMTliG}blJL5 z{$|%CU9M}tQD4@`VW~sXN4A}E+W}BvKYk)j>mBg8Dx-+R0f~i}F6n!NHnKHR*RS2o z;fY`(zRAwng|6kl z*vO8O#)aV~F{6;v&urVoU?4_Bf#7&6SrHod&f0nx@}<=DC-kfE@gjb09z?HT@%14= z80=y`0z-fX+6EfAZI_{Y%ExqnlRiTlJIJ?%?H_G%k`+rt2=eAgTTU>CH~tW^Ycg3c zZB9eG)5pe(3%IN;!*g->MTnSsOS6!jstW-fY&)ywEJ5T{k;ii*ULlb9G$L?DgImlL zDuerUgYGqurZ_&9eLuFRsiF?er~_5psIm(K9-wcqky_}ixgcn}Xyt>#$svCPea?q7 z3P`Q+^ARSb50DbBh1)TGkRGs}1?cM$m1xX*F`->HeePDya9EnEd#B(*ihHwASTv;U z%P#?%!yaIeNc^^su7R#8V2?ti#uZiA)XMsh=^@^CM90s=C&Il82%b?&Q+FEGYOh+^ zAmw)ze38@0NiZ$?I)Y#G!wgA*hQ*atuO)ENb3%e5X{I}n>FA!4XOKv!c$HN6E#;|} z5C3*u@|Y%9V|E&RuM7-&1U)!wC^^+LdF2`$tW8d$H-;hJ3T05o98@n{_C+^3^Sop#$z4?K7 zRI2dtlL&C|hS5=YWRMY`K8U5>PA|bNm)71=e(#jpQ0+I2M0GPJNRkSD&nsh6P?$jF z1tEWCJw|r_kz3yUSLX5Tir?gEr;>Scj?{6o9h51-bMuwO#aHC-HZTrR+rZ5CP{hoh z+peQIRX5o;ER!k4!JODk~U5kcU$&E|YXe zIpKRihPuTAE$>NWNir(;(=g6dM)2vwz^L)?N+2i{ue9vcF4;(I0+Z&XU=;-Qt(tYOmg~C`)ot&xxn&zBUxt5li zLbavJGP%mjpRMkHSOmO7c*e9rtE3gpNwO8KU8OE|`Hn_1MMbbK zzJBfL!<=VG13&rT)ysF}O#U-1hQjD)>Vu^^cZjuhSA3s{?QC!>J~lZd{uXZP3#Kfw z?1bV34C3*H1uiJGk5w&lB-xcyEQbMm<1#-$jfE}ep>!mWrlf6D1}ENT_e$iLDg9(! z;C|RYO{Jpv0e%Nm_HwIy41->g*Wl6M>-D3~Y4>M`NI~-uS^sd*PXJD(`DCh2Uul9q zYPPCxZhOi4)bG4$l%yFFmGFCIa~lL&cD}9TVJ_uIw4xg&<QW84yu)=$bUd@@2 zj!dxO1LQXb2@#49<+U|BS8;i9Q1(4a;qSs5p|Pu|qv_hH`PGXxIg>!{=7n-Ou!m<| zTDDyEsyM=Ey7?i6m<*gm^DHgWR)s1HBlVoKpX=BR`1o@qk+j|t#vva4qf6y7 zEe*emE@PO)d-2lBJz{UZPzUPM(n`~*vEIAie!Ig5J^^PNOG&hfJ|qx_qm3LRT7JQs zCxD%O0WI|&9d}sLGuK{OZ1R#AlQY<+nc~o~inJu}oF5QQNYK1vR#|!>> zss|C9D456J4xX1iziy8Ma=yJCBqy`;0My@}+9@>9o~9c#I~LT$&jr4%dOi0Z67&|X z&vICca%No1Ln*{;IAmCP zo-=Oy`qiuiCVem*^cjf_a9h%KJa;~I9OpLE8PJJg*G=>&_kZaE?M=q|La}jIS-U0K z3M1&VktZMXtWEE`Y?s*drf^} zVeF~3UPTXP78EY!u0#^m7Az=t5;2uiE;z)Mwv$H6YaYaLiEa*E^}SGOQ75 zVlM1$p+}v-RvL(o9^Q*@R&&?RltMYgMfqF%Q+8>ee?e9vHkQ^7mibbF#84X+$|lpO zjVq&u30UwnpLKFI7m{M_Uh=2qlFIxtud|R-p*CY+UFxfUs^tZ1NJAvw&iNKH>OH@c ze7?qNPviv%G^xtQ%a~I+-d--WxqO{@dqBFSzBSDZZ`mg1m*#t-QXnt7l}Qsz2*ut_ zdDu3jEfv>-6*c8gCGv7mbTOrsa>Z<2EFJdH`cUcUj)bK;dK2t5Mwj9I8RxxzZ{$LUkQNlcRFLL6;ROn@*AqBKDH2AO5=e781}=4R z^E(|!EDM$YW`^o=RjhTcu_t6(P9I;3Xr;t_>9Sd?t3eYdPhp);z|yxxsKqa{}p z6NJ!~o}K`X4z>4bM5u0r>)1vrC>c{}lqQm2KrqX^Yv?S}^-c}l$@j^s8-O;;`gsYV zZH#8RoA6_k7`xVcrAX+z6}Z~a@ON+ zu{@qFXz3ZKCQTV}v;0#swOYx%e9m@&P*w$l8JGGkATX7Dt<7!eJAElmaj@PG=Ymw$r!Ik@oqc7#6A7c^j+b0dq zJQIqw%yKFR513yuA3=0xi3AZRY`0;M#uSUz)M%IP90f*aUR`A}*U{-qt-XmkJQ@hN z{h2OBO26pRHOA)Wm`10Uyvn8)jx)^Y8Wsl^A?(fwE&j6)wY5YX47(q85X>on=c>d^7<(&;v#_JfSY zA!haZ?(m_HjzZR0k_T^=G@tGp%!pd5lG45O52b;`J@X1B0m&?tZyDP+l{f+cX0`|7 zK_6DNKuG%KRRVsjw;K-QBfYu2&{ipq1xzPu2T4ju3cI6#% zB~KRtsvOI3@Xp~uElwsIgXQkA``{n6bK{M6DAgg4jO$isN{R|jLM>l z6T#+^=BVBJ@{-hP0=30A-s-t;@W0RPRS+J|=T4gZm$5oHbU6=A74D!IQ{PzoFn$Z{ zvAp@PejKrw^&*pPfT7Jq>vn=3mMG{BybtQv(5MxQ8hSR$XTMAeRzuU$WF)#((EH+| z$@tnw!+5lQ*T6gc8c?aQLZb_0+7lWe?Cz!2Q0vn&-1=!$@VE>+pB7G^VcQaLrsa#z z*Y0{AJMazbF3ZjKd|_d2U%t~;%i`zEkz0VfohQjh>PR12hWZ(5vnH1ZvAuh7e5$H1 zQqbfSu<=DjVz-0B;)=@OLh`jd-qxnyhL&I4Q+!wLc3U2Ab3Z)jHVFA$=Ms;X$CMwo zxSHOXA)BHi%`&bXlSwES@PJYl^H#wiaAN z2d%CJhRp^B?@SSSw~l3}xFB$C(l8%0z(goYD>S==O$?SjL7v42>STp@EYrI(>dW$z zHvRIcM87l4$PNuB(=YJ!@2S7cZTOrnb}cG_wIF_ow+Xc{)5AKqxP_uoom{F_Hbb&~ z$)Zeju>{jhInFloES~v)%mKuJ#1A)b&Vrft*3YO75mD}xnLm6nHB?*a&@%Trc;Xn+qQQ9n!@~ zV*esy_px( zX)}S+pHdbCld#xEXneAQlRhpZc&B{jj}w6Yc7EZuzoIkJL#MUs9|>N=fWJM%Adn;bb-&^U+9->5g%|LU@D2sMcSjM3Efoq zIh0n&T?7>X()J>N?rVt40U(ZtVy5Hsm!=x&m8h5H5!D<$e_~G7YLgO|C7J4_)&|8W zrISS3K8C~3fLmH#AY+ONA-^GFM8j|KU;E?vE*UoyjJ&r5w$m#!6`xjf8cGHC(UP+z zyeQ6T@7>NQem4p^s1tou>8+e4+2@hGrQ!d&WK*n^mHj<%MPV$h*1E;QBlNk!zwoA7 zoNQU{s-AeN-HGe<0a#pqDMU4@?<$wkTNyE{S3$d9?G>xbHb636Y z;*Zv|MCXvKL<|Pg`4ROA!a9HAR-7;XWpQOV94x5ppKvhP!(Lm=Q9e!x`t^&j!}_#5 zE`7M8_zmECPb6ul4(UYXL@bigU)X_F9ql*uOo7c`mKph?izX!9!)Tak-#Ik#VKwPvX>51SZb{-nMw=E-Ya;KDQN zp#)Zw;vwYW*(&aMVq*c`1C}@22!6ELoAukr?@CJJS{N81V@1z_u4|ET7viOSCdr!h0te*2SC( zA=^Ey;|6N3YnzJe78T2ZTONRVNgYuoy1)fT;y@hJarJO>@dOy!X6ZHQO9e6_zGl1@ zqgGTiYUglVvumM&tL8kD`0iRE8sTmdiCC1Bn!m<6UwalkYC)Mnq`Cpe5BA25X zEVk9OvO#sOo^o*@_;QHo4uZ#-_Vj)Qs&eMd)Ly_q6d!pkFgEXGE1J;tW4Y?!g0iHK zigo;@EAN>^MfN>$=>@+(mKP1>z`XLC9a_^`^wrvDaI(mi{FFRr3(Dz2Cb@pZq!v5d z?w7um-8J#TMECdSGX7jq0YSRT`^{bnkE$u-u9I8FV~m(IdK;|_xsXklb*w40c@K$a zL)3zK_N``dW8*7pTQ)~rnNMw|+YMb;%BXKCmsv)&(H)H64!SZ-G8*SPAy; zhzE{RtQyQBajM*#w6RMFEvq6r)HAo(w!+C;wv+MppOONjt-K_8m}|=-8Ix}rsbW>7 z*Kj4Tyq7)h;sk&hlatz4i(9W}jL#A7oQvmA#Vh1%3Eq7@g4;$4O|0szC&^AYNiV2l zG|y@VQuID3l#el20yRSmmvafM^IS~LBdr2_9%J&#F)pw}DBGpe7KwLhT(@M*L$N=m z+IQl0Oi!Hm2(CTATa|oTeXb2GxD25m<0b@>lsVJYJLt7U*?(h8%TD{sBM4A%d@&+! z$ai)vt5@fXF&Q}|{MG2Is!RwJ+)t%!T8zMHqv~VbbBZveNc)v%rkTYkf-+!{9J8-v zzI*dBI^-(moswp@JTjVn5;M|ZQzw$swPYEzO;)t7e)%sCC z&rxOk40A{vV>{VV-ayArO{ugzVZG)=yL$R1CUqxDQGZr)mo$p}vh)($kYt}ShCeTB zV(8XIx19@|nOD@&GpnHXtj6dh$3+;6O)xBL8Q=4}YvcT?vKMEeBf+)Km#dqc{Mw=8 zfoHb3+ROG8$uyxN=lZ+eVKpAEBzM~VoJ>c-KAs$V`Q2ikOvhpVuMV~0& z{A1E-8Fx^w6Wrq$n7F6k9P(DZ%O(%?6fTrrk^9~2(Gb7Yn6nAL$~v*CjxE!)N=}+~ zn`<1f`;)_r3hzHo=&W=2!z0il?Z2x9=paV91j}Re#=hscm)WqO&AM=R3wNtz@ zo}jL&&6r``YKaS$ClIz)3pK{Z5pmsW$$G;PN1qsfKnNSa7!s;Go8$d*EaE6oayNO^ zG_lmvb&_|_v&~QO#+fJZCSScO{H7GKcRtd zAUH+HqE_rZxJQ~wOW_VN@!D$z4L9j;QDim1z+_=y+~`UiyChU*+pQ=Gvy6vtcy{No zO?HM=HPB=2)U*QMFKxqwgwB!A@h_H}rNIw}610|F*7h;iXV!^cM(n_*5zwRHnv=i= zq?Zt(_D?9#5o#nH>sKE`Wj%M1H@p6(D)p|;Ektcw=bU?c9p?@q59y=v+Q;)g6^^~l zS;-J=Gwo$4GsgmoN4sgB;8gG>5Z&`OZ*lTqWFG6{l}qZLHz~M(5eRLLbk+7^G4$&8 z?>*`*?=3e(rIGu*lvqpqc(n6MTSxmdq=I0R$ll!exbQZQS9w0)B&u94oBo$6-E&dq zXQ6m|Qb(7)O@MZdbT#pKJN?g(W}ahrRUE+Oew)c3R;Nw#`^e+V$sN4ECmxRbU9ku= zE@TbmHYZVYGoLGmdv`JPGlQhALx&ad=tNBlk-$l+RYQhQ@t)teFZ2ILD`ir(Z4JQV|A zWwYK`F9N2ZLpE`wUE|C;R6GbD?_rA&tB?C&rSA<`+c2gGigBpGYH}*sEw_g>x^RIf zi}(tiGfe}!0^MpLo>9Y$uIf3qS>l;+(?LRW#*uD}b-^@uj82hfpluSdlU%)Wdj-AP=0VzDuicz1_@n5X! z#G9E#=F*$ircxe`<$`};!$H>_Tu+5DYwjb?r%~VTh0USQa8y@UiO(O^a|Wk3B+Q)N zdAN|Bv#*jE&m8XLJmBY*oYR9it4_&#D67p7JKK5!${#gvzFk^nXEyf`@t}l?$_Sk@~ z$GYU=B+O4b;t4oBa?p?KpCEq<*$Yc=>iC{tTVATlTtk$>ldi@iNzcq!_K}H^o+(>u z|H~T^5wFop7-(dfK=OW+>O3yRRsyoBo240R=yNWgAS49rTr^=+ zAGi6RG{pd7=u|%u*@A9ikJyF)rU9%6T)`NsMs$r$j7I$V92gm;$UhmDHN&m!iPSeeR{UlkC~bpKttzpd z*?XJ|C81t4W0B3p{4@bU)J8Kb_D1g+8{xTt7`9~8tym44D&~DZ?fC=)$G03C1Klo| zR-(tZiLJYE?3mAb)VEq-FG%Y*BNt*q!%{jJwt_HXH|V>AD{_(b2lCvIVu7&jWGikW zZWnSVy($Y-t#0@&my9P}di;SPAj!8rA1_$g3&Qru{YwEf1tiZ8p%>n*VJR0-tx*~m zqJ;gicJGDym0sQt*b&lP(D_7UZ|-wTF}%pUAXIE(%I86KNYMBM&R=R9?Qq$_fVLQ4 zh(X&7&0#6O!(8o9e7mzRaWV(=FPYv#?k`+lpnms0_s;(AhSmup3tJZt_>9>jmhc&y z)~FGeOd>*wTrEF*l3dp~Oco$v9Bv&?(H~(ig3&~-uoHRt{gdp6V59G;lo7qg0CCJn zbbwl71gjF$kMP6qA|oHz`WTdGO(S`X%2R3fBB8ffm8gpQx_#MHQISMb5ph3tz=Cx8 zEiD!~_e_>utQPl*O_uwUZLEKl+tgVvH{ZX62a+gZ_9+rlDWVrB;+rU9?(_Yy{!5a0p`v8Ok@R_}|nf-W~ed`afzqbg-wuN*$ z#dW$(bh^}ZdYo4})*-$GP=&o)cu5M(aZm6aXbe=Pin=`VRGt`{}dUG0x zavA|S4OKXSj`apI^;-(oquGlgHj6Q*i~dB5;aQ8px{J}Pi-7`*k!_2i-ixuXJbq9- zVS$f`p>`ibFEvp1;bL|^#_Zw7?EcB-F26%5zlSNm%Pzl{L%gVHm@YcWHlu*)`Zq=B4-1v>4F+QU)O}jB^xiO2c@oP(ChG%2SGkCmr^jF5A z_yeV~o)&0|}f8VPs#j9`LtEa(h;QpmE_9Y)qIMEndT-jJ$Nfn&X%KHayM3H7h z8Fxg9TSUcs>B8}(&*8Vh2_K6RXq%J|WC~R23e@on)OZUtU`$l0P1LbX)HqEvP~Ui8E4grX4M2{HJ~_DDLB+IIMmoUG!W}l>0k5bp0sRc)K6#hh-Q?sX0&u? z)K+J71!h#*X0*L$G+t-)q1Y7z*)==N!$K@meP->VCq73{nMY4*Mo+uRO^C@&8Ou$o z%1t|sPRNcOGfq2{O@N+s>FcCR>Lje{cq9{ zLfku6nqa9UJG7%a{_tudw9R+@&4H6&Hc(-FwL7+^Q8UzKtF5R{q-ke zbD>OgDSdOXRdYE(bCGs)8DDdWXLALtN1>!gDUC<5nMXN}N0Ej{8J9R^=Z;&~2wEpIS{JojXO~-7`C6x2T9-Xr=bv9ff8Xe@okIy8hzg!i2p$0i z&oBfJRRmAj1dlsjV}IZBWZ(O?Z$!55{A%ASY2Q0;-^^&=U2flQY2SbL*$DO78SvTq z>9e=*vzg+vJMXjI;In`Kwh{Y=Ne&4a7$6E4FS7H2N(^~Y{MCRfcjZt|- z#=v{dp}32z#WC-oLW^`Me#Ar(@BTelUVc{ZOz9PKlKWAaacJnQW8v#c=;$=|;&+>- z&w4TuKu{B6cqLpUIVSe<)ZLor`DrW@TpVtgd)!_|m%)W03#s3Dr`e|Ch5JF*J3 ze(nGuxBt7$ZoJGMXe7h;DHqU<0RUM81kfh_S{L$4H_oQag~`R2j59^!8neK2 z<1W4Dh+iD zM0yV9Cx^@VH+-dYG_G-3UYW0BP5HoJW%hBo4!Z#bDvHEzo&l-Q#`mIF^vYEsrlo^X z9I931NelOBg>9*f0qn)G5sQ~bixQTLjhJOhapI9L{sajOHufqtf*&4Q~(iw1Ms&~t!{J{!H zno+dAM0U%$o*hZ{hc@5LY0}#Jpw+LX1yH5-s z%R+{cufl)CrQ?EZlXV+>VSsn9-9TkW8IsUk&co7;6PG4JrQ9-T*;9HK6K>OWg*nHxxTe-=ID$=I%|)i=T`Y2{3uAq* z_`-y-x1s9)8M`L4kKF8?&)9c)M9AgLPiv{tkPI}HiSI?Ea@vQDGruIxeoI|QOz6`i zw4)iKU8xESBHh%ZTQG*ss54;25z2)eUu6I6(;k!h(C7 z19ZrY&Y9_HS*3oUmzG1HNN3-N{ zHCNP3P6%+pARzOz+XXEEMqbPMN2LPrY=4AoeZvz--m|W9^=ww*Ked*$xoeQHURTU~ zHy?+mSYZxTVi(dz{DJAi6C7SOyW}!HvF2l`@}mslSEF5(Qm+VSzsz~uj6eDdCJtAR zOX*92(qoa*qa%_W;OVMLR%eU*HTH;3M1TuW^GPTdQh zBrO}}D(gz81T8jEQZgg)Y{wP#Qi)2?`RwzD>5n-Kb;;~r2Jr&u#9Mdxi(e&~{Mpc$ zhUd7qv~)=C6d$4&zg`hUu81ReJlbgTproN_);8-E3ak$0;i~iOglO$>y*NeJw7pHbRa?NBhejGH(Lg#XaYI@* zX)yml_E(->)~_sU4bZWRJ7+_Uu3E#e!9ua>Djll3b&};z4ZO6IBYB0)6=+KQrM9hj ztFKo{o~3&=id(Yb1;g`&ZW4A>+QH=>^^HZdPYrc%^?RT);H?X;bh>Mm!1S*%mS}cDdcV3$);nFxw+@AcRF?sTd{H2`O#>6s}>dl7p7Sd zg&lH>xtgu69$*H9&_qjOkLGzBzwbOxxpUq5kbZv?tXLr01x4uc!*DyNRg2S<$^k{B zDi$--Vu6>zlw2I(vdsW79cg!_Z;?J^_~lyguKzW2xj$r={qgG<_VS?{r_AV#0syKz(HsP9@I{-}`!W zo3%lytg|lV>^&Xv4ppYWl&_eN!$l{gkq^9Ji=Ejxj;KXf(u!YFdYr0tS3T&x%V25o zLASCb(#Eou;126f3a&PMghl=@PtHdY;W01-mxWuehKSEi- zFV{ap*;`rohvW6*P;JhBAC@;S43^PZkK0y1;*C>gNKLum@O;XJmtzz9rXT0Bop$M0 z^F?mZG|q*j*#X^kAcN#5Tbc`oTCLxwQnv*)?GHy#;&2}eQ5i*BB9^AeH~ewl$G*T( z=I`QBs=8^0J9xiFpL(A1M~(BW-#)fGR(O}67drL0khG#*=w=qbbM?mgiQie2ZFl+3 zrrV%fY4n=kdf~Mbx#h77^?M5(HLCdwc`AR-Wr@*M$#?P62)up?=kXf;ibMDMdxi|J zcurLIM{*lt^DDJwui4SC;?uBV?Qiqf>W{_CuAwtgdbelXH|KWQJ!I+!%?e(tHg~ja zQCTKuc^SJ}Z0{$(8VY{3w=pz0#+YBC_7;Yr=?kYo>3rS^hQW~c_>I8dyAf|cdv!*_ z9BP|sf{qwU8|NM*+E}thO5>aqY{#kiSMSuTS4|8gDIE#=E8ImhWvzC>&UHzwr zcigM$VKoC3(`7EPFTsa5F0N5){-Jl@CyKuG7TbhW&8XF2pi2`;`1Pc$a=FfPSly5z zyB6lws7kwQ&eoaq?ur;qudQ;Rcw`AUTFtK|M0vM(PE_45Q-}9A->JZ!ilU$NHGrIC z9YF)6B(079#hq}u3D=<|3WZQY=qyRMK6eB3Hk7FXTbA@&>T$vPMLqVn z3925$2F_?ATZ88y|Ind?OqOc*sj%xMGlE%O>z=wgB^bW|OhV zoW#>!^O7oGFgx(x#T>Re;@0_3Pl#_E$yWzQ&9Z{ZSQG-Ajark2vFx@5CyTYC?|_ zjx;3Kd<`s^00gin$feTnJfx?Red%=KQ&5Ck@e1p5teF>n!!uwXfykYX+!c zRoS#2M%E+_3juQBE=ZjClLMyVD!^TlgwfWkIXna{gVu?-tXf%VL z`1Po&$W~dAX=oL#0jh7n^W76*nSN;%mTf`f&%vV!&55cFevdfW;8!J%c5G`ya(5HzimP+e7OBtU&pqyzpG?cV_=pMwmk%cXLt#^-w%`4!{b* zfuRr2h=PARq&M^v!VT5|{e(tN#V7s$)gXlW%71e`F)sQq<(DWkY8(H})x7VdceKPjRWNNub)!xQxXCxw5a$Lm)r2>J=@KqE)r-{*f;+7Z05p6G7W4oW68 zaw|miga0>!57v{~fkv)=@LxqeS3B4it6A;fuY&BwaWUJe0j2-n6(tbS?Oc~WtS?ck z^Tk}3HZ16mV!1I5vu}N2u`#V!5pp{Z&fl#M)dAy!{IcXn;>^{#3;}{_MZVzZoQKed z^7^Y3_NmOS4Vu2J-P;rG5QY-B+W@}V1wyk+Lp zK|#}PQ#7jW+iK<8YVF(Zou^-jcO6$__Wc#h*A3|AFX@&q!O~K7pahMm!hxtl!nqZH z@{)J{l6U#?37)9Jk*Gq_xs~{@$wPJE7>ueRs$c_KU0cUo{y01ux1H;^Z^L5ddx+K% zT=}6UW6VC(Z)@jrenS?u?FhMK30%H3{20)6{-9pC9SC>&K-%fz6$#o&`><7S4TngYuS(@(s)HhDlMcnsX6vD^BX&44Cs;>!X5)M^%KS{ag-;Ab|&=p9e04 z*-5(vx`940Q@7$f`o!FO$&v~U#K5_R`G!6)_lATKp^d?D3R?#(ysv_H_|ho)!-&kKUI9-I=7}t_QazzruMAL5@?|`}us1Q`e4D&sz;aYOIx_6-i)-~^zskArB#ZA=;zI+r0lEb|1SUB zp&Q@cLhkJ?&if_)+n0F3c5<7{6k-A9EIwwUNd5K{FMvB2Z4~-_S<6(UEBxK&c#VaY z0QT?KdUBz5&BWNg<=0zrqxLy{U+_*)eHCzsKgC(|aDswUf!P>GGsF>imY{Gv-ZyKZ zwog;duZZgudxvkq&&E9U!Bo6%H5Dr~=1m=M`K2)t2;7b$-n~@{H_WYKAwtvoFIlDX z5wY+xTR-7m3Uekl6%Y{GUNI_rUT+n?E{Cp>2m938F+_Mj`mXcGgx~ML9&$Vi$qf92 zzuKI-gai2MbH}u;rTk8(Hg#8jlX;SQRKQW+S^p5CU$LGd^!a@7oM9>`dv4uvAvik> z?=orE{!#KUWrySk=$BCAr_hcH#rE@3mFrVz$hEH^jP$dqs)vI16(z!8d-n{MHJw&U z5c)&XZhmX6WJo4Pn>V${vhc@{ji>a6(_t7fc4eSDGz738S zCA5cP2rA?;0H*ySTnV@bwtiLoz&sA6eUe~a9p1GOYo=t74ZjIm?jzsc_Yi794NK%p zaYr#U8pqM1u(~gI&cI^5Vj=E~Vm4Wn73PwZR=W9=v~6QLqkR+L_c1q5NRbvQYfQ6B z(i3b5tV`)RC0R`)m4X=fqux0zjN{ID-IN8XFfB!BmJs+V#J+@7td_V19;2?#9R?P; zaE6^Ee=!(Dcd?D&P(J3)JcddJDXQQvanDSY!3_CBA_eHAyXfS?RqsD3(n&8iC!B{m za3q!}yHxCWeasHIq8*Gse-H|3cm=Jb@f|&`u(?fd5bMZY9zL*82%ebL2!nz|)OEBi z&N>8oSFU;oFH#Ti7zi4Df==>I@EH`n^g!?1Pphh|T2}l}J?2PU9`yBSd|P>Y!i+>2 z=7cK*W8-*+y>GS-`X)<2_XRk2{mXTyw!Ln?PPOx=XRqi65N}JXEhlAVW#?d4{bQ6faWXVEbTSkabaZksF|)*l$G;<}Y~l!Vb^w}y;S~Q%$p7zv{}pTu{5RIl z*}?knKp6v>O{`69Ol+MT!5swrx8B*AgPcH)<{-O&SNm_*^l$h7HV`Im7XQOl{qg(< z{$~_-LG#Z{SUdmICL7D&FJ{1>m;bb(^?!l+4@Aw@0!+LA(me$S3-EOO@1eoF zh+DXrh*_AKIR2@?!O6hN!38ed;KIYe%E`*W%Eb;YU>i3(0|y%mxB$R9*v`$yz{Q$(1R=bwxI%X?li5YYJ#kEFx|$eo=njConPxW(AG*?HJG#5e%} zaTab)9tjB!4o+4!fC!5y=Rec=PhBZnM<+vDpvk}MN{DeuuyS*;{QuSE6$RfP z1w14y001Kk8zT!qm6e5;osE|be9FQ5uc-k?;*|p#TbR24AJOc*0G9t1{jb~B|1JJ+ zUqBA3AP|^S!hh~&q<;zdX9tuxW&xg? zf7T1nzXs$#UakM)1%S!$H{M_O-%u)chCpyE#($Qsb>tD-1k_~L<0Q`etXZTZ#>#qbe63jNR1uVf9c5V)qzY@$wuny)TSc2Kh#Rlf~ z9|^{TCC?wp4Mu|HpIB^QrvH(DqWnLsy#-v9Z`Uq5fC5S>DGdT*00T(Zpn#}!H%NDb z#E>c=ARr(i-5t_7ARr*!AT@Ny&_lDI$N&3&->&cM-`VGkKOgT{>sr@Z>#o6@8DQM~ zeFC~b`L8Z8{&~1~fL`zkumzs~wDA8e3xH2SfG6<$DAc79;408d~>@o)(W zfbD{Rbp-`LT|og*Mo<8h5fuC@Bgpl)45A7CMH3VREeig{6a<+7W0j8$n00KxOl9L^ z<7X3K6J!HI!^OqM#m&a`oQ;cz4VZ<15EnliFlD&`Szsaq^B9=oyufS+CMz(nf$1vz zf{XJxr=%3WgdmRuP?Y48o2M|Ez%ER`{>Y zP{q{opV~irqm8AQfCv=a6@k)UssFd_|7iakR^;!7DfGWu7x~xPVd?}dZ6>dr>}~&A z`@1g+S^m$f!oOA&f&a%7!8yEBy zpk*p)Y7ESBsJx*qaIpH1QxpRiA9(IkhW;y@;AUuV2iRf|fd1!vg-V*fw=_1Db~5yU z{@q;}c!1;BKZuHkPPWb>BA~RZt)27V9z`cp6H8-Y@nGQM{V$Q78Mws6ps!6W%`IFQ z_?~nBbG*}3FtRc=cKOfK-Rt0O4c{-S8e~mtGZ$uV=0SRzk1K~cc#5Td{)$z+XIVyW z6C*kGOI1h8hL<-dL3^Y??xsvm_A}3Q-k8OR#gAr1ooo!^M9r`#IhwW-&u(W8lH+Dz zwsPpaH=ApKiJ%dtYfUwWW&m==cB6#Z_d}#N8d*1Y(R4`r?uON0Xn(BktJK?{H=bC0 zgJ~xwpJEli4OYv3rYpy9dpaa?WVV8%kar%Kk?t+Y$@n}_?A^no2k|+!4#bktgCxMm z-Fq0mn|rQ6GGE@4@dkrpHkZqs&QZ znZGhRT|1h;<>81vl`>A`xoMm3_nE!kUvjm@a+dnRV0ACV5^}+%v>zJGnt3K6Z-qhueQ$r!)H3N1g$iH_u8=8VcO2|AYh+j(} zFwLogBRbySOM507Nrrfw;D>C7q6H>LQuBl!ym==0!h|LI+OmPsuJVT|k!`26fo`|d z;`d0-kVk256X==k9@tyj-@yj2<8RY)Gjv+6jM#k^u)tLnPpy6IRWf9#9hgWhCywe5 zO3FG~fMtg*y~L4Z=@)-IwwikUO-SVl?7z%ue&y$*s;Q$Y^PeYfPE`eq)Wn196=qeXM?6*h5V_!X(PFO~IA5%pj zX-m3h99^}|Uy=1)cZy~gKNhn1q!#f!M*#aZwJLd^Wai*O0;SZ_(6mcM^yU1sd_3nj zgAZib<>}*OKG8(VVFdZz>Elkwe$_T4j2d%`A#q-c?aurxxBMvh#V@E?9XUEmm7oeE z?A5z>no;JG{U+HqLly#_*1q8@%fHK+p7cz;?RdexdjFo#hW3LA=3)~~5%MpmG3C&z zc|Om%H4`2xL_W2>L-|~ZJnd&g66qXCyiNQ?be$WRgmqbJrTYCNWJb^z&8Uw^q zx!!2T&R1zSSS_dK^)&keA#}^X7=*dinj@6q7-bD8bs}mPN60 z&YD-RJe2Rq)wpw>6j#YJ*J_~dkg4VmD_(9rWYs~t_l*&_JSdO(Wr!oHs*30RqnOU2 z`NWL40ehTVpmV5DCpjmsU$x$AWn61z`A?KYRgNW5CB;|O?(*VW;>5DUJxMQ89berp z>rQDUFxGvj^MX6bIO&QmBqZsyl}Y|!*h$UyE@R8RI-AlUs%|;|VnoU#NvGIvU?=$p=w=4bL{(q!Sc9D*t_1I_Z9+(%Du zdrHJ`G&@v9&s3L4O;y7FlV0iiIX}g@VRgqaxHxk`d_3+*YpH+Q~Q9*G<~*l{h<#1^g?Y zv}KnmejyVbQQoG8da5YkWR@ZuyWaRX%8XHd{j%D)3p-S5eZ8aKB*{*12wkUpVxe{z z9j_L&ow&SW$4qlnkkGJfTvv@@&f}E!c=kxf{I}a~z7SS==iQxLhK;v=>m%fU2=4{* z=Z!2-?>f9fU>cij3sMt$+O|CKafUBsRnsxTyjxsn>-q^>ZYAyNZhR5g8*N6@3O!bt zkP3L2@#Z1cOX9~oHTz#o(#vx~7dSh$1FSNQlDfO>yiGOStzi1!6QhM5yT6mT?HxAG z5)vumsr(3^wdZ0K7n7>*j-VAaLy_0jOI%4ywvnBbK88r_2Tstw0@zhKSc7ok3< zUa4bC$@Ae#RB}(2h>zh@Pa+>tZ^Oay_m4^nDxJTMF54UcKSq`9~ z8s!22Y#fFrJt5lFIYSfLyiU59>fbu1(4pA9rhcb~mw zoh;2t+MeLFh9-mX>)n*gnn=?oY-$jVw!A{FFg=wC)n=p$GY6b}M6k$9XL@spj40cy^(X zW~8gC$GGn{v*9~2J_)S3r|QotDI)J=<`BBHQ_$nGdCKar$^Ds^TIVA7St;GM!6WBT zACI%!(it(XCR4ZF7dyXbvrXt7&zOkSe}sXYET8|`_>8%tnv~}UMa~YQNm>VWKCo80 zVe0$1q}mR5|ESu-p2KUvo`)%%@IA2j4406dO1PnG>%?e41Ig_r)ZboqD_44 zkKNaLMQxfGE*dD^X0fjYyL_Z_oJU zKP$0xyMUWJ*sJ4PNvrQ*IYI>VzKjzvaL!dr9`;@vHspj)1R>FY5Q#Iu;^5}_>Ul+>$A?c zjk0z9fp&67H$_Jl`Apt2-B|Qks_3dU{zkcoz?2kTtE-76sj6Pe{+!*rhtC{$ThD2C z&$2gnHU@NT02AoreVx!uYGpiQvh_C14{+m8uluH$=*XoQar%q>`Qopp4 zcNauN_j&vpmP2Rs=_`Uvmix-B`QH$6GBZ@1sYepd0hx~%jse?<~@IbK9h80kj zSJva17-u`=ke8oG-crrtTv_bW(i~j2^ZdNYqgr>bWCmH_SP)81;h|@>4I1F6hN^-j zS{tQH6F#WrrgyLWzzldoG2PR1(o=K0Kwcuonz4JQ_%eAjejDMI261dMHZ#JDJlpAx0Y-1%D~7jzv#`J3 zDhL&-pmI-83tFJivMnde(e-iuprgB+=Y^6&yE&7m)lXiR0Fuz0)Wiyinwe%GP(dZm z)$`x|Iyp6~44C&8{L`o9_Y`)N0CsJ?uR%W-fLqp?}gAkEpWG7k=OaC^Y; z6t_8#GvI`da5BO`Ilh&mt!?2zrNCZZ#wDwv4We{H0(&OAFm~YZc*pCl;if*EZpeF< z7W;FOF<;`2JdWerq$Dnjh}j*I9B%mcHaoO{zUEp%T;^z-(jrfc=G*R41HMUmPG;#kc;AdYgPUgf&iBmyRj)sjJ%qWPSxH>Ns0$rO zRQvHl@GYJRn4ag2!Dj?TC|rSPQpS8uTq%$b}ak$={t5?zDQtK?VY<-mhX zIu|;Xt+TOT6W@I-{{A@|^^WB;rlW8hR8Px{lHc}Pr?V-1I?zEHgS#@f;sb{{P%~Hz z$26(ldsdEs20BV(lz#}PFo9DCIRnMZLF;nFF;iV8|IGf23DkV|dGr zl%ZjaQKhe)vD~Zkvq<{@GR0{J{#V_-!6HqCD$4e;j{mq!(@D>~4~T`^EKFYeNa6XlZvP$oNyEhU$snHo{(at_Ww zP&p2O@yQUwk%ZGV{6HTqeLigv5i~vrT;evr(K{F;Ch4NR{hKBdzKjfg1*f9A$7H}> zR*ODTLApVwM%4H5?wlG8#v1?RsLUsL5a%PfWhq9^3bg`57`|6AnvBh z#loS4thqi(SBsMH)%e$d`|6{Ic_M;tgrNH@qAOjNAsd~vx7Qz@d_J-2bX$?fbbwHSK18Go9I6P%O6pIKR!asga3KG3AI)3}Drc zcWNZg(McO!vkE{+)P$Z~qSHkQq-be4h}UQh%4 z>Ys#4!4>Eh2{G?>6p`&zz4=)vB@rDPdeTVp5)5Y1WCo%h-T*2^%#rQfX3+*(llQGQ z+$*uc5Y=c`PAt5Z0}XX}4Izy?8$t8Jsh>|?MvN^2e)xLmR8H&)OpOfo3T7axSqD=B zznr{O2L2Jd!jhNw_TFPnN;*%de_cu8QqBiLR;X7}IOK2u#pg}vgw&F@&(RtzNjZ9c;}4fE||j( zbPB2k%&qwhd|a|JH@)upav@6@3y8;~R=F1U8OUAYtIsD1Ebma37HyB~(8SuH3DfA6 zLY8zgK<;_dgTa*8*v3cA=#v_7h=%6=hTGEv;WU_QMUKP)-pkwYs`r;x@4|pog ziY!Vky&i)m1c5=i4<_EOU9t{+0*ocf9j#^wIF&Y^RyEr80U$Fipj?SwN7I6-j+&5! z``_^g<*y1e5G6RGpl8)_Qt;$xYtXY&ebSxVmxED21Z{((N@KkjK-oiwA^pV6V-TT! zCDZn>N@zf;*(Y&IR~hWehAVRaT7_&~0fb5R)3$2SECFXqcz0W3&?i z%$-fvDLkRz6P`*|%$*JE&U=-)r8^Q*@D@u81qtd`!6%psV+%k8o;?aV!IYm^0*chq z-6!&6Yd}dSDEP!nfgc=k`v^(+xNn*$fo(95iKGKC6Ny1RaFpbdZ@B@gSufU{rt`v9 zCf^-mNvRk>=J~Vw+wkH2$Lt@{GPv*H)TA#utl!JfT3uZ=>&W1m{u3Y7!jOTb`?c+z zGT}4D$D+ebuiJ;;PK(P}TQhQ|vDS-BTwURmJd3ESjULzvx*ioiV@Aykpgk(%Aak*C zdB{IgN>eg^0~hW0T;3O*5YHd_aNU{+w18qX6+;yfN@GNpPz*5?8u{n$j40lYZ^&j? z{?FM8MdZ?|Td7kcpfKI=b5xkN0CDT|L{#qhRh+j0{LY@ooc61@Kq~+xIW$lIwNf4^ zSoL-Dc1)l((1@iF&uXp|51JdN))ILGXvE|sHn`nYkjL}b3VR|cikF=5>bN5XD0p9I zof!%Edy*eCRed6r1erB<_Mwo$uXk8}y0OJ=-lq5kHnvi#+N-{(1Tdfd=9+_dW`Z7W zu#Hw|xCR0%UneRhpt~1^{MeZT(pswMjXr$5n-UP-o9_Aj_B9X#)Sj`b{nVWZ0HG&U z?sypQ3Pfn}P=y|orX-OIneFPoy}zlI$CkLs5{o~ikLgu4lCSSYxv918MZP)N14l1* zV*x4#W%(3vPtfCMDgK@(1@W(rjh-qEyfj@cW~sk>%%&Rk<~XAOvkH)u!rq+R(xn=M zXHfy|iL%EJt#?6SwP01~B|ZTB?ab(No|GsMlz?Q)93aNsy*fR=D)P+Ji4WU3OLoP8 z7-;YZYzCy%fnn!uS3=z3B?7}ij+!l`ECDnUGJ8?_J0AfDOT_{=MOCS@Kp2&LWZPH^ z==Hov0e=(mG22n<*=m>8u_%c6P3rj7*e4KXlevID2k3PXi`|raOj!)*ZnCN%2BWw@ zK)#mIXLN-?TS0Wb{_8GxP&fMJu`*%AAmD|0@2pOl5*!y-HCG8iQDZ@b;V*Q!tXr)H zG?#uC%c@Ju}>duJ5llk22 zr=6!H#DC6+Dk#+h0((frj!adMpLidBL5)K$&~nNhx+!|`9Vq1`${+jukO3_5Bs8FV z>2??y6s>oWm)-zC+VBiQFsKqxlzTMR(}f286jHI*_Ado;cJYw*cv~&?#j(>N2%VYF zfB4u3%{B^T@n0hXxIY=EyIl%;EsMw^9<5LetI^-T2aZOL@XhuZ91ss`oR3@%Ne6>u zcy}xm+CEz`7-LevUxk0nHkx7re5YWSWqSB6V02!X6j10<<^gb22vkxoFi?)URG~ST zfE>@Ax-%U40WlU<`$vA@PcVFMq@IDqe-#8)^LjJ85K&=}I1e>70^9>=6TVyDHJM z)GJT))-9o2MTFWG=)8`|82lIu2%Q60z-IAG8qm4PosSF&1A{1rb-aBXQwRSii=HZ| zc6Ok7-iv%U1%4F!Cv4}fHY6rA%`0TNo7*ud|b3L>P)AHU}q z1;C5HtD**JK&g1y;}elgfM|kp9AK?4Zyg&;7`>tkOW>;L)UNxmtc;hD-Y4#3a-Qv7G6{fxPziVC7%0&>?MtZF|* z2qeFz4z3diaQ5GdR7HiM9nowqqDh-9$yDHyXeXEB37ee<=QMw2p1zTz9~gY{7srcl zv490&u8I>F5~R&=@_H^H*RDJFMFe+T+ZwCbCIeBxte+EPDhvYiobp7~{#eKhrIiQu z-^^ZH+SOXO5*h0e`2f)5bVC(afsXP9Y+h{={Vm08BF;*H5bce_mm+|cc_*PU_>}_K z#}u|%td$Eys3gI&^a`AdN2dQyICN91=y~)e;vgN+J$)3qiMVtFqUvBwHTKU;K9ZLf zg}bVV&dK!v1LSATYFC}pM^N@X_89z{3CsX6v8naJs3BR`%8NmZ?8&=GSez9Qq7t^; z6a&jBK%w@ng8WS@m{^A}q@cHg$=?Vb^=}Bq76aVu;yupWj6(g`H(XP+!Efrz|OXUlzKfJLm;V$+*w(B#|<0-*rrJcYRcJy`)Zu|{t0 z=z~M}d?X*)Ob*&>A}v5~7=fg%ebCjSU{E}Blo1L(Ss(=|6-0z@5vcGy4=FtWq9IKR z&=GN<<>D??`$H#Cl_a9Uywo39v>XEfmJeR1d;0!ef!6uv;Pj0k-vnT>HBbljg$^5P z?7{#sfn>R3AG8jjuV}Q%^6i!dkYg+bMa1c%I50lZUEBre{%L^qyB+&HB)l1zKwh>Z z)OpCM8eoH&{<)|pri$8etIUN=pO1-&of_5R9eaA6V_#u=U)m9!pPds5_`2p4g&IA5#+QlEre6GYE+)9IOptEq6l~^TN`#^Z7vxg?AJ4U;P+su(2?M@Kf%j zxKB6FEjf?OHO~#8!q;>|4{ziV`)V(`3;in_-{%Fn{>-njx^jDt?QX|@#eeuo1vSxp zLzn}ZNRCOYl}_?JJehYxas1eIJ-MHY#4`Be?2E_Ao8wwOAaD%4C3N$}=e#-)y{61X z4$QfE-*uS%?waKLJD=YijVOu0$hoe3d(D`O-0S9?=!B@)TM^uRRe{#pWK8S$6+){L zd_Ql-d} z<(dFsbS-i(;{i|@S=q+EW~_%x?Sn>TCc`czz5 z`V7{!F6O^l-&;Kwq&q>A3Ff$Sf@>&eZ#)ORc%J~!g@>@AW3Hd7sgqr>&mewTeMIUoRhnVC|KJfUEjm*RO$^io}5$SQFPT0bJcO3fU`WnIDt| zdt|^eC(-dJZ>JmR<>i6dUjjz$>%;t^x?N{^lsGcASSd+5MN?WPj)V&zdyQ}YpZlV>j(av zh^=iS_@m+s)214uw%CQfeFchL9=sx|MKybU90%O^JiNY`L}XjDN2PZ?D6tc?Im3fO zj!S?c3LhzHav%k|g4dcPX9LnVL;IJ~jSxhrL}~p%e-3uBP(W~%ZcTxGq@>K`0U+4t zvGx=|9M$Ce1Y!vHZ^<>R9=s4CMWVwE} zhyu0{$-;RJoT^tOECYy=2|E^On|QSK+Z4D)>g7F9r^JVvDBw7}j}hnyYie`P)3hHS zs#`XFkT;1Yr+YfSC3tWjV4$kwb_E!L+v+K)aU=W7 zX_pObKPdt|k+@phS1e1p)`5XEw1oqM+!2&UdG@44`<~B#?QKS_rFgoEf_++QPNHYs z*no>JW-9^!D};zRz^cFavBz#OMUAqXVcrlKOX-7OGCDvUM+1;irAdpWsTsSiic38* z`dpuzy%Os2B*HkFJ_ogW`d;HZl3^FJ3kQLfOE#dAsOw1%1mW6+6$n;>uI7mfUMc?- zM}O%;`VZuxn-X9k|F-FXsJ{LA7{!+b#_LgTiBXDJ1ajJy2+$~i3Pk`|&2#d=fZ<^0 zfVb~8KotR{4t6H@$3^JvxZpxgP2btiSBMpw4@1-D6e?go3=Q*_5XP09}5z_ICW2{lV2T%3j_W;&DXY7kl@negx zSONH}%|x)Ld50b_T;q%bu z#yXb?aERk;@&}WV!MFDa#N3nqrS^c0_D?7P13TpcG5Kyl-KLwzp!@buF1{Zwbb@2p z_7E8O`mU-9H-$nt$GJ0&O**ECFYD z%XS|Cwr2N(KGox6jh#m<0`h$g_Guh#;w0AHO-{(O4;estEp8n2GnQga85p%?EF?gf z_^lP7uD~Ktzo7@J;P8>`MLznV;4S8YJI^h@!&K1{v*S(Fp1QXKYLc}Pj^nMvn{WL|jlc2z%YMxb1)Gw54c zT{ATQK%I@)S7s`wjOY4#Nj|q>u}wRtRV!cCDCUh+R#H@?-LI)ClACXWk(I*A-J0>? zE{}-iG{#yflcnXYwx%M>`(08!k6bnu=9*L1&(lbso+M^h#2iLEQ?7H$ptWQ%Hb#b; znP)^lnw4)*jn`5(`=0-L;{Dq@wpXajX8l!q^=#V)D#zspbF!5$KT25prW|?S7d$B( z*B|Tp zNmpJ)$JR*3y$K^OunidME-iiT+xvzk%;MY}Dd@ZW%SU0lq@zydI=ybOuz6_lw^Mt~ zHB3npy1#X1d&T5*?e|qVQGPi>dg*d$aQN*F>30!zF}BdWfdH)0o2|ACfe`2+&g*@( z+TOW{lTO>L%hb2N71wl9yUq9Iv$Jb1nvegG1x_?X?R#pJ`w~H>tZAS9@9q)u{@>pt z~coN*l!^68zMnp(RL`F$MN=iyXNlrpWN=imTPD%^hMx`Zv z^aOZ4;uR1O;1&Ci7X~379w9y<$-R3dr1y#MlTwfYFA9bSR8&+C7#@MwSQ!`|F+T-g z%)l>waC1Y20A29!zg{;#A@^}H-7yeY7!M(s_c5^UW8D10cnR>kjq&#dPz7ID5Dd&) zx3O_>@7%@1_@6s5AedPH?z{)VxP^g*c?Ed1v{=Y6bOjKo~GUnm)pJaqKu z#wLwSFMG+vOs3po^qj}(!;l0HOO(pq!oIOHFL_4!$48RUswPscnJ#=3!)lAB2Nj>% zMqHN;U$K763aE_vHM)G1UDZCea;$FlJ}@??x?_CxgkM_2+$|_Bx2AJq4SgSii3za1 z^_LS4Hm2}j3XELDw*Ur?4@quw`$v+33|f?j_MS5vEjWFMl29QtcIMef$@Oj=W$ng~W<^f}Cb z%wv3`x*@RJz7ZckFf=-(gS*F=Cf%j;=IMXW<$odf|6@b@&j)X0vc9F8AZNVbP!ZKm z81S>@RHhrq1CKfD^AzP9M=b4~h`&L_36UeEqdnu{lJ;jV2em&Q*$*u zGhwTM)qyTix@~=DPn?c1SCvFwoxuEg{z#~PY1PiV{kVH`i-^v&rRsum8yl(bgvPix z5b1F2=Q!858li*{BjuZ=-h7ood>!J>x&ln|2$f9(tzJQ17q-yb#~NR3O%wT=B>X;X zjGKSk@jKLhiW~wW;Pk&n?O)^cKg0Nc*+5e?Bm2nnyBl}x36u+Vo91%U^Zm1fQn7IY z9F)M`VB<*Pap-xhOlj@M$>MDsKc$s!u8lgwfnI6c31v^w*;E~$QjLCNg=6id8;JKy zn4V7w(S@pSukW>#M`r1@1gsBN{x^N?z~RdX6l;Y6YGUYU>3D8){svM-2>auPW=t(j z)#yBxA!w+w_ z=H!|*)<58j`}JUSoha%s;n{l`w#ue@Emb=x6})yqcRju8xREw?!fs3aQQff56B)*@ z)9-b?S|X`;kD7J1nP@7bZ+AvADbBI1*4Yul)8H@ghJES;$^&Z&mvO~jc*OjQLc3Ec zFP%y7TpPtb2&_xbjh@%g7(Q<}=U2@Yfw#L~$l&{F%V$O(=z5#%T1>sO&JCIDfm-L; zkO<7*+2i=Ou|Z$ZYBlh219rN2q#3CADoCF}we~&E`2=U!cfVr;wr+Dts&Lx5;hkTx+PJJa@wH=|M&1u%Qka`{d~ZNy zTiQl}wu$(2A5$g9g&O)hUG6rK^9>{%CVK;sJX*RmK8hbt6jN-RnbeV=P#=8tiSmwT zm2al~($xlh4K2Os-`i7nfd#NzI*K?__qpS{6ftxI8JawW@*P{EbbRSiGGn<_wwJyL zsMq!Sr3(7H8|&& zwl|ya7yZ!LSfV-@;5!A{CN=61rXF!52LfvY|6UBBvw@{V^%;tL*Qav?w%@+4Pkz;Q zG2*)vHpIFWmZHQ~_C72fx&A z5A61uz@Hn4O~pt@$FVLi0}1efX%x?LmJKULyV}^yQEwQ$-sQq`NGHki*hb{-oX`5uKRg0@D_`>(R zVIb$=i)t{(VM8!bHhjil2DZjb9Xtz)?*E6VFd(Y`FVWB;Ur;o*SL>Yha=F^rXREni zW{$q$H1i)0zWcw{=jv}DEw6pQh=t-zo183oe71v8>y@TnkbdN9cDY*jp7&Y5P(tVX zpy$t>VI4S9jvv^tx{3DHpPugwv2)VCJ7lN-S^5mWa}VbEoK}U`0_I8YPG29{hlYLJ z7VtL6UAxD%bGtJznP{=3l%ZpDe5s|#aZ&w>-rzi%it5~|(x3Xv2OrL_t4w22BCBG# zd)^oi+Bz-51?GyW! zkbzW=|E4*%{sk3o(Iyp`28 zO8}!}#=siyQ!w3XM{t&>bTk`Rq;$pTmHTi(Z*Q`Tm`v}s+c3$T!lzP|^WDBNUc^V) z@0<*`SKe3kx+ZK0-Jj3yJP7QqG3m5*sf-dcGPGK5!hT*W_EluSHA1JwB?6ZoS$SAw z=>uc9TRFq@#>uNmU65edmAc04k5}jN-R6Qqoyg58nU7xr`Bv-!dJhIo(QWv~Bls*VRWS8u&UZcRhB5QtOP4wtj@Knqusp zL!W01Q3}Mnt1GEB74F2Jt*4SmDA%*fS>>;Gh#BnqFhVO_y%x4+zZ+wYMSR&CIR3)=kvxqBs7$ipntHvx`W8j?Szn2cWE22B!4%^G&}ih zd;`Jsze~nWjFTVqG^~vBL+2d~o`76R8{XeVPb=PF69!NQa0WhnGQOb|w_r9B`DL*O z>u1U@lH0kzOLg@5zZi{UU9=sPn$SF>soCK7z_c>%0#1I(_23yCJliNhkB=fIM+sNw z<{MX!*P9J|moI%!N6sjckZQn2C+O@ku-4?CZ8^rrA<*4rCY=03| zKbhRuKh}#QO6IhSg~OdGOQ|2=z-~V(r;Ge0)}eb@d1jfU<;@)-H;XC~<=;}!c2OH4 zki%q|;2ik<68L2xtS#6228^=jnB)AYG0huDO0zbDZT~GlH#r-o)DMowb6c`F!rZk& z3R%B`9t=ucyPdF!upTvI2j4&_=5zvACq6*7z11yYqN>fS6ysZ1Qt^tKd>;`B{$f5> zhdxc-mh+~{>mr`Ew_jhu&j-a~)gHRiXIk-p4FOJWNgo(zf5R3pw)AY{45O*wuUYq= z@e60o4m)A9s@cZZ2!8lEJSnWl>2aVZcz$-A0LvQ02aX3;JzYJU-uf36PP-WBzzO5{ z@i6LL2=2&w`X*I3kI0UX2#&~7IQGhOiA)u&Tw~1>%^sPqvGkoOoK#mUMiPQJV?=6} zYoB3q)}2vlMj{7DZ9UZ_b4m+mBzwFV%kS0YgIAQ|i(kuL9qF@Z2>x-BoMe*Nxqs;ui!L)XR6L5Wv&Gnz1|uTQNA&r-LZw9*wfD@HRXV>(^VjoRXLCdG+mW!%r+ zGrY@a@I#&i444~+C$v5l>y3r$wPm;x%5hQ@v;`86Ckq|yJ~J*QhD-xl zzJZkKiD2c73}0N$bZumAqL-pYyQ@b;e%j=Q?9aUe`CIo5J<>+#er)?JFQO|qOTOfm z>x#3(60sseSP;)1?oC@447g)UytzeMaL({KxfT0ZxbJ1IOuVo~k+JLD&^DV{O<0mE z)~WLFZ+*l zcmm~3F|I|w^S{eEV5AST>PtJ6j`t@gewZrYXtNDuTyw{EnMhGJqhq7wlvHz5NK=rM}@KMeQpJh3SBy(`d*6P#$1cbI;xXxh~K&YR6k7G13SlJVY&WJ;&zDQjS-YSy6A_Z~psTtw_zp z+!>7in&(<-jqtnC| zgPlk%q+ri$j{Wt#_!NCn@1t~?q~qx#gh6$mnc$(wOTW%S4@(BlJ2+8`!VnSl8nxa` zw(?8Q?_mUN<@GNh4eigRPfnba}#uL{Gy1ABdcF>zat zBbiT@WF~^l#Ad|#&1{cfY6xQ3w$nDY{9^LU8fST8v3XvHkoHntcIir5IPs)}#=~Ad zlDbU0ujX=?HF9!h&yYX)>IcPE>uk(cU5bimLLBppG#6>5!l?CXM!AQF1^sX**5kEe zxR!83dBiF|@BLZs&3ZtNYCT$WxPf?awO@Ork_Dy4Yzho%T~RC4MgTv6Ctu}@jR;xQ z<%N-HQ+w;A?q@WifwwOOH;{U$b?8KWko0*T`}$~gT4%)$Wfo*-ocOjawwq&j_HiPs zaQ^ayx<0RQo~Mn^r4NVkG@_J!9N&qYLZRmA#C1=}8b3YPHu;3ugsreY!zrVlnUofk z$T`tgo;^x_p+;WiG%VR|PCuOIGbfd++4SKaUZHVOC&#(mSa}Bhy6R=<)^XGD1YeCn zJRy~xm?8B^th44wc|bz=Xmk~h3SLqC!#K%NFbk> z#F<>*fhwM_?l=YB?Uvr%@ixG$Ntl`zrFd~tmG(h^s&+%ns^)ELCSU7z&AH|n@3`g* zj9)zRLt;-S{?r>6mz^GyNF>S>B7t<=KxEdFRS+VsV`W1=afusBwmyk$w&B7x!P_N+ zor5Ul@I)1wQwBdXLd5K>iIIfF&v}7>Dm51qf9n(22mw)5YX^^I&gqZ{+Y{iO{B+pzF83^>qU`eL&RQ1@EctyMvQ1rvAI#n_BF@{q>jh9-5w$uxer& z>bPn`H?hNZedzOE?cOmeP9EtO?K3rpb6d}9Qbm>y!Eoh-b2AO@Hp02@WC@#npeNxf zrL#6?rK$ef0eZHuq+i zy2hC-XF|G9A}NoU%4zF{pW3r_cIoEQG3ji>B~xm)-XCM35_sF}#7pzzmnvbn ziIXYRcWn(_MQDU+B$Bi>br`b_ycT3K=hSBPduaBku6|KiGUOCyzMC}uT((;@3fv|j z9{EFiH(koMlx3UBpMi8eQv6d-o~O#-IY|jceM`0Z5II5g-rCADrAdJV+om!ag0{~A zy($qpf7WP+TSbeA-}UG36x0J>wyg(cmh!{OvU;deY${59P!>2h@*^6SbdS3@ZFs#d zN{7J?kGqi#?+-^YitLy6EM6fD(NU~g+UX-25GzTavCu-tNc`51z$e$SzHk1wUG&mi zbGs4+5;auw;ul82`0o}wvDZbyuJ0?w%9n&l?yVo**?rH8c+;OrP>cW0S}%N}Ze9SF zBW`O~2H#llbF{9WlHlG4s|^CTNu5dW#7|OoNM5#WA1SMkOabY>@*Tf7dV4z2&(9j- zJ&czWq8r!}Bnq7K-e)J&^fhm*IL}0s-H*Zvp{AZ8_wVM8&czQ}4iXGFmUJ6gtfw#Z zeiA$Wr=z+PgM+c+P*V&0mbB~em6mt*$_>P}Dw08Uf(PUDZEv3!g==B@-Yzvi9Y>c~ z+0hhr5N6_&#`_h{xZ~n0X8fBq%GP)_>hFW6SzJV4Hf}w)IDSqW87iEt^U;NU6du@^ zq2}{ulcRVnGHe64qWWxNPdd(zv(B?<V8aZ=(}}^sxyv)fl`D4 z%{E+9d{Ee&^M`ZZ1ysxVA*JClPu<&xN`)R@Z@ng8 z`f<9$(tO6!JknIQXf9eM7@m#tn`Eerp3=bX-cLNTw)T13=SH-}qIM2p_f zb7h%N=6ai77{0{CGqk0Br2SG-aZ(5~@fn-U&22D0$hGlJ$_e7748BK{rHyadd46^S zc{FkZp}xGka1Iv=E%w-0ejT>(3;U~=M4eveL@Uf}P&m2zT1j8>muGaA z`%5!r(OKPH3;$FVZEU2p%w}nQV^YK8B9$Xb>Uh|X!HNg^yLCE6wI%|+wLFzXmM4D3 z0ePrmUUE;fxIqz12iWloQ?;nxk~+1b@}3vBLii%?s>x0M^6_-EgZMpwEMk-_i#f2$?L%iWVp>A!(oYBQmD*UtDIjYmE9I_qKU zj}6sMLZ###a;VlOjgPIhf9mQ%h~K@xt2r%EJUdOd4x|0-?!o@+hwk~6)n{kAt|=K^ zzIe`cq4lDJ8_2`Ch#N@5+6sMFvw>{WOd;o=&6%mm&HC0w%CbH}>%=s%omQM+<-&AR z6V0of>Z-6Ilw&AMSXqM!p<7@CcaVlbeZ!nBuX^{4I4>e&P;+4QI)0@c@DOwXUxAi(4-S6}~E4t*i%rkvXt#nsKxB#wT($X-h7dEe<2@+R)3<|~IR0v5HeKjKzWHQ)M-Fo$tBn{L1$HHY+|CW7uMESJh5gp?WSHt_& z=27UB-dWilYDMc~8k&++W35)+#++2;a)z;FUvKD+c`8i(cLozs#; z8lQMC4T1gq(}Kb2&6yiW7|yvm^u(Ytd*e?@)9Rm|#21F=@(h&f2j?memb~j?8U=cO zGo;p%&azxlQ*EY>PCjg7_|Cq{`=QFY^t|-Dv*pHd)k#%$o3-wEa$194XBVbv$78B% z)QBQu_yz}3P zdh58Rzb}4#NU2B|bW8+9Dd~oRlsEyAZg8X{9bF?-q!FaMySqVz(aq>^boa=O-`=0^ z_woDgud~N}o$c)V&!R$PHIOmFqbT#h zt6iv_oU%MJaJ>4&zWzzm?%%Vb#(zU(FtX-^ad*~B)(`GQ;mExe8+$4ie@H3{RrjT8 zBd(qBtmTKFJ`D|4D6V;%%iED|8n0)SM5Wg3Aq~*N;(#?iU}fW&&W>4`F>b(>T6)27 zm(ieZ)}VJ`tlnfNT>Aa;erXqU3z}#eIx9V$zI0D2SG}-dm2JNg)sLBH*tUjc&#C<= zNOqjp*x}vPA6hEFH4v8yXLx)+lTv3&@m=qumw63Qe=O~_#SxrPnRCOe_!WG(ILDM)Y}v_vp%uE3-o|7A+p=lfNy!&WxpQbB$EiltAkz?G>} z*zyhX8vbPK_SB3)IJ;ZGz>aV`OP+?8zXR?Hcj8ceK@K_UycSIRBxw&_GZS379Yb?+ zstK#+8m#teEwP?Af^1c`;XNI+px|AVWY3r_gFNM7dF(Uq!_Kf6=5t;_LOj8@z=;8q z6LZ`o|FWP#3n47RoF)E2D7lC}SLYbTL?I077Mu0=o8*hi`?ue)A)bt@<|LbACghQ5qVcMKB1}{h-u;*O0cIAa?&$|% zK=2~b>BEqEQN*>%2k$686SJ2;v&8+?#1t!=MOVD71V zgtVg)%899Ae17}q2WUs{gZ;;s@f5%sG-e~6B8$J!S~pK7)|Xr<5_g?TJY03ys?cDg z9=qEiJ&iowm`Zftjp?D39QwRuZay_NWMpICjNEl;f@xhP z^AZDmG0$oc5B+iht4-VdT5f*n-{*(oT`JR=E#bwB2|1fq6RJuX%Bbn(RT^?J(RMsG z(yc&>H1u(Pr^bI**uyYEdP-b%fGw|P{#|b;><3uEzk1lWA^o28Kv9yPCsN~vjBsk! zoVUd;RgJDB>3X$oluma9>`X0tE&Xa)FBy`kQ39Q<*)m=%ogR$+j>&_kfLghpu+Tj6 zKHCsrdYl)rqzY2mSyUpeUEbc&MU8dr_alLt6|#?$E-wlDP0v60rcb`EU7d!Jm6$Ox z_HXN*4^XR3rUIvd3mF^38oZyy1-^Ro`wNU73r9|I*&8GrzQ&Wse9Fge0Iy$}oK8?< zSDJ5+F@5lnM*_nn?IR8s9Cv`SJ>QPm0-ifSF)1{wrSz}Qo9wL6CK6eH5B5g%-<-oz zT`~c*1aTaC_wpqUdovQ7OOX&-T>>?7_DupSwVrtxvCYhsx9A$`zI+5NkDgyTyozsf znFn5SaY>ey2E_zhP^w$lyZfP5WRPBjrH#v{B5B7bOC?{|j@DW)S?f%7PnFM)?f@~z zcYsyZcD9ac36}dUt8wAC2i9&tB%#~?!(0iq^}1nMAyp#i+U6`t%9QqDF^1{W8>ByF zE4+Ywxa1CioV^45(&$DE*O#dKS|X`=rE>i_*c=fSTbDZ|LRg`W>vYM6xeS(t+VuPJ%$p zXG${&iPT3yo1Y7df3HM-Glbn*Rzo_y^0!T%#4~+tnB}N@B{JsvyatCR|e$Y znvsH5Lt_af`N_WOioxwihCV5L;9n0OwciC@VsT(3dxmn%IaE3&2qiYjKW8c`juffc zWlz;eN)C=RW;(Vg_3#?F&qZekVA@IFCpNH1q!OLAlpBil-HvCnQUK{?+kLZ7WpPZ^ zuuAFG(rQX4ztrK53{0T)>0@CXt^?h4HREJ z(_Jm~_pcZ`t7UqhF53)EAhfF;B(M|z#lE7oRGmy}L#c@f1o)m|9q?lxw7b(!C^QVe zX&eh{7*ws#9?=L?Krd{}DRSe(N*$4s$r~SGPKungzES}TUY$@Q3g`7Q677W#)nR+y zAJi1$qISV0HZMi-jc~OzkuhF{+wPach2w-;(F~hyT~C`MwEB-=kmi_hpP9BDHGakw z@89!NU-dVIei?AFOa==Ow?vnnacmWH%nLXTYdGm%JhGW@>)9GzEsL&o8rkRbN!Yh% zb114`{+OCm2ma;}^#sMBWh8CcL1%< z1CkR)s6ubjgITfpL#b^J65srxFhUh1;yRQQD4tHBWMEUyGMR(PESZJX14wY~WlT07 zqaN!|_4JGCRKF4wt@`lcL%=f1U)#>U?@d$Qyn#V&Z65%N64K`NbJa5K`IadD_rX75 zRTlfUdNbbE5%bn?V9Ep;Zz6Y#7U*|HUDMaENrOaUSu=PMNCpqUB_|{7UKp^tDzKrc z(JRkQl!i^3J22ZKCf20TatPmu@cXiFLF(5*tA8s=yD-C@fh5EI(ZwFwWBzPP#S7>+ z8qHZZ65(SRTGrcfMsoH(wWD0Dnp}J>k%Vsfi{UpMd$y%Rr6Y^2Nl`Kp zlwK!}Rd4dLcLc~Oll5idCG?^tl;uDDn;Po1yBzkFik=qi3ecs!G7=xrp`ADMe#A*z zCWc)6-9g(BLx8r{*h&~|SvJdAYfD25?Iij=B{~38W*<+P{Q+&eR6(ft_lCB%N^ASBfwx2`8M|K~(>wP+y27ukc_eT(7B?yKh- zJk}0HB(0)2-(1S;Yvtc)_LlbwvR=4r<1S3}gzQ%YQ;81(yf|l$r)amts@?a-3b7jS zkn+jO#PFEfD7p*oBs&&s6k0ty1mkTec2sP5x{S(}9u%k?eCxFaVOS z613F;Q@)8!X^Wq(+I5&4*t7T~3sa5hd!;Moz>|E8opOnT;QAm2xJj(NZ`v)~kz;NU z)3a?YamlO$&8!H)oTcwSlmKQ89P_;1rX~dkJwMWcoof{7K_WQuECv}w^za;|>oij6 z=8eH&%KO||jH15BqHyVih)WaC+D{BETfU?UwU5X2qr7#j#Ft!t}0XNYLn zK#jv?HF8HB`8P=gPYkE`ybzlr2OJ)QBb_a{dExg?hCeKO(;s}!6&d`V7mXmd^YA>PHX zY)~7SOdD@UBQ2EL*u_G`3o|>ah#2Bd1hDd-Jxi zjk?RHn-Y=LQ&?DgtLXJ%(r2fgi7Qp?xk*sM@r|6-*viiAxk+}t_O$hJ{4?frc#i*> z9dM3Q6!WzoKAu$y`n?u}ED3_odENnrzX~OnVlt(_Ez0GZeg16VW1Qh7HMH>ItC)Ur z&~4wZxSYi?f_cN#&8X8C@^Z5X^RN*$;+{{q2k=ra42()TodoHg)6Iz8IRwg4X z^(R{1Tpt$Vi6Kan`h+8?5Y5lCiD`T@Bztv#0LQGQwk^K7G8Vd*=y9Z2MuLCge1rRr z|LD`P$^l5#eW9*e2bLVv}*e;Pw#)iR^5?*PuRM6}0$lXqupj}5DbqMyWughM&6vmmw}GaW`!jRvU% ztCPD%lQaX#1~O1C@&H4)XT`gp^4m02&9u|w2=NXf82qQe*D&?BL^-e9W6V0* z>L1M~R;)FSTt>Ux7R4)e)(gDyw56h3*%yyYa#ono;IfEGh<}Xy+I(s~ZBsT@u&__! zksh)mU86B^%v(A8^Ey3hQ{hKmTvP{+>X4qJ7LYqBu4o};_S-}jQsOMw^DVA-RiW|x z&*41HZ@mbqRME%gJ;DcZLKp+l1r6qX8eUmXWuSbIv0;xMat$ zK=O+(o5_}p?_`ea1>!3AWA!#LSi_mgUP*St2#5I_sitss>f+V6q^lfD)!OK{>v!!3lF-$uIzil|*ZUJ+o{ z#9B?IQ}uSw@F2}!%L8lkYCn|Qo()Cyb+SWKk;(5)ZMg(+4Jj;%vG3lq6?Y9|`XK&d z+uT1$(V#DqvS~fu>dCQFX`3L%I2{91-PdNM0PGo|*UnXGVjiNdUbjbboco$7N6zpt zh*Q(DnJuj}{88;vG8dG*-pjypleFopI{&$r^I`>2yn{rf#G_LwWP5(?u-J!!xW*Ey z#&U^ZYwodcHjGFkUVXKsC4|LbZd)FjpFqc`qj|L~{F9PJ6>MgH*l5VZx{muiMgC`C zi=SkJl4ET{dRApTIe43jYVP%7O|X=|7Te%}goyS(b8<(XC+=@nZnfI-4Q#l4Ja}pr zdYx0j<`+yeIsGXYjH>+?VH zEuR3|LH9dAB{e5^sY7OHw&M5I6CoM8=}}fODFLed&-37NNiSdxIqm39rqZYFgtm-g zQXEKKM(+C$__aJdI5KKld@m0|VJh_RZ@Ls^60av%N;W280MC}ULX@f*@iB+s^3BhD zmX|&BOgp;J!XCMokc~KLm4pN8kElOH6{gtx@vBpfdu1DqVd-%CjCbq6%umy^7XBqw zbH%ViyKh3dm|9-x2h-~8uI?mwMdEo{4m{;{=0C;N(wcLHsH7L-bq{k^l&@lILm@`L z?DEPrT;!W72~vghNd1#7$MAJ;#)2zIKcTkNEm>ZBITRvWT61&rZ2^yh1M+V87UqTo zsqCD1*t)yB)NDKCJ8PF>fAgL5whlQ`)wI3)MM`gkiZdxSvbC$5M3MX~%fPc@+5{l~ z{(&pB>Rl%gotjG{8rPSiN{BiMJiV;ag;KVOAnN4{wSL1ir8{myiIf4tja^G*P4T4p z?@sj;)sA0N63*>_|%$n%?A>#>Gb)nC`Tf zSs84R9_)3+qx5u->9C$ejb7^2)$n?*CA&iLla#Elb>`H7Q3Dp6aP?ryQl^rGss#KG zUsa4uip@|C3#xM^6&Fsd=|IXE^}ut7H>?PgYzAb!*!#?B?u*$fifr{ zcd(0fO;qLKp-P$ttEff(wv^454+FmF%0XbMkIkHT zfA5iy$jxsA(zj%vWCwJfm%DGl2!9r=Ful_XB1$3I&nwbJ3+wBRNY!e)+yN3bq&C-g z$~>{viHUMsEl54nx&0DSzO}d8&*6jV@z@A$G53L>=MqQC=Dn306!5T5id1iY;QW{zw664O=mAPFdq2IMPD3a zASa)Aa*t9vG5Ob|CstTd-XR)bO$s1tR-xo6`rO}M1m|F%+9aQ+Sw@1++3;8(Llo>P zx~7{xl4sljLfdi!6dJ_XN*g=d5Chcp#k6jl> zxYeb^dHQBPq1h&Qy4Kh_QTRa}CE}w?rh(`-QJV0rGS^9rSGg23PUgI5t$f}@q7Z&M zXJ&4(eun)ucF+N9^$wjgekX7Mf69dCv^O$Xm=?qBXmv3B)1ekk>k*yUwS$tVvl=EN zqe1xYW51VcpB}`tt)`P2;T`a z*+~Zu=64QLQW#ig_0H|D#EFZt*k@$8FlF2pt3*^!HjcG*2p?xeHrhefTXnjo>zcc&+L$J0dVM%xIx&F$4kx_WzdUIXls{Ph6 z$)^;=+CQnrIKegHy4vQ}=2l@zx5#H$mTs+F`xzV{-r4g@Z@*AsGvRIa7BKQv%u1TD ztRd_VjQH|Z;AM_t%;Gb)?0wSObO^yR?@*-x(-+FrD;}}3)lWts@JeU^T;D9%?+`Tf z`a1Q`<~W$p!OkqgdUNiBTA#3`zdZI+7Yo3VpJ4%E7> zhiVG)H~4^9vJkHzdL+zx!lzZW{;{yOu5fC=bGU`DK-ylA_(+bG^C_nrA$r-OIR!@+ z3|?WhQa=73hIixQcgmCAD*m#&Oe*H>SImBmF;k67h!4u#xxg=a z4M8QsE{Ch4f4k45U$qm}tE(qL32G{?(oU+Ks!p7gU4st&3K!7g{w=XUsOMQ>Y?{IC0meHspywUM=DKNGAU zMw{1jS~Q-5!$2RFL(U?LCMz*78Z+w{N8%}jraXGyoDN-9gZE)oQlA z_$Qn8(iE_?X8wY-EfnpUCq1~_0RI}Fsb=en>GU`nxE{gA2u9)hs7km@Bp1;%5PL~Zs>tQ+KWFgqh+9oyZFY2FnTpHGlh#jZ0?wpHREH1iPu zbh}{N```j}K(l_3BGxbZakdctTP(;j;`x1nqfdc%0DktGkpZOPd8%Bo9=N!_=|b}# zIeBX3X4V$`JP4QJ-8#354}RQBexPjI%L=53yv31rWxKJ>cK{Y08bN&HPFIsvmTRIn z=gU`g4XsD?d>uOMr}3OAS6F{CZ6ne3(%SFqe`Ik@E^_@RcPx!m^J$T@O>~`1+v9?I z7_F6glNhyi?N-;bFQm>Cume+Jqq#UyRpisFzh6J%mz%#8zqiybKti;so;qPT@Bfm- zZ}j+a7QT2o*h2^tRWoB&=0vd^bziiR1;m&tAvRII(+GN3i8y8O;9|2hFeqxAoXt)^ zA-rY3MLJczdp;%67ziY5IsuN;IF+%5HO!!EhZ*W)l`(30HC;_wrgwmzSWG5<5JCBy8K+P z-F%0~LPjjY)HLc*^sw|f`H~U^rRTv+By%_Z3mHCYlfZso7hT*kgB7JKID+&m$$6XjiVtBH%n+q;X5wi|9OfGtXhJ-rK-r8mGEjU3?3;C~v- zlj0g-B6;_`%RIM?>?YhOS}}|fT$z2$ehAJz8_Z1LvN*^PD)UM=46?iT&Y>Gj zpMBnzJOSNNnYpDmAc%ip7QL98-yT;K0n`}i?7vZPc=JMkqXmbqos2&n{-$TzM{X}? zB$?6UYEA5FW|bpe8y#mqV;)Fmb4&=(S&gdT9_i`s8*&kyv2}@SlapE7pG^1D zCZ8HEGu~XR5pQ7eI(MABfe)#T@mpv884Q{Q^FWGRYAG0yO)uGOrV<8iVd1~Lljf`9 z8v-_kO1!Pd50{QVIe|l(G;Fop8z%3~t&V8w`UOSEnxlW~@}>#vBO;}@p|8*J)dTkwA^%L< z{oIuKFS_FV>K%Pz^Y^8r#+ZBo{>NwXuPDOwv-DogKacsePxq+{(c9;iANJ=W(NFN_ zfi{v;oOWAmXe0}9PE_y97UnJJ8YD6htC3|;)m(JWC|7O+wY4UDA>{Yqp*n{@%O`r# z0{`N7|2E^La?4(}=ki>+=l4M8qyJe=JR$GVk(+RBW?SXk%MtUV)|XRaQGsZMu}h8%_e;3OuaCtx?eGBqpo=p@K-FF8&;$G``lKbt2c*lJNsr-+3o-`3ASiy z;=Z@nB^|v~I@y*6xTMqTFs+F_Mg5%x-D3jlTJe8CTXUx^EyVd-HlfcJpA9BW^qR-4 z9rDPQVfis@1$CjX?WOI(QXjlhWNddr93kFMgXp83w@K%>(HO?>(rO7xh z5Tl9$i_D(cgoW5b;FAA49daTfI$LTSeEmGig?pS0M?#jmw~ci8f4Q>R`^ZO;7}K%B zt~zB0<$eNGJnFCTyF3lH_7VUy>QW01x74Y3fT6<@;Fj$jpq?13EPCC39)uxHN3Yxg z`Z)3dn9KTx^2{5cY=tD&`SxDfv6Hwgu%F}yCg|M1Wx@f|06I~=1DLz?q}nvV9dO1U zJX<~yB7e)2UP_|$zMoa(sygF79ggabRt*xppTb3Dg*~_x08>olF^@29am^-2b_oyAP{0xVcs2WGZ(^Gm- zs}n0}(_qJUSGd^MtM>FQhTA4u8!}j3+|RWKH5ikpckMczp==LYiSYjOWY(tF=`)H2AuDCq#IcxmI!?GD6)o5c zaP?o5KpB>J7}nxvhG#K!j^GymY_@D~(}r-6{y^%ru&3(to0{-vUukaL7?eaHg-&Eo zUn(Wlk0(O~pbkZQA`9bl`}5*0GMkmhT3-w6!a{A@4WLfY!NFhsnPX0UF92-2ZRKlL z&LKM%)2m{Mq;|1d7T?S9ZWU7}PXtZ{Do>!bwPux#b|OA`Lsc75=n6U2Bo5lZ9M45T z7d*EDr>!S{@L5Kh$klhIKU-}4TSJZc-eA(CNu5wS22*_T#~BRVzQM_%UZrG( z%QbpNk);vN+9+b;mg4EP+EnKFRbC0f&wRsX;&oZ7C*3b4Df~v^JpJi>F+4e=jQD+e zvFTg&qzAR+XV@>}uFWy3d&s%YP}btG+P0pd3?2HW3QfEz7atY^{?SZli9Vr={Jv ztw37CT+8et=zwecsw~|EjAu?@TMWXdn>$Wv)NMgKX#d9@AOpAwJOHC{Oi>vSj538sV}w)D=t>F2 zDk|!cr_rl?^EnFR6W7QIs~3LcRqU89{V-@h6B~$4zj^9A=WtDa4c`MtfHB+XP!Y4U zo>cyUwnyIZ$%1-nykW;1O@N1&A>O5z22;)Q84y<%IupMi2hRZEqV-DP*Y_9sbFWTc z_hc0y8tYsC`VckAoW|9vU%+ZcI&FNXtvt!EmiNHuZur)Jf=q8J63n_jaSXFqZt_JX z8LjxP=ihuTKO??9yzLg*54i)3rk@636@=`Vy7pl|4)HJ8r#mnMO6XSjmfBSpjsq9J z|D}Blcp7x^JlsocFL$~{lU}0cL|^CZQP>A3%bq>QC>a8vzjCT}yeQwEi=7jog*H-} z^0wt-I)v8Ju{X16>Z3rtN=4_)+qip@>?YmuO!E_ivnuw|8v$>5*j0MZ8GXWMHL2|- zm6j+M7?`0E+VaAcJUFhwlg#9#z3lCeofq(0P;P|t zxjYjNch*uV$4p#2t82edTZn9ATe{k#S3w|%2)mB3XVV%Qx}QhA0n;ax22dQ1kLi}4 z_T9dTx-c*IMw8G;0;KOZOgGbwqIV{;=TQ{shAletD{faGgMQz~gX`hEC_KM+2?^g= zXu)>6=kcLBAliCfi&3|C@k&lO3KbOgN_0BWoeoGLar^cM_vVv{NVijasY~K+B~FIV zy7tz*`%rGa+S6q@X14Yzkm8|Sh4XFlZZEDhjcIgzfW~;97=qtvzb?Q|*IqLpuQQuU z7|>6W&^1%z`=$HuAf!l4>(c;#F~!jJ4~f6zy_td2{6iy_219LS-}H*rP8dKh9Ah6E zv*k6{oG#f8RASrb>S=}jWI!(h#1wZ(A^e8d#b-snrPln!KV=9N-9AfAtddWNpjtV1 z@>IEf7-JXhJ~(=(D zNqll$?>=%6U+1e$i|RK*NonI;IlOina;xl<+VrJH@~ix*o;6U;`y7d_xW6b_Y=8l4w%2=O$}IR$D-v zf|S44H@2U0;GBMm%fofu^=_r-?W1w+3Nhm0;@{z6@U4XW;gK8Es%rpIzF#xwI1)qt z3`Y&W16*_?&_rJ3y=5btJZfU682n#T#i3s!RqeOTlj2rov@!BLjM_eT(88_8hob~4=d;?;^Ifg)6zJB=u452UQxPF)$P=W|mqDfV zjiG6+67^ZEylMK2 zAV1CW6K~2#&9lE^UG^yEZDAdfo&50S{VIJ2w_&mJK+E0JQjVoiF_fr*xvYnNcK?+)I-_EwMnWHp-t|y{X z$A-(%OAz+%`6WfNqY5+W`+3%8-9_~)S}F?xx}uYm#j@7soOsflAH%a8ow$l-j?k~% z1$A+B3Fy{3z7jg=VkxP)-9LYNdhN&@E2y=P$pD=v%uIuW;)Oz=iK9oFrhP?JUjLu^pW~BU2GYGtFrpM( zwB+j-d(k8KWi@Q^AOdVa_h+*yx0Rja*e=oP^^IxcAWRss@gx6N7V4GDEgcB5ZFE9> zwR{y~V!mxug_HI^on5~Met=J?Y&)AQ|7W!u`5Z0>|Lb6IAae(hgnxDVK0%Ht0B%Me zsBJqtoCRXzFW9!7;r|m@%a3ExOo=#bK15TgiKW-R?3D-oR{j9z5jZ*d< zAje_p@IcvQl^ad=|38dLpYSrTzeVGe;YvC19NSwXOy8oKvUoB*4r=SeQOa5nXt*lw z1G#aWfWmew=fj)m<p;rE=l_#*E(d&vw--;8uJ+%ZE#X{K+_4jR+9G?*=xi9uS%27wIWUh-pGNQhxD#z zD0W1tnlXRjkmeY>UaU<@qg&!qHyy^sJM!^~u~&k95hKS^ys?6N_fQai#nCv<(~WAA*wMk2A1E0LxsT}yAoRW>%hXufn#|ahmQy@ z-*}y6T=3vY#CFhKG3FZflKez1UsL} zhO}Q34*kQ3?Dwr!anuc}%R=+O!bjmSoKuX0yuK6FgjdKjkX`) z(l30=SI<^=mE>M3{+~CLQPSB0b#9;|_*FFgDonx(zJikqI|DC9W-;N-@m3!(7F%Vj z;?i7hScNxNo#PLFr7YjrEniVa9~P|1TLxkxZ~Npis+D;}2EKNYs7NB77|`bxi`m90 z&oVL{)!wX>I^7GToIzzrbHAA)EiNF#;cB?k=r$B2uya16Teo(-@1C36UHSB~loWxr zfaMoIUT=?V)m`s++>1960FUlljO1;SxoP(BkR;8!UW;YZc?s9@0;%jl~Uy4DQ8YaKJFxE1Kv3;&D&0JiG%? zVL9aRpI_km#q|5_(89O(aP2k<$+8o%X(Oj04iBZWmyhh3Qlq`aNvQK zn)=`!K*j2+n|DVq{eLRCf6DER?f^uGdwY>oSkOYbx+@6B;hm~~F;P%@D|*=^K^T4m z7QjfQZ$1-QlSWX_pe~q{`9IzJwI8pOT%C1@ z##pKVLAQfcuUAa5za^><4_`iZSrZ5qUv&Nn#wF01t_QSU!qHN1YcD{rC$5uMG4iy)9b>gEDcI^#_{`_brl!O)@4gzeGv-pynC^(@uRoKK`Q0TWeNxQUjDa> zq9jc7pijjngkQrdZx|=19dhm5JR@xP-`G&F^ic4#?#@O8)8$C96ydSc_0k>XkMkEH zns&+;kZY4W;3U?X<`(Z*+ee|x@$bZlDwrO?mSg~5w9^>RmXQKH2<~$(yC7<3a=3Nc zwjT+BP0Kk;2xOGY;YF)lhgoEUl&6*VNlN78T$*y$iW5Z(&9z1&{D zU^;AUUkZ`VJ&S;=fTnSgH2f-Y`~{#1v`T!zu{?GMD4DIEVa8oyGgDbg`l`U zR0GB&U55H%&uJd~;fh)>|GLd^-9mry^2Wy)|A6E*>j6dk!RWEee5y{M@5;}G{zywq z=Vx|;h=+#E#IccI59?p#`&!=wR3J3}oe?ikOKHnOe;iPK*PG%chm@SAwtss`bIGR` zA0;lHp{+D(dwb6(7Q2$}rJ zZ21N80)?7p0X*RU4EpbAHFVi{u*=}%w4VLuQ)@@HrX}2VUJ!noMoN%3QVU&aDiw!a z%V8t1xLr8ZddM>;cJS2C0uiE9Xn9k71pG=;O6*5581ZSs<~S*-`Z|tSN579+E^S^W0UZa5nVk zUJ~EDOGJg>q5TmOVRozsG|#U)Y*ntC^+W4LLUwt0-?4uawTYbl*YxHcKRfUN;$e(E zcwxHQGB?AY`+$=E2M@VM>yL8dqy>HC0e9rSNT-IquR=WuMu%Qk(0hkC+OlrIP);sw zwa`yl{k=~9Mi)!jFh)#N*wiEut%NT(vzWEnxh!6ks$b$-PyHff$i$|25(W;fjTZZA zA7i3bdUzw`Wbn;k_h%6(wo$HF-?o=<_EDdswar-%k{=|L_71#0e`LU&q#To*WvaVn zrV-B+{#zI7h)&H{zHO)LuC}gnJ(7GTpnKtr zNa+zmQUTRV2x$Ir6&BFW3xK_`j?ep)iOCHA<_T&wmtjpWfLe9 z$KnMoL|vad0Y~RRyFoZ~tZrlq8;mn-i5I}bPeAQ!Ag0@D0p6;OTF2RR6R2g52q^G} zZ?n`W+4C!Xr6VxbLmZw3!%=7t4-D+D8^)S@1j5 z;iYI|yDph4TLad=e9FfDpBbO@$5I65JK|OZgHbCwYfFusIIN3QE{BjL*I9bGyUNa>Gh+POOE~PFt-6`XEdH{OeJ>%fW(IQG{WsD7h@|cgKuh--1(X0Ch6YQL z`|0H461>T{1aB$2$MjtCLoE#IEro%?$!KrQ_s6>MGv*%e*1F>M#Vvyr6vWOjRgXv_0rOldarG*YU zLt^GJ2KE^RaXr;}84rlu_W*fP84$V9;Q2Iir@(%uu3oD7rZMJ)FTqwYTzf}{w*usq zN`b7or)KTX$-(WaTwZWcx~k+EegCpxv&fYY-w@ybh0OC`s3!Z&X>pfDvBA>$RETg$ zwstxwAV-{@%glwj?q~X#q$H-(%6Hh2_T?V~w5x-CI#_o~P*<1rL8(%*@eZN@iJ=y~ zfBCr3K#XS)(MwY+s1d3AXsk+T^^nM_=?`?VMQTHaajGkRYB_9Pe>%nWhO=^z?B^{~ zGFVX^g_k7Gx{G0T9?TPQ_h@R@^jq|Mq6U#B;gGy1lqg24x*Q8VaJ0cgiZ*oYzf^zy zr|Ejp;^ThlVhybu-ycVH!6`1@`$&%yuzk{&)i-sc@2Cp06W4ul=&728@Z`z>-9|$y ze$Z=Bpw{LJF65d>d24l?RvCEe5fQN|W1G^kExQ5ne#G$nKU#mA|{ zNtW_bjLoYznl7wbVnZ#@yyYzQ-sh#_@pPUn2w>Y z8CbZsaOn85nU0>7wC!Jw>-)!iMCa!5#htmqOw|ZFV1HR{llxT>jprQ7blUtISJ%KhE$|{UUarQ+O{@&)y;bd5C*f3Px#0HM zGkigJlQ=kih^4Aoi{^aA$Zv11ZOkhf@{Zl~(Vodhp-X5H3pHIaA%dzj(#tp4NnroI zRu`tOB9xS|&t65rp=~I9wejfT#on#!)~<{+VFx86cYa-O-dN4Sx} zs8L@d;mgz%pNTtomT%4fAHV4FRrU(y_U(HA1{R+2#UKEinXD?nG`FxJHJ^&8*D@N} zX{FuB+SDE(c5tyLZdN04W1RkUz3-qRMk>_WR?Y6Ta6jVn!$ONim4>n&Of+(gU|%AI zI3b=!_3=c}?dPojE~c(8(%O+KHFK*R;a%*`w~gs3w#=U~NEzHiiZv4Z7z8KHjgujS zIxC!4c+BhOJ4$LAhn)dJJnU7i@Ts&?U15vH-&JwKL*-6RPh{=^Seg`{CnRT&)#7h6 zKgPjOvib@4TJrew<%YN?XL_2g6Mx*yZF*CIW$jauv3jgi8m|U@rDE%Z=K#2$&9+Y@ z{Y7`Lrn)H1aH(jgym2X!X__h|m>WPuv|HZ?RY^-*VbnNUCWEyrLyMVY9+2ru$v5w_ zHjU`5hb>_`m-e&Fx`rO7I*5grfWbT0!eUh@kaOBU(Py77%+(#V%WgS(&Ae16a+*)W z$S563sHpjW%-k2Ux3G7-5Q~v$@%Y%Z(9)7=$>}3m%p}F9R>g=~bk_R%x*aI&S>Ocw z);Ju0u-`yC{V|dIy(i0`eBnR46>=9J@l&9@|1P+RUBEEjGD|RCvpqtuE7Hez9Y%@a zZ!swOd#-CtFL58*XOr#_P#T{alpGF81kb|c=^1ONZ3gO2l3b5JvnxH*W?Gp=H;^~U zmqivBCB3m1nAh#f&1%x0p?E-;<0g^32z%B%?&Q@XNTS%^bku375xCp!{{K<+)^SaR z?f*Ck3W$Uv9itSblwaJFkW6ATYKiS|$9~;S%3Z^GR_V5kUI;a2`&hzT`LUk5j-taRQE_9l zqPXhM$o{gPVj!65y+}9clTy${{E*M-%16O_Mpnc}{zUb$piRVcirOf5Bqbu}T+}N* zps32Wtm;6Cgtk>k#~x?B=+P%$4@!`N=fvvKdk;BoQh%EVxN{4~azyr_{^h%Xcf~VEh@*np;)ltLYuFNx~sO{Ec3*sqSE5WJ9>-$vCOJX1)TI`Y}j}Mr={+&B9ZG?M`A(PAO)B1%+kx*g(nYI=}+SuQz!R3_DURNvAt_< zd#jHQ6)eAbpsU6oXa;ffP3qPU4Cc;kOd2ugZOr!~4c_~h)=_F;-u5``k$8+5au*y& zx)UF_wDn%LtEJ2?w6ej+Y=;oTY+7o$k(b33)#|!dt{lYngpuFlXx_&zKmD@SH2pJ* zO$u~_y8DO4Uf=OJol3I$>nU$7c+wT233U({DMZ(<*Ha+AJ|G)282k0jJme=o|GcC? zGtf^X4jbwBDnR>Ux3M*hk!;@{fwB_wvAML$+Q`(EL1 z)g+0?8~%sov~bNyr!cmlFwZVj^WzC5T;(p;&fgB*Jmo{)aCOO@tx_5+D z^CG*5H~zLAk^jYDAyWN0rNTKPm263d%L+LNGWh5u^|$Kedp_l%iX@l-j<*Hi@r!u}zC9I`UI}&4Y2d9P_i!_A08hyMZj#ar;-WXu!$8=Og zN>z^f&P^7QBe5ITt-Ed%t~rl3V+}3RlwMtEI6!+wSVI$=uQ}B6^K{nxqUo0KQK0@L z_@ggON;!(U9&YW+po_RLwAtEkSnd4)%Pz9diLxRR-;<-DywKmA9Ikel)jt*-m)~bM zvRiurn@?(MPSM{dNDe*X+ium*Y?iihlaJ|JEj;%&CwNaqU_0GBAe}_ktx$MAIuFy& zQf)NZ2Hk}@PfJ$A^8QTT-~s>TR$~6GPNgjOME2!7sbPg1e_A(%J<3t=bU@{gdqG9S zCU8QkXt^=taot~;&3XXGH@f|D=6sFI7K~cK*uM6yklI|2fwdSj9A{4hvi$z4E^xP) z(->TWqK4!qS?Y8Wz=UlsW5*|}loqi{(hS`7C#1F=POgEEMDF8JPMN584}IA3$L%{S z%Rdg@S-}2}|N6uWgw>2Vi&mKBd4JHlEvafKWOn-a4&$=r3X>WWXxi4TCipokWBFd3 zKL*cP|IzKJpw!)Z6LDb_%ItGBEtQ@N0fkY$Ys%hu#?`pY^xA#?d8gfFE+lP)#d@_n8J*Pdm|pwgu6 zZwgteCL*5LiN*j))=E_0>q$f)d2wSUQQ7 zREnG-V+V+rPzii^*&iB<1sO677!gD=Ot+1DC4J+!@Q=QJw;nfdYJg25zBRA1*~)-*kLaTA4?Mf10^Y8jnQ zCz;PEWl|kK-uiAGS3g63)mh2j3u25Ke29wNTKnFlEZZDdYBb?f%|jb!QJE0=J9?wp zG1O*KD+e1VEVv2$`H}WQ6RZUDV_z!Ul`{qWB8r4Is--5nNY~sE7$+$9$Ykj)P3C2G z>iAP?4cGHbHxOX|e&RRXVl8!8jvXHy_+rG_KIyMo7@%)Ky zDQDEPSt14^J95kAf_ZF@J)D?Vc?X8Ov%i%hX++fC(Mmfz+gyzVb#QNR_nNQOWzk;w z`uIxd3Npq{);tsO*gZFti&YN4aNB2QyrZa-XVVt8|I>QZPMr!%4LDKrTJFO2)3o6H zwuC2;tL!rFV2lgnKRE~A@0-{Iq^S4*{gtASt$ux?vqxmkeZ6+UU$(gMivWjKRQP14 z{ql}jm+i}EdpGVy8?fduS{_OH2o{GsS18h8%o8KjL>+ym7bAi>qNSEQX&A^$ zLIv?~y}%W%x<5pQ1PuYv9wpGWO%fK+p{ry=uKgAHo~=5V6ZDrKX^Ls@iB z$i|KC7)ZD9LX=Xy80B1aXFzghB4ze~+f^+X-$ZV;-iks@ctI0yaV$L}4KSwu|0T3Y zdSZB2B5xHQCW{M(G~lNx19z~kOg`}<127W4*L*}nb0mIYBy|m_p56DUow%R$&=E|v z?lkT2xY$r&@&^4sk(}GpX170-lS(d5-EmWse<&e#6mRAeC5ZNw*r*wZ505pPs*+8O z;&DUuZb$}fRbGu`KCOPUw)c~v*njpy!}Zs>hpf?q-Xl(;x8F)4!v<&<09GWYt7yP; z!V;$3?%uh{PrgMr{%m{%&Byk2rj2>;@7^_D+Qu)XqgTxJT^9s=avrsIj7%6UUKuH9 zjO=@PwO@U(srHtPgP03ZjE-^9e_5mY{Zdf<>gC%5g#A@5i#^q{3$OTBZeIzl(Z2|CuCmi8TTEKV2_`VPqbBjl?+yEAC$Ub z4N*o9V8S`>0OU8;IP@wEHZg;7!TV16%eo_IYQjU zvN%arMCr$2oB^6G_s!gM&}hkx1HMC3#Y+yJRUk*fe-$(ywnnztBA2ve(3I}-eLb%x zk@DGl@BLa2_)Y2v!Ulm>RxfIh%G)HRTDSAa2wk)21ax?9CboAk^tSSzxX{qNgT>AT zIa5yY0ce}lc%`HuY`3=iipGNwC}`B6acAIx70Unah;Ht-2L7`nQnH-7Kyx%5MubHv zGSzNGv*OUEHGb;zRBs*a>1=)(?jnP>%q?fpbAuGsCPE-x`A1$ue~O)-hX_{hB#OEh~4G;lV0))o$XJ=OT)CP z(dukXRhaULQ(C7E=+9rNa&5`50-ANEVcX!eCe*a$hB?^%C}y{l$}FX5^<^4x1MxFM2C4aF*6i z^~*^AQq(?aABW7I$0^ecM?&;=0#9D(7t9t<+$qOkI=r2W5>I`WKGgib3CJ zA88+DMil~H;l7nn#$-~@x_S@sT`%eRjVTYGzw5xBxF(t9IQ>s&$)rYK*@Clbq;I67 ztKwDYj3aG>9Ff{D8rK41CsX2NR$|;p73Rw)+nbx?@~0?`1{+T(DHd%=m$p(;>5#88 zfRn1jcI1z7Bl)+uvnO0c&9ZvM4R~qW>iJ^o6NEjOc%=>0Dwz_G916o!ofyDO2_mU! zHCM!^3V*ER(j@A5+TwU|6Xo-7h$ZoVTJEewfVeZeWmTkkmZ}O?(vZcF$Wiwi{@^BQ zPTr8}{rSm`OU{Qb@#F1QYK_KmYpB$6#l9 zYzFG>5hVc+Yx={>X)4fGt(lg;yKd6m9l##+URc=UhNEUl_R_Di<3LdO!Q_x@(JPy! zc2^X&$40|-vQeIUqWNb)nwn2P%T&fJffUJyntD3La6~jatV7Ve9-};>TtjUkY4Z5P zRVQF`1Ju+Si8%wm6H1sgm63n~2&uPo(ZqRt#Q?}WCQXh?v)$Q0k^rWW`%)1C+V3eT10Q$B6@DL7Lz&*fgnU-1kVif!?1rRMm7{L%cKr5p9q zyOs#CUtJCNc^r_a+#Gr{*R9*54gGItZ4nKlK{FPY^;V*ZB0~yUzzH`wK8XmA#plRwMXyjj(pl?dA5OIjZgfClmo0$?D@5S8#qvm}`;&R2keomt8ut$6zedd%hM4QwRS3(sjCQ(u`;#ZNLhogc z1?Un(%q{V#%4Vo8N*rkoqY22TcPr5e6q-r%Toj@mhGW>PuKnZsTE)Wyj_LtAIwH`i z;}uQcBPpevD;8~0bOOix1j>io8%uE1Hm?{sqav3s-V^CR&pa-+E@Ddx<9a{<1)t?FC# z0@z|IY?NJpN$#e`T-yq8V<>~9Z@gHDC~~kYeYP!q0}~eKps#i%`!# zt%;jvsbMq89Q#u219urUI`6O3bEtp(&@^|SAV*y&c6mo z-xGf%tLavVZAHwSy#nAj)8Y23<&U=JE_xop9?uNtTz<-n3#$M9Yxy+f;d1%w;03OM zqNaIcRLi3p0@n1wQtN5rCTY)%-u|RFHXNXwOFu)kY-0xiJqCAks^9Lm(&+E#ISCa{VC zqJcEF$pE?%d^aiNKXlu5VI1SZMnD`5aLp@WrPlXnPAe&r*v5zv!#2kq^dk{Zw_E*) z#lAW1q{#~8*y@^CZIblR;O^BnIK6KX)$)v;3ybn;{oaS-sY`zt&W$!63GTPBL#uUK zoPybd9uyh$%j1!avJSx-_2~D-C47(TH#Vm5N>+EUdEUxwA{fZb-HMl{d`YD4fK25=GPA<5L`nZvV+QmN~CtS5J}ay+HIMRs{Z6pJ@VNp(B^? z?A|5qBafpWlJYu2h3@5y&y3e;SX2#lTJH%TMmR`#zZ@E`F@W)l+zDiNFfn7%fvY<{ zq};;_&D~XYEY@ld3o3*zGVq>>q~(04sN2xC$}`}%5B^#w7E{(7Et;s(p1b)=x!-km z%(6wcR$bY?GPrk<2Fu2+A1mmHVC~^oKk?WOiAe?=lm;SU!@6<=YHd`pBp&$i>v~@Z zsUbm}sLGX!0BAXk+;<+;ctk5371ZfYuUuq4r|2%|;D23OFiBI}EgK${B~JMgv&>qS z0@y3s=jYQk+57SW%DB34x|tCE$etla{vSZ}lzmZ8z5&-0PO|3dep-Vi)3bb+1S^wL zH2dZ!l8%P!pJIG(T2mRM9a;I`-+lavTT6VUd9lwiFp?D>@Ewl5RAX>G!@Hbur~LLc zRArgXDubVj6%p8pTNC)?X#xB661ZSNzi4oATUdsM07NCvn-e(5H`$D96{as2(LCvx z$5d{w&Y;ZL*lt5&3U_kzV$e9OTQK-zxUM%$wftKf+MbWy^u>G|L1sU@oXAmbzA4yj z&S)A@&1LR$G@uWIE8jqUmCo6}VS1zUH1q-+7=>cJbIj z375En&=mw`U9VHcVOh1}bY$U~{%L=-$AN4i65;%ZotOSSOMy@QJU#f)Jf{<{H#Ts8sq`Xy&;zcs zhVsf_NO-%~4@PeriZ>s6taNnq&6w*dM*}8tgG1*f*j#7tCynnnHi6FDbFm~~vK^)WA9@45Jc<;DN(t~$PF8lO zPw4`&-P5)KFS7pM33?JAPR-4+PlN9F0w$VK)W9h+CZ8+by7Icd&MFG^hhwP)Z8sNT zvh=ne+>Oo1XV%6_?I(gJMVIAY`cre76O^uYKV@my@;pSJO@zz%IzoO-H)98##cQS} z==J-WPPhelZ7a)Sd@o>xfZ7ps!`i;n zu6W1|7*@kJ;~ia-j^3Ps{di7q4N?B`z5!7-QZ%C&rMKu*o0%gh{JQ%7;QhV(p2Alr zKx7BMi#5OO$`cFv{|+R#1AvWtSPa#*f0>T%^x)gdlKxv+lfAdi4ihh*0)(DfH`r3c zoe;|5?Go#J;n+O_ngo21OCqZtt}S1Zzr9S>G(+$91ZFi zo;2avT3}2)i7_=4AqA#o3wNIr(0X0xU%YRgtsEPIQ@QX4uQ|>ov;GS}iWn*1{J}i@ z)TG6VoujdfgYT`*t^*d3`bX#9Yq^2Ngo#Zb&B%Q#%ym4`_cx7*DJW`OA9YQ1xsDKP=n!m+ZM_C-b@$)$n9Yj=kvQ7faQuiN8#cy0=>;!UC!b$p^+xp36wWuhkM z6iE#nn3a$OJk5v{v%;Al@$^024I@nle~cQhvvS2^B$?d(HA~D4ZXJHRrrT%!6vz+m z2odR}m3&9}VlefLj=NeYb8S4-95O#tVz=N}?Xf^zBYX@Qm`QtQQPfjxz)h$%1s*D7 zcuvIEOb&S*R!jvT}HdmB>#{ygkaPV}4N@y}-FYj_bJ zG5cb^p)a}-q58>50N1UV?`>5&K!fb%Njkxquwi^)sO}gz)f1q6z{Xywx%p*Gw&skL zg{6v%oVY<3>@q0fiPJopmIGf}Dq;^cH{5OrbtS2}R{YNT{JIZ`$?`cdY8&#P5Dd+u zlW$Arp{g)3QE2io_uKE?gzM z;#OB$g**R{N`rh93anr`cX%ka`^;oa+$m@=8(b7cp~1UKAbuO?AI{O?zlT{#fc*}@ zxs8jsE0a*~fVq6IzZi*2PDaS{c~s1v3Xx?naEx)FLxL&@d7-Y&F*PLpz@QJOXnSK@ z)0cs;*vknQpJ#TrIoRLd)P|%3CEslSRPxibW(svfNPVrFgUc`Rm#DgxyY9VJn3U)8 z3NU55q|E<^mEU~gh>-*2M~F*c(WQhf01^>q1SVhxnBN)w!*U?G3oE^6>pQjITTKIE ztmU%~;KS{US(165Ykv4|`sv^ID;!L7)2xZZW~2e+Hb=7QBkYJi2-5_(Y;TJKK~zqa zBj|b^3i`Px?$w%xtMqkG{GJN|bpS4UpAYZh94&FP{=5*yb67I}du+p$@tMevPxDgS z_NZIk+vj)f)Db7!tMUHfmOA3VoGQXpax}~r6K8TxNt**uj1kwp7#FLQ)YRy4 zupzGRA!}pz7Z%l?@l5SMf-i>iR(I%2o#u^()ZNy&>c%Ud{CzIU>>w!Hdxcyl@%nTt zsKAigwpDDRHBde+WcU}?o|s{R@oT-DCS}3=f=Met$)UFO!c`B!i)V@_CE}y2tPrau zmN>gxXxn0UHa@_rEr*b>;^eQEGi05~-s{HhF-1CI{ll92CW)9jDf&HVtZYB&dDk4omrz#>`Vcyr}A)l$1l(M?O%}##r$O5POORUVpXC;74o$K!@@4qb< zvv8QJ$^G2a^DP5Y_o$Z|%jtE1HE@Xpp9wsZl?_)gRSRpqm-4@^;q5r7 zg+7uJa>mgv;}K<01N&HN`@9PgtUqQHS^e60+hVEYTrk@{yVy%Y7&0aLeMy(;rjf{; zvyK2N3sHb#zfh)S4D+W+b1Y=5aFzZW`y7pVNY7Vb5)!5Mr>?KrO%X3d{!g6s}gwmo=VDI+rGKOd~wHk4|1#9}3Mq zaUSEz!Z){^Z*F|y3T14qE?AUeKNc-l*(>WFC`*~szOJpCFEPgh&X3K5O=V+yz@1Z{~RX3Tc+CN12NR>#j3u$2dU|LMNcL)DDlKKS7 z2TkJ_UxZ9&90|~UH#%8~rl(b6Ht*{J6A}9w9AUrJ_LTvm=VUWT@Yz+M5vm8GTeRxN6BBYMgyk-9`*)d;bjEJ+tR+Qre)*<`SlYsntkn(sQ9tH?%enqsn=Om>+Wyf zJp1c@HbJR+*}R`WedrMC;34=>%R-aQWz)W6^N4vAU^skB$Ch zQ?)=3_0Y~X(Omp3kp5?tF_b1S(>=vm&HrRmP2F= zYId!V9&O34E*istciMhxxgn`0g>X|w7vgUaQcKzw!wwQyKO&B>qF*VUP%?VVM+zV5CIcf~7rew42yhJSvrMtz=QQK|YytJh2s<0|2IeVnf#)x{UPq)t0|wer?GF+UQ~zQ4p0ekcd!iKp zU`Z2=g5|(&dU$A1ceEx|<@YBYxwq_RH!EMYTYcLnfPpz;rum))xZW!kV?e)GJmEv$ z=&uZ-={vH7V|U~5EK_Fl?Zahkur?&RZMj`@fG}ob3M*|9ZLszz}%NPis7?yLXiMk)FS^!{2BGPYM2W) zf1TazU8KTQ+Wo;3f6x8=cYjE()Ga8o1h1%M3J$ip_R|0HbDs~aKx>A9N zM6$Jh;ybePO8fpwqb|tZ=cL{qaX=yqr0p0wa^iYDoP$L;{-2bcmQ)hk1VGZ#U3buU zVnrZ#*n|AZw>;;s2l@vZr?h_{8+%HZ)i&r9Kkhe4W^QgdW-k5_g=tm&N%b}OGf;Y7 zbKCs;DTPLq;KM5gm{R7{WPH$1uHL#%chF;3jJwh6L{~ zy`FjlopB0IcaBhjC2q>^rqLW1-EyL-F8C8?--kYse%!0+1DfN(j9Y!g#h*vIGFam5 zFKwlPCksz5=myPlx+9|^J8WOQC#2WLN2yw?P$SXhHJWfB#&eD~GvkVW>s?!D_|HSg zTNfh-0n}rL9X`nHI-7>X6sFvQ()^N!lMigOIQ~p}S;#K|uF^+!F}W91d2=c3B|rI_ z2S=5h>Z^2(U8nQ!_M<55+F9GYfcOeun6}vxxUdF!ya;-_ULQ~?G3Tz0_@Vr&w{l7{ z6GOC$@VttDfV`xGuEO}MqksL7`B_(wmD-Q!~KY6E+8rQg}O+J?kq zH8AVXuv|dKEp&LNfq4!~pK8cmVmQ1_6iNRU?ldHcRyGq!QKBJyVS_jP5x4_mvyJuL=&tJG2HJDp-!U1DQcZ+YjMXEMjVQV>&?^A z5K?sj6SPH(E2BqhZ&b4LKhLOgMI$NZ0NN<**V3}}sgckOH+(|~$t6_9?VP4XP8Fo)U$rA`*> z@M@uQ>q~35mc#bhbp+I7Vh%bww!5QX{=0S3sY+B53=WV>oWG0FP7qIOtgC1&gOeK6 zi1u>xrR-R0?QB>`*Y(=%8!u5qrM}0`0-?91?-XbI znswkVTK#J|`KR7$pN|@LU(JtraP~zDr#Q{&Jx z9>CX=kfkipF^SsadV57rH@Qvz6COr~GB--$%-aus!FX%9l-K7lJtiMHEo9QL?#6j= zFg6)!W-G~*M-kSCo-?;YwMUV zFJEfkE_pnTE)VVoG z2bR!^3ejR7NM*Z4(Z0c|5~b_?&pduiPi$EPV4(%S2su^0QUqu^u!p}MduwoIFE)Xy z6wtEQZ1ijxQVgM_R+9H|tYq%|o&<3*Izd2J&qK0;D|Ag&+Zcu)UD`nw4V};6i(GPXBwN6y*optsRPf z9$(LkfFy+r73ZA_T$b@B(|=esHLw&)M&Q-`NaWptBZ>{AkM3%V2i|90>ivMPNQlYJ z;8*xx5}7Hq4v`+UpmwAtz`~YI?PqkMaA-dl`-defbrKez<|_6wQPVX^?<%2*3Oi`K z%)#+)@}gbr$h8mJ#vWkI`@l3!<%PjDv&A!x1_xj-V@*=EUfm9C;-bYJgnl7YPkMne^Cv%Q--T)hy)J#EqdGX81_QF=9&F0JD8R^sd`a;30)n&r;@y1A; zdi1x8fU(|w+rsj)T}Imy;de(aXHC|3=Ur9@mNmj@v~?19#fj?|*~lV?ozuDT94Ae- zVf@pSMX)tqS9a2n3CR-+w^J`W_I)oA0nylfhdNpOll6&mzB21-rW$v=ql<`&;NV~o z6_!nNv5)8UPFw!g;;A_?Pu_TbHWU zhE}MGO1-10^{_Da6DGNicggGzNn1EOB;;Xw0a_0EdD55y8SC>BOvPP6>qkt5*exuK zBVA9tT{VAhhzlMi9gXI0n^ zQNZcc8%FnBrZR_oc7M_We;h0OFLe_B)Lo#BCAm ze1o!>4L9;Ym?D{mS)=xC4JEjvOV4}qmxko2l@o6DI zQPeqC72sV=M?_=W+N6b4oQoD4Dd$HMJc)n^(A0?EA%@YJD|<6X;))jb$aBc-<<+O35B5X-(9)x1rZxgI5ts+0yP zejH~YLQ--}2ATYv>+{gFVViLDI{T~9?~^hY;83nIk{kzRV{XgY(ONd1X@K`v^Ep?R zxcVDzZ%M!PSI^!${;&{GA$qlBBsei&QBF4m& z*UicAgQ!iMb%IUQ-<={3^#J=CjF*1Kx4+LJkna03_-w_0pNanc8|XDw&VQG}zb5~j zxU&O6wr;Pa}S?5dfbCI4bjG@XOn*SJq_4BP@)r7WC= zNA(8R)A0*Q}Xl-Gfy<* zN%P*j6xcZSeRS^bWM7^X5J?`Qi5{j|N=Av@{tVGV4`Nc^9DAbJ+uac-3P<*5eLWWn zlW>|UNg~i<;C^Kb$xZfc;v>;~q#DZdegv~6c^GMv)@$A zlNuB&!?)W2H{B+Q?F4A0H>FKdN9s~@+D238*7(N6CBSixX|1ChL{AX`zd_Wu&#y~< zDlz@`&6=zox1IHabhMu)uvD9)#Xb6noDrdRo3vu!Q$nCVQf*x5^||ey)pzL}oEv&E zAnzWuO8sgS-x<9iawyP~Gfi@%*OqsG5|&zJU@pCmE$Ur(sdV|8Xfx>MqZ;+|rUL>Z zYt|n$*-u~l)nBObu#moEn?0a2FiHRcu*KHTw39K3&xwW_AnP__F-QTI7!6?4Vb}aD`lQE*KfX z8+$J9@fhA`g548a=3Iy2p4`r{8trD6^0j5|&aIspWQ(Uk@gebFqzBBbj!7)Wm<7wT z7NduCS(wE?nQtvcO&~$$wOmdyD01^B424rRy#vnV^QV*biVPp>C^*iIIf{(WFZ4PL zHyGaOAmlsh%BrJgR2|DYJj6*=Y_tM-Gi;_y))LZE8;zv!*qdMVxtFw4NBMeoLcYTk zZHjcq`*-Wy!G;E&{92tffu^xFBd#t>sb9u=#Wl?%Yw=mk(sEGg2Yk43<4xg8V>G5P zn@skjvC4juj>e*1dapVY>I9lX8*XQtM5;UFO+2SAAg`9U)Ri0IWg0v@)|zA&tKfwtP?c?T*CU6KK9;98oi?utQ&ZGeEvAmSjwTa@nUz#Q;cE$Ym7eb zk<(w7=&xPw7Y&!eXGfyqf9G4h}GKigeu4+q`e z^RRt{?|+9oeV4E>CZ`(!ggPdr!>_I0y3r2B?nuX;mgUr1%&?ozBjL^Io$HPkD;CT{ zKNyeNq5pyHAoi`t5StE96TFtzzuZscZ z7TzZiN)NWvTdt`_K>M0CR!&*s&|G{$iKoEE$Z1hkwP9zPO1#udI{zVK*Gd-JqTpahmPVX#EQs)FnBSRo{2>TDi; zJaXBIW1gnBILUUSROn$$0p_W45aHj%*_&O(NjWQ4z+7h%L?R9G8x}e)BL{*ZpSQHfjC?bM{z%MljCgXRXsWk+H(8IozOZgoJIfL-sO{$ew6@G@a)$$_ z802p9ps0F=hzk-f{Y+Y-W#Sp*CycQn&kb~McNksz{nvcq-g?rQ@dDj7+s&I7?sHW4 zf`{Us0=*!2=Q=v#;sHZt6|tW>?&9+XNNxW}^Cz{Lz1Y{U_{>Czg0AXCj6LV$|A^7j ziM6Hv7>P9bw0}~$DUg@f>})e#JFtK%ZXnuap(vL*1AnU!8D1(6JDaf(Ip%f^|D_VE z6024C=i-ngme3uqBg5MkG;#M_eU3d(S;qj#F3!ENH<^ZE>%2T`PvX7F_o!SB5K|_ir{Ez2u zx_5O2lxmU-q7|IXp6w()5|8{$h;ks7WbbK*CXU35?0q5EGxYXID$J6i@v92{^oL*JJcrP!hN@m_ zNC;z5Tj5~_)T=_v;HX=X)Lr_0p0I@nap{tE!Q%dkX|h4$12cY(2a?vuFB*Xu#vIE^7d_A(5&bSlVLOv zp@2g_*XS0`(?x6kiyP9K6Sa_Qa9_dQU7B7D27c(lKWLS4ZI?VidTq7-M*Zk!D=z(M z{n$f~w>oodZuJWpf7L=+)48bCgCa4napsUdLG(H1f(lo^FDzTta!+kZY?8Bp= z`-)aoVOIN`SS9kebt&a$Wmkeo27^;N$@M%eEG$MMEQ0WP5hu{IjKg16{$hG7;a@PX zU;7poGv|-{K8&9wH0~q7W$3{p%s0K*ra3Ky5_#l1vaPH9Oq*(Q4M;E|x}NR4`)D-N z#1fGBu@`iSgl)!Z`g^Zj&sWf(Em`&`XHVKEZH!{j1DAfTQ_gPxu)aH@%7Gm^b*mGf2vZG2J|(>R2r@3h;gC?D)=0Y?lY3BKqzpEAjDDTCkAIRc=* zP>QYK1V=wh@Dw)N--ohdw3PV?Ld=Pkqh!QolA5B~x|boP!G{4?JN1k*E-1_wi0)r{h*5*^2_(xoK(CO6n2bgD8#y1g~!Nf9bL4P#mNUqAS+Vl zOu2z|(l}mMYY%SY%WF5gmU&4d^*|TCQMI%D=fFIDrvVF#SINlTM60QImhMC@SO7N} z?&8++p@ z#9dfiK%P5a*X}IF$uYKR{Mf-<7lWH;!FWCCrj>A;DDKH`+jKwhdQh3W8 zc0f;$nfr&8H4X70HWpBC9L)(!F_bm5_`;@2p4Nr21uA!Q^*^ky#dIywQ8FG+UX+hp z46xcx3Bh`K9z|H8Xh)X~8n)q(0Bit(p#mw;uKvUNGu>O;Wv=1@iuu2h0q$%PRr1Y6 zHE**6#9+6Wei#}xnQ**Kitba%ZH%Z*)EOKks);y!jBo zZYs8`wb#~rU0D@*RxArnwqEs$OCvIbz zZJHv$nJDtNAKBLn3};y1A=@`lXLd99i~i=ioPFStZvBSqwPKf^am>@)a&#?{r$C@_ z$_U(V%ir!C*X0&(Ewo>;+n`!^cjr6Y4AUv?Zf#@jpAahO?X+ z7nkW~T*&Psb~Zt7UzAv54cFD$cIZD;?-vPN@mmTfMPvW<0ZW!$w3L`Z)2xXr6}g?P zcJwpQjIuiZ!Y11I;e6GpbO@O!oHg|Oe^|PXy@Fy#Kk<-Kzr#?;r;NK^+M;LfR|t^+$FJ^##@VR0Ll;0aicS=+vp}GVsX%(w1+J;!hgn^3h;SP+#k~jUShb1o zaRj*?}P8FA;v}mn3R1jW}>`|8r z$y)3BBbH?#(Qxjm3iwzP9Z0GIQvJwQXM2-ZbgDt2#*jrM@2->WRB-cd+}ZbHpknv5 zYwa}GXt=*!=DN`F|h$pKZIhJ=wkUI;AaPtv2nT|QcgP#$6iN3Hki|15dLKY6gL+ygA{c-*PfgZi{Oykbdw=dinEBTbz+6iXEkSmyWL5yaZhKE>(vW{-4P9-SGcZ zxmf!m(dmiQKb&Ih#tHLtr&|A6USbxayk zftF%Hp-c#sQme?_?AyG;?X@Q(6Y6K<7?jNF z|8QDmP$?N58ir)otC)Gq)qy+g`M$?)VB(bg5wC_9a&P_u)Z3|w@$zerSQ!C~V=23D zQu>0uaEP_IBa9tS z02eQ~F!PgW^2W?flXLH?rXA`k7Pm=J!C5yptJUZ`D~5HxGBgt5R!o4FJu-A6xb7 z)>S)qXB?NJ8~)D|lK!|zqbx0^_nA$Sd@fSto`IZ2|Sq83*=pa&SwT1V=Fgg%fcw{?0f^88u;y)MmpgM2P?&5gio>qM30u) zvLRXsT-n-@LKmJnE3_&`{E7YbPY)C0SM8hc?XK7)3T0UiYVyW}AyN%yk4Z^OK^-a4 zA;A@jZe_DU8zV^h6{iA866;TzWZ#2%5B;azWm;Q%OY7=^II}Uk%@d?V71{7J{pD{O9H4!W z_tw=vOEqs65vgFJD!#H{wxslzc<1?Y0nGTI$C6@=@>$|N?aAb?127kl&58Tapg>5+ zIs#GirzvvK4pKQ&G#TYPDP(?p*eXGfr)v4ej<=J?sBjK~0J80?7r6(-nQfKwbJBb` zQa1Rk0KBa{<%?)O%Uj3)7DDBSSG&R%AbGCu%y;5R@ScvEKxAk_KK&0Smy#3t6z!Pri)1L$74lUodLH zezNi#8lqwX**j@L}39yT(1xzpv4a+TuSmO#xy3ui3W^_%kaT|#o|Y2wC$9XOysh> z#=#s?gJ83&nUNyyEJ$CgW%pD6nr1|L3$8J<6Znh3c0{K&0X0|VGZhP(BuAwL%AedH zqmB!=bH|^H*J#^>JnEpyg@zA5Pwt*-!o7^YV9$J8go59-avPrK5$yNrdWX0AD3!Qv5)40( z=|1lF?s9;TaD&OfOS<^E{!CLaa5*zBF2toCoW~}3+2<_cryOPK_73bdg|3W1m|{Hd z3^7;(1wVERg{}hFjj+aTlp|RRajz>ZM%hS+4gT*^HSA4CVX^HxW)9G}3!7#S_JlZK z4(?`JZ8Ll+!L}O4rVoz-U@=r`w?17K;jMnDQ?}~0-c%Miq8DqANk7hti;2~g7vTf@ z(nC$XZRZtm`q;w|UQ?+|#G~q_-x!8j0>jA>YLl^6k|u?ifJeLng3zKdFvH{AA6FE$I>SQQ%n{)b zv5V~=mD2ULd~g-+G%;G@J=K?u+zwVsqUa$HRP$`y^iT_>2%uAl#hNVB_n5}Pzb7{w zn^t>tt@yDnD?VNRE;Hg)#27~M&OskZGjtFnbAz-Pi$eglm?IO|m>R(H1>JaE@_q2Qb?p8WtMRkH}AC1!romJ6cvEhI=&{OufOhk|_B zCE>MjI(~-k#|R4`p(%PkYAnv7<0CewpV=cnk$RWjyN~ zy5hq)*T|UpTF||Y*JYQwnEeSoo9P_0n5Qh+Vf$jo5bzrd@fU<^1dAOGN8wWqu_3KT z3LBw*%SpRZ&Lwe`U3hP7FkvnIQ-`N4u)U+2KAJh`6%n|sFnk@Y~($JI-{ zG*4}qgl*7>xM28r86`f#2Vl~<)Xgu>Q^M@XfLwwpi|j#uvWCuv^-r;bd0U0bWR?nz zu+lBpJ6pY9cN&AJE;FvskkY*@Q)~kX)@0i}4--Vno3uTcO?}b*&gqS#A4@2pHEwQE z5mO4frfz8Mr?SoPAV)e(BQ2R=1Ed3&Ld<{lhZvTPyhHuOIaMa1}d{M6CW!?8c6%#VaU2iURsGJEi zqm5#wynklfq*)9CiwbYNrAl~$V=17KBHL!kasR^EnsT8aCUMGruz?P#*eMZQfAv5l zkRIMb&LI>g!>sct<363_X@KHNBQgUSyGbi|?A^+!LEg)$ zuypS9zU!8sF7*i+b%G9O39bAb2}CM$bcR*GPl=xtBiLV2?EF%#bR@YGzt^wOM{Cd0 zt`SmCwKz~bmsw(rWBhyK8ZpDhKJoyqN=CU*6%BJexVYbnn-f7l4xUe$?l=VaRbL+W zP0rWHShlzTzUg~LX@;5RhrQ8{N?-eg84jhT`Vo?{esjZjz0<`uUO!)XP)JoMOuf|R z>F{Y7nRZ**Z&@?AKLrXU{l*g-k%@+v+as7nXw41X>*hOBStPh12ENvoiEJDn1?737 zRa!_GQZ>~i>@HEo3#7GP861(k?Qd(RP4yt#?W7j_&J@3CuQ^jc{H{;f;qGcme(Myl zpvz#E<=)g~HKSOjfO|{Z{Fr9UFwK(foX3KlKoj}qXm!q;+!GVrg9%F+H~cXqll`r3 zI$7jz2=%&*w)O1ktK_W5MVCjl?iyVT))o6^Pa?)mj^Q0!1AN|WJmV3Eubbr5Q(Bf) z$U&{q6mcVuFr)L4QR#M}ueTNFPib4g2Mxwq5*!H{9puF6GGTuTT6#)fc+(ZNd9ls8aaHdPD_szrEB2i4$lQw2Y zmSOlNbyeiI{{^`Uqbx(%6=s29TzTwCX_Yl|{3ToZv9kJ*X%AXY)8L4RcZCdr0 zL^1jVHjnu-*g}7*;2}k&b<6jCk}z4cPWqNsiP2;sGR(snFpWLMQ0%>Zq;mBua&o!$ ze^2Oymsmy6OfYl@cBFQ|D)&;7goW}oRxI0K))G8`RqUQMm%cPviAfV z%YbdupQPN8R!Hlsu><~lvu)#F`PVPct{xhv{E`+XYF+pE{)t_yyw750-D;M$5>dH| zg=vQX5W5ju&PcydjW@tm6&DZ*fteo%ALjJ7dLN11J@tKA>!qx3+sfW;3IvW}?HYrm z5$efsrnm>E#iX(BSEjQUE+NIpkY+a%N6T_5AM*b1vLtYjHY zH|xXybE4+14Dw;jRV}_Sy-DC_f5Y>E=bf5QyDSFr#a+G4$LoYcXxwTUYWSqoZFx09D;WjPW8z*;v^Fg50kL*+298*{2hWR=(;DiU7<6iT30fOX zE%}L5nV12%58hXZoKxP@VsqQH$A&7a%(IU3Ojp>|>EtRNhku$Ck`f(A;OcecV@*e7 z7rs+Td+L19@VYt(I0E}y$E;ceZJZ&)=THC>Inu2%A z(#1hi0;ag79KuE^{<=(s zTt>ro$$B2%K_%_(&z{xR>3~;Oz<$rqWFoFV{5e|3?WMvm)?Rw$OvP)fJ%qTOtsd<9 z7j)+?<{Nj`)m5_fYC{ZlG?s-Hv=)uCpegn1wD={v+l#YHAjg|+m`>uK)%biV51`n!ripNmHPH&1cFSo@rj8iDHSTUJ#`g#ggACOEZ+YZ%CND5`Va){uM@6=19l<~%EuxS@yi!a58a6*?wpxj5I zE{V=)Ckz^WKnK%(fo%GIs6Gb=zGox8*8gjy!qGpK^x!!hx+WjbmBhA5RrhCVHAf7B zp5cahqkrSkr_Mc9876kl`4SyjVPth2@-b=4`S&*6eL;b^)!HX(-0``elLd(qWo*cJ zP&^w>YF_8Gr{1J9xrc64sWQ>&ss0@RO9KG|;1R(SR|05k@{F*-&4&Sh`6Q?3AdKkScmwbN9d)RltASz?EGS_@*mC&=5o`1 z5-Tp%|L;ipNOl2moqwGv#~h%Z%Y^-I4w7ZA_$!ZI(kclWu>yTNoe%eaNGoVwC+YPnyOJP`zAiu$;CmN# z8#3~mpMwO}^6v9aDxcns%1luXeJFq1OF(P)p)=@5^Wi*U@*IrmnoYao+0A?1hD%e> zo1LCn^l+1lyD)Qj;uU_shAQI=CY!xRpB>T{HC2N&r@r^ZfMX*UOnC1Rq3drf^;zP9 z8kG4Wy^xOOsKx6HN0?NfQ9r$H?A9@1{*d0%D$4-(ai=Y^bP`=&6-wIM4LIS=z=j-NVEq$zVQ;WX^j1|2 zwrY>f!REyPC#D!;EJ&mRJt>U9)>zEKH8cc6!Ffm-h_0*(T}Q*^r4FJDalTG<-7RdP zs(7vPbAbCf=1Pjqlw0lQqZKz!_pn-%_51I= zH$RAvgJ3V$e(x*I?{%^?yuwPPRIkW@_w5gE3HJkkTO9K`;gP#F&w3%aeJY2994Gbw z`6$_s(_T5>qSGj527+=kgt#PLXJl2n+OZ?qL;T)-%!cd;?AIY zbZWrfg%J@XHuYX!8~YY0e&3UKqbwFAz7hT#TaGhOm0_q!;a2KrY)d|p2lXchws75 zv3K{d;239Sgl_3cpY+o!i4~c+v>Nf?KpkHT+Z5GxJS`B`#A+Z)=S_2A`$;Sl^*OY_ zwtO+^Do$ERa5!8_x?&~bC7Sd@@0v;GrXke*N)F7PZgxh&R{h(<*oK1RNt!Y4TI`A2 z54LbUnIA*BW;~TCWdOg51d25L;rFl&$JCYa)}fp!y+$gzDE8*_tuaFfY@=rK&V$#0 zQE&K1b$ec($xai@9I~`;9^xlP0iU&gboSThFH|o?yv`lDCioswl)#qb01dhGHxN@&zImABE}r9jM(ZY3l8mA}6WC@T?I@YfpK0BIGz=UyP&Ul_u>nVJ&%QZj107TOZv# z`rD^E1`nXpq-1NZ70V)S>FCaN=L-Y8mbRep*G5NQ*ImB!DzuGygD)-Za2`wP#BNE< z7_&D!PhJ2j~dnn{tBmAh@)rs-9o-;`gG8-&6KQn5Mo8K7^z7Lh;BJ^>*b&88L8<`tE>g-l!{IUxOsxD6QBkwOETK+rzZm;=y z9UEB6h)m)!u}U(`psT(+X2u16WwOFwKkBvW?@`wk&|O5M*M0MxhR~)es9sxLn&~MY zTb&`)&GNVenZ?S2mG4oR9N&JN5W!>?F0f~MFhAtBr0{OmtY%-Bym~=sDwo|h$nN{< z12krM1Tg=wI{48rgOz4RYwAjK6(b#$0BaX^|6a2si*?bxcPt#2xr;*-(tTUYp3@tc z(vYyROp>NdS8?Q7_QYD{nE&Qp&} zT(NIwSDilUpuLWuzo_&4)IHnYC>0i6Tlsbuud5?WqX~jz*QGwn$!O=Wd7EyLefAadp_qjIFcUfS(-k7d+So5t zYECM~(CSoLMki(CdvJ3JGW;uhvo{x-Fye|6kj<23^AaS?v8=_N8GD$n#r*{J09`Ck!G)$CqLTPAx~SA2IZ*g7J#8!b)XG3@A9k3J4F zM?IQ6#V}`^rrF3_ry=jdi#-iP3J;VTUdJ!aTw0rxIpfLR);pESH9AX#(U@OPfQyFU z=H~CEE#1v+J;00aeX+5y_$WY;G|>fS-s$nke{Pw6$1&g z9(-R0MBr;V`y6`BI17bQOlisczwp897R|oS-j4cT zUGyy4dF#LS`3^BC^vnG-!)kdEdCv(n`N(3j22K9i_XGwqo6|s7(sh&4;tX_Gn>UKWiQPZS{S*rQt|ARs8M1p!0VKiAlq+hdI3*@V@RHaDv z%eN{`b+p7U zfE~UuOL39#5f{#mn1~_^jgtwKrF^~A_rz)fQ+wQjNQbbYB#nd@b%vI;zr5Cz>B*Du zbP6XfG)>W%GPPo27V1p>%7<* z2-b~|Y$1}6^Cyp|fs0m>D(zW~yX)l~w4QhhksnvH>Ho4lI35bn$xi`3U$)G< zu*;&qrg$iNA(?#CE?j!*X7`w&7sf66I1aPTq%^WQ+0B|^$RIbHNz{>hBurc!zaAb^`4 z*POj0bm$|v)xUd`Hz*F5NZ5J(+OQnhRCWE5P_2)k@RJ^$L_bmZGp(n^EeHkobh73{q3t}F-5Vw=go-L_ZculhTIyVu#%E$Ld%S3hAT83z9q z)^pn(64x**Mtk&!5J3Nf45R1K;eUrbiQ^>K`u9T!zrK;eNKc!ui z!0eW(t>=8`D8&dW|I1Xrqu;{HHyu#Nc#@DpA^x%z%!)HL@}!F!z}h~I(pZ{8Qs4F^ zkm4aKuZpnS`pb4VCcLfrshu6;#6%lOJCvV@XlVvH72t{PVI~!2ajKH!qo=8Z;J8D< zaDlg`fyjlN#ah^j#uVuls^L2EOa9OR!(OGP;gn{x(|sOlLyr!dEU z$`D3tB(%A88#>xnNc^H4i-LcQlfT67E48*i(2Iy8Y}r8 z;>oJiV6xvNh2Zk4Yf|g-sNw<}E`&Gi{h7AT#MPb8GQ;umvR6!CoomL_1NR+zpLQ}b z^tyn|zl^ZAwo5|E&nexxpEz!9`yrAF#@+?{L&0^vDhTsh{eG=d>$4Rx+qn3JjRmvm z0Ufo3W6I~%y_L4(A1L zj{xS?lb|R}HK8)DLrP*2ZK}Q#&5IUH;he3ZwWWT=ji8+zG)O*l$`;6W80kz@k-9C* zU)E4H?^qkMv8lC@H;f}8f=jy_#cfO!bbRqOOt{K#@gX!;F((DmwX$kqp%W3L%{sRF z+N)MVPH|;y5Sof*DPVQMcK)Bobi9PzkHHK^`w|%x6mqV3`#!B@9$tjG%5G{g3YyXW z#}(g`c)FGshEL7mJiX~5@j z$d}GKLE(%OfMx-%S>m)D%wRB3Ymjc!x=v;zkNYkb!W{*M9o?JeUg=Brjk-_28<7EN603-l(6qu+_ zstz-n1!^d);BN0ZMQ8zVz*ub+O67*os)W)0JcUO>qzBeQB5!4POQLJTf8v46c{r(8 zrgTKw;qDQ*)c`bjUR?UZpF5DIjPTpq^z2#$;I9Fz9PY-BVv*ppg&>{xcWK7i!pQf9 zl{dWT!phlux*OIRk@VV@8o1QPNv6Da!U9puw$Y@hcYj5e3Y&NS-TLxfb8hs zf-I@BCb_AoCeqWofw>?KGz}9aP7qg#K*wg&#DIN7Tfo_7rtlKt5^W80630*G;jwp- zyuse}OSWcL(n&?~FOzq9q)oiXHGT64r2SO=zY-Uw8-ay zUK!LkatM$1Y}lo=XL;x9OK;Ez4^igCvcl-ZCi5d^vjH%^LxwmG?eo{h*`jC(Y#zk- z(N}D;4?PbM_0lvBQ8>{KXFu9gk(Qu>!4rb}X@N2C4#jVx$)Eu{62Y?%ReYlwh-B|R zDO!XGM@-c&1L7l+sjG7{daC;dfjP2ZQ@)$h8{>?hUVO0R7tBDWFJ6X84aX})(< zIJ!X}@T?5rmELUzr@}7_*}GW+h|N;VDF0}g6jmIr?>l*P(XJGuQGw36-UHW`}woti{Ti`i%wR8bS>>=18n{Mf~~)m8Q3j4 zEt1Sz&NHCE>oNW6s-}9#x^7@f%it<5M@VRKK;aHCMjwXtKLzfRjyHrLgF}*s*W3IG z8I1QpoG$x-I+T1*=>*8VI;!jv4#N5}oN>&*K6Z5Q3ysM&D{C5LD()%ReotM79dEZf zwDupjo`hI7Qf)u*j3&I5m8CvfxeGY!$RJq*1;xywE$p3Plv4*0PL=O7S3M%~P+v5RnOz4#Y~kzB!K8+SlumpApuzF?`lpR_3lEQBsm3Td{pUqP z2v4}qWSi6j=;Q)LaYBH4m^@P#s9&<3XSKkRqK!kej>gYN@fI(w}P9V*$w z?;zy|#k6&4u{}g+YQVpmf1c=xyjyOG)56Jeo!N__NS>jB{*nY<3Kfl*@w>pff8yeF zj{D~6|IB>a$P_A=Wsc4L@dm~b_}0*tGtaa{s*#E;@_()1>^nmmQks)E;7iGy^CSZ$-_mQ}Bpyk~&(&oVgYpRqKk)5_W`5V^kbZhC zl@r+P#iQddNAT&*<|k)oZ%E1-aOoX=o74P6byR|h_3wrXWFkJ;D`4uabn;qFCxWsD zVp(%1Z?$D@_%b_941R9S`x@1skUcHx>ejS3=h{}n6P~=N9~*BzP00!>*lW#?620I< zD6tuTiH%kiMXYH0u9MoKX-l)^&+8K(Lg3``f|PMSje9bmS3(y>yw$v}e2xdgHj=Ws zKwvvhcU5g!;(nt;uB$=mzLb#`srLeiw`?2cn%54ROKm>epB>j^WlOJO{yyw{xDf*6 zPTBovmPCvDE^6vM4?Mz`o@-_zR$FuY zJ~km^lH-Fs%YLuPF_&%;^$nvA#b7gEWp{raD2n^+VgE45!=-wBgWp%nH}OLTKu0Z@ zA8hFImv^@i6rUp^-*3v-UDpF^>Teu``$o<8?2E&OO+9p$;}6j<0=wSL1lcX7h-E&f z$|#z<;bu6OaCyS3vaHWE*!-lukeS??{n0{CKJX=IY4EXm34=+9;>CDz5HunURuDG#mqiYdqCxdQ?T(i*jct7 zm}n)1J^eUWdQK7Ue4DihTK1378e=Qf=Nv~Ko#C3UZ>N9Jx|^XTY2D&|_B<=?o@Qq+ zC!ag$g|3$Fy(VJt@*j>r!tY+$W_o(Xe5P>)5%v(P!uhz}@r2LsCiA3Q9Haa5A5J_N zQ(W^5Br9r<3FLCbcAjmIu(hw88{3Kg0Spq~=VNE13=vN*UPb;EifMZb)UwIOU@@3$ z5jV59k4dnzSB?%Cx`qjBRWcnCavH8Iyxsh3Wd~adSeV%llF+)RLR1_UEy2Ws)*jr0 zZHqi)CszVGW*unWlKDPcCL8h89+q8{=3m=<+4^HV8KK3(#jw;G>FC^6kQR`S%h`^0U#5K30=y|$AHZWN+sOq*AN~s>9`%e9pn z>9(e0bJ~@aSn*@xwE#TTC}&}upK5S^rAeD3I2nzrJt$PcWB{7KFt2l32?R&#;5**= zU&{ydSnw`aEv#FbjRje4*0P(fUxklU%aV;;frZxwv#{*pHrBu9LeN#BMVZbX)~v+^ zkH(FLumEY+rJf|mj}77H+g4WArIzf3A8Ui26BT?pb*hfhcZK^dZSjx_LJT&N@OV9wt~kw~G2B6i@_uhMKVuFgavk93C=C)onu5zW2%g;#%Tr9#ik@hS8UU z=LRsFajKAI0TpZJlcMYxDupu2U)#L-S?1p2SDSl zLJt3Inu)lLm+j1qocJr#cOX`9g|e+C>5^<;yDKU?8ujskMnBT%1biig-qO{s^@1gh z+(4`z>1$3B@qwbfD(t~DVstO=({ZH1LY-IP8}TEyAV{72kAYIk_8D@ylg@jDCLCbA zIfr@&Ft~!ac)a!|_mx@MUYFh#3r~sOhlrbN*w#7fw#9k4fAN}u9avC$J}v)RIF%c3 znW)k;v)+mtBfs4LH7*Bpr%}L)-XiQ?{w^74@LRl;cdMI(b=TH+F`b#Ogy{~rwJjc< zSdyXg_6^lH-3Od%2fL3$%x2cdDUv)dtWLEi1}odFzbxi(DK&U{J|Oq!W`Lvczx#kSx+m2=1t9Ii0ro)+RmeZ|%~}*Y(^hTnB_T(1ErM!ks)=ko-qdaQykO-76M;b@*Rd}g}Z_b*9 zy5yqL0eQ$U;-vdKo=rVpojiC}3nfW@sQBvbJ4e&Fl!M$t(6XUa4q>Tp6w}D^#6dn6 zJ25X8d+nRBIivkWLsr$qJbC3RpC&J850^__r({nT+}RGAN`Y)o>KlZV)hHUuIBl>S zzx|doHO1ip7R(8%S_%Zl14l zi#=KOsE%QQj7v(!92}#RXhIEA=<**0`2|4P9_x;l@=QSH1{rHk^-{M+MkCp_HOp@2 zg}URS;@5m<$1=dM{l## z{8+&_yftO!Y+W^2^L4vmmgFFe*lWuVWt==j++aTgGfzr!={z?ZwOTZSv6FMdq9=;v znD&Q#Te+{(4c|F%Iq90o))uS@J#Fi=8(q8DzTmwPc7PY2W5dAJu>&9*nZEAC@`&M_ zh%>r~IY|McVf)=($LATH*tiSrfbIXLLH`@GH!PkhN~Qd_^pXw41?q-By(J%PUbw>b z)hSa8cST@&65uUZF$&ftyw^!KZ!*d^WMTCaEPV64vFBGz!Parbvd&>h*y}|cmftL_ zuM3U^1Oz@kA7V6dba!5vnVOmv3*^&xE~|IhZbTYn}BAafVzATX)q&U`J?c2>({ zdS+G0h^M6m)ckNXsNRm!wp#W}Pk&Fl(XvufWSU^0&rbr!1q^uX_mU)Z=OXU)5bpBH z5dDH^?k86GXEQeN&k&uSY`L?CXR_=Usv^6&`Uhf)T%o9nhQP{0y{@+UMCdpQR;hf* zeq%9-`f~1PC$A(xlyLV#*|~rY@8{s7AI@3?birn);deQG zr>bKkwi{pMXM5a1pjmp6Z@_?7cyOn1oxgdkt^HP8!3R+oA$%H+>#IR@>Y<=d15fF< zb;4TwoCSY1wj4?vgY7J>8C$FaS{H#6Rca+dRHj|S|_}4G8^mG9BhAECEtDC-c zASZ7immBSh_K>$4yos-c6kn?O#5M*Dnqkxg={(n1mZOd|!9+g%nEH>C^RX;PAtFOmE` zH$F!Fnm_q*N80eM?Svb*qy1VZ4JD50pKXTbd4x){wcYl@**jnIhB%KSSf71!v5Z}n zYi^W_fHNNkyZL_OzA22JX)j*XH*K%vyuZ z6i#pGJfHRjTkZr5o5z%GcF^~b9Ry@{+*>y5oXNGxgd6)0_|y=#g{ZakHm836w6vRs zezL#w`*NhJ7_G_qW32SVk+ae+zv;Zj;c~48ng|7A83MMDdi>NAmAkAIeYoW1&EzOs z*X>|cJOSno77fs279N?HXx(({E^l38&>LS%RbqJ>Vw12VNAX0d-qZ^I52vY_h@Z`d z-2J@>Q5oomP@q;baoP6%+P2W}d%{!+Hyx*O*DC=5OSu9z4b=@4xE=xwIA>C<++WwJ zog2gCSP6wBHnAXeIJ}64{^D{i8Z)w+R-qf7-Py{?so{#qKRXS89@dACHYd#}5r2V| zTREI!ouY;U*XA(c4ME)r^mf*@H{a%QZf^u8K?kY3*^5o^D|Ui*{JHy8*^%$`rR?T! z9cH-w`XA20mtKcetV!om+av`18FS|9>9zGEmpsJ_q$MQB&)!s5h?T~uf$0ow=p2!* z7uSUx9}5n|vrpMujb}|1Lr$(c{wjn&#GQcL<==SWB^KOSckk)PMgBE(NTz+tZ#SGe zsxvK*cQujVR6QfINsS_+ywKR(`kvl;q==7EAHcGdTr;w7Q!;?5x8=JB*ups)h8^W- z|2NMm03A*J!{IUhhr@jTIB(Umz`m&C2KQ+&U@_%ZsP|_2_Te9;dga4$-qry2exng# z!S}NF3!Qxk{4w*y@XDD8m-sJE5Z6pu5Zr*HP+vqw2Y?}H7 ztE{{#03%i4u{*(^spYN0hNo~&Lh6!O6H}&9SpYqE6UVo^*@gsJ?W{D28dnPUZtP9mncP z!bbL8i$v+HQ*+?WAlxm*kn(BnhXisOO8?9VYgF}|m}mFRa_m~g-2lEmVSUa*c;wy- z_QhA)`Fc`%N_9nfPB8cH0;e@(_%$6}Xu`rY`SsLnazw|UCsuOuG5|9rFwjA2&DB?@ z+77pfm;_`s`8sM7l#)L619dUw40|UeDXV`OyU^?`9O!cbpqoJJ1NBXYDWhi%uk~Y3 z-k@ytSf!7=DgYX)o91VrZ!cdue#P0ecs-=c#B_itJWHBZn^1b0{1YphYRtpaL1+)yb?HqPU=pUR;zD(46oCVWLXSNEiPIZGWP3s-7s&JMUd5%PblDUfB98m zmt=B1Z?PnoGu$#DdgMF&x6t{%rrqi%!@32MvS^>Uee2|PgYHe;VqD^{A`zbk}_G3C!SuKIjmzVZ@L{AFn5PSwno zy0fT~AxXLW@#`ksIfXyg(t=3Il$w#1ZTteW9h~b(I+$NUuHmS_;VV$od_`r}nMHr1~sB z=wS>?OqQSd0TK*Qk6k0P(B4w@;otQZ2Ay<{&x!{cwQ! zvV4jIHt46O$&(AJvhE``Na5wJbDTfe%B}JgVO$pMEyc z;F7S;I(3q@U?tC!pl9%#`M92v7FlN!Xj9T=Xk#P>X)TJh3w>$a&``Cz65KU9*52ocIF_WKZ<;uW@J0D!^9Xp#?JwyiU%)K;#i#Yob%Ch`AQlF}JO zun~N$ddBW_buAU>zN~a`_F-|+Qb#u!VJCF1I3t$&xS|hT^WVq*$kRS6+~>Me*F64e z3#YvOe^TBznXWVse!DlWl zm}$p)kl*E@7pN@ZtCvse9d+9e{;nCWOTmrxzJE9ZC((IsR3!1u))CRmZ;5+X{*WPwCQS~lS+%J>qUTx$R<+u3W77rAN z$-C5ChS`&i1Fb)*YF4*&CdD1TRWbFhxns9Dtj}-*q6*6voUAK{jU54|uHPM)JsMkA zk@U*EIlB7Bb+_ir<~WVJGp@A~`d2D19qM)|YTx9WQZrwkn`#{$w<|#fe^#J6N&8vlL^TGZ0Kq5z%i05Dh*&Z{!1Q5Bta` z$9d6&y_uj(v%@&js%%PI+y+=@Wwt~LFbkkLQi;#?%fZze*j&}UR`GH*{SU+8jT?vNtO(TTZ#flFysWY>< zc!A}A0K|vui;&Nd@gaQo7+-(f$Cdul{=rR+?$tRLZ-T<`?UBf5)&QGI{-=J6t> zO#={ZpMi(IHhgX+80gk?m)jgRaL{sI&M0-|ViD^+>8|c|I5+Hx{~?JT&}U`U{j-Qu6OU;!l0_51ROj9+DLX| z5>gt-`HWKB2TIM+R%A27Zf8r|s05C*LH0uEyPod?3ySSJgnE!~t-rdbe41(^w12@b z@f5{u-I7RCq62p4f<@s-Ja@;EkJO3XK<$qEX9`OROw3#itm$FsVAv(Ekk z;y{PLF>@@zA6ccVTe5J0u3><0ER=!|E6s<&0G=)vVh|sY0&8%m`}(E5V&|=Dx3=(= zbw!$3+6c*$%Y%$Bw4A|yu3=7YSGo5{jG9OB@j=-0En@o4!3U{!*QU*GsNTZ#dvMQ` zK&ajn&a}bdvj3k?5QsT^d*?wdo0dX)S`LfG^|FStH#5@-vIUwfdx&%87U4BzYsyne zc?UnPzXHign+^QxWy-R@dYDof=H@~Ufygg2`Cb@dgOLWB=V1XbYT^zKB*%GWxQY6% z+~C4X1OwK%HTNSx$YCcnNA8OCA@G}m7(wXfsD>FDQ0dNAo?N#+i1ldn68O#ro3qd8 zPn4n$yPC!F@ZUBu7a?&eGAps~jHtdSY=I??Wehd>cN5In}zLLze z@e5TYbBE7CLD^^VgHgSYmi#f1E^DQE#2uuXl?}aZTMN#b+oj1!V>4jX*W*Owr(VCB zsnKUNns0RT-j_TPxYStVck>Rxw_hRQpt3|L+&*uoL$=VnPxtriF!jdV@ZPCguY~XR zOq&K2cFOqDvdD!-N1ukX{s@K$k9?nhP0_seszXBc{rpsKHLooaIN8Z-G)AE57Tk&XwQPn`N(86r;)Dc-KX-v?CH?Hc5B&*by zWOb*kPOdLs&DqNwk>Jg^fN?axRPNDWTU*;`4>quja!ihP_wxHOm-$ewcu1sEcX9?g zo6hirE*$=0quctMzfN-8Cj&RhOuWF|OnO)UgYP1s80RY9Bpm&asNI_tv^WJzjSGTn&3MwB^o?YCH!QB8 zL@=Bm&`;2r-}y39O%H=bY$reOlhy_77M^Nu?hqlqQxI!-{7QP~J#dPfB_ZNKWV5c~ zE8l!a#eTHb@hk7+I_XW-px2H;tAG*JW8h=hsw^#eL0pD^57O9l6VT-oQ~;+ECk7Rt zEnY>!_M`P3QWj5g0Xv8->PP#^M{UQ8ED>K}^NuGGN9D>(JXfnGPObr&vwlMX0Y{^r z0xpQ^I!{zt;!mQF$}NDkS}JMF0*}Qm&m@vZcHn4tO-I1v(41;6#U3SCY?0<1rmY=10e-Jk`94qf3>_2gyYc+W1K7Drkk;W^NeOgf_?Vy) zq~K~`fB(F=>dBWVi2Sq2;X zaZmNpFan}JX!p|OmI;EJ^`k2Y57ILa^aa*P0uT`9v7)DJ`=W-2}hGDLMQ z@sJeiH9O-HJxn{^oJ4riLZoii2FU{ifUn(cw5(puSs+?dKe3zv3|kriLO?la0N%bz z6TJx9kBkHi8-c?MLd8L^C8Q6NVf*EXjBe~L|tj#gT*ezt|2G@4!)6DSZra_G$UEi0*JW<60m zgdwWs{$3y#VD-VRb(m_mUk)&72{2h21Te{b%+S7}^;HX~r!9Q^lX=sV>p(AgEx`xy z_+fJOlu3@0$mzWXN#+NZU;Q#)yINSEWIc-RY;|Zg!DT6mB_O2BFxrDCW4e9?HbYe& zA>JY&PlbFNC4jovxO>2%md=Pfn3W>|NLZMWpAoo|kl@qvwDRzEh4L9`T;{tBb+PlX zXA}er3GnG7A~m2MmNu3imQqsR3E)Xc`?y+KUx#`yT0!j`TqK#+8d{hb9c(0-423lK zHC*MP_6{n3aHyW2roOe`b!$-@CTS@=2_G>ZXIE!{8l#W1lZ(5Uk0g^NzzS3hxJN|u zF)<=RJg!SJ3G#w?K|CN3h)D{Mk&#gXZeuH^tDy896L^wjviI{)E@Ihk3w&I)*z~tGakV z;Q+FSC87eP1it6?&k%po(zA4Sb%MHUL*d#EK2Rqq!S59QjQY?3>FHo2CLjV)5QKn5!9ohcAdn(IL|9ZwNk~XoKoBIyFE0#8o4?@w zYL4$TRbAXYEM2UjKWHi`h$sm_MEL(5%`jbA~Xp`L9U`ajL}&rE(Y|C_}6nfzBp z=Vy|bJj@9O2ih8nPz3%i`b?w!N>*`j_kh8D`F?i(|EW;{^>O$IDT+w>Ye5jPVn{{C zNWlSUE2K&#iWmofn{uWwztW9=VS<3^_AKg|q(4!S6{iO%(oiWLKtn*1I}`UO_;1rN zFjotMxxxIR!u-e(nEFLP0;iz>GUhZC1mcB2r!Zh{1eORg1k?mT1ps1z5QqUog!qvm zpdtYGfPw&ofJz}E2q+wg5P%0lQA7vg1mb0*H7KK!E{5K+OSzL?MWHQ6#P?1c56GLBNPY z5HO;mNElHN@*Y7=6iH1~6p^DSlBOtv6F}k#a|5anH=sUo3v-KbL%2n`fvN$4xIqHk zAVF>rm>W=y03eVEH=sue0I+~|1t?d5-X#R6V1Nb%C|iIIB`yo%7vxt^6pP96?(m4o@1^7HaDB7VXk0U#6+1;VR*+LmxY5;1}V1ps(mK0pumKu9LW z(??wxpsPX|`T69WpirBCBq9s<_{TI2sEvc=Kc?!#9i0C$@yCSk4y;9g4FSZ19zP=p z3`TG)CB>%%@C-!i>jRNOLdat1>w_2}pzrD0aG13o)WeidTS19WAL`?Al~2*fLs<_| zGyqQ-Neqc61ze}ATWw&iCWWk3#G)8c8K+C)@5^9ekPx4egA=f1;!|=$OrB6{gsA(u z6jq6BOq$dj=O=%e!?@tKdw`Cr@K8 z9k)CMR5hPj_YBv(CeH1bNldLW70!4(PGU{oLJzU-e%PCm;=~cIU6Em$>2$+TCEg?H z>!F#e1)EVz=1ZH2HgZO^^R^SJo^zuiP$i6u1nz~bsB-E zq1EFC;N&doz&r*bMY2)GS5<_!8wtNgL^$~rmU9@&eGE2s_ko|smOv-nvo94})}eFK zZ^tes-~zr>xQW9{{peZc_`zq64q7 z?hSqQPn2i4wODO#LFgv-kRgrS8Pnb1=!5ZQP=k-?+C*OmJET%qb@K`PEXTxbH%a55 z#8_I5Lzz6t%;6C@^NH09A{-LicU%S^I%WMt;kIkE*7eT*8Ln^e0)6r!ANIkE@_1!O zTr0ekY_hMvPz>TNJZ)D%w;Y}b5Ioo4iKu%+43S9Pk82e;twsSl6BMcP_MIlNe z^sl2{@Mll`UmEquA;tH<|ELFqfdHc@qA~!HCSZXO4BzFOfCV5K5U;oplHpHZ$xJWx?HAxE`(A~hGRxLb#{Djxvhs3X13r7oo! zlW}s8bzdc_s=#Pep7?!A*R<=xn+%a}8QOA@U(e$*DfQTF!V?Ymwht)>K))H!an&|LN5* zuPOK&eM57&*jiFRK*Y|G_(i2m=o-tmdC5rLn_OQ$h1n-X*0^;|t{gj(ZlDvl&R#YV z?{CJ()wf=UWvSdqpmaU3ZPND%+_|Q&)Hmw{bL(F+u;u!VO(R z7G5&=5a@!Upep)(TCPNSN>~DqXu)<2x$i6^{S~$$Q0c(YJ^e+kFRgi(l50Xo#+g@3 z@!_wZbo=R&=14S?YWDkAtGDic@nk`dD&PoT>YBpY>R1~$(e&wOROKR zjjr!#T570s=>0^HvSL!QHuFJi6eWA1{<3?A4rZ^ z(FgY46c5=RC>}jbDK%qog}+74>IHZ|>yZ~q2IN%~Su5My?&!FI!*24lofyh*@b z?&YtWF5qLVK_)o2E)u(kd%ksRiqT_Rd%>&KZiO!4ErvCwGnx>ul>6ptVwiElqX2AE=v6ZdMgVxCPj*e;d(q zpKK`2??Piy{3uiVG5N)YZ;`9JobCjBY%|kJi&0Pzn*QwFLYiz{utDNr-jF{l^2tjH?_DgxX?PF z-Fc&UlUpN2n3fv6Vp`BCqdbs|KQEPrW1ZubgWDNirA~MIJO_NeJ zA6=e()*oKJ9R^T7x7-sXg|_Z>o0 zgy$dkM5Xr;&21Q2GX!BOFjVvSUjCryc2YvgiWz zR@a*pMV12}RYmg;dx|X|b#v&f7dNHXfn*W8=YqG5T*uGXdoQNw# zP&^gpt-}f;e0UUBuzBN^uA53RGXi(EK3N}~^SCp3ppOP~8CVh*T}jCFKiItD?8iNv zh=y{95vs4VL4WHK9(rws7(Jn)aU$2&_A7}? za&=05!PJseJd-8;?vQt8AF+&HWj}5lPaZLRnvDKLH){ztP`FaMGlAEy_*k0ux^^x! zffZDDa2^B0BZv=Aj)&-4!a*&*su%DzSo!Dj4C+c*!sXX4jW2hR;Y_h~%5G3Dp-PJ< zkHD0bZm+!5^uKQ-u&!fjp_M%B5?Br!bjYAfVtN}Gs~Vt|d$5B^ZvQkJJYv|+UXtN* z-=`@CC#JkxzsKLVfHS7w!+T_{x0t67HXt2)h@RhxMOsnEw^VYdgY6{i}g}EOE#-Miu>1+07aCoGp+jv|qMQShLjdE`OR+m0EVpexc?a34^h9 z*68k{$!l=j!oCWw%f{IccaxvV@=d%^TF+v@IrDzhLLX88h+PPR z3PamM0Wk}Eh}X%@b&mL95n7KUks?MY1}@{o%~h0hrI*;ZNbk7EsF-V^ydpYS8Q{=A zjEAnn80dUP<0f!HRTL(V7i!}!3{bxlevUmNu&=bl;e4gR>EgokNx3n1zZT8MLu6yH z`&X)OdH3Fic8V6!ibDguEG*SNTqV0Ot+oPoEtGsTP}Lh&&<-2G^FwpJgoZVWe?xqI zEB2XFdeLG^A5+ZxsSqqK`<)ExAoqJ`@_tP!j#AnNW=ser6Z{2#uU8V6t@e&jt_eGE2x&Xy;;bfPBU`{(VMjeg9p-Rn%oIgQL{>2oDUp2Tt43_S86TB z+7*_Yoel{u|5~Z%e1WyCeT52LdXRA)Tq|}TwJ5zPN>6tP!-v@8)$H}G_iU2X(U-AO zUV{NQtw;U_-XEs9scNb)BUHoYE1>wqrnBKh=d{kDELqtuZaKHIHOmY3Xtf-dC#*GK z4!Lr~ut+tyY|VVcvj4cA^-X9{f0DneV+ics zn%;=XeoXxe)+_MVGd;}Qn)gE~{%e_@vEXf1H*NL{IW+{Yv_CPvYksQw^~T$sqn(%@ za~15GB=q&JjpP;v#!n_gRg-Y1lcT<&*Wnvtk3Fmlj)mOd#s``6e5=c4U!0%cc^POy ze~;5kQ~c_k=1v0 zIFDz+30sQnrVHWQ0D+U-DWQ{^^ZcS4^W1n3@ELJvxr= z>4um-^jUE)`(&@jvlfXh80S%LPD%ES zM*<}9kzo0IoP+=IDl1T)1M667)*I5~O&iRuf_XG))R<~KGs zn-s2aate=*?Dz##n_J(_dtnt~z-K*IuX3Hk17_3l$oU)Rtm&;@UxVi2-kBB+mNt5fT}l+BA9Qo~+z$NF zZb-&x{}>gAC_H*d^s%x()g7bac$yMHxojWGPnAz{jXu|yg;`Nd&NY2GF@G=5^c35s zO`OPv*a$b1{oqlXq}i)?UN|H(T0P7?Y)`d5H!f|buBEhlZ(g?fA}}Gnd5<2)zziod`kr;!++^e^`aLgJk}MW7*K&2HM2Jo-o9@E1kv#Xt z3a-Hv82gAWb`00t5|7w74l*9%P4w6Bah;uTdsXYc9O-`4 zR^_RGK&f$K?2XFjRU3G2zT#p2$3WGkb3)En>Y>Hy&vGv-ja~on`W;W#L8j~~lr??uD=vw1`q{ezPsnyWKlYj6pBBzsB%j5b-ef zi$)mY`AfE|%JJY|_g$bf^p`V}LNUh2Sb4jS0_lA}KJt3T;qH`7zbgaZ^dVtzD&>1) zp+VH?G(%&Q?js$#j9n$=0scLH-olu)7_NcZkCrI4OX)MR5z8Ml-hMGgsmKV4XJ4zM ziQ3>Y1g)HpfmwE*r|F#=Y87F2QR^BY2#Kh7e`#_6t^=n8{8LiD)=NdXNk_Fb<2moABM$DKH*Roi5^x(A z88HW-1|DYK2?6uncbApEdG2PL7aG3R#lT)QG+~oMG*8pY z0FK#E5TrNcsW|-hc!Y1ib5H3YB&KQ)6k57{jz0Qh0X5dc)pZSuxk@8en@CIBE9~0! zrFhzkN!&R3Cb>lzejK^CQO&*LE`Nf58n@Opx3J(Dpv;F=Rjh0u*z%l(sVa| zmI)WVVv0QrJ23zDlZFp2?jfVO`cBVSYP1dcryDQdA+z@p$p~eH)QFM_Nq$@#kS~mV zF(lzq5PeVNCGETP_=oqbU&=2S5!OM9UKH(d)JitUL_cEVv10B0%voPQSCD>3&83Ik zRhe(|;6$JsR7gk_BBTAXtZ_Gj@B)OV?i|TOYEzbvG^0T2&_8AMJJZ)_{3wXY(uK$as5bG>dI!m3F%(yXupXrsSJC?fJK42i+VU z1`{?@DM@K6u;eEg6qBo)a4qsTKdoMS_DOBrC7i=4mMeu`i}~KC>KK-Khkoz{ViyeZ zqLPrOQku0)cm2~IJezF=Y>U~?Q;xP3=Je&YxodLxeaj?^BD>KGxzDlczN%gJ^#VKi z=8dS-z=qCg1t|kt^dNpw1o3~`k3j(b{?-*Zbn1&X%t3*?QO&xR1p|V$X zvXZb3++UBcq;B6tYvj&$o$>HW-Mx5cLD3UcGJ3DXEjZjPC5d16<8?LBfv=n0_DSN; zAMPJNaTp`bihMWR(tnp-A4k(isb_v}Xn((@o?oyFKw6(E@O0m{rpg3 zTK}7+07+!`?4Flixu#}JukA{+Nz(R{ow=pWr6^sK%Q!i_*dXmXRTYpr-3yD_^90a-vtRksr$} z9@ubdz|$jnn}AoMRmMa4CT~;)N7COMd+YL0+6-{I)P1RV=Bt@~nQz5fqZ#Zhx7_DQ zXQfm`qbq6u`doUK3Y!s=L*b!1*}Vj2HnQw=-!^g)0^YaP68A62qi{MTz_D&~YbeCb zaH=PU9@Ia;<-x<~Yf_JASL_K`V`|H4s9gK-^6Dhv)Ipu)NG-nnh_H*_s-J@7Rz5N2 z>NuMJWN`3Do{nuol+TlBxj`}?b_E0czSthDd~FX)WS6|sedGAJ=w$!i(GE7NyH(GN z1~}*W*HE*Z7jt!7?5{{u(tQj~@gCze(&(zK5-o~PM;-H^GKzg4k-q?lgvGHhH z&m9&nv)5=>x%F_Z6&c)RsPwqIlkXVk-szSgA+DC8A|u`4?t1}|m%#S`rC-d(95XUe z=>Xzi*)!Egi{{=bxYT{oHiVbWhv|GZ2!JUON$0Kw;n#rfU2o8RpN9F&sHcImzC(tp z`jvHL2(N9?Dk=Ka*JaGMhwiPsg&udu(@d4?P&MRYLz2T}+%j^)&QmZi z#}BOpY$WUf`GPt(f=c(+PiEh9O2?03U7gr-)i!9b=A2lptRIwof)p)f=~+yot)-X> zt~TSmuQ``(`r~s0SQwvuVn#p0-Xr61 zlZC9m8`QSjI1&nZI|W0 z6P&u~8}4z}w_f5RnVSyk&4D|z;B2`}`WlL%PzEDf5B`BTgV!|+`ysbvvA51Gp5Jm6 z#~>R$B`LEI4k4x<>zOg>o1R(MO%tg}neG{we!ec2cJtC&MN3!M`2##IH=O*6*5iQl zMl-qPm6S%vCYZ?;vhXcKav8$4wzk_9*3gM;f%8eW@o|@?`Wd!TWJ8&hLda_v%hMd* zFw@Bd6V@=&S<}<=UwbaC|9~y&HELX7$K1qq?8o7C`eyj~7#wlW8}AGC^*paZMHNe9 zj`Q`of01mJl~Rc3=2xq@W{b^LQEcvEp!P}sq4wE1($=-!Jj7)c#OOBLLhMF}_u%JqJ`yJ_iOBcJU$g{)V3DL>e>7ON zNUSFcz{{-1-TgtEDEB&oc)km4?kFr#Mz=k$cGlUX5$d9mtNiFO=i&5sbm;6)GVP8FTrW@Y)=QT9pF$gcvTn)V9vn4Y5+3Vr+(#Iz+N7yMo z(rJ)S@ag+J6`1^tVlchZ?w?1dcB`{`U&*u{ub1yQdKW_Bvd$JIj7qT@yg*YD-@#x~#N)hq%bPm=9hS4_$iL*H_oWAch-oEN~GloA`@s;jws5ibA$#Re0#+W?c zOw;^o&O}nhD{1!Bc}p}szpjB`xSOwdlkbhI&BuGwqJ>F*DPM*{i*o`c54D?lzHQO+ z3F!s*S8Bln+1wz3Xx1D% z56Z8+_w|R{4?lL!MH}br3}w3ZmkA%%sqfr({E}r(y~1n^TcWsEPIbp`*zv}ffKu5! zrz!fqSHfQ>A}nc^N0&rt(yq8GEe0BUlsCK{ZV@wGarLX8vO;@{PV`astKKhvAkincw%YgLm?)F1&^po4%)lA*^ zPw34hILs2BUQV*cJ;8r^_;Gk{^I&22Ff7jd3p?DfygBZT*KuWe$}7K*6=S_$T1u}} zTQpy^KIrNXdnQGn5z%Sx-m+Pe_Y$3k7DRuL-Bo{!J!z$)t87fax-cLxp*|mU?EGZP z?#r?5yE6Khi!x(IpZBX9)J?zj8hvE=Fhf;U?)}Earbm|*^VMPY@VMi)Hp2u@$!IF@ z6;Ya}#(b(xC99O%Gy)YZ!qsDeUgD!K4h5SkqAwM7eZ4j4RXxf_seJgxWl#lU*qk2M zwveED1nt0DzU{Ty;|~oh2M$o>=Y+4?{q8HzO}g+lhuk{}Z_wO!QA4&Db(>b2^aYw7*T(qGJx9zw^ENqJA zi;8v*g=P}W{MW?=LgD?dyzKpcxZ%fnEf8?F>;G4)3nMKnN2oR8V3w*gaQ*^$`~o;~ z@lWTtfHPf)CBT1lrVDwxAwor6Gy6thG9=(fMgG{Z4)X2>wrhR1C_DF4kR9G$q)&Pm_yXEWFq zcXYuovb{Cp;|tRQjs&^i*HsVM?zmOEMJfyPRoW!BZN4F~b~2fHx_7{ERB|yG%eo!E zK$H9_=ssw4*=}oSNvq9jYi+dX%`)s87x5Z3V+a~4F(imKOmpUCyh7E-$IoU7?$1T` z-!3Cmyhk;Z;vueSK)`jWinOeognL~UI59zIJW{+=a3+Gx?D&F**?v}}b7g0{dFdWu zzX}<)D&y9T>pWk#u{K!h34#f+3BFbbSbe>(9BKTeK0a9`;InISw#d{vn#3{9$-BWA zUy%<%y|o+L?9F6pRqc)eW{Czi6^++nUD-|WetK#`&(~tcuZ6BZOX1(ctM4d{`NTq| z(O&(?pSknFc>J@6*|wF5KJoba{66V64G-8%LuxomG`lRQs5TUfXC3_~7Ye$9dmiYD zcdt(p;S}0FmG644{waelq8IZTx2f!T(bcG!L^F@dViTRDid;g1PZY(F>%{yU*MyA2 zHvElKTyq0>EXuT{q9^U3KDCoa*kJ-8TjpIX$NF&HlQg+c^)wP`KHlbjr5u(u zpQ-V(pEKnIX^UO^lKzf5BY`72ApzKgrK6yq1Vn!V0iEFGPCvWr3o3Wylc|bh$(%NK z2NSS%nE;3Y5mhNi*beH^IsJFntJM7j`>Q>BFSt%)f>D}n-h@8e z;u++1JqOt+m@AuKziu4GZ0Y=Jw>Ouy71Ia9sD?f3;WUxTpr;iPS75cxqJwbZ!aZFA zvZxQ|JW|CCNm4O<$A)ZPCSJ4-NF5yd;LUqgl=;3nkqF~%WOxR?#+5Hk#O)2MG{#pf zcZ4V?vYJ<}XC%IndHT)oVV#b*nMt%m|Gi$rJ?uyk)E+Flmxs4f#%21f z$ZS-^M~m}0iaz&^TLvCw!Pq0@&Y$yy@b5P7P$U=ue-|_&N`$U(!zPF2)Ekrz><&59 zTfXs0Irk8js`0Gkf9!~j`8q7#7UTXbAQF>o`102N>b@$WYj@{ z1#b7nb>I#k$DU1dr%r|pp^vL&--!tx$C^zK$-rv)5RwzVR%2C5ccYy{2unFWuUR+M zAnECHiD6m`V|3rq{@77>6Dw_HYOYS7vzAZL7h=E>R#KC2f*s+TEcDB_PJq*Wx6q$c0v-MtjB7Ok|$Mo2)tFhYadkhnQUej`f-OX;AGiBM@w5?wEd zo27NR&$H1uY>`&io9~kAkjM0kt(ax}F7C{I5Dm@T4ITN*Ldy5XN_n-eKwScT)gni%lZ`OtmC*G z+ICzeZ9^S$Y*U(A3Q;iw1@x=QXB*x(4TT-p;65PnZ+Lg!(v4?ElRAWixCRw|d;p$~ zHeM}{B9SIfkBDSdt*Na{pDk9}dBl0tRw(RPucWW1?vbE+Gy3BvwUavioRyc#?9siq zv&k!M5_(;`qHC<*8BHa%TJUdLl0#$_2Hr(m=)DA=w_#~FN#uq--gAsAu3Io}Q;Vx6 zRHIc6VJ5wj;m=Bz%i15$lT@1LM`PhUn|R&}t#(OANAzB{r=^Qu&n+GH7~R`XxW(^KJtvYPZJ*FgU0%-X2%^cADpd6rLn%i0f?gZ7WNU~~7b$e5a=Re)|SV;1+S zw3~vu>%b_;vUGCDJ=5MGvoOfgx*b>}rO(Ltfh{b~O8#^6g!I z!(*>5>#Q9`U$+z&;$aTLqVDIxkb_6#4Bt_JJc(se9)0iKWO>KeE* z@2)8~Rh$TqSYAq^?4$A*9qc=7pmU&rue4;lkq@9-zBB>r#x4z%SSn%;ns$S91gP$! ze!cn{rH_}-ybs!P6u?Nm?x9PL6}JLu~bE+$^6izTv&Yj9XrIe>cyz@$jL;_ zJNt*D%~%@aF=b-4zSj?38g9{Km%a2+c8`hX^w4@6#{P7VOCvHo;9%InmBy@i^AKLA z3YLCr>EZdd-1j>5z%U1y{9CU)-!C&qQw?r>?^#T5G|D|<)V^T*;MO!DX9p3C$DMJrO$4bUmJ6Ql339U6!g0q8DOLjY=-ajVT`3`W9Omy&7K({w})@vUtlW zrUd;#b%gAT8dGMOw*@NAWH$m;?BfWfFy_# zoO4;Y-oEABC288RXR43hmeif-4kSI8h^u=);<|S|PtUu4VIg?qT43C){vE1(Hr{Qh zjw-vir@ctk!Cj>??DL-~%4NSnn{)?WkGwgis&Py$)mxjAjoz^;6@jeceR!#le(tK$ z=uobYPJ+fVgdVqAGVcRXbvVy?T^56sb>qUkoQ%8ga6fEtLwnJR=l7c|V=eAcMPlZ7 z2l2>Vyje2G+e#^n6QOZkLV zu&#?u-bwgYbEy;TtJWqgf(g4(JU6m7g?-b@Ls@o5G5e<3P^&$>W4J=3drI&yXr%ehqyv;x{I2dKF&*P?FdSfTPi;rx6kMkDjg=2qiW;H}Wd7b|D&?a_Fz z-VI(LFW3y{n!B$`W3Be30h{JhKGl{SMcR2&lh6sQEA~!UUM&2sA(CWz);6|q-il_s zM;#T^9Vbs`;-vA3$0F|2JI^ z{Cg|n|J>aG(vax80|iUhzc<_i3^h+J-Od0bOp^W{8jrY{-1d4bsZ??{oOU z&)*m@pW*O7vY|W!|I?cA?=$_G{Htt8_Y7yO@Fkf*NKN{&eUD|-hIs>~ z_JCn1BVd8X&(El;_Y3f>u^B-2{}l%U{DSgA!hjW=h!R9VNkKtDL_t7SR6sxuq5zgx zXm%VSY}0Rb@qQ8BRSsnzgL=wD{a{|WSur9Hx!_&B$u^e?T3 zkqjX%`4oW>VgQ9BY(piP_yq(`dkr!PunKdxcXjajl?*h^FU((WL4Nc#B-RgK9e}Cb zsRIS11uEe7gzpULKde##0s_K+CjYW8m1KfIz`rs^REIub&#lV8wy|)_(1`i!~XzF(Z?0$?g>X`2QbMee5U+Lnx4*9P&g1R%nx|$ z_?4BOy{89YRj20)m>U{cI(Y(Z2ZD(537(EQWF^TW-r@jszb6BI`nTjC*~&Rsx_<}Z z=M(&ugNCKg9~3}Bzh?k+9l$={#SSsZB$|ux&I>VNO6I2iB z90KS{zoO+m0b^@tUBGe_3P-f*nP&J=iKkU?Cc)6&!2>F12^7&9sGBnh$i89eWC8;y zB8=97oP@y;K1A>!54<0? z1qO;Fle@069HXkDyf8>qSeQqE_jDlsg8lBK0B{Y3bWcc&u$O1DW zV|AgxC_~IkXW~wW^Jxp5LMeH=SR)i0NhT#rC->j+Z4`Z>rx-}mr%oAuxRd$i!34<& zQp-EzgXQ=36!@3z$#{zV%VWxaiu|1;pg-9B^4)c&=4G8cPM?1G7D6cbVu0)dlsF$A z8>p?Nr;`Vh6yWUTUtNFKR6i&qy>R@I`6>Jvk1YTXr*VI^rj~ zrwauv%mJS`NSy(gx)I*Ge!6BuZf^W=&IV{8LO+d973B3#tY6~l*gSjGsrgWywvI@sBJFapk_PFGXkH+X&-y?=ZEZ54$O zsXL+A1f!s!pne-i2}2>kMO;8gK!T5tPeMUNNJvC+fsCA-oa_Q683j2x1sNqd9nb=F zs}5o?1(5E+IdH$7Cq@4`Ls)df`$fgi-F_` z8w*Vw$-+etDF(oS8xt9(KuA0}f`c~Qck6;IR@3m%1bICQYj^MlD;9zQY&N0i{Qwhr zR5prNggu}$KUw%^4%mNV;oBI>Ido*UBq-7-Q(sYqns`~k}k`7a$!oON^2iH$!94ihE%stvl#VJ@!U6* z$DlY}-34sghwy8hBt>`vx>p|g?6F~rIiskmcTE*W+mc-_CIVAD8%tn?#D)frU7ynP z*QwQAmw-xm=@2uKt+IzDJ$iBOOHUHn(Kq+B&slVTKlYW9^97t-Y@ilR0~ zHPg$zGkP5xB`Vrv`Nghz8}C%WjLB`ZacK=zdgDn&t;*?Y`UxqMlDc6Jv^Y6+(eyZX zUbZB2?&lZ4ivs* zEG7ENv=2Lq$=>IKS9Ws?#XYnzSi3b*lalH}AaM6jC9W8s6CCVwqO8CupNlpwuPEdf z5;H4ICTo;S1ji55uoQ%>OkC`7_9smxqs=dvb6t_>XI9l>^cn)E4YBfcZR+ro_cD-= zD+hL)#0TRJ7R!iXdhqjlc@^mXA~A-@)ACNZv8g>Ih@^`Of>fkM{#Tb zc`m&kE-k0v>->V&<(%e5)@MTVg86X?sbiv9q#9)%ETJYxN2JsQq*y*G#AGRBPv@lu zJG!+tRMn!b zBVWOvL_eb!eiW)^s~6lG(oa`c}N zVf0A=eUink#7e7;7ow7ru4a^wvf{6cLMO`E8VgQ(Iueh^uJs@r_nztpmO`;?(#W}d zR0$U5goIRS85|Aldc7=0j1JO-G?EF02XWU3PaH7|g{0wX*3_T%5?Fb~)!-~>3CZ`x zmf{^S*@E%ls{Ew7tq%NIasEl3Q$?2JJ!()Cf719-(TxQ?G}YUxmu-4EIh{t565g5A z3Q4e|`KrliRoEDm_=7ci(|vGJ5|S{ALM9{%Lw(<|@@%SKVFIgww>UY?9hxth5P|Qr zauX#a(J%U-WI8-ovwk?lA=#739#5TLqKxIC8yaYiCzIVKLDK7y&;gDG%h2?MMc(Xj zW3LNg<%kG$l<~>Ze{kcubNc$NlH~3_*Ca7dJlTB5c!wBW4W1&8u3rY(0-PN3Eio_7+a#RfnQ6G3?kEmD&Yd#xj0Az(q&En3_4rXWNY*b; zv|i@)Wpy-o_x^Pu&&>xuiM3Mwq`GxIrZS8@t}1dkCY0%^EvE&uC60im$jq_qUkVch}~5mG&3lw>9hmOeBU z>kXZOCpckVmxx(e`rnl#-qgFiIKen7h0E!%rGhKLNsM+ipQXf>iHzQcrB5?#GZPIg zdzrOAA;%}nUsr8#=r+k-K@Dro?z9>T?kj^}(v=eT3Y!@SCuew3RUAn#XVn6kVb z_->DnoY(Dnsp0qsYD3NgZAncZ(zGg3H!mlY$7GVRcdIe7joHoQQ(Nikkvvmf*Yx*g zg=CYRmr`WCWT&E4<1FTgU^-^H6IZuf8!sR|A3sxqOgxB|Rjsn2Zv7l<9Z}S6hj;4M z&72&`!Gnt>Nr3Pg&hCC2!fFxK;oAcrrN@Nur0II66mwjk39jK({#b;vHOkXW-J@E_ zcz#H#527i%%E*EdmaLBYD6>$CRm$cCf$3M5=-jtc_xL= zIS$Eml{XlZGpA`CA1J_uE-74>DCGSRMM>?NKTOJ|ciA=T*#l)%j+=Kkt3CmpP%RdjYj??U7g02RneUv~m;|e@JHe@tvYa5u#8M2F% zW_`qE8~1LP{XI%r$SkgF>00NmS*&q0p)^zGnASqe(NJZd&~3eeRl0;}6W{&7wV9cS zhGUxEZs|oW3h7nTi;bMl{6gwsj9XjBlbwgO8c!J3i9WSS7saXG_1-VP&lJeng%yai z&Ld5nV@I{TZ+F+*ze{V!Yz3!N+Q&4SI_7{=sE}HVx}df4NS$4V78Ef^RIkG@h8JYH zB>g#^IR`$5F2A80vMP;HPni>GyDUvb?y-7b`pwA0)w$WiZ34;K$SqTCZdheR(rj_+mNXKVH!?9_NewpQN4`e<14gHWG;;(kRdtZLa6Hq$jB@S~GiLp~X)4L1HX} zXWV`UWyp}wHLw$0bF#EJs`EJeWj96-#opWU!KYHVF%eybVuBs;DN4*nIZ@tC>Bf-B0ye+kPvlKZr2SAD4uoEAT%g8*Y_S$jTA%3 zI_uz^I&~>2a3M76k$;Ecp|0sEDR)Hc%0S1_Oh|Vi&tei4xayHmwdZgk^VvgbB%TpK z177McgYXDiYr6vxqCi4$M*%b>trfI#Q^4SI5(J(E{8HN`B#$Ou&^1aYRDl3MDamou zgcQWIb0Hw$COf6Ipz4<9f&3Cy-Gbi#0Fp_e-z1V!{{XbB)7W(QBjV-NlY1x)Bh)`j z!@8#amzPlj)*8wE=k4((=PQTh9txX#L(J^jns7EI6^Ex3SIo1ep$w$H5)> zcy!%XBdc?rU~c!!L3e_!W!W||%Cl>h*bk%&c9yzyDVvLx)Ow2!C1vkuX)TaGfE>Bd z2MNT+tXG1D0e>f+fwudZjZK#tePYWEKm(xL-O9DyT9!SJqM&`U=De5=Jb z!~tW=gLmx_v`QRNt0t6i-kczy>DlkL=Q_qS(xHxf{NsW5sMpnQ%DmN&O>K3A1~dbw z!b$m|zZ%>cZNss?o2`Q99Z3VQjUip>Jp(wF=TPR?v==Z~V7x}9t&gMTft*0HN18?p z&_sE7vCnr41Ut~HU{Q{BoaYK*_k{dCe(N< zQaDI-^C;@n-0B;Zus24qTjQ)YG+7sC^)Ovsm)ae}np{?gQR=SFsf~RVRMxb)wlG7^ zx^C45qBF3pyC`gZ1KW22{{YB7B{YIGy+XJ|YfU;f?Xk_prR{Tp+BXn+(Nw=G#}*Lh z2Q-7JFtl1RP8}Y;@(V}NAa+4E^q@mcc4^1BAT1C83N+oty|&UG=WVpQHWR54*%s2* z5v*ww&c16V+|HR$C((S4A@F|irAJ%BdzoF8J%I8{r(Vg-c`;q^)pj8CZ(CJQq|)QO zJc-jl6+F(HPuVM_w?k_Ul0esH zc+NRKQpnlUZuM)hx})kBvet_gQF00K|(I%=Jv^m_L5{Np8KSvIu{en>&nUa2n z6FyZEfgtrCir_r{$1H$i44&zT);U`(vpxJ^YJ4g`+>9#gZf@3KY2)cZ{8MOm3%E~X z_B{E~J7sTm4u#b6GDVc)IvmFCfEp$>N9n&+^25*D@lL`tJBEkkQt-8VXR-Spd>?M3 zUli~L!Z34M78C~V4c-%Jq%9DYa0W#Y_3huF}#)U8gzhvPQI& zM8+%LRqZCux(l?l08&=$WR?ea>kd7P-y* z0xs6J$vTFKgX7`%sj`{c?%(xV>-Qx}Yi_iebGNhz0pz>q$~$X&*vALDcE{6q1D@#+ z8rL^yjhnt^6kHq`Q5uLmcGW6E45m5kKcpmc22UPjtDQd~w&S&;H!+|%cq-R5+c!Y~ zf@JC0KSXFOyZXcOA~ayndOXZd%nYvH)FUGQZKk*V#%){ifi^*VXB z>7xMSG~Jc8&i5L_Ng#Misg;)N;--OJ73jte2buF%+mCNrWd^Ft9>%%0Sk@8_-=m{d zW^|mJFZ7((F{7It*ED>jEV)Qo?sxjP2sLM6`y1IdhV<-L%xwT4xn?lmlW?Y;T=lKi zDQ+$XJw$>_X1@{!l2AUaR>x#q=Y2NUEcuWM2Sj5WM_#3|Pe$pp>15jXT1KQmZ23wa zf7N`7X?9*G>9N>1Ilzf%N4Klyy4!IZO@50VwawThfP1@{(R3X*t@M11S5?$5hg{KJ zn_;799!>I0mQXqpd^KnG{D+>72i3;mzthLD?)6?vO9|3^mt4+`iUjSSiCMTkBcwAa zxt%^-9(OSA-UrQf)?>E3c93Q5jsF1J)FTP;i=sTxaKm#L6W)P&{EnTER>H;sF97_} zKW5?`O>Cd)g``*=$dvwzd;)SrV;z7VL8Xg}MQ(Q1v}@oMklNdzfUMJs%L(DTb&{Uk zQD3;jf#Jh~l3KvDkpyYNp3-^M4a^O(qK5sG_~NK-If%v32vcuKVGkHGqGOw$ad!bMayn!Xttxdj^rlDSwPHj%A`Ff zX(e$dSy3UuvPyBN1Q7)|4eohOXWbYi=?M_-3NNQRu!R!S+(dUtNZxdt_J=@?r}CyO?HZ?XKb&<=+X2vP9ndzR zL4nX{piF5Jrr|O-3FQxP202MK6lDJZBqsn3K$+n{?mXxdwc)(}2~saqlJldq3Esfg z>QhUdpwJ}f2T>&zc>ZfkVj%odO}KOTB!wpYwp)?pq{o&49i1UWfyaL6)Vj8`?rffZ zVM^CpY%MMWL*74%a&0a54WmtCp9LoE*}Q@p1AsHkq~^t7G>xVSbn`#likQFD4I6|B z;vps*J-cQ^?H*m!%Nx0@Fe{%OPnk}1EoRUp5Jc(E#UwBeb!3C2^Se|^8Fl7sFd5wF z1o$CX8rL1CaO`>cE3In_S|e_f#tuwtB_$TK8*{6BTJLKHzkd>n!TKh6`25tab3HOh z^!I%R_n#7#Z61>jgphwKN^52hQT5dS0HD^F4%>;-@Jpee(cIY3I7i%zoWRPO;q7qU z4*m#z2l>0B_k{dmF035q^D_E=YxR9AVe>-iT;2Uq{89S9^KJgO@ImP_y6FAUDHa@m z%zrNJGcsCycuf$U-$;)%hPoik?TX)+7!VuB=T( z!UKTi0<1i{fOR!J>^v5O1s%OJHN+QV*`SUi;G9j?h@$S$Z|VO4SE=ecqHfKHf*gVc|OBU4?7hC}}Qp0WrJ-5{cJ3&HZB` zuDlkCniw0W=7^mDP2w9~%)3X5x6;t(Tx{w1jtvdb;Krw)6Dp<-OYv`QttZ3#)r`R% zKNd%KkHu%n5O_qfjUPn7>OWN9TXHh%0pYV@eOrw9$SWhPbs<+s^*Uxas~bMcDK|TA z=Z5kc0gygRmj=+!P`Chi1nNh@Xkk-cQKuT)8CwJ7odFZht{^5KYz;??C+j7)-(#!w zXR6nOO?Z#3&V7~*M+0`6uS9tyxwE5~)At3)bk2#=skGUTm@+J20EQ4?_6KNi{8wH< z47DSJ{w7)(^8CNPqoH|x_|7;k)S7C0I6 z3zcmFj&Wpqb`A#<;<~@_g3Yo*@u9gpsbkDg;FL@Bt(&8eOU3NI=wU@lYBcbo>$>;S^tj zVaS83XOP`_DZR!I0T8f6ol?*%QjQEyl1P*JvDqY*UMROPesfREC*H_D_WuB!5i+p4 zQ|NKPW>~ZkORZ?w*6?>iCrrWU=8FeWZdJDzfmy}?v^GCFd#OK`;fMKbTK=OrFKGFv z-(P36$CyUX!|4A2XAQuwDYx$u`=e&k4`JOG0|%kE4|h*THWR0FLWJ0}tx^a4T+RCT;mL zcUegRj@EP$*d$GVz7z$Y>@IJqP$Tq>`IRx0Hw!&h?r#Qs5jw6POR?2ErPY2tdq}g_ zJBfJg*zzj&9UoWOhd|4~%)Q`#n$|mONe5k`3RmIygxB(-=Gl8Ke)}zJi?uq$D{Q|T z(qJ~Q5IdhV4zZ8Z?8t4sGaB4{V$B*IsI#@Mzhtl7&J#|HbZpyjBs@Ts^x!c}gXbZVibMbC@X%&s+z}v}Is=qG0t7^x`ZI#yd09;^y1oY?K zk9oT@4!7+P!C^aOk*M0?s;VG;&zoB}t9vF;aGJ*&;nPFEn@=MbDfk%m3dymKe&O6t z3WeA?RMc&{w>6~0PJ~Z&)Y;eA%tqw53mm%Fmcb5y1aaP+YlKeREuTI~QpEphST(xE&;MAh9;*|+K8^0v1?&aAzb#R&}m!HW97W>m)E3KLui`b7P4zq}Qs*RcWe0s+bA_L!lHRxitX#cMjW7 zhx1SPgn9w=t&Vo^fHbM#=uARLYTL*@VH|LQbV9HIjZ#X-RL~T(OGK2$6GEC|k{(;@ zwPD>Xd0NhV%q|Y>F-i3*5YzOV%z1_Ae_YwSqu5VpF#XO8gu2GoKp>ENp(90nBg77f z@_{v%H_7Ajs||MQgNBkhL)tb0%XM$arHwwAY1e7n=b~xZkC*hyaO{6H-$Vz_>FlCT zkb9}P05l_1Bj$bxGun=w)I*#PFqDvEvE?}d#2Mi#Q7lLVgWV|n+1Kz-0G>dEwJrFh zrVb<&+xG~61G;%}5#XA1DJr|H8Qq3|DvQR`ASjn@bc9iBNZRgCaFT*BPLtnVQUn9U zr+fkl)Nqj6Wurh+Rk9BRYoJ69)D0m34Wy3*att-i2`OIgd!(9diH%Z8Uu&&)XHKgQ z*3prEZP)FeTx|>xL;yS|l}iIEx`}14EMb!@FyKhr*<&*ur(aWVfK2+sPL3ryIO7qUg*vu{I{nTXsb;$ixh>R)Zw8F7+5py>nJgNd0aaS-9HJkjopGO^GNnaV!zIxXCA96)Zlh zPAvwj3&UK^fz>>)m@}+Limlaa>6BsDjal`wp8d{oE}EOh-w$^xu67MIhC|M??UH_J zdvW0rtvf5;0zh8vyuPPaOLn>8&Y3b~l3;3ovWrhv#i4?%w!dJn(hjY~q_eOvTf)Sr z9-mo^l6N=*+mf{M@bGb;O@ZS1X)dMn178_Of+jp9Xs>#uUBVpf)^#IZB?E0uceJ0R zXO}qlSFvez6beqeG$mEb&%<*m`yMS=j-)^}wn;NPoaYirSMZ+u@f&rAdz~_P?wt`- zKET!Iq)b7*FJCs7Q~qEB`@vV~Q|mkr-To@~R$v(O{Gh6IfjJKx!wmiDNp2%}57nz+ zKBK3^rUSuB(fU`%?-as9OuZX;Ihi=t22|)4I06WfuadElaUF1fGr?pw8UQ+< zB~qGhu=@4ZODTsqjsOcs8rNn0s_b@<>mEx-ei7EG5+n^b>GeyF%#XD>jeA>Bce(E8 zUP`0XzS0O67P+!uwI8I_k#10KQ8eS1L*L81%qHsi_A=`QPZr~_lGmLH3boc#=I8=X zE+J9*MzyY;TFzj1O0Ss~zw!m2KAW`G7L}0RlUZrpJy%cM7F-t?MoBpYv6mN&yB6Fh>Z;QI-4oCN%3QfB#B2{Pg3`TQv2h9!LXQr_0kk6K>D#Lg%oqHk%4ZbThb?%y6 zHr*ufAgNo|Fsh-p*=cJmJ4d%!NmkPO)WCW!Lyrw?pOFjAv%g7?UDfK{u+!$dudH2X z2QQ{&V%FyuSy=$bD~G(Yj-6Eu982}{O_z4ZFDX%l3N}glA;q=MrM{+x!$a^Y#XvIC z;b5PE9IT6Pe6Rx>HTm+i@$DDXEN?%>5*l-tx0W;g6X}y`8c#eXc{A-2JXA*Cq&P^2 z6D=?k8#WYKI=MiDtPg^lgX2>&p(Y|A@v2E;G~_1_A+k8|QrOmsI+Qft^PTio8 z;XXbpIv68DIa1u$J5QgQ3FxtG`vwzj#H*wXV;yKUxO;E(ltrP*~) z7M8V-a5j3H*IP!ro#K1|SFIwaS&nzU2-y1zG-m$cr?XVRSp3UKp2zuhbNZ|L zWwk#8rZ_sv%Xe7^Yy3kefIk%2^kej%o^vi|sPf>}wCTLZf8?T?TZdco^QhP(+Q2*EGGw=_j|aMyQ;M#ZK5HxG6bMfbQsszKOK=h)NZ*;39qxjDtO+v$e2 zqQc!7zCFRPmFZ|BsNsn53GBmvP^zP4b=7gY3#$+a`217#zLfK0>}9oqj>&sNwH{h2 zQ~O4)oN8`FINAw#<~EQAk8-8d=ymmaHCQY4HoDW!;s605M^o86U<)$3uf#b$7NKTM zmpDslVLJZt&?xmF;dmqwEfGI8&|vhBv2^xW-%bAjXgj_3HKqG!w9eIqW5DW7Zeb5= zYysuEwmiI$tu1vKNFIQGZ4%N3vH@GYEzy9^<6p|Ib+_OioZ&T>Xe!$iK2WLjnEL~M zC-S3ILk~Cn-;oS@p$8x46+V(M2;_p5zSet?nOg-@mk$|8R-{{RFfx-kC$Fn*|R zpH=6<#(wp+(Y~qXb)0u)HpW$0;Jn1j#kft+kKQ``*IUqY{;%7CUME?5=(7>~+FQGA zo)fq7AkPY+eY&~X9X9+*UDB<&v{_Y!?k^>+dmX_FSggjVZK2{Qk5a%0-gzViz4e~V zYqRRh#mK8@s51Aw2Q{PVG&jWRvZ~LkE7WEo4RZuF&u9V1$Bh%~L`VaEf0xzH83ES6 zA&&y9E!rA%bd%tz^(*Wd55{|-R_Gw}A!_u_k6v~*e^lxhdREcyuWryCWRTW@@Pw?OO)khx3QDAU@I3Vyr=2N7@6Gq)!Z>rr-J(XnP(r>ByOGq0}gQaL+^=B`o z^x>0vYhP=jwGs=q2JY;%Iu>=*IoO>t*4tC{HCdx!ytqsl2tQhSrMG^Ls~GUz8t_Qb zx@a|oDVN+GONG;{Y3g-~DD|HGtoF4c~=(utS3@PNNr4h8mMXXDHsi;HEa4=QI7riPjFDqv?9l96wy+ys=pZl<43ewm zSjREUV6~5IhL;(FsMhB(;=oArMkeOeTRYweDB%10S`*u;}L=TveO``djKB=H1VcK*+3& zk4${NYi7JaF@;VV5TANmUU+QE66s+jWN=Th-<2J#+SmpbeGfHQac=&}J;JyNBVjUo zd=p6O$Ack+y_xPlQ`{--fh7D;i^=0y;#7;C$3fJ01v8o>aMMma5Nslyef;(cPa{a| zm`BgeMcua;@JUxn07{YC(Ha>Eom7vcG}HmFDKfVmbb+Cisa8u^&ft01g(BikoF4^0 zO_LzW8k8{8q17u@6~vMO(L08c(E%yeB|MM_lLv%>RU(HmJ`y-CPIKbBvEQHsRYzBD)1;&Mn#r8>8X;RFrD0uxWQevz@ag zmIgM)I~j(?3*1R_CylNyh@Qwj9oRvSyDqD)CEy0sC5&j)yu*aMunMc#=R4d3jA~sa zT6P_jv7=*0sCKt#`a{P;m1$Od`lm~}Upe%@)VCU^T5 z%)1^*X)s${K^t0J1Bve;R6Rc-*7{@Q){^IFj9+YzbdP-Y*h z!(&}KIn9=G8Rj$v3$P)hokp6ZMT)-#3cGIg9I7p4m(<47V_&t^f;HVFI7Wlyr_=JW zv2m%&vk{gbakj@;(q3oU16&T+3Z1yu(T>cL3q_70zSsgjJ}R?radyWNLEXl@f{_*| ztE;Kj=wq>}>JaepQ|J%Wmk^;cfjMJDlyql3qtE zN2N5J5&dKNQGg%-O_0^+tAkB73-uE?&+P@Omr8!jvn|{U90!r{(O&8djCaqJed{wG z!$r-uzi|Um@$8J4xqWdEI@;G5z5f8#Kb1k!Ia;>jH$NO@V~wu{2tGavt9o8tnFACC z@7f;XOa`?0l$l{G(_$0#BkZORZ{Ry9bnR-$j}PEgj;)VnR8n09q-f^q4uL;Q$9-7u z$t9(>1GFC7JW`^vj{yW$zqP;6a&TFU#^p=taUP*))v!-v^p^G`zOK{K@~N%Qf3LZL z#hVL@gpIS{xU2HLYh7Rrte7M*u-OB^LN*O{>b?z0w5=PmP1> zW7~%N>ZQ!Hcs9Fiwm&sP{%+LodC$gVAEI5ZsQJA$)@IflV_eGt0qx>iC&^Kx7wrzT z2W|A4Z20X?uLdwp4(-9wTV9{EG2o75m`>h>igi6sjX?$jiT?npS*@}9F@t&i(2%mw z{g0a6*bqV*b}ITh1EO^Hk{#4oM~G{q@+r5bbh_IoJ+eD`Zq;YG#eliTL8&8HNZaZ# zMxcq~vKJK5BKUtl;@@@;NGIKz;~Yh04cNU9AAU{RaTWgnwN%{F-6Z}+17y~0XT6Nj z#;EZ`c2IpOo_Ovz4+gWh- zxcH#;oOIAdSYYJ2An?s?!1t8Cn{& z>V937dfDr>)H~_7Nb&Ge6lB+r>$H8QmP1}!tLs0?Z-<%@zn8b<*yiIIaOaQ9kb1l% z!!nOmo9i(4>JOT1Oo4knD?PTeL;dKiwAjI5hcxI<_o|tA<&GIN9kw@?rw+{w_`T6% zNe`5_9`^}rxs4Bs7%{7lmYSx;%C9!PuJ+j8M|o2q*6`Q1K|J;;5lF$Gi11I-kbXW) zUL;F+{7}y4NI!HUxu@wE0Cq|N_cxA8YhsaXJ7u~MRM<<7r&T92cB8i`gLTk@2_*xA zhOQi>$3TeOjNsWjk9`7*TckIVkU<_Owg7h>Jd>A5)9z087LZ9g_$Js|K5&wQ9lMD0 zNN`8nMZ z>jqGzqkUF=G2tJb@>(52wwzp>SrYo%1BZ5ki}i!0SJCkqm(pB*%hW(_=Y1du+&1@u z3aI?iP8}uH`nA1{uErD04#g4Cl6nw2?f5Xx1oXDfpcY5@sF+o`{VBUdhWeB6Q0jRV zzY2=ztk%*2be+WLBkoPpvR-i?h9Ce7i@T8S$#(KvrvV#|{{U2Y`F%3F7|XCdTSkts z*g$v65h=*Sz4tM!`z3Zdvc~G*3p*3$sS4T$JCBL+Rxq*#E8Xf=+iJ5%wcX>*D@&_; z4OZgUMUAkFT`t#b7V0(fL1TJn>n9EGHkzLxh-`ToQ*Kzw+QtUb_8rx2@$b))s{X0y zI?7HhRoL)a=jt>(k?}+k_9zj2J6H12={~5})B0ydZ&Jyvwq1~1LyTw|?Xlhvez0XT ztl<5k&Y1irR^S_$)Oz><_WdX#DXFXu z%m5lybZz2Q(uOO8ZL!8k`Tz)z>nyxsfH3kKjO!nc9(~pT*Tf0X5*5ecSBTL1t{2ef zZ7*RvLHwxC=>0kM8Zh#!1hvj{D{H;lPNbzCUQvx{)4xU2=HWb?V(R+WR-5d`ZY4%H zRT=}y3K_p)`zs%dL|7tEs7}1eZr|dbtVh>@>Q?RKJJM)y;B&YrQ@*<)&_NJdM=9{d z!M|1v`NZX5Y2r0?`cG8r_*m8EvE<`i{h^zICvPWbFg#YrU8|vGn({6zqp4aL`x!0< z2;{=aXHY#Fw&P(sx}I8WadmBbhW`M}4n4jrBO?25wB(mQ%WN|1AIT}=HFs+#F(}u6 z<@USfl!g&rMRvGA+6gX*=TX8GyHPru|SL4Ld}CX#c`P9$1Nm3Xk5J4XXyu{Wd8s<-=cr4)o|G6HHR^f z+jV8l`VSm?N)=aQ=CT}M_Xm)OYtO)_*1_vx-KnYm>fIVh^By4~AaszTyDum}_c?ic zxX(eXhtZ{%it3;CoKD)SI9*1pf$3f${sjiSfm?`cOR7B9@1)V>d!c1wGSAjA9p@Yr z?YC)V!yLX6A82&nTbVKLVb*`WLvdl;0;7f1UrF?`c;Zj-T7tT-ZtQXiJhYuYNK(ji z6U0PwZPH`*qi(;XVfci>qv;M5fZ3y^jvbE$Cjim8oOd4-8zMqN;&kr7wp?Uu%8u5? zx}L=tvmj$jZho88o`0@Ep+aT1!uKTvMNx(s6NLdj^uwTqP@2T3YOAd&tneu3-nZ z?}9Jdo+%Z%fxrefN&UiHKPu0@?3`zsvMKbRA4Sp(c0|W#QOvBr9~suLXk5@pO4Ug- zGMkfWwY7RqB$pk<(tdm>Qs7?J&V-pgxGJtl$i=nP^9?DIc@$DQZWc-0?@$+Pb42I_^BSmi5j0qI z0te*_F9X?|Tz8otB9YaPmXVGkdW$aa*6z06rIOriXc*YO+P3HnfiBiQ0c76U>GYW7 z56Fd>Cim;z8vg+KHiA1x@k_G#lOqWprpoz^oNRFr3r4*DYF#m)*>L{=gVW?x@|+GP zGry7t;GxpU`$eF4X1{t}7LSnmpUn$EjepdmGLh+*@&SoM$7@}F((zpG_YojM)OXeV z*W8WWGp$%aIBXZ4g?mTFprok>KK1$18uZO zaUJ`qj3&>gkmo+eyJR*#k1<-cnUZh*1hkxI6<>f1)}Dw5()(Pq)^ zagJeWGp2o7W-qUg)P;FMKrbx-p9ls(C`%+_MW|ts?2D&l+U!8%wXC7g@>)KC6Zfpj z-1dYayPGzFpr`+urT?6`~h0?mL1Jlc)o{q`gr-I4HuczGl&PZ)o?e|@20K;Sr z2gS=)$9+uuHLS+UKBZ;=eyxA?L~xh50o!#9By|PD=;gyH!hNNtKLxVl1dwAzgVI{o zX1%Q**6(Xc@CQkSw(X}vdnX4woQd~7l;LxJY`XIC0-5(jC8sn#leqNcEJJC7d) zMC1BZiyUTSL_4-He>WD@1o{>GbR$Gk*3PCh&?w`xeKp4bbHt?c*n-o*?xJ*o&@mze z9^H^`tXwudx)7|jyFn%iDkZ$YMK3#<)2+pKtwfPMyDL32>jq94ERnU^KDU78JNnIb zi(%}Y3?14m%+8Zl?k=qCf8bKzaF|Ybi7++XbU#Mduh9B{n`=0ilcVZ8tN#Eo-r@2p zvFVmI`|#e|W02ne02Y`l8z&Dd7{kGV!3s5JWYR=9kUJDO2>DAe=CNecJ}rNGJO2P5 z#nQ^c7fG?cmBWy9l}5X=a32PC_$@4Mzhl|y-qCOZHoR_t4EuZi%G+Dfx`P8tjx~q( zz4iVc=Kd&g8154;Qx7l?wU82jMq2*>Z{S+^{Z6cg23P~ULFE{FE|F9APv*N&v-0{S zl{mW{;lLdn(=9&&6=9K?ielz&Xz|p2>B2%=7M5R!NA3r^M)})73GE1>5U)c;Q(YRXga|%O_oVN|p&{kM7U#Dmpkp8h8~q?XVXT1BY)E zn-6a7t>z3lA|S@l-=F&sr2Dyl&3-8N27$L&?4(}f^g;MScenZ)!bM9#y zNd)P;SsP^oq*Zyc*JIrJwibtaM`_kz{3Lb??Hy;RyZ$W+#=Tvtj19X}wxs*7Ak z*D=625zIIvQsJX7R;uENH&h)PI&M?&Ey~PohN9B?oqK)$$2F}ntOK}&3=CIUi|bcq zlkfIb^tUjG0C?>(kC)WCw@~SCdz!{$Im37kU~`B%4S<8B_$>SkoW7qqG_dV(f*b>b zwfXl$hFlfJ>h7*MiCNHjy0>)fmh^jaGU|9?iyHfXoNJ4NTf6$r2Sr~C1-9Zc+I?GH zwq0FL0ma*#)3v@sYMy6V>REUVuEw-b_eo=3-Nn)LoXP$O7&%$}DZgl?jvl0YNNa)9 zp73=@MqD+1t?fo}64roi^$wb7=_KVh(|4%HFgS<3u6td*k0ZW~)3OQag}4;tVGC~# zt-IS-kn)FH4D7K!1O_v9<;&wO~+o+c?M~0sQhteGHvAM1gclRBjC6o5Psg%cc z42sS(TfB;#Ol>+aNE)9dp-q%zwdR@H*M{m7v5Ej)(^sFKn^-6_Oyk?BIuFVgW*_v* z%=aTsc;#znu(pdtYYQJ+LhY>08#dBX4XB-+Ue9zr$P}0gKOF`>tK19-EaO*5Sli= z_MLx9{{Y~o3y;7`Y1O#_Q5D%X7E|!v*9z;lw%FJDZ{4(k`M*nqJDXLHbDKp~wfr@q z{@?m(nrA>eYMgWI?b#%+k7i9U+KUS%#8&1=)DaL*8lpP!8(Bs(2NK$(&&}mNGewVc z9qpEq3G7D*qPo~6&1|);06JrFnV-2W)PlQjs!J^TO?x9?A|42E{8DAsF^+3RO%4&I zHP7D&%>kQQ_6c*yJn8*iQ$RHlTUhrrIJ_UEbw1(n3011;1uwrJ`KyR*5%gMgI=C4H zJ-HbclIND_BTB0u_ta4DQn&7Fe@C>-KnIvASrpb>4N@Otpfto0rlU_3saDpm%!;=9 zcRjJBLt-X)k0j5s*S*ejfi5Jt>|sCkD&EH0`&;d0Z4Cj@z;1=fo((V>!MGaQ;{fPK zKQyUU#|pP?cs4xkfzFZP5#+6XlH8ge=DTQalL4gv0Ga{~3_h+y2abdLO67Si$EEFf zC9MSM;rJy;>f+=w&X`+s9^tX$bUWz-&)&KTHnO+s1DYKJ&sbc?SITYr4opBgkOy+Q zx*7&0LBmm7XYL5ct4HEs4g=?B>~cE3>f2ca&fzogDzk~Yu;!fs)OJ_8=pK_^4-OGO z1xFp*sWG$@*m8W(?w(&-6TM@Gn_+`=3O-l~git?^{;9s91q z+ZuhsLExFJ0l<6 zw3hgVTXWm~)Qlr8jM(|?__X5U9i^}Ky6$cTy_@+HeTN90H24*iE%6AAzLE5r^YC~3 z5cbI0MStIM%jf0sliX?h9T9~<`Zhd#()%4pn_hk;Rc>AzVrg;lmlBT7uSGzL@td!T z95Vglue|dA0Qip|xBD6WlNfg-d6b=AEg(6~0CprQSM@B5xP}kpg;~zF!|pC|^VJ># zcac%&amVDr0p=~!`&JajpK?n#?AOHlZu^Q!dt^sjr`BtySuO**p z#`<5J-}UaPjv)5&Nl~8{fg6SFaSb4N0X!9>SOcci=${|Ftk>{kRjFQ`F0;!k73+0HvX zEqQN`!DLppKgclOR6RFL+a((W41sVO4H~-oJ+Lg(LZom?`Y)c;W9f% zlG5ld9)Ll=xrl-CMyyXpY>jvS01}sF`^O)c zWcCl)nB$EHZ|foSpx@dKXgX|ntgZ`QjwVDrLKjdFYsZ9iBfl z`V%}6-?B+$*EyxcYFrCX!1AWsZFxIDaoPkH&W-M)L11|{No;))tfQAO+WP7ahXC1U zZKqF>NlX~~NhOl~Nz_a@_#cvsP1`+rpU&^EE9tN^>zJOFuud!&m&jMrCvwYcweK{MV$JP;;8@VNZ;H_-J2NFYxJ z7hlt4^!##9_!j(lNMm}GN0Sg6x&iZ)#1{w>NdEv`6xadRpNgE-$I(BU645q*IwTV$=s`^2=-m<6{Lu(& zjL*1#1kc^9q@-lIqywsfn1D1UQ43|k&eur)0BV75(*urmNlQF?K|04NLpFff1>Z;> zH1JL}@&zY=7yvp6`_fV(#zg4gbZ|T>R`k4E%$9BQ8Y|wy%{24z@=z@^?bk!1O4GBF z{((_Hqp**NK|Kh8KB$4@w+RJ+kGVG4ouiINl2%p1J2=0wDh=H03HTz8m5Esf3))7K ze=5CTAV&kiMAaYx@JiGoJ0YtV5rmILt{fll3U*@GSp|&&uKF$mLWtV-gE68(<)E?J zvBqP-_=GJyA&;niJ-T>#$AU_Jm7BNj8+qUPu##4LCWm&5j@J z(bCvlCO`5;7Mxz6miAD_UuDk%K!7K{s&$^7Q>?IdlJAOD*3&U{;BV}5 zG9b7Spgs#-hOe;W?=T>6HB~y6D{<^;tPxeBTRps`U8cG}XJb{8VfPmr=0~-r2B_Ak zmcm1!agT$wiTYdF zA2c>2&2KTTGxT&b7+lLWEitEng@n2D$5F0qE^P56e3a>F{gMgS-azxzrPLaIM?nC`KP4TAi%7FgE1)jAUzKv5YK7@Jr~=U&LUoh7#QAGAO;x#!emkVypTK5Jf)^?rwJ9SbJH z-5g(QxdYrF1j!K{^lf7GL{~uJyCWPCHZ*Cby7?qBF;0%PzIy)vv0v&vHwQN$y4rZjzDad#V^dG`=wLEm2l41{Qs1+M^?pBWfpKTOl(q0o8g zoMmAR1>v$a(4UtI)^AIvH!{m<#dZsx=CZst8Iob53Gpjnj-KbWhw9}{v8_8AFM{p7 znC_97j--k^T7V~Kp8IYNjBUVnCJaWNN*`;)Zl11ypUrl@9hQ*kc$t~T*I=3-bJ}ag zZII1C+YZ)Rd#mR+ro24bX^Jg~_f1D?e%_>JL9OxY9 zHgtBw!rM5YU+A8ni$|zN%1wK{t{dmpE-ux*!Yw^n%>{#|bj_{yeVz}f2HoB|>D?nC z8oe!5dp!5;DmFT>_icFufYaGe_K@ zL!KA`{McJnS)UL4HU~_t^^N%zdV8I;!PmEb$r*^yCsWZtTgT${Oj_=fx*(1_t1jyp zI)ENza~@ExGXys<<~EwnT~BqJT-mHTvf^6S4L0fBUbm?A$KPcQ#=xfPHG4)oWNOx* zq|^K1BalX{fQ}otkIK%b+;dYyw@4t60D!w*nC70FjQ5=lP1lagm3K+5{;K*cxH0nG z=C^JekMn?eg1Ve~8;wPHjV0oyhKHHgz`}fgHjl(44+!cSy=lbb0^e@eG=g;Qs0+7L zTH_Ay*el&wAF?{WPUihDim63lg0Bt4Q!;hFoBSHBPa2j1( znhBleRjUDRtsA6rm_vRaDDp?N5iQX7f}rM-G|=`cWB@@v&|*tO>)@kd+U@MUbzD}< z*YFJ@pmd|Ov~+iOhk!_fbR*rMNJ}>&-HmihNJw`#(tQCU`ED=|dhYx8JkR}k-{+6_ zd_L!rYi8D(*|TTQthHue^UV@pL{azeA&&E0$!7k%YIugMQQqVGz{VxLUmS>&*N9aR zaM~w5I!EPle=!UX6XpHkOdIbKoKbxyxd!ohxH-1i^1b^Bg0cKqpvP>Xx*-q~>~=Oq z^gFL3eJ#tj`-5!d?YHl46Uia_nL-zf;a-8&3!esk*t|!nC&x!J>FPR6O#k7PtRrq@ z6ALOmvFSo;pg+RPv{WX(V=)~a#wwGcr9~h88W4F?nn7vKu)TntewJ<-D#2?MsuCOj z2rT4O{6RvOwSa|`mvfQ4GC~`o93;jWMAd zJvA*HbxsWJXX><^F5aUq$Zr5%MUmIWp6yk`LC+hV8MEms=%T*h8DoQH>aYm%WV<8L zvtBhLx=olb#?mOz5z4n*bl3m2dT-LG{pjkl;>rN6z7m+)$|4X!LZgxj&M>ySI||$4m7t1+HH)%{ zWzXO2;z7HNGNjpeE-fZ25n($c*;dcW=3I6}TYR{}4Sj6rP|)($dSp(Cv^Cv0V&lgt}q1@Gs3rkDhK)zhL z8?i$Tp4Pr2=?8`=goDBm^77!oY{^z#a({9aWs@4U3h(|NOc8(nsLkVPl4x+=`&c9q zI_oDp7jdy?6vov47RQ)wxFXw#;bfvswJ0$vre5`Kg~$uD@+c^|pyw-TEhq@9StGUK z+!bY^I`vf?RFYWOaeP>;F18_`?bXJb3Y!Pg)KGX}F&`DMmvyhHOf~GR`%yJ3&$eqrdqc5&w0~8FKmP+s@3de=m2s_xQqp_*P%88IN}I|%+5$BA5^J`4 zaQROw0v~`8wgtxWGuGfE37E~3l2_16eK6w1Bs_}?L&#lWDuXA!Gj8)PudXXSm9fb7 z)8s%>$Wsv!-kLx`-?C1}A*--jPe--6=cjdZ3hvA*7}L@rE{EZ}P!(W!65j8iH+sb} zN*eWDG3xVunL>~ow7;fMy$A&hih1)I*LQM0IC(2iMmBd&PB5b}u2TLa4`LIFC}FBF zBicZ5a56uX?{4@0cmz)K(8rdwsQSa9K*=c$5!jbD{?m3gA$AT~&o49|#o2}hS{3OB zWizJ?&ZM7Bu5lcTE!~yJCeUZ$%X9Lv!(hLx%*Qqrqh{_aW?X~n;1Zy8ZkJ`QFU(B| zKQXEc#~EnC7Y%_|)(SL~YAPt#UQ$?BZlXqT+RY2jetKAxH}mw1p30j^bNfQh3zn)c z$DU83*}7iXrm(~3DaSuVe>SRW4ZCewJ8TxtvJWnK+}5qE`ht>Zt(IueJuDry%=mL{ zr+w^~rA5WbHMWL#=HrtSDKE!SMv_K2V#F&_e70P;IXmqNbf~po%-5$yJR-UWC@*A( z_j7`APNa9N-lBt==k0sxR0ksrf&#jo=c6FiRr1Z zbZ$I0NsFoN9b1|`6?QlN32IXt@^;f%Rul{BoW4QzhqZbq&7>Ii8L)}f4sObFi1t4je?fOfi>h1w<}GyPdA?h~Q&fK4V*&ScaL17(2X(@#F2;nRLFS zRHXsMaWE^0YVODb>-R;em8yajNOP(&%srv=l3I6B3i~(AJKfX1cp~0 zp@!d$*x;B=+GTUIu5N#kziV587@Q(;nK(&D*^wRyX6hz;pR0LjgKcYln`PQ9-BU2l z%n4nYqFdGZMOz!eY4A+h!__D91-)BU3r%j!9QM|G%gi6{fv+YW+~GVSi1j;pw7~u< z4?rr}hEx%jf1Dp(@x|-CJbGx}@)=J|Cf;?alW`uEBe+R=>vG;T(up9Hc|}gWsl`V7 z4A=-XLVhoWaA~{@u@`%S_Lj>S`c-(&8(jW{x(AWFY1*AgCQFQ7!&vo7jq5(1as1!{bSP0L zw#7m^^0Za5&^?>#d147Z(<)DXi(tP4u`r0fqyTzTt-FFQY-rG3>Z+~;XoFQ$ATU#c zJycH1fw-NCtt1^s)T~#gIBl^(twwj$atsk*Uqjo2l(wVUJe@>or~C2q@iu6vT_N)C zL1GD?Bv0>&O_kR%!B`wR}yqS@~JUJsU2i? zVlPgtTV)DLA`BU*3`uobdQ}2y%usG0w8TdXm!*2ZBe*=<_%-VSKb3v8#v9QaEYM{LFZ0`|3yP$a!;ryM zP?b1wh-_+&2Q5^V(iK?b3-_3n3*NQ!kd}`Mr=PFDsJ>hpCrN&7;Qiq7h&XaO1hll# z(&NEOyQhwN;XQf<7I+1=Ug^Po=+OjhNVT76&4){T;Xdzf2-1}OI$lA~`xznQd~T4p z8_1no_n`cufSBqroF3lA>0@E|IV8;JsAw%KX{C{K?SLmHJjLq*ZU3SuK%Afvs=fPC zyo9&KL*11PX8aT|(E`Ltq0ZgKzTUMvJ~@v>9Kd-25+@#vC{+esfgO+^T|l&R4~i4j z$aKe@&tHMb#hr(wwS}1hz1e>|75m$$u+}r+`0cc8g6r=(&n@k2fdkfmUGvXD3Y;AOcd!eP@eS$n`wavV zIe;_FjSZZx$rn67u@$!|BV4q%O8%<(`owdNU+|ItPRDO0Z|V3QN%G%m`A6AXdVWKd z@cfsgl5ZFFd-3m@{>N^{^-1%8zZ=&%f8LIplj((ltnXYDo@;8#_w(+5PyX$SZ$xh4 zRCwqBn#y;v+gZ0of1y^cr>7fjza{-y+T6-o-^S*j1Qs3u!17b}uLW-Fxdmwfj{Il& zq2YGcUqycbTzCL}%lEo(B!A1fEqNR6@@tUYX1jb>_=mv1Y5Ylk`HxlplDMt&2V3MC zL&I~8h56o?f27|Q`VNfY`NoU+F7YGrcHuuDGQgC7jd=Mk_Gk8O1^)oVT+gk)g#Vd! zTkyv<{DjZ&0PLAt*Y_*$w)AZ@4bL|+&3E}fa{mzjja$P55GHQMIxvy^gxUN)F@Afj zegSQGe(`L+Oa4Z?`Mcn4(9QMDxnb7;oSWZu{88?9!~crH0Unue+5i&HAKLz9x&C!g zH+9}xp?}=}U)9|zaJyaqAnIJN`#1XknR;7B z7-iRRj-N9BNW3laJGSSi#6J>mOWZuazwvx{e&T$-cf%hAZfm#=`}tY#9~rkL|6xD; z{~)0Mn?XR|2g5(^*zMc-H;~PZ>398c3z73P{RgrImRsD*Zp>z!}PzV_7}hD_j_^eS6z4N zuZ#SV`#(0+{v$x>k6N#<^Cv)z=fB~H-Qb-JEUnFTet@K|hw8QbE#}j8^0)tP=CdDo zs2_>f|9*#m{SP3herWyHf&Z-QZ$PS>+G%gO*+2T@e>Fz*6NC15x9?~6pT6JEtX~|q zYZK{j$-jmr*RSkfh&G--2yMTs`K{bv^?b*-eS?Qx-==KB*%TOepbKu0TJ2H-INRSUnh?Z4(p>+2co{A;eFwXylXX8u?YZMe8-zq7u8 zzr@Yy2eMmR7X-Qs=u3e8X{>+UBxymq>rZ`K9X%ae z9Rhl`Z{k38!j8703fDacRX+nH;wvL?wUXe+yX;=o|{_;1c%{oI{sUf_#5Yw zfQ^BX7BJueCyEw`G}!8YW2*gvo{9O_DNb1o@gZH@xoY9cypvFW`YKh-Uz{S8qI?(y z5$(|!aK>>fwprz4SME~GF>zrfM}GPD`@Ow!jE23ZqI{lwLJwOXdx=0MB_p`c8=%}z zz8^9#9l*;&*GqFhk{apkWkvqJ9%R!=+9aBWT@ge5fONT%hC)=oV$%s4s>PIcBJ>g$ z8Q-JErpUV1Lsv_>0}OKdP1@2CFb4YZs*YIi*EUd8KCakrRzQ^p#8((pNSm$-ktTMN zXtm53SV{VKS9Tfh(S&jiqAR|BEXMB%i#3>1)E=uXAA9eTDcCh<;mk~sCM1LEJ(Dzt z_)uiPJ!dlkF+#}5DeZH2$@zC8PXiexcNVWGItT;w6qbd>$P4_&gumiWnq=_JeJH1i zj|xKz%Mr|+Cb98*XqCWcGUR9!qOlX;%|@C$9ueg=_i zQC8VKsFjwd%vN2qva~$i#47UxXXdOh-x|MU)$j!)d!zbV8D-}v6Po}A%}C8WVCBJ5 z=760cpG?CtzUI7{c)P+K&Y_zo_rD8X) z%n!O%wbTuiM!Q&M)*7}x>bm}8SP08q<72$mg3wd2M@|BMe)q!Q8i(D47r#7LFMTA! z#v_TzO3w~5jCdr2@w&hDA||H`UDAW+;6d}4{{`cs*!m{1;G5%ER|$pXPWYtiJm7Uq zo4(kMjj)$*H8SQx&e=mOPY%mNo-;Oz&Xj&WMw|@AYac6r@n&Js`s0V5QQ+9E^9j7l zF|q?g#=NF3pERoFohRyf7Db9PQ#IwRJx*P*nj&cv{*grFc5X!*5+kEujX*?_9GDF( zg*BJ{EcqL1F8A#}<}y3*jeVNQ$^$ES#*L#9V3^SUWVg;AyE!fSVy_xM6jQd_hyR(* zi8e7&74z~SA`3fREEnC#)(6)KI=Zi|ax5b14Y9aO{&RMEjNqb|KUcH*MAoNkFD+h6D#|-Dz7(l{@%droIhH49Xk1Y@#~yF zivL{p|1R@0`$vF+1DlSTo`IU4 zUXh-GgNd1gp6wR0{MXLIe^mPWTJ|4m|A(vc9hoY`A|fKp$Sz37BEWLZ-enVHVPO|$ z6<`!#5oBZ$`UV0At^<($<&ykUR_MQ!y}i8$;JI)5<|h5O4LE?XdlS9;M#%ogpuH*c zTjcIv%ltDe_XA~pBmR4!0m%4&g=a57Pfy3h#>&XR&dkCj!b-=$#3UplL@&h7#2~`Y z#4d8v0XLz+TcLfRbLg3VBV6B#-*XeP)Bgtf{8m{_~3e|7h6%m(ef=Atn(< zCN?&9VP;klL3TQRdOCha7GZi3b~bwcA6V?4bJH(B=YN(r{q6bv&7;1Vm2dm@-=At` zL3&nUCSkV!@~Qqe0`qra)_)bgaiad_K>eHh^p|!2+L`#a?*A_XkLg(%SeSkikAJhz z|70FtTNh9Mmzc-Q?2NSEtbM>uGrx8@fG5<>+`@+7I!6wO2O8_zT3Q31%fG6%Az%dj zk?-JSCf4s3%pc%nG5@U?Sh=80to9@&McW8l1^Z=Z6ON9s`xW1Z@O?Q+SaxmNSE3O7p{dIBQ~@k3 zCU>r!TzdyTxVF`oe#UrS`|`QDSi;^-+OCi3dUWX?z=_Dd1d^My>6~E*4KXoam{BQk zK>^=g_x%#g&06{SgWNO)1s$MUw66=V5`@X6txQY>hTt2D#UNkq8;#znp)Z=n?Y;JB|5D*X`B^jHOn;Rb&XUDIYgu1?7=E5p0V()+hClaF9 zfuvd=KU%Q41K8v|8a-WIU6fQ*ub0a#NyEer4-XqoHz*epXQrpaBO<(w5`%+-eSJl> zwUez@qoSfzR8;&V{7SsNy)!d2tyX7bmC%KS!-{C#PWoq3=pJyt?F8t!VVSaI(7rne zpKTUVN9w}EQ8+nk-@JL_;{z4fCL$sdg2#ni-?ba z$MwvQUe?O0I4MahP#nh+cE7N&u zj84i53q!say1KkjqXppEODY-~8ta`02M3drsdf;lHVf4s7i5(#lo1yn#rN=nKHudiRfuB@!6s;UBQ-DcIZv$K2p^y%g0<;KQ_ z0N2>qn5d{Iulso{=A+AcLL#El(o#1!xBc>XHKu3r@$oboHD#7_4b4Q24!fF0M&vcz zt!bH=P*)il8RH1KaK1eT{l?hXZ#_N1$jHbRdZ);^xLm&;e7+MDL#6Vn5@Vw#{k8j9 zP{UPXy5`F!ZCesfe+1-rWLQ&7HVH=D@(;o&6)CvXslxJ~l|sF5Eh2mi2?<%=dmj%E zuR~0^P#uU=L?#yH5J5(}^0*8_dx zh*z)fTxNNDL!yMWkWD*(>aR2GOZ<9rlKfKc8HiLi5eEf@u;@TXbgd^{JYsQa34L5y z)1WuuAvX5e!RIcPF2au|dkYZGt&%Y`3lJ!R=A|Xuw28;L!ezXp0fl(P$Y7vjr3}%lVb?LBs@6;6)(Xf1g@m5n?D@kUhmjVp+ zva&Lr79a65(G9*Q3@j`%;^G4X0}Etf0iq#z8qc1^Mnu>gZ%r_pjygIy0YiR2&q!u# zdpqpON_QMYiI5v2Ha9o-A^K3J^yVu@d9a7^n8?Tr>+2I?@MY!Y1E*zd>T&bQk9bi@ zrK;?=u}~aD?;yPj43tz?$JuG}Lnh)_%gxTdH;4TMx}>wKtL05Y!{y2T67b-W$|U$r zwS9@v5D^e~m6k>%QL0gEzt1R}$W`+GJxaRM#Kh}YGE_T<CJ=HOFPCN*?m6GhRGtKU>gEQEXuTn~*UIoS+B}Odg+tJaXl=l>u%Q3W) z*J>Wj7Wv`I&P=V_+41(dLKybLc!#ZV`on?;Qtvp>+g~|; zjg2S)Ga3aIRSl<5s^%Fea(opShy2gwx(k;rZ`oQ=?)P2gDckkR1e>}+?c zG9*b{M#cxKYqZXMYHd%)`J#q0Rgdn$`;*ueVI*pznzkOPCSGFDo|WpG!VuEQ36iYz zI_INLA3h{cgs8_mT$~;~R=}zkn4;scUhasc*R`0gO3BFZK1P`I5e*Lyx3#l#I;*MS zuthLJwVbK(zB?YbnnEDJybBD~p)6TTbI+v@{A}#({0XX51zL^nyR-E$rinQ@kC_mA zqY9nK`346E9WPewu$;l1MDBY@Z*FcnF+PC9IWv&!v!cyBwiw)U(uf^5p2l*GH?EEol~mPP`~>k+Ib zsPLeoW|G#dOcxUx;vlEX9dP zH2AgWLoBRM<-+pv0~cpsUOc~l|9-aTi8z`l0s?|jwbgurwZRGO>st@&$>zAPf{kqi(E-@?1jPr#L zF>^8~QWekR%A8x{#TtzhIxlF`UAu@V$W9}`Bz>eDdjiR*iTZEDMpNBXtN>{rn0Ku*~+ zZ!SJi|LWw_6j4NOa#iT_nHsxCj~)dW<8cs^-?b$qBz$H0F;ZAxpJea?7#L%NrONG+!*`EG|BG^tu9;J#_@~xsQRE^z-n^`Hr;8%F1=gz^slk zhmZuZtE8Ynv`9`#35$Th<95n)^{Uokx2>Lvocvk6GxMi1TuytliT4>9fg2_Q(wGl( z@DgQgw{Onm_SV9if1yd=BMo{uF12#D`4`G%h4{1}4g)*m^`6}G_Y#VWV1Z7im z;&L-b$5)Tmhq!2CR-9a1@E0lOOLW_LJT7rmAf0$fN!vbtgpA;H*b&0w&ntEU0Y6Y_ z@kL~%qgzWKQ^rWjUID)c#la;lE$xIKd0+4TOrliD%ZKTyA0<19nV4*WiE<5V0lzOd zFK@{#MG)!jXd7Enx#`%a&yBbQmY~%>OL~9AyKeAsaIKWAvZr6aUawXA0|Q^(&I;Zy z>X75!8zC*M!IvnzmjNfwGr+rV*zG@U-3#SRN{S^_=4m=9cTl$&?f&^GY!%HT-0C+S zZ&2yhKD+c%>&Zq9Wi1KWCCGYGhPUr<4@&p>yL+WWX$-96sC&qP1SJzg~ve` z6h(V&$WmiV-Dp4n32g{Gv(#giceWxTnxAA`Pzze}V>!yAGM~S171t~!Yg16^DQ1~` zQMdB=ITn$BDqTx#PwhJ#j%D_~)s5V)?S>(@xwe*G5a+vIyNjZ$D~~HJ_r~2WRL+R2 zq*z6pF`uvruvLGY_wP9x4T9b!C5>8Otit1RFtM^K3rqH(q-SOMzJ8tE2a8GbdQZ`@ ziTH4Lj+cbwV^h-tt@)97o7N&%FqqiKc5M~=o$0TR?7Nm&s&3f!+D=vibZX$G~_*qQ)NG)U@|A@Jo5K)|3F4 z%Hraf8rzBZ@I@oz92SG5Gec8rF!^Ff!i);-Q z38Ju^v4%mYs3<1y>NcCsZ(D!im0)65KKr3L9A&qoBg_lhQ1-3C@l!;c&>V#zhqH5= z^^vZvPJHF=I3g#f^1h~~C!!E-x=SJ|Wowt4bxwnomKWTW<`H^IW@51&2l0*Wc09XU zIG*yosO++jM1-4UOlsE?8~|HfR<SNjudy$m3izZr^A4eFY%@(XpO(veQi$4u|TKS@l~Dz9^y zMx&t(vp_*fI946pSA|TjuWM~?u7l^1+*dHp$tne{4Wy0btE5e7K7DFnZaP(>Q5y$X z<^lfVl9I6S@FNW}Z&~19s~%l^X#xiyrLEg@jUe?6!6_*(8Q{1J1@$z6t>o+j_~vPV zk&S!9RWg6c{=WGA`;nqw;~07H@Scv2PJP)j9!Q0ZlgfCerp9Y&ReGgf%|J-3Xa8jI zaQs{Y*ieMFl0!Qfyuun$7C$)ZU`vZoTR=x8` zWN$x8po6_VOGYVHlKbg8&Tx|P{(d_?t!CZ$VJ9|1FBd843b2loLq)x4A{s;s%;DfF z$4)YO$Z9bSSVM8fm28&L+K7 z!sQ~*lDS_98I#%WBqkhHsNJBfg)Z9eTX+{4W?`R_t*f;an$5ELZneGif~w=#=u;?! zNa)YKbL7XnbGr)*;KFX89@Zm!F)^`|tRGk#Q>lRu~Tt52Z;^QZ8A02Vv%-2e5`b=3ALA*6~{M!n|t> zMxc$~0-sgye!)@u;bCY{Lqmhv1~}TMo~Toy3itCph?*(KN-{J@PWV&9Zn@TI|B~n4 zsc7XNy5S!l^!LJIXcMFdgDU)uG)h#5u$H;TOP(!py*ijK%jr8@Zx0Lzj*Q0o%r*Ts zFORYU`hl#pG~^W~jk*{Dm_M*IeOLm#Qq@WeX&I9UK4^^^+eefVl9C14UkqvASm70V zbI5b!40GFUC>D@)O4u2<`E6yD(YbQ9kONM}9#S9DLaK0JH_3S*Fr{q_`=dwz-Ur-6 zTXYl@0e$zcpJP<}9xG(xaM-SmX?bje)x{%uobR_&7S%O#FAzOudG<0&tJZ#dyK-(t z^Kmn9m-B*jbaVipRsTS9jVi+HwU~@dBD>9sCpbh|TU{NOpVj%v{)v3~=lLctoPnj! zT$wj@GYD#=vAh}(Vuj?3yh2Ve|xUObjsF%V`^o%t3kRjDP7cgG}{+Q0p zPA)XbUR6PKD#dEp6uFFfY1JCroWQ`Ya1u#RMg=+X7!W~Sem+&e z9UN_x%OqZpLFQDzn6+exhX7oVo|BWap8X*@5)vP}5q0(k;K?+lmG8<1 zg2*w-#@2^EF>?4PfP2)~DU3JM*}CC1P%}K~yc#Wlq!~cB_tC*v)j0~asIG>$nN=+d z?060B+n~AxqVb!i#ipeE(iTLS=(1xZ-pPxDW6Ozco#=eTXwW_HPDDs3$5LHgogVF6 zkc}Z1^IAy2IKnfIhli)wSY94kGdo4lQ9|c6$d6LFa9+>hj>!?Es22=h&!Y>tM!?K^ zd6c_KM>)^siVar-`$Z0uPNC9b#)vod5!M*5UI*Z?^}XXXBwVFu#5y~} z<~+vm7gsWib!+WmT;!piag)kJbT_|_ijfrYIX^GG{IJr)F2^q%uEAFq7})&ECncVX zkXxhVZLGkI_B$`A$9t`DboVFLmWWtbL@K^YLDdONW;Y9)3Vlw#^H|V06h!{yR8d(u zg4oD@GAydz<%;oQf1Ez{@dfQzQ%XPpL0p5e-eF^ZyF13!4V&2_|7GK&)i5$(N$>6GC+Pe3PULwFbeaWBlRjQ8G&B4)Y({rSB)@K34pl$#30OS9aNU5e}>$s&&yyF>4I3Ts%^DhoOL zm3_`oVe}8ipr!Rb)}~Evk`uT%2;7>=a%@e#veshZQ;IUi@vs<^_ZMnG7wkb~DyLIJ z?-}rhLlZ^e?TQ{zK~_*6rsu_!@1&jFE7j{J#*l8(hY3^SHy9ulMeR7sGeEILqKSa1 zN!5V~_;5LEQ{}t)IoEHqaEcMmrq`v{Y1d^I#MQTZb(KXA^>ft zFZR5BhV6BE%0D!0^)A{(|;7&k$Fz#m1Vl^u; z=bs&I#=L!N%DS_=D;tp$x9U%iI4u2^g}RqiIZ24>KAd{BZH}W8yO^?*?TRtI(_ng) z`P38PJNZPEMx|I&q1drr1k~G{Dsc{)clBe0b^;RycfP9V&a!V$9QSbzwGIvGv0ORz zCWP|dk*3(f^n{)jgX4ZUo*f(eKnjwo75#8yBoINIGR@AD`w8+3L@bM$nvo1iOdcFY z^*YC}X(9-G%d14~;XtQUaj?NLi_n?%+4@>{DJZS?$R|~*gEdWE6ciNAeLyt9^BptP zBUD~!ko(C3v@VkMhmhvxW=t*Dl0?Q9F4D?!Tc+SbjoK$|iaGK%YjcN(wzlxe1y`R^ zx_}V|gjc2U>7hEoY(oqBmg-$yT@CsY;j=e*zj_H8YTDW!cE>RW@iVbW;C!q%=)rP( zoxcsZAuVyfVF&v;+#lJS3Wl=u7X-XfsbPdJ2~yc%` z`5lnq{e%o7(cfE--v?ktgb^Y8b%2047l~vv zSFDpre+m-cGF%4t^VWNbCa;>aQ4!)+cXoPTE8@R;(DynZ_v{`V(Zz<$vnNjkY&yES zO0j{beaU_66^9c;H$)o{IRM<`1XfEt%KV2J%djw!$BRdn({gtZ79^v?jngSTL_R4g z!`H~s(>kZrjqQt@;^LsZ8P#Ww7Trp`)&t@gp5hXM}flT>3I+_Mh#a0Gj3suU~aP9 zv_$1}{`6=w2OJ?aH5J1oDJeIWK_4a{Hgj)Kl6J)7b4|bdW{WRSd3H+joY0~4^IsZ2}v7vtX*GI#0L&f-9=TCMl?8kajvB0LE2ub zdEz)tsx$RF5>gS>vd{|i3=a>xmr&r@%`F-EQjMUhj!nX-QE2{6e&nclWaPohq77z* zxGzUzpPVr;HVn}!iaIJs1A~~B#)Hzvv|@k=X~^kWATa-Hf^y(up{HKGdetGT8)^@6 z)jwY>YN+|-$>1|IALca$WMpIxQ0Q`GRAQVnL3e$9ePCc!x!X zgvIIPZeI)&Iq$UkquPJ!V`&S)w>*P!&Q~or*q9TrvW*Y8tn?OstS8)*dWD#?L8FDlhk8XUD{8&W-FXtHLZZBb}IL3WZeWr@_H~ zS>B+|j@X@D{CBZN?w3wy8BBgosqzxy)-bL^q;9XD)6cnhAyk<3Y)@$B_FGg?;>lp^ ziYPo$RN@G@4ieZVKa9`M05&u99U;nnK1n!sD(&#I#Nnt;Ws&0ba#;zCRk*dBLaPk{ z%PdA&wJ03Vv#rqr1wjEMx23iq)9EVuc(m$;t*!3M*_>=or=aeY^yTF#ef==3>at%h?oYHMqoQU*qDEteqHjnQgQnnmx$^Bx~~*wtQ*#urNoDy46l zEKA?t5q)z)$8aF4ScKs`CnUbNd91+X>7=A|uoUvjLw_0ziDq`iUzQ0b7XbyAx(d~E zbtRJ~GWwGbe1PDnrxlBWq^xuj%V)oaHd(H-d=pAL%77hIwDg)Nj7lM>fQ0wei5V%1 zab1W!d|if0Pc6iy3##0vSn?h#%5;vK)Ylpd?<_Af>9)NYGqRBt)iX3)0&T@PfDH1Y zsa=kC-Z>qv9Vh{RYXp$rrD%g+SXh{sFq}p5xH5c~aA^UGD&bky159@rnPA1ojZ5EgQke+Pi$V~AUk0M zdL^w-Dv`?i1de?EY@@5oJ6mbF$hqs980z_?akavSp@`*pH)eeZw=(O1Oirr z1S|eNICKWWko$;XVQhTcRrU6{X3}>TtdAi&w9G zD!%>_0+(+0K)>Ac+*Nm;C`@>CNr$jjIDUldI};>!N` zC6qw=7>&}dtA75WzNXW-YV}&y#*sQ0=J3w4g79aZ2Wi}GG`(@l1m&=-5 zqw!Ee&&t;LxP5R-@XL?I)u#;(~a6)jwPP*4J1oTc1O84 zarj6_y0b@{WAdHQ2sqM95vNFF!ziEu}Mx8zYHyP zbV|mgrwbk3OBIhe5DBWl<#lh;V^CJ&hm04qvZ7PACniqdbgQXJ28)-$Vd{vo7Yrz6 z-<}jt;(1OsdYJ5KWAi!7167NZPesG<0Z#zE5QLwXfE>r9D!K#)Q>y18!$>R?lAx)- z%qPDpMO<9Wdu(rRx}0=`HJZ<; zx@@b)oH_?^JPbf^K;^xv+N^MG9Hx zTwDpbIPq12{>w1CR>l1^Tm41&nL4Yz?{;=}1bq?8T{YxFB_vIEGyS@yQiyF5ILRpP zsj#+7XRIs@M{VRH^G6;F51#A^%F}+DN^VXkM#nJF+}e#-pBY>;AgYh!fjJVaqOB($ z9%9f|V+vu4Pm{~3p3H(^$U1t5B6q$q@~LaHqu#~;>@Kdc{=DD~i@d*I8-jaQA2UXlr>vEmkAs2%1?gU<17RXGVl@_9pjA`- zuDfIHsX7<%B3xy*QGBJtVVQ9s_tiPB%h`N(_L68wTYLLeSVI#ISO`mMg}s)D4-kIu zx^rig>RGb7hUMfqoXb~zg!YjUX5jq@vWF=1+b1tbEoUptd!wlnA)wMlgq|(PPfu5E zw)sFoL;I2r^4f~sg&3)Q=}C!%e=XcSxuGmc3$ukSm33bxPRRs0lb25n zjqgP{C$&Co4jB|}IDpA;SXun&nVmft9}jGk&&`9q5tGPeZsFn9h@XtsFyI7FNG6iG z4-UnMi^8@WiZp@yIY+Bi77a}slll@rkXHl*#Fv1ulxUEhiE&|hx94TO3lq!C*_U0* zLVu&L-4GaWa=2TTj&Oq!= z5N(LUUcMzVBxEy@Gi_JAvt|0~?gmA?IS=@;^p9J+3b2=e4mgu{k;OfH!7%oDYi|-1BSCdPyX4z-?B0>5YxMDlCigin1s+ zH#UmdxSfxuu`n_Hrn2+7)HE+^?KXSW>rH0LH*Na3Y=F04CfnLRBqtAR)aG}4i&*9+ zWfhn^KYjXPvV7t4lAh6k&T434!fr7A(}A_4>%np-12l2O*C6cABO`do$YYjsnRcZm z6@`X4$@bfm&y?GxdY_WtiEnt3W*p+Wq19b3Qf$_4tSKPS48)k++JkgM>WjTFUUtGF zMBgntA){rzsCt^iyFRzp{ejDY9}zImPaix?(}j*FgQ#%D-7A)}EfYH_?bFQ8J-C|p z3jFvnCnpC80S+3{z`{z(N;qrRL0P;EP80)0lDbq>EN18CCT=wyLtt!=O7Eaue)YeT zFg`ii*4p|8m$PCC(^3m`nEYPV1dqs83|LD0Iy-^*GrQv+0rOC{;M1Wx!0|I1FK!wb zK<+tX=czB~=u((-rz{6Ac#H0BlGWaf3E`%D-iy2M4;J~FI)Q;374)K&jf!aRl1!#! z$tuGsL_4O_3`Tk+C>L*-J)~cJxy|;!I^d*riCm;|qzrg2GY${$9g5G*K zJCB3}V=2KU&A>fqKAPJXk=Jpa&w){YcQRwvS(16qee>lqF_{{R3Df(n#WQRDhg)H! zyW5pYyR+uK{vpbsmGa7a;Gv@h5t1cV@~W*LKYIK6TF%xvjpnPIb^!YdSyFKaM9hd~ z1Q3T#BBFWmFH@NE5pc*k%idx(qA)Zs2m>7_Znf(}Nw<+=vVQ0wD zP0SBBs#)g_3uRu!YG3>6>ebnSzWdR^aUv?#DeT>-Nu3v_n_VO%VVw4nkx|UUW57Dh z!a~2>aK^~ncvX-2KwGd0g`_`2Qe60Bb89QFql@hE{-X?0J3Eir%A(l;dY_hIuhG@+ zhtJM>XKEKZJ25FKHcU;0_Z$n_6lWW^w)ZD2GyNV4R&*B`jU>Ig7B&AGGLU2A;Nr1{Mpp#95dI!v; zpp%hM`SE@=&Ts&_HLg1F{zuHagyP4*Ye2xh1<-VFV&9XKguX5iD_+`ONym1KY+e%) z2k-E(pQD4e4b+PgSncz4iSuD_F{5Y%T#nc9?-&hb)Q0$;1Ad>NAjGP%rRCgAGk-Z%|9%pkWDlG;^H8wi$ z3qRD;vaEYFu>qb_qD30onU%z%guqB9^BV0g!0;;8k&bX;7Huk}YG(Pe9Z|p^nV9&z zwTgBWpS5?MUr8~2v@j~J07@F=xz~l6APCs@pVKPMWFq+pV{I0E_2Eon!pPuhJM`XM zTBOEYLzYaUZE-`$59 z`M!3`?BUD!>N|xGX7zCLt+9@er9tI9;#{2B5G9@)M`KZefrl8$2*fS^+Oo=~Pd{*# z=+8rookZ#9U>X|cV1jis<+icWheFZq$yQ|#WqFglB!}|D2~}DtC0Jiq60tCPCKF6S zo0Wt1?9NFtK@OH8D$@Z+gMx)~C?2+6DIeD7OnY+j3XuW%0jl0t>hRUbRGc0SgP;2e zJ4_Y?*paL*BjZIcAt8r59GICQR{O4Yn!LK#*22`eDSGu_3#Y2&pO^&WWV9J04eD}c zq;codiQW_!vToS+clzNc>p5QYg>*}lI7EKk6TjKIr0z?P$#sSL28 z(AenVF`Be$^@K1AL9+lqT<6wA8VYJ-C0kbmC|D6z6LDdHh4$#F5($X}W^?Jv zz58L^k5BHS#xsQgk54#z9R!mO3{eM$atF(!J9<*AR7hHyiQRK$Ii1iCz*Ej+POTAp z_oZ4+a38<-uP`gs!cS0wRT4_@uC(nd6Qi1u4l$%x z@Zn;wBe(CZYpD$9sH8Ht{5_A!^8;WjQIJYb;A|=9TE`Z%?`yeIYUm zix2pErx$?`&U9i_`N3fTx{0~l8W4?r;{ePv^Y9&Uh(o|zYPF90i|FSSIjO}HfrvbE zaos3ql8BA_K9g>aA6h3$4VRQ{!Ic-tfLH%G@nk&Jj0`gcVMboFCpe5yboME~weT+z zbD?68N@ba>ROauw2POvw)=i@tj;Q6X;!Q>)F%~F~LMSfGrf&8QJ;SDRRe)3QYlx0zgYRz=&j z{>XCEDeg0~{buihXLtQ0*7(#^tlxp;{KXQ*>S;d45q=uBs<@A;wOz+qP{m-9A}V@ji1&(CuB}e9Si6ZLei8gofic7%dJ>t%nAaslC3O`S0f?FJ zQ`-qdFOzAvDD>a6%uq`7(5xp(#p6h4poLz_wMY$m@lZ_r2(F-c4JwX-p)LW(rTN|m zk=5P}>Ry2i>(#F4PJdL*;3RG}A4Fv`*6yWso-6f-ce!<`x@jyNd$5E#9eosH$-F0) zJ(~q+$=Y8K;K)zLCv+sL4+I5AV^D{WY1Z9rC6?-l&QEFV@=%<0mM09nuWWwLseUVNFXgLYh$3>!5X$Ob8_kHywQ93+Pq($e3}SzFc9oRWTa5XkFIYC_ zj*h>S?f*!k5CWABnjG?1`VAQ@PTLpMjd8KD8#T)Y09%_J9&VLJY2>67C{Zo<>FU{Q zBpS+b_{hHKVGrJX-T1E+j%>2niM@KI#E(WbH>!n6V5mu_IFJHd6E;lEf z2&7+3o&6!AI=JOHmLq3rUxW=k8J*O95ZnLWSGW5aiX4YRACB{Y(F0%_Gc+ja0p_`_FWK5c5nJ}C<1iJWOn$j(kV zACw^QeFPTv)r?+uz6TG}kzhbF;I!&qZt7+uK1)3y|PG60g7krrqZN zJRDdk@vOyR-6-f#5{JGc-@(o?-?(Z;O2^|d(R3=*Ufrk)N~UaBijY8rkl;91KIz{w z%s{t*wN$AhB-SP_P@$VNwq(q~3zIFsaVp({U1YfqRM_6`o@CSdeQ*N(fH4;^-5!caDcg@4J4`C2q)baV|n}b!2WW%6= zuDCsol#qRe>X2VWHRa_?S8neM3kxeNE6d;RGW7P|m`%tT_JNl>&iSDaF4cS??UI6# zV&`9#6%%(`er+gmxLHwk-ZL&^K?k3M`6`}y9+Cp0ycsco!Xo>kr-o=NWT)HnE2^Vz zn2D6$eMmAm_&o@bMU12(ceo)RSJ?TVlJINW+Ton^PQV$JDucS1>ovB+xE&MSSG&46 zP4^}s)yiy6f1)MCd(;SivG{lXo*9aSt{A3s@7E|-b-q=hY{~73|4`&5pw~G7S^D4* zhT$Ake2pQ-YJb=Ff<;}YWJH(8Q-|UG#&98VbaVmZiTJy{ zTKh?w>zk8fM8`XI^*VoNN?Uj&HJ`|&#qdy7W@na=Dr~oaD5+a_8B8flwOWgMO7+qh zY@=9A<3az&2Q+|8?Oo$={xCADXZ9cOMhD_gLKu#xi&S}%OT5*X>Fn+8O?KH>Su@1m z+5v=f<^l8cS2?UBdrw>Qs$ok)=P*^`S0`g|*<=v~;GBjgdoWJViJ&sH6=MT8A!1Rd z=>QZM&oayKy{JWGm2k=x%AysRhY+*ta8KJ`c^fq&BB2t#qv8;yLnql?2~DY2Hg7#V z=tK)8es!84nzNbT`~&GKw;wRGYQo5 z9l?i>eB5{+nQfWEhFJRswfSra@AgYB>&nabF?&pTI1N_VQ+6R}YYoaFt)|yYhS6I! zmzP}QH~Z=dh>G$LF)_zJ@diTtHMQS2JOqD5zhgrxC<6Ay_RV+c&#cDJqqVsCBgVah z;VL$v7RW!}1^M4pu!n{B1qmFji+_LaMWb0&c{&Ey^nP;lMLIy^e2gbZAgP)1$R=Yi zzO~bO=)vDk@KBC(Sen_kN;{-{B}ndyy?OW72FBaNffBvU6a9+XE`T1-gX(!Il#~?5 zOv4HfM)^(E64I3U+2>-uYNM=7#BF`8$##s_&xLFQfQdT@igT3jpYqCB!m6Nv0S(5V zqsV6@0|qx#xq?v&rP& zPi!`G(a=6`)c%{$nl?S5(<^XIrcK2~3A?R`0$QO=w*xtguj1q7LU)zjC$PlZA{iW5^Qq-H4Kh9y^&AG(s{bx?&4w9fPmZKO56P8 z>dq(}N8m&>lJ+?TFI~R~YH#Gx^2w(Q&UHkAIrblEaeU_L=lJ;UM_JIv;xZ;W56;FR zgELb8xcpbJ;l-anEiUZVbb@46O*zIyP;MVA$rO?xx2j%e<_ZVHq213ahfG<<*v6zWQ;?hpgq26a!-bk z@Y+@eXsUyQgSK`uRTtaEJ)gy)jCPJac}N+9rw}WvvV6zG89mi0Wq2VaDIewR-gGfV zWa6`gFh<=tR##G<(uP<<4|qJD9@8^hrnLPJcE<7PJt4e0N{>}YvO zdtGQ7Y9LV2)<#PW@%CUm`4a;4u9xF{-YJx}dIUG+id)gtPeN>5pF;ip-M|h2k-<02 zQ_A-zH|#Ay4SQq$DVEsLBrclBYr5bQVz88`AC!$lW}P}na&~%nodjw!CDOMrb}s`h zE!|y_MTAxQ-nlX)1>VN+oi}%HzJP^V28af)Ac7CB;(S z7e#bQ$i>b1`$bJgl(`pDGVp?+Fq$gdK+X@m&bvz&g)*dq{5?HA{rp68-^7~IL?l4T zVwqX9_0f@~WAGw**atTp+$f4AL|-zMBS%$?v^TThDXA;+>*l5W6jXTXkt^~cxjUna zYeL8)W2%H)@Hy{JtIxk92fs(pV5r{u0_8Q)XFtCSpJZsWBN5iI>6eHqu8ebLH!B@B zHcOLV2~@>gCT=3am=8!*=rMVDB&@$Sh~3uB{M3-%l!NI>9d0K52xVX7aMXc z8Zi?c>O?3&1h&|Wq#X6YLB{RwIej5L3nH8hlNS_$KSffW;l7YHG+Y2>5yiiV8nX^e zIt$Eitpxqm*H>4MqFaC2csn6`Jd0{?Z^))Rq;90MgcBNJzGQ3}r5{VlrWl%GG~gN~ z1j#R)DdvA&x%4*Vbw%E$O59UP^AT?F{+qL-`J~$Tp)}2bz`z(~ISL*E2u6uF$xwu< ziJvO11d^0&X0d??_2rQU>uX%NrkFsAFp75$Js<7Hnt_;u01fJ!lBpVe8yrDWkW()zP|m!er*td)b48#zhldWMYzX?tgXvfIfyQ9laZ2!CT1j# zh1c@oHwrX_T#0BCDE;&^Lq4>wWeTxxsY3_(+C6ZuVvkr#O0(=g$e}e`HGVmd)z%S-Ky6c!>56q)LDMScc~8GR#613;6i>JPFvjl)PY2(Uf6O z-q;T!UBom=LXV`N*SipSQ&KB>cQ-FwIypU!F4VzIk_d%{U9B>{KmkBHDQq%f=TxDR z>x^X4g<0)=R5ou?ghJ^N0)mg9L_7BUjS$F)=!B`##=u9T>hd(hx z5*pB3#$ajJdRL7>HnSgb_WXQ07)gzK$!L@Mx`GLOIlJgMB+#q>(IOvyxR{r`9gTPN z8w^vGRa+$o>5IJ#84?qO8`p=J}Kf}Yu6Iv=TwPMf*9FL2Kzc#!GS4xtyvsrrV zwIULIom}mMfhslXRgaji=r$KkCNgE4V-(J4fjg@Z%cF#~^RAB6TKN zBOAF+T_htGBgsDHF0!kt?0zphC8Uxig=!H;;YJ6<_oT5 zp1T^;%j-`hLE$$k0`80TwiFZ;pz@t++adQUE33n9JQD=|qUn`t6KSPEA1FCHJHB1( zC;)pn?HOPZ;c#)ca#%@<0J7{97oq#gE;o->9X5TUpzyFTr61CWg^GV9`R>0j&|IL? zuEs*oyapkk+b1B17h*;YwrcUE8nwE*B_C?wqHTka=Wl&3Za=f;d=q_M6}rcjZ^&>k zhArCZklfU7S?k`X;C?Opnh zh?IUG@cM$V^b}c7&)(>iQpthj)}XA-EouQCy7!$IvX+X|1xlN0gM-G5#SQrd1ENKc zyH($O`%j;Z7tt5lC36@UUzwZ4Q_R9W`#b}lug2phQ!{ONFktNQ;txsC_o(>#V`_5w@e3TqMmIv)SP-p3^k6v0@ z0x`=Kz+`m=rn#-b7iVWlK#-=x*Ih(JB)q3K@Y3sJ6ROqYZrlz9wuV2E{RW5Y;K0UD znc(5XH2nojHUuQ(FTS?nRsR0%^0OQ!a(J>e1W;oTfxRa560y1rz@y-|0d!htvlgAq3`{<-})QJJEDGWO|pgsNoGM+@Fo zreBXbVhcA8-MIc)Y7Hi}v{M+BQu9&A?NDI-ez|vl<@4~z;Z&TBIS6m6@extf_sy2+ zf~$Xsb$=1)vxBppV;)s937O*N8#to2z;Hj;D%3tNAO&E4Ei=L{n9A4)UL%@W`#zW%&5 zNDkf$SbYTbSa=_~?w6bwc#~giQ;z;i(?!ysvZ%2-J7wP9UBCXo2XFEWuZ~!PD`I!U8lUXl%4;`aa-sto= zBSAw>P7X*5WMm%Nu?r<8C4nso3puWiil8Leoy*ET%18))>HUSW26znaldkJSN9tGl zE-WO9AH(=f+6dEVW8RcT)2oP)=gLm8@uRi~ILt`cR9%Ww`twO{GRC#W@JKag1<|5) zg02_6O8XHf=^DMu7|1)pDc_o1XF(RebAN}MOY9gW|vP2PeE`n|=ECJ+Vz zdB(y*CKPJ#I^cYCv0?w_a_HYw#!vDVB(hi>(1?HMP0j*xgw_8A;i>#!dfC9u(E!6; zY;|BAMZapoM5M0msas}ubV79>M@_tFWD%GNmZAtlKkFxE-*u#W&tOfGNxC9yoS84F zIh9Sj`<_F{+nq&Tfo&X(bFHc3>bS#+hL!8JeqSSP*hIG2DEjw~CCfuLEKcg*f2NC` zc=vvbRZ+?U;sZos@?rW9Al|Czx$PKSAM#NHNjtURw zW96Om=*Ww4@M8Gi^ZR);q|W!yzts{2vWVv2i}78xNNp5P*G>H$X&1z@`$0QZF(z>p z>}36eMaXMYi`s7UG^s0PyUBNy8_&wD8pmm+PrD&J7PMKhkb^>0}6BK*mz4%{}or(0k-=gCTr>eKhbz+zT0x$e?d) zJBxG9#JUbRD{QDSZYr;>B@m+k`SgRDu0teJ-_-Qxd^eZMz$9xc<1Tktw%UYA)uz;n zLNJ2PZ*Ht&^ZQrOKR(#{FUL$|3lsyy#Vj)t0RCiF%lMd^>$aL+3d$rf7S+i=FxD z!DUJW&~Pkth1`P{EFfH1=;+>?pT+;0{zZ0qxxY_Hy88VK6fgF*AkrM0I3`qxOWWb( z*42&s8 zP{Vw8)baA{jkWEq|I9HpPfa--E&u&*#|$y4=nBKj1yzNWxI;{5$~u7}>gK-trlJjgpX5nZrQ>sg>-ny84?Cjc%&gA z4fGDZfOL&U$M}_rr6ccfcs4i4YBa^ z*S{DKUm@QQS+>Q{ADft9-fdDqeN?oQDv!=$rZZkRTUjwhH>YL#0XjTno^ZS`uAn?Z zE9zkhTp&ANo<>DP{JeCbQK#Elw@!KmZOgC5B;P!!sHTeg?yRg~lL+YI%8D2A|MusC zf9a5-(?a`WKW>+wY-wAUV0l(8NF?fY2&K(E;KSyg-$Nd9b84iQkSFwqOMm?;+X0_ncQ9P^c3x6^ z3I6nHW$cexL42%Z6T!*SlixklhQ=~7GCinCX?zh-sVcz-;YeSwC95TjvbVD%LMP)f zUm5#;a!LG@viNJ_hX>?Zfsc*fxR?e;k;=$`IQa!W6;fYsTNbrvd;~8D;UMX85X@i^ z3SaZ={u=nf_^7j@Fwt$M9U0pvT~stO`nei!kRrrte8vL=m<5xf>cL`mw~GqNF_AvQ zx}_5HVj5grUS3}EH4Q%)nb0pg{7(OmGz(&_i0s2>sWg85_R>o(9A(C6{i#lf>v z^LP`^oS#GmTQLuvF!NKi3~x%<+L$-wk_k3ow_A$j>B_+RN*!%UB>TN6&VO%GI%}v& zN2IL2`C=drDKhtAsFgy|ZPly8hoiZgd&Dk|frOVjFV`VH3e!*spOXyMC$=G~pg5ft zTb3A-biSu;WWF}{k?HP85Fg~nfL+9REV9;ssq8>yt!;JYvP14b$StIamYbpX{T+mSTvam(`lso-(=SVK zGUn>)3c#-Z&;N7-YYfOeDatT>{0fze!tG(i!o&m-^drI#<$o;8XoIzAF>zmFN}1L( zIo{nYEEqBjxazK#_@;kig$X{QVC0b%s!;Z>-KKS3pX$M3?yTpl5Z|{vc{dS>z^qJB zlb9I)d=rQgbxVBX;3#04P{1P+4x6}*qX{Z#em5}sSyxU@V{k)`ED zm5D_5kTfBTZIhXw25c*ouJZiZg~TpD8(6s7`|U`ZqnI@~B?DWx7wVMM?IV#p+iI~f z!{cLA)I`(MllU}{3-9&L)mS%f8M@{6*KCV*)=LjMk%Gk-Z5vNQaRcz6wG!U~QfWU! z#oynjVTRmy$HWj971iT1MzE!IuUThE4jKobM7doopbf&?lvD z!Wxj&UVBDCV*%ZW!=Z1E7Dz<$cda7m0*_PPyL}?2@=0l5N%g+)DfpyRTqwEyh{8cLR zc$P>_K`gp!9|$G`SI=ag^gYwsB97ba4};*V5l4?D!OOp60e4+G=Fe2D8S- zb_Kb@v7a%yATki~@c|bgifRBv?uZK!} zp4_sok2(K7roJBFr77>C?F#*B9jDl@nuHu6GV-JL^1O4=4YdK6m7q##T^ zl%$#`b?)k!GP+Qgkmp!GFfh+{@ss06&3#F$;lIon?2!@28?@LDasnIp(-3h(5K;pb zG9bm#)zK06*`J+olIL`og$p?|)DbQ>J93v0`i-ZX>*P@06%gYw3p$(cPB;Y=%5h{1 z;X9M6;JQNb8Ra__UN-UgPi(b?hE6w>9EfPXO2&9%syTBU;B5XX_WozjeWs*DR7+`f zGfXB+_Hysu+}yY0;+sP*ID8~Y-(iQN{{B7f0j>tnhHGpTOv!VU@`|}w{WDSc3iWZ? zoQs-!5(htBwEVR^Ua^^X84u=86#<9AmFE&#`fI zA|k7-wZ8ek{xK1zBET4eQsm^!>6syx^e2~s^C752+@8BSfO7=r5fGV;i+{p$(Js@g znMI}UL&(U;GFK($q2qi>?5qSl{|?Qn6vK`?jx4RL`qh`^E5FTGDg$tc4>FT$h+gt{b~UBv4BPWIV!wT#%7+Oz_n zF=UtO#$j(;<5Bi6@W&ja7>~ojk;=f}2M7)UnTLe9IFS#jsnOFZs1f!=mdNDHOe*4F zVvbKAlP?K4w7z~-*8JgQ!Z{AdUq$<gx#BQH1g{cfJm zlAAL9__xdFwQpJgrD4qgZ4ExHa>fl}DT#_*Z!hBH7|Rl^;~C?0ErJ9* z^V`)Z&RV`O9=us|cXr+r@Ww>)z5S3Fr8BZe!LlBW z5$3JM0ZZZab@K>b>m;LqEo!#k2wOM8Y7jI2zDXX~n6$pdatR4KQ5#j+Fvlw&5 ziOZ`iB0r#H8-&<2I8mcY3zP_n@kn4dXL1p7F{0I4 z+pqQa?YMzfgT&k0CF7#rc64P09Pv66yuN)RW_fgbD=?XtH;JQ`$3Ky4CK~YWqFDXf z;aSw)2ZH$f<&z*Z7TkX5DYlqPFhgUhZE*sTL=MV*>V<2J2FR<)oKKKEmq4BK9oT?PaZMKr6 zb4A?Ee^{-}RpdoS(M*m^y;-|B+1$aSS#j9BQz_!-;i&;iQ1Nsf9i2yLXaWKPyKi-W z5x1bJBaB&$b@q*z|!WWK;G5(|OhY``vO`_tPcejJo zw>LNDoq>xZFau*_kZMwiLLU(U3q0@xkUo3H&pRxQNo2y$&!5I)VGfKWG&F9`&SMYk z#(lDGdT=hpuKw z+gi{bg1G=O<;TaPK!TM(TZ-b<^wLT6$wpS%t!ckZQLW^fTcpU-DJO^0WTu`2`#M z?6|aHuWK9o<0+hyY_ED5CdbFCd=LkQ6eI9^7F&D!x1TRCot>QgD2a%?VklCl_L&gN z4Ok{Ee_KMK@z!n^lTvc`cj{^@en^U%%{O)HI8O#V9oc^WMo$8C%-NqX#B$RUi=d*p z=?0bzFUJx*wcgFmO&lDYJ(;z&HN;m5F%;dRt%e4kMOvVE;DraM6^-Kw7Rg?In~@tI z|F*IkAn=Ct0}}Jk6k1)Khes*+PZ#R?de`ozBcD`d&1Z>R{9@^ey1k~*+*{3p(qEm1 zrl(&7EBVl&Hn$W$>+l@<@_Z@(br|xRm`{{EG}H%C@Q}Y63}BIA{e^~V|FXA*$O$<6 z-R8Na=r+b>(hL|xCgAgv&c{T-L!bYA%xhSpX(FK6_v#?yM@71s4$-4WnK^#f{59n& zUk(SKTFKej-CK8=TIRP;i{6D6|0PP6{qjB({ljaUtVjBUGOEAus?zjc#p;+pin}OP zCUgGo<0*vE;Ui6uBe%Xsk+FdszyeL9bmMv806A*w_RF zX2!=|fvM|1mbRrOTJ?HMK$H0P?-SKlF0O=b$ka2vXfHGdM#ll1k4JS44ZhxuZEaAf z(PD!ipHLA8@+q#CC7oFt!=H9OoFh$%1cLWJ5g?+Y~4Pj(MGT*QpQf9_DR zMo`fxECh}az;JyZ8HpX*$`SRHMMbM*V1;yKVNX2?9;F&C>4E>68MCs?%5uE*XXpC7 zW{VKuE1Mj9tMwQ%&5k+)wMhW*#mD1vaBvibe{@=2GE7THCx7~MY8c!3L3COgC*fFH zq5lAcZa-Di#=rKr{RBbM(4R5z{gRTBVq-@}NBIQ=OxnF24GhTkS#E7;ypL!;h7?vG zy2~5HKJ#!_P|!AgPC=EL{=-^8z@3L@5K=aI&8TdEAjTdZhz!1cy%dT-X?_;Sj^!p6 zt4k9Ix+)8W-t&mRMXDJZlB=D9*1zZD3y@&|cc*hCw7k#<39gs8cv?wG17u-s;#iwhuuO=t&01;+P$bX$m@KP(RyDDYg`L!s>GORh_ek16`c z#^Uba2rBA-XQ&1jcOE7FKfgb&-e6L<&)*1qX=+Iw@GqeF)}iW z#-^n`YvkhM0_o@v3eB~(c%`O;-}96chV|$-y$aKtSoIFX!O{8INu7&RN>VUM4GiWi;>LONckC(( z^AEo}h!x+bDzo%M(}uxGL9w1`c^$t)DK}TpKG8cK ze3&r2vgZ~oL}wU3Lv}u&paEHU4;&;}b5hhsGer1xQnMjK;+eMMW&HdL9V5Rc#{f42 z+=RNXUkioY1xBJZc0(@8*F#vU9p$D)go-?)Lx`s7QKqIDv7h$%ro{7IUi2knkH(lP zkR>J#6TLx#XQemtw>FXEFl0M9BO@a*5$`FEkPDY-LtY*&UMR)TaXAkfN{>xR}vO}p_`iy z=Wc>0jlI3HAJsY#a#H`T)FB~Vy}Ah+*(Nq0llS+31qOo(A%p#I;V@!)@2f_^BYQ$> z#@-Ks@`6u)JYd4<%%wq!iy3L+!=k?^&ObA*K9PMD?bFcQ-1+WLChNBgl;1aln)%~P z-RS6{L8~q&=aF&s;LcDe&c9`MZxk~w#>pj4hKMz$>Q#f?)F-yo;QHze5pfkZwo22j z(sb5`$r5gr4ZQPd$~9Ka)6)x%=*PJsx#j^0*Bg}lN#s!&Agen29?AfU$Ig%+-4N3D zkb5bHp~1moV4?2qee?g-%Mk&eZ*Er7)*gJBSs5Rrb#*J})wVe#noKb{f& zCFp#0!@cu_nbJD9LxPk{Rp6)Yn_Oddj=lrWKP9q0MB|M9bS`-;R~&bdk=lU}pLg{& z-`$Z$#?w_MoIhB#{r#^1Ed`9#bk#6q9? z_{6^(KJuW-FBIqPc3^>WFO%JfiB07z6hewP(yBACawMW3q-826D@Gu~mpf$yl_TV$ zW(eXK`+~5tIW#p5?VFi{gK^KEJ5E5TN4p_&;=wn5-rkMT(OCLm#dHFV1;9Z9kJQXh zZD2v~jC|FgMp!U7e_!{z`y{2cII@*x2%7C}Vd+W<7XJvQ^yLT;`#Ie63-o zGMaL^tR`<(DLIE+ulT>MB-pQ9iFDD4m*5jFy8Fd)_D!XK7X_M7AL8OHLUwZ&78`tn z?%H54wO-hozkEPSn*90m=19BsfBs{u=Lr!h@A97>Nr97+VK2F$nwlEOdLgZ3ME%(j zePIT#wUw}78MW4nv;El7g$W;J_LZ`pbZuBQ3yB7oUe2cD!LTqer_a|p;HVgEPtjC| z%$27vxrIIliQfJGZJ0c?$ivU8X%YETO;r_G!<+X2cyHdBt)mH4_yOmCuHE0A>GjWv zZm?3QF3E+4=Jxc2XlfvHVIzD;!-U`CFZClzK0(25<#2KN?e2aQR5@8&8zEL+LPK9` z!A#WPKSBhS-WO~)&u?1gm6e}7k<{Ro|X9tf-f{r&Q8NoO#Y^5fds?zJLSCW7yi(sAzNx#O(ETVTk+ z*2meRjniHqtA`~csj_9>WAJKjoX0?A>odf7*=^L`7V2W-ta^9nLTzJfnH!9AoeA)y zzmL(wz^PM7L1AZm+u^J<0N|z@8-qnf<3N>19kVYoy z1;43846qOfzrK=kd@|Co_2+EFcmwWbHQX^>ceu=?5qw^A}1$kA$W9tI92{y&*-sK z0&LL0qDV4e*a6o`PftECsQC2t<`x?y8xD6E#NGe%g5)6v#sbYCgr2(MvwDQ~_@w>F z0oyAU@Gi4cU0R4i>a;@hjdf?rN;K4OVC~kqvLMaE#mHCykOE#_t=YFfyF;H+33rbS zSPzMfPEO(j4%)>fyQIHIEfZQ+hG6*VQLg{;LD2C-XXUzRC;XhmM5o&i6ELhCDfQ%J z>}Rj7wYsh@wOu%2u;d)-3UB)fJy9(=@G5v}*6Oj*6OJ|LL6A!Jj*O}XaiqqIhMG0- z^=;|s2=MSYCg#J3VLvu&0=oCGub zqEDp%on~OgY3ZFxpN(NKk8;FqVX);C2vJ zGZ6EL4MV6xczQpKfz&xxKAs6%U!l$!SBI2cvxm&B{1H22>HB;*=J&<>TkfB5`UwF81y_tEwWKEg$aeoJh38u;kFZ_XxuKX|3ENp@f8uZ&{?}zn-p)mNRkv zp$@VX?#>{iq3HzDFre$Hz)%XXlEFik$51R+4c9 z;-huTOVZVO3FMz;CEyGPFGcroyH9%*gekW}@(c{B!IR?&KGMT;d%?=@^Aesuoc>Fi z#Y}~b2si6cJUPKOc5>3`jKucw_@WSpZSug9K93cnIR9TV6s*4{5x(%y1aiLo%1T@= zW!hY7o7_8L4}ju|c+OW&n!_*3I?XpQM;l+Lil6`XJUMOT7y9-otKzC$IuQyKjvbNa zQ>Y(8_Avr^E%r*`;u~o8-_;`mS zbIgkmA97hv-_YPr(m<5Q(j3qCqbC*pDser3BbPWe?hubET z8<5KdFAZ!F;KhCNBo1`UQG$`CI1^2cjc}rFG$VkLfw~N(l|9A*28u@7&Z5HvRx6ty zQm%~MuELZ#p$U;R%9)z(UGLwHcwB)VNl8VA*ox|Lc4iYuRZ(4JbL#Oq7+%Z$rp^9> zgJZe?>wpmjOkDBQRTUL8Yr9qwdkBPjY(-nN(sXI znPMY+s&^Z`7<0eCYQ!ulX{L!Z>k6iBY6iN8X)g1Y5dnOK3~(y|y{U@Is0%)RYB@Ws zhUbYuk0^?JBIo*ie}8Z&35EKb9m>~)=>iE_^1;o^Eq^xL^z?>?lW+xo>3WZc9(Qf@nHXuQdBgkJxPKL#4h2Cz_S^&t6_6)%^o?U)zaor89_7)fV=r`&GBJyfuL6^$TFDBpj8$ zTl^LvviT$|A{z|90e*=vA}}g&YH5iwg-SXYg2%wX(3yG^j|I>_OHVx>KMY}1iTvp)7$AK8+tu~AQ-Fke-ULDE3?{6k z1VGW&^53gL)wBTZAD?clGLtdjY!roMG?zG>o!&_E>iB7ip!W9-FX+!WIZr8RAMO7x zOlJJ&6=feJscI+}y}xsRBi7VNAa0B;OB?VPoeg$*U|m%;yP&MEpQ*nz0I9n;{wnu7 zyXJJ&*J$QT-q)O~{^gG5uRSSylJtYyPwVv5XTyxWHv8{^5b)#C@mDRG=*-xT&Aa|!I&3N#rmR| zfG}yTr$1#CGgX+o25;D~B{=#~4F(h`Sjx=-ir0q%Ub5F3NS`V2# zeeX(XV=m2KQ(w<7BqRhzV3n7Du#l17JvfNVq%^AZwYGAnfzZXHNz!8ya1|FXl(_5a zinv3Y!Va;-e7tje{%W|v>Gz>oyKfR7 znwpS^{X?;LoQsQP(G)8xDu!z^P7hGL&_Xd5mIU5Dn~$R1Olr9T__%|QgkDr;QZ^d~ zQt-YGF8aW0jd<&I)jxJ{oJj3`FO?-`Ph%cBviAmap}}t?CI0l3AfGp1+R<{YE9T`a z;937XY}u|XD|<{*R#i1nmmE8?n4O&;M`pmlgT3`7SSEUam5gR0UwaC`u?b<1i68L) zr)jyl@GN!MpDk;Bfx;P{Fg){M^v5`@E* z5*7JA%b+nD_5OVbGGmdk_{J^o3=JTV?n+}u$4rqld>jlu=2owS;<02U-Zay3hDKNZ`0W^{S0PAC~X9v z4L0#vqvL)~*Jad~1RA+sA5W{^_sw(fQhz>=NHCJA5FH#=F8+S0L|bN0gME8_WTrg` z!`gUA0|pyi;~@ITI5@27!wnVX>1=pIBDPDl%?iFrB3A*33Jg)QVK=SVW~Qd!mpA4? z1VoGSZ#$0B#8fi9A{9zpyq`gPG4crkOg2wR5dH1Zc;y7~twOpc&D8T?5=s>YLRF=3 z!~q%dE4!wK1{egSj20%t8xU~a-Q5k6?M^PkjpXfex&8!x7xYSu`2=F~^XtV$3M?#g zItBg=GKM%iJ9P_Ncf6t~S|(AS^1m0#IF{tiw2Ua*zbAFBdt!Pc=#~#Y#=N?)4t(BC zD~+(4crJt*+D`WW#GF);opWOLDJM^=Xe=E@aQTyoj`&X5Rry7^LJ(9;mBRyh7wB=r z=*V8&e0Fxmhlhvy{TnZwU;!(s%O5h2~tE-ox$@q&Nu_t{5mcQ68v zhlhje1EgraaJp1edNn5}$YhVq!o*wfms19vLY-kY4EAelt6)_(E))t7A~^wod{@lN z=vYYijaMBWniaApe~kYaW%zVsCz58i`+Kb%`@r*Nw^ea4CJB^=r!t+`+*;hgVZ-_9 zXO{s`DQ1{`=;}&9_5I-L-w#%5obLA&0_2XLa8ndnj13ojaBL5khg7&-XwN{pwGEo; z7+z7WM0F!$sne8e(rIdyV!0OaxU#=rO)qYC-zjaDz&X$(@OgZa3dvUzqUnDO@JCi- z7U*9$p9OA5K4m>z*ZlP>P+2n{)AyXCt?kING3-%!sa7r|cz6Q)xhPx`7?jKOz`E$f z>g^IEO4KfyfROuI>s@xSP{*GyV!w93z(e+h{Xj6js~5>yD7^fXqe|FCnUX~z(uK3> z5xN11!0cempJGQC-o^{Cw&VIV^0_}QVs)&n3^$~QZ5E9c`myl|n2-*uxI~$b?)Wg*hGXnA8kN1~(_dC$_U1+m07Ws49nEp%y7;L&`oi_7aS12i;3%q*A z$GNzh0}QEH8yhoZJh}Q>$2XNme;66=RDn%R zYuAA*TEoiEp4ukr^;hU#blEGZ$amLgznq2lsNx%$p+wYG!YlFiUVVufCVv(^SH9jr!1$qF-`hwd;GkCm=EK#SrG~HmH*KJ_v=kVk zb&P)!nly8&s{WVN@`3Cg*F8yiKkZ%DMK~Mwb6g~{XxbD$Rad}iUMUmZZ;ejJRQR=1 zN>~6jmYLawPrVdo%rNsY+r{mRB*~+{-9=O{w+I=%U#$o|JdC31?`IUq)ru4grxHH7 z7{1}7neTZa(8el_%~6nJyerhrGqoNiXEX9Wq3zY_X2KD?|%`%7s}UI=chq#R>} zDI{SX6@0Sn-h>8*LBzrBAZX35jGUP-G?ZQd65{jc+t?nZ#Jx?|W0Pz+o1tLM+ue12 zl#{bFnDX&zhLelSb+L5<40y@D1?A0qYf=))25`PS?aP%6Xm*?#EQ2mY1{@}DZZai* zla59Py$Itgdq;arriy|HIXdSC<*IMukD~^E&6I#f_1K?7&{csv#>dxGQ|nhbKU%+M zsfy!(?otQ8Ikm*AyeX*e;zh`*KsD z;&{o-cXg=4x8F#cXB_)*Z?(Rlfx?|~3x|i1%#-B*|P_G$Fq}*@7qJc|o@paumN5|{VzEhku zm;zq@b!#ZVg-ko{aw3Zi{^baE=ev*Vl^%m;2!Jgy?A7#CV+7m(RT}=SL%90`%G>+$ zQt^F^B@c7~*SpdI0-QCj09wGhFfKJU6&M^gThEYFQ~wGD*3ZD27)bUi%gfuXwKu6> z8+ywU`z5_k*$~HEFWU`T18k42{QMPJV=)zjYVMKGi4um+1dLah&&?ZABrKlm<(L+i zo~vXI%bcC38*LCEH#7@#9jeBOvR@ARe@>FZI66AYH-b#iySWbZnt^c+V34o^f;`8D z+(4QeNNdW<%GMn7e^*%dQ9gX@g-gh0>blZQO>(Cs%O@lM>K75Nxwq$fRg~kU6ccNM zb&OxPyDRwSJYAs6blzP{6;iI>AhF>M^Col?r|;k9e(snp@fpd1lWwxHOlIAGrS$K# zcS2&a)UKd@M}7T(di(C%YlD6IZ_l{EhK3>!yYR?4Sls(gw^IM(WG#1`_03Yc^CV27 zSUL{0#F&dEE7#2*XG!PYFym8WkCMLp7G_G2&EDS&3q*4AEdIw#xxKCP2pEklc|n~% z$NmO!>FIy~aMi1_k-3R}&MKNbEnX*u&(C(b(JIGp(SaDaxgBk66oTgY!h02oUh5oR zpXQU2g8KUM%gZNTB1B?xdC{=&(sSbLHwSUDbFr{1p6^QV=JADei;txP&4bhbH4Hw! zwCyK_XzAx8*&S(&j$bc^<1eP9;j%mDjZwlXv=`W=LEW6~=l*p91-tp|qO~2-8?b5t zfU2CJ{nNgm4YtXi^b1f1?IW-E3~XtHpSHQb@E{Y-#z=K~MPgHlxE9?UZ0UR%5HB5! zu=b*ki4pRKc_iUcy7hr5ObK%4C7{1LdbiiCse`f0_M-pcR z9njH=XeNo(U;^7LLqw2v4^^u5W-yH6wr3g0`ffkBa#^etgGMkT)HBrPuYO^OeIo^w zOHM|M&%L8Q*u>}$8anzc;Ai{H-1?!n621!ap+F@ukaqM9IQQ{L61g^kH;fuN+2%iQU0W5+6bO;6ug zVKp(E>-^(|(;)+yyBbO*Wq$v-c6^*g0FL7^i_i7-)^)`phsHg{VMU{J`s@3sDh2gE zB3T)fUqywS*4YXV7VXnOIQ=P(QB@`TX3X7*SZh(kEFWL{h#Pfm^tYN;V{w;Dqx1Gi zEOH;TK+f|pDlamiDosy!xYAO)A}E|OjBv6-Q?1*Ed^gzM(J_B>6STUT512y++lC?9 z8b*X7zPv{znX{otdZH*nxtM~`R`mVNKCXEo=1v4GKTwWsK;n`Yrq(!BcHu=53 z-m7%#wK(ED>5Xd{CBu=pvm=h?*BXF|yMFy^*{H!f7Ikk_$f-IeJB@cbsS!&hnXSGDO|3`W<(`^{%&`vKB0o^NlV19AY ze+2eb&uGqE`c1{CAi0)>MQNp^J%*yng~wa@iadg~;m0VmkCi$dLDw z5U*`dA8EL_AJXN5^ABLl;q3FaE9xa;W-;F%*OApr&`@O>c&`<& zQ0sKVNjl-zuU~)@1bz2AHVI+NYC$}ZVbYFAu9?QUFh#Ie1vt%U4+PddNCgTA2)61O z!5gs<)w+N8EZy_|(C-et;(ZSHC@@E8F2b9(kUkQUb+PL&uneMsbt{e^88W{4Ymn@*!4o(&S0v3zjNqL?SUlnMNDo zheXNgLoK{=bK!-=-Y<^=v8dYTx_4Zr_0}0YWrkqKS7@3Zl=a9(&QU>(MzQmbYn@=~ zDMYoYT`y2udWA`yO;Vs^WI%5aKLm=vT!}MwOKd79`o>{(xogc{-s|Dd-X6+irq;{N z9Lnvv6LQAV!|RG|?kf22PWr%$Lc7t?-PjS5A6%AC%a`VuAu7Hl9lqpNTu?Unxwqex z7zwp%HUlJPo|6z2>t(Pj>}oxV&H^FA1clgnTcPt)xC$tYja`|po5ov7bg6B2{({{B z8wR|xUw=R6w(T?KYQq$|Ymzt@EQ_=W3@-Vy3NFk#>2hcq!5|;TTzDj>P-SqI8Es3y z2XmyDk_%x-tk1$dAN_VHyP(N|HzrnNH~Yo)$SXO-lFURwvjKRn~2*g`AD1@wxH;?NEEoZ%2hID}GC)C0Dg0(8u!AE`IvmP5-{G9OpNMnY=E5=e)xg&A}F@8WQJyZkAANHR$ zm-xTDjfmYRUlH38LHp2CkC8?lbN)BQkJntJbffO_#9#q>=yZ{3J51zof|yw{JYujO zT|)IwRHiHG3R2Wv)qO>h zvZSjnbPpH`{WZ2dIE1@q4M|Q-EiEl2;d3<~ioyd#zOf%p&EJ5y?BF0E>JE#F0(uwr z3l-l!T%Wb}=jI6K`t@`P^x{+-2v`qBM8pcawjcrNg&>K&hXb1$>Wd|oZu`l2-Qxv$ zQ`4HQ-%jWdz`4k63n>{?vGoo zELlKI(>=VF1aY8jTeedVD?_|EVs|=~J*FezPc`_3@3U3|0t&&;zenKz?$XNlCZ~A< zyKSR&pYwW_E@z_-#Xl>NN2_aSKq7#|Y2|z9T)k>sCO4i(;q2I$cE9?<0`@h(`kgx~ zX_1d-Fmo2%w$d5D7&IwH5~@JANqwmE*mk*Fkk<;SAcQ;=u5W_UMZ#X zTO@vAh*y-AHCYEg2aiP7jMlq!-&qouJGYq5M$~k03wKEhLFyq;Fy<^p+&9^f3_%=2 zZ;tb%_IiUm%0|`YU&;%fB7#P4;Ar}BD@wNc($8m9SK|w)autE)^ ze(Uu4eXV{QI|W7m-}a_=aQfpJU0KXRB^8&)be`DF&%aZGL4*V%>q*LUfnwlE?r@2j z8FVfaRD$TtK+uO+%B7~ta<&fl6K!!}wQ-gaQz&p>i6Qy)2notmj zQ_>o}PT-K@rKRCd8J76-lit%FIeU9MCy1h|({V^|0?&2aFQ55FT{I=6XJ4Yube>E? zH$^3smVr!=Rnl~B+faW8@jAG0&arxLhoVY#g|QD?C_O$BKh&z4J~|4i#X2E_ z8z3%lArDx<4(x`>hMO^MhVATG3Y@AjAkcYDHNyY0iDcv*9{kIx{wNf}MTo#Y+E|){ zzR(0LClW+xX#vZ1q-HJfL;{Gk0BaGjyC?%z%edTJkt8LT74A83HZK}0iq$}d7|!5^ z^*=BJ7T$LIm-lAQ(GHDx^uG^UeqFNbV3@M-nqC)kMYY*j;NLE5-exC=e|m4ZPONC7 zr&WYi!yx=-wGO_v`vdtH{#*d8d7zRNmhteHZ%a&a%0BDY8H)j$axM56*(^(7s|bYg z!^1uF40Uy%4hmzjYqV#aE;b=dx&Ygvw0i{i>O2rMkii8hq-P-LjyyhE`D;!G+xKqc zed1{#zUmC=d@PZj7j1mk3t8ol9@O&ryV{O8pX)n&z@1?RyC4=_hfssk&6O6~huqV! z!lS5I5W5c66E~AaJ|q&oA?pm}x&Ak9QX!_PfC1v;<{rreS?pFi6i_%%-{b*bXeFYj z%)4DPwi#igI-cwAkr$fs()}8Hi5=;~aOATrONphutdl_2Hn-fPY<66X+-WXOKOE!{ zh^=n0sATusOGB@-d@Q#8yX83c;T+9oxsXp$!BQePzUf$CL5 zDfE`9Iqu@YT`1|h$VwdW;zGE6d3Wj-8F7Wp{Qvf0qs!0c@ZchA6lkOX>Btk{=xxYp zF<-WH{I^BWUvSeyRaH%mJUo0>;ve`~?e{_MoyFWMa9UFOUL=Go`S@XfccR0o(Ph;- zHkXb)we!ky-DgZ=FS(v#UY^GZVlbWD;h>Dnk~%mH=fiPM;%%4$@6t}NSJfk*C|RPL+?Qyka* z9**I|xvOwk-gGr+U89j4(i1`bHjjQdgs2C_X!FMZ-QBX4D@~ek?hJ832^;jW+&%$R zQ1W0fuW-N3?C--7`VEJ`01?%Cs#*bfmLUZ5P zS(p?TYpSt9n&!oZxHTUOs!u2rYOfq*!1=sl6_*AlFvISn4J22dO*oow-?4RSxhG^; z&!U25Wa1pxz(U#$Cc%IS3ugTNunlv`?f36)v?h=D{jOEB%8CZoE^|{D1=L{ZY(!Pe z2q#hLiHFc=Wc~=!SoR^!dEIJKsV_l4w)1A_8oRt~nZ9~y5NAM15QagEg$JWzGDTpi z&;>2x*!pUaMsajE-M2kw+8rHV6yNef=dn69TklaDKa3nCiI3(O(;P7ic$s{vf@Q!?X%Hw`1* z3SfHH#1u*nWrf$I^tty)6T`?8djMdR);4#=w9%Lb{LPm-tH_t(-TRer=`^nH$aFQy ze>@I`IyzI-<78GjSkq&Z5L(@#7pH^ijD`kA4v06{tYv(h$R{HT6sp_Jbj|!nVX?~P zoEsnJk<&5%lfZL@fdJ@6^%Pz&T7rr($W-a{1+BlMu1?DvI2OY8A549??n7jcowV{( zj5agWCWDAkteOwN4|mjk1s9r!8(3IeMv8-1I`x)V9kYNR5b^=quCBeX>t8 zrdOE)TJ-d*O_|UXEE%S}On7)#^XhUpiAu8))YM4|8AV|E@QQB6i{5J_VUB?ZnOx!7 z4v^qAJRHwPRg?KZUD#(w#Ft#`r{A~`wy4F$u8sCvpkLU%4|y9Uw$K1Xc4kJCxhTZU zTu^fuD>8vZQPAMeE_KPJ-cNDb{59r4zL1X>j+>Q?5JbB z)<(IuCq`stsd`Go(bR=-MI#Gc14W#y%*^M9o!AXUeB=m4TKYawTM1vt<;YwKtQJud z`1!%?a5~?{|EV~Y(_y86{Z)R>K}K8tx)${@)6Z>62yr~ufA(+pOM%i#WvY~U;ReI? z_cIMh=dC6`B(OM!sI#Ri#Dt0}9L&JH*lfQbXY_Z`R{rE)zCp%-U`G#^iAPiWex1oW zwbVE5GBAZ$q-Kpj2XfjjwY?B{eCj@J7|D4m817FxHKT5-mo*&e&Gpo>U=)iiG?s6s zn}aW0BMlo0i8<}S-yW$T&00gh^XeAIsv664DfX!_LY#;5&*c3}WbtQ0-U=DKY{QRq z#my$c84p&uZG&H|_5!Drc+`WGZ2oxyZd*b2j5HN?iwMY%q{YnmJ_Od9CJKC-+Yt(U z3$F;;Yj_M0XgNeGKZop=jpaa4GA&Oq&9e28+acjjjOl8KAel`pzP;yPaVoVxebEhg zd}3jHcctgoH*ZLN6nz2CNtr6kHEsgGr)FB4kwU+qf5v8?iZx2H7`TvxjR>DclMHhC zz2}2p1aQGx83IPfI5Ke{e|rAsT=<=SPb@yo=`KAKR=wE6!<{|W)|@f&%$Fi0Fp7{4 zgQorSJN9Ar9mXp>`6qwfts?CTG#-eL%z~ET;~%RWDk!CiBz%V1*GQHpC)weu;kLRq zZ8q7}@0mqo@_x>bu6V-w$b?}!;GW*7Z7GuP(Jf%*O0D65$J3T${e<|f&cTL!7S9|x zS`YW_@IJ#}y#1NvBWz$WNU4!S6Tva*Z)Cg0ble+) zO(UXnEjt8`8TV?isFKWi^oKJ{w5M|X9X2Piur7~fl{8?b#nb8v2KhbuSWU6r5CxOW z)?J22YYcSXWdl7%P?_Y11J0c=US#=8;brMQVq2KN9#7sltq{)s8 z6HaoQTEb}w{rB6t&uwLl&|-IAIqTwpF4^79)%ezh5|L<==X$tKY@yJ5hX|FnOXCj? zO)sHq1~;`JpvfX&x7AmbkkF;qLS0?2%WMB{J(7huDf9R*`0e$`(SzX4j-%jpCQ9E> zDvz8-IpunCPRQM-%Q$d%A5P>!#25T90xD@0T62xOIjwvaFO`I?l<_U+n>zA~pCB(y zGntu_#Id1otaIrd48J;F(}PRR+dRHG^d3N3n9TN;HwqxSX1RhB$9nD=IJ_CaU^kTj zZ-W)^L<|uoRMKoMAK!fZLZ+*AqlqfNP@sF9pBX7MaCCgqhZ1yLSo_jwRtU7P7jdwU zhaQiCakCo}i4y}&*fy=u@B z64Ff910lqMp<^#xL7=6j2A`HcDvYiH%gR}nX@7IHXmYDyw&RBdZzgy&Qoi=xC17Z= z9$VLJbm)|IV=)}*pG=Ffq4xbM%RcU11zG+3@pL`FXkzM>$M zsJ-&KTF0C$D*l;)b)XRpN(1}-;xq|rjX{iXxjU-t_w;`{^xjXibhj$_IgZ~tiIMI6 z6?`8$@DeXq8}9vjo94{pka6bhy`}iiTS_*kOUQAKYlvgSILayHb z-K!ik#XA;}^)=GlAzhoA=9zF|ntgS*jv}} zP>o1m_;tQpM#iX_@$I?$SqVlMZYLyGCC^?(WA(#U(#}TX=%2561|G?RuZ<}h8n_?D z>C67LO#S(K>Ecw!q*CXnVSKueTTe3H{?hXi9{T52MwBOlqFOr=-rW|FL{xK1f=|R{w8cc;#BlDbM;vy+m$NfH{6nbBk$}NvmwF=SH%SPsiV)lN)g?YIz&o zdUV&)2H6hbM+viREDC5F($2-&dpAxV68T|m)z*;k8{Iaw(BQHjZo?Exeg7wSA_-$< znUJX7U?3bq8_Q?f7wOLUlg`ecki+ zcu1GlA1bNRZKlLs*xj>evSzcLF9Sk<2g8|Bpn;8+jXSl^1jnX`IhJ-m#7&Sa`u%eP z{Dt1IzZIs_P?Juk?+{o9f4rtOOFaZ3qh**I_Fe7JAu%p?k<}<>AF;*y9V{~O@)44O z?cxsV-(Fu2=q*Xj)+0Q2e;vK=rhZmQw!j}IVf@O56*-n%#+J+`uE4}iRISJZ#>!Nk zlbndYg0{knLvGGOu&6^HR!JK8DXHkNmR>;Vw3lseO;n8fmvrp1S-^l)k{62y?fyQ; zjn{YoM^+}k<-1GF#^ZYGz3;}e@YH)B+U%|+Zy|^oie>6DEl?m>`k@1FGaM3L0H@QY zHj_>S0jpLFF?gz24yd#DF8nZTkQpZBQCY2mdAEgv4GkSHkd*s+@T`EE+ruu-4XeY2UZ8TAy`aX;ge9z%L|2%O zwIbOuf;Kse1NW~%5q9{#%JpHpE$Q+<)=1e$pZrEpWtE!4giu5y(Qb8}8ElCkAOv_k zHC!;^pWLTA z?<=y!kh2G#ZVTqn2qNoi@Tf2qQl^yxq5;6u3_z7_y#?u7bHyh16L!8_ zHR&vgdli6?kbo;oO{ct%(0>k52Jf&h^5%Fy=_*{`+J3duPODI9|C{QJx95X17WTwv zTUPf2m9gb?3%&Y)?yI8jE~sZEmj9r5fW!wn$%fod-8g(BAH~CgCRo#yG+OD{=JoLC z84FE0}gK{D&>5syELNBk+6)U|p$Y z=Ji%rf<9O&)``nBlI~wsto`}ZMqOidXP+tH%xnevYv9OSCMg>pO(pgvBGM_MY`+;| z!fLa5y}zL6eq=5phoP2%O65K(BCVK~n#zNq=!zUbDDZvbY)wf?bLw&3CxYn2o4}Sd zqA5BUS*&{^w|0>e|1^|zIOHQ$%~|mf@^npFap`yvY_$>H+u_{8b34*k%AnBL#h|P} z7Z<~8=k3walw+f02wwCM;3)u*T)<=+WW&JCIj^nJs1ag>)D4vZn3kgmtac71DQXd#Q!$K1>wuT8qvSFUFafxNZ7pen43! z^!-mjK%|EFq{H;;Dj|UMB_#9(t`t&3g*0O`pF#7FUV6Y4kNvU*x4;Ao%gqytq}~9O z+@GgxK1qy1%`IqF=~B74|MdNl6gaG4@fuRz}Cg<_YPxAb8(A7O)zC zmyFS>=|QuLj1aD@yyl(?6@^2xt*J)(@`V%k%bMa{{mH=$fRK^q;z7ZJ%g_QuDFD