From 2350545cde154b280b5160134ce5d465f9d3710e Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Fri, 29 Mar 2024 07:51:38 -0400 Subject: [PATCH] 1.0 RC --- .../GR8RAM.4205B.LCMXO2-Placement.pdf | Bin 184932 -> 183578 bytes .../GR8RAM.4205B.LCMXO2-Schematic.pdf | Bin 891646 -> 896158 bytes Hardware/LCMXO2/GR8RAM.kicad_pcb | 14363 ++++++++-------- Hardware/LCMXO2/gerber/GR8RAM-BOM.csv | 14 +- Hardware/LCMXO2/gerber/GR8RAM-B_Cu.gbl | 1940 ++- Hardware/LCMXO2/gerber/GR8RAM-B_Mask.gbs | 4 +- .../LCMXO2/gerber/GR8RAM-B_Silkscreen.gbo | 375 +- Hardware/LCMXO2/gerber/GR8RAM-Edge_Cuts.gm1 | 4 +- Hardware/LCMXO2/gerber/GR8RAM-F_Cu.gtl | 8364 +++++---- Hardware/LCMXO2/gerber/GR8RAM-F_Mask.gts | 724 +- Hardware/LCMXO2/gerber/GR8RAM-F_Paste.gtp | 16 +- .../LCMXO2/gerber/GR8RAM-F_Silkscreen.gto | 169 +- Hardware/LCMXO2/gerber/GR8RAM-In1_Cu.g2 | 584 +- Hardware/LCMXO2/gerber/GR8RAM-In2_Cu.g3 | 1713 +- Hardware/LCMXO2/gerber/GR8RAM-NET.xml | 216 +- Hardware/LCMXO2/gerber/GR8RAM-job.gbrjob | 2 +- .../LCMXO2/gerber/GR8RAM-top-pos.JUMPER.csv | 11 +- .../LCMXO2/gerber/GR8RAM-top-pos.VCORE.csv | 11 +- Hardware/LCMXO2/gerber/GR8RAM-top-pos.csv | 11 +- .../gerber/GR8RAM.4205B.LCMXO2-gerber.zip | Bin 635703 -> 637166 bytes Hardware/LCMXO2/gerber/GR8RAM.drl | 33 +- Makefile | 10 +- 22 files changed, 14425 insertions(+), 14139 deletions(-) diff --git a/Hardware/LCMXO2/Documentation/GR8RAM.4205B.LCMXO2-Placement.pdf b/Hardware/LCMXO2/Documentation/GR8RAM.4205B.LCMXO2-Placement.pdf index da603faae0f8b72dc9f41e7150b404ec19c953ab..ca39ba27c5715dd26a557770b99c8378fdb75e11 100644 GIT binary patch literal 183578 zcmYg%by$;c*tUfV4gm=X>2!cFI;133YOo=p;0BBa0g)J?#9%O5x}?YGmj2;rMu~K( zq@=Wf!29@qe|+z8?6?^__H57YIIr_Muk+&7SJM!NJd&i~eg7dah(er0l*0vSPx1IM zhlsYdv&~yu4hi5T0}c@lJIA-yZopqh#9M1MYfBd^YYs(43ir2e)(9sGuj!hMnYc$! z4KjAT!~Gt* zKKe6SZHZIttDi?1M#TAV+kRHS+3C-=znzDdm*$tt{cV4TPS}+$)}Nm-8$Mm`zdX;K zX;X;VWDB|6h-f24UeYw}u?I9?I$Wl?WRI7hJP&X0F87c*Dpc}2@eBYSXBL+;S?8ll z7g-|Nb>*_5B1-4cBD?*1mwTNS0SBX(XL~LeTz_YPm(P4AbfUK6E_bdG!u~#8oW4h-Q>!3`lX+A$o?M-JYhR_g|xUG9g` zaKraJ6GW@la%)#5*H6HCj*Cx0rL1Eu;nVfc&dj?5e9PZi7)HE+=YOwm`spFK^>J_F z>vsP6X;a&IQo!k!#pSOwGV}63{!SC^xq23(wg!igNDW;s%JNpL@x0iN5y7p2j*P5D zIAjJGiGTLUBD3Ndlc{!PNf|O3KYe>dz07Ukx%wuVlfPMQw0Yld1f%!D2KWt8Kf%yA z2!pVNOn7nWfo76t9%&ORBJrjrd{KY@lv3O`{$cPS=o$XU5+((|$4FR{QC^cdv5KDR zH@?IuNk5;k1z(SkaKB<)ihHp-1@8tej#}0edd*kYvgO0LAW(0n8&5X)T}T*k4cFY~ zu{yz!l%>4Y=R;sr`5gNQ+r1LrgLEdND;gh|@B%rc|9+Bgbk8OAG4N*}WfQ^*R9vnG zj{Ann(5O0aD$|9E{K~RZ!(0^WgtOv9Q4(+{57FB z7T-2&1eQ)Dy5V#;q5!OQ@e8VX|H%EPd8m!UW?&)2+0VeVK#BnBcDM~ zHv{fk^+f4ATb`zS@vFA=kKteD*~j*3U~BM>;j^!w_QJ9)UVN@sN97TYrNF8Y19OY{ zpca=OY-npn>_*8^qNcT|e2l%xreyVTFWG2(NKDScv@}zV$jKXr1*_rKrO{Hqc$Krb zq52~oK7s?AwvoCvjB{h*gE}f_&-p-hFO%s&Ec}MJ%!1b4q2{nH#f)@u?hzgtse|oJ z*+r|IJsXaE$+8fAE20?`Aoc}=Z`CBvl{HhVjzvxGbwI519 zoLlMb6&)&4mZYYI$2})*41KB!LnIWOosi>=JaW}hljvbIYLYg-?O4qkPoXdabr8cn zCM0LutEET^ZVO63d~y@3Vhue@R!J}@Nml8M4`(`HE4zg(yq~k9WnM7Y3iaMU#x9*V zLY6Gto}xX-ln5d#4&lwyo=tg&6Gb-o<#$idf<#B zJoktxE~}G7<~07yC<&j;cWb%D+ns~RiF1GRJ3UOG%wepDMpPSiY|E@ch-V`9{Oqkl z5bX6?)7yN@$#7!^C(q0y7dA(YNXb^Xs}P)*T;+|NaLC;_=@}#0EsLd8OUI8N5g+?J z#?5s8J=xnOzwMJT!jCj|Z|Jlh5G~#F{}mZNdFRxlE1jB{nN-IMX3|uh5Nc+a=0wCy5068qtW8-2T<@9_w*>@}Fsc)ksk;aCySpuTmW3BwwOeV9Ql0wAweo4~>PkM)h z7V4`i`B~nwi zQFQRS0UOn+hS?*7(?2aX)O@NxL@e8Fld*&-S07eyLKv2Cw%hd4gi0I3^*Kkgm0)LL zi6QLDlrtt&S(Ywo)OEQWeC}zWL6?BcERKY%Q`9PXu?`*%iB;M#?{x8(9mn}sxx!@z z70MPM1B&)jcdCq^%Z-P{5?0-XkhYZ}&D^~p1(yjgTfY|=s4Wu#o2*uYgCxL7qC{KB zDP%AkEM?ZBh56Y?AsYKcjBdiqG3tXFozpi~^sN2J^gQ9KB%4>>w4;*Ajp$Bon5>;+ z%S;P41^=a_V%igzoNd8>bH}7;cm4yokw;iCap-=pL*Mh>%Q(Y1 zNON(}iy@z$$+Z*SIk6+X%Ri{DFCGJE)+#=~N8aa;7Ng*Y8@;@=(52n``SrP1xWYNw zSRP#O(XX+k*HUxMcR@7`93Q@% zoUv%ovzLd~pLBbk9j*0lLwWZDx@?CzO0}q#1O~G=te)oXxE%g|ld;}o z`2Cw(lB4;OTygx%29JVwl^e5ymG*Iug5l@*G6#$!5KG~Q$IMPC_?&*f2&lD?Y27puFr`PCBslzQ`g4&7J}A0 zn)yZa*nLcGVganVdES)lNe8TxLYaFbhaL)#Bs?eUfzi;y6B!acGD&K5>RBW%oT8-?i=MetE4$wo(3PZ7d9I&?De5l%=^4WE&U79kr>sVE=*?yq*21x86`4#x z4Gy~_bN1lTQ4kId!srVScSK$e5Dq|X zA#-vZ1OYk4lefNH8sPhb#?L{eV{DPND#IJD{tS^5eWKSEnQPN-3lMD$=$FjsweA`( z8fSYNO)^8^Ax*0tFiF9EwJTwRratr^4LZd>Gj&{h z=w&d>Z`!Q1cyJ*0r)oj}qH`bLgv4l`bWo8UR(6OdEsD-NigGG<%y&rOi5q$UhM00*Hj8s^b z$=nabvM9GY~EX8Rg{*J?-A5Z)9k?()4{ zTVxHcVfnM&x;WQ%p}p#AP7HWOGjz=?n@()>RS-ibROcQ$Y!}}r5a+7o9C9Bk;P&xd zXH5jt?NeGqxnJYCj`GXxX>R+d*`j9uq`IzGT*>RkKPv$?P#vMxpri=zfaSm9>GC^r zVl4XGiWCD0W42X7fcqDorGQUizjzIm>C=6DEkRV3&?B?Gt94zCPCVW!g3$wZkjm)c zgS!HOMmoRFeTsu6Ga+qbtF%Eah2fl-*iX~kM`Cb=Tim5XlQu3z;)b6l{aprlvbP-Q zh;Kiew*37^@!r+_2e7u@Gd2z2ernf0eqg|=-0>8i?JhA6m@`Zu1>1;pX@`yO$mZ9+qF*rz{G5X<;}-pZcck-NuhzC3*S%E!&726oYz4KDjcKf_?HPww;LI?$<9AT;| zA#KUAE)m)JSaFGSevcYb*r6sl%*r3{_NL}6S|4cMN|Kl z`{QR46>J&}Z2s6AV~qoTKU&d`}G8Jsj3H_>`7d4DyL)J*=FZo^Z{ z2-4H=)GNbs%SR(nn!May&OPD{^#S+yQpSe-s?w!2_NhM*m%v|I-O^njf9TXzSlCp9 zT*gDruZ)W|?|Qyk&UgmgID1JKi^HS;oHVS~8g>rf85%NawC_x42@!3d%vbj|sj*+q z{b(Cxd2JQKIRBbE8v7$s&Rzl1{OcC<#uEzCO-L0cg%^|g?`#YFJKIp!{m6FK{u36u z{etg~Q?2Lq)s;_gFBi*(n~8Y%9c`#ict5@E4t;ahvw5-d^p8jQ*F(xEMLqaST-CFh z4v+P>60Vu+=7o-0F#6U~f=3236CWXQWroH&!-~0p&>&V@&d-{NeI~_YQknuretn`e zaVsc11^;BYcJOs<3Sp(u>~|(p2i?#2H|VH`=nvf-h3`#dc)pGk!TEduVVVg2V-9Q+ zW8Rt+DaLQ13lUl~E*u2g{qykU(KF|zmg*Hx&JLk#!FpK(qVMBO| z$d_~pF!yvQG zHU$Ji`K~fgGIpJl@PlDYc}kR!k?nCXJ0r^gIZ!;d@@2n~8^Z#6*&&+KkP+1dsty99 zlI?1GK*cLwQ8$XIxc}SqDBjZBjw-76(QzA0*o$piSL%?KU-Ez{>8^~@H|M!LJ7CbL zxaE2}ew7A}yLD?~Cw(IAF(wwAa2OSeuo5n7%1^}hML!>i2==HS5Q8?jy1u1p+nzCz zf-O#!##IOdKZ=$2HLOD|VL31YNKxSw(`>&pQ}D=dZ9!V1v}3BU4Pn9|r|My1w%JTiZMQm$Wh|=e)LS^~I(%@rX*A#K ze_5*9`IcJ@QP zd%4cWoTxUo{=oW}iGaufJ7uz;?Q6(EpvzX00EroWTz=D`Lyh-DER&WtVd&IT{+brd zHQK64y-L9bV91%gLtlNIK@jmGA};tS4EyZp_2-N2 zZ*iLML)TiZHO%{%(Y_Q5d3)yBuq$M|E6BgKo!%Fr@tW9M~#OOfC$Ctg9hx zZmy>@nc|YIk!vle1N4^4s1-YO>p08n(T4rk>LgjsMzD=Q(B{dwD7?X2s&422#0`Ih zuCdZ!h**H4j^z9k{V=L(^nT_<_D;^69=pLqv#Y>{AI!21O~#)(s?IvydO}eFd;8Me zsq>Bf#|rzB+O!cn*Qnydj+kTuA(KY3hZ(X*P#q7ewD3QsMA<^*dW0eHU$a_HADcTH zCQp}4k1CscMuB8qVjNYWl zoegdrvPzD%H~V`aK53lsxa-(tJJtXD_VJ8Og{!&4pSV>;t;!xV`}k?;jCJm>y!ZE=YFJgu~W!R%?ZH2no5`OIu zhFZqvzqagnT75G@h?6BRbMl^H!rw<1R^+z@>>r=sylq0*>PVWrqu_Ygk&}f0ju^X% zZL$zGglDwegZHTMF8oDAkkk?+xPCkUuIMqEfVgfaS4w#75Uw)Uiy2r$R=Et-wWF6- z7BZS4*U=YCl;q&eHqW|yy5=cG-8yLU8i!@a$94}tUZ!UBUT_p*>=m~(u7$URve8x< zoToqm5e80pVP$OHIGI_j&1A$>9D_*6R!7A|wk+JH)&I7)`{K^LPHnN|>2se|B^|!Kr!yM1i+GQsRe-gA*#_PgaOuu^oS#f@3)_{`udX=LNap;ot9zRV7a# zQT@YbHEn1_%1UgTNV^&x@7lsA45JaH2{%T8TXsg|CMTISs>=Lbr$8?i!FQw7yZi6{ zP&D#^-uPi>{E?lFX3`GtuYSR?a>cTtgDyJHE;eM&*TSItc1=>rv4kdZ0yTGpLY6BI zShG338vw3O(0D3sRBOXdVJSdXnEztbF?Ld4U3#Y4VyY5QIG{EeAHSrtCO*SLLlUwD zgt&n94e;FS!3kmFHBoufL%qV>5xqc0082P*5}fQx>6WnYlt0nSPfx)xj=vyW z7+L&+9dHS6LxPx091lsPjtUA|BMC^!mv5DkJrxvB)j`EELich(^lj0dfW+}LbRO@& zz);!QTmP`^s(EId-%{lI!4b=txj8$d1zkFe_Wg;NwV9%bWww>Gs)%pr-opJ$ktS1* zqTniZf<#N)IFLgsK=39SR21=r_mTo9t!e2-e~V=8_M5=>HIVdu9oA0d@D zbE5`BE+F=R?6zrRs&CX2P|G9549^TK;zqc8!Ff=+fc+*U{Mhjm%5m4C3tDGS1Iwq+ zDyfT`^=Y`EaO={J;yH?5sjiQR)^TUI4(#1oz@F5*Y@afjZB#V}Y$EdaiF}qxm$DIz zQn0yb$T^qm(02?L90fPMzw6+l(ys>Z^4qRdcb{fRc}3V>V&Tmb(}uYf1`09r5yUW$ z_vS^RwH%zGT8tQQ|ER`SM=Gx&ZCC^I0uW6H>S}7OQ_(tXV1 z7QiSx!Fr42#AI6Hw>4o0XcDL=6JK=cA-HQAAE)#~R-C~ok)9i-R(u3}Ld3i{ZNh~4 z``FhQ!q;)<_{8i^hue}zH&uop@+46T-j9}%j2d)+h$C+s%Y-`hiV9x0*Zlq~zt%$P zfzOGQowntz)zBYrgfOHN#RuaD{B0MUd4JOuS`>t$((dO@H75LJ%zgV8WyC!#ffY^M^kf@GSO<4UDLDul0SIE4W?WFkl^zw&xZVIVU^I7htI5j^~xNvpHGxaK0$CpkMfIUpSLC?}x0 z-U!JR{w+^gfh{yyS9IFQfQB|Q}q+Sn9 z{PYAAKfdbfO=@{RRf$QJsIJp&(9x=0w>ln>iKYY+88;!;hsuV|@VHeAghnzJ+*ywL z1_4EfR3iBZV=s>1StnA$Q{t5f$yodGyQWr0fkwHECeY9b%21Ewo>_Jq~MLlp19cX zfmyjf)pN^+S2JVPEH#l3j3;o65V0nOkDC zzz2c2nVo4U1#`r2{YXs6H%?J|PBL%e8?5WPpR)CWFLli5@jVC>ZsV)LMbU7?OBRzI z)`-1ff-E2uG<63~kko3Y*ZaR-;S3R6mFXB}9=|Pam*%W_)$aR6HEW8MPZ}!;3qpgzT+1BF?i1JM%~*wpy;r6^Ru0&7024>)VC9gOS!>1 z1~y*`=`5q^!Ij3umQV5Nkv_u2D0ivzT5Y(TRyt*{F!$IzZc=QWfPPdDYEfE^Nks4r zGBhP3U_@1zoy<_aY*+R$@mneK4r~3!{F(thf4;Csr-tUI4wNf2uOoW7{Ha1NJ6S?V7e%60*c%% z@CIF3X6_Z0=ltwi5{gxhE=FtNR8^M0Y!w5frvq_=3$BoUqMlFNY(l2L4A|G z`(B>bk<-b4_3!*w!fRGsbMp&M?jlOR21cZNvVdseyQe&&!3wncTV%qf` z;NZV2UDOga98(}HGmP!UWV`hb%gLeAQhatiBeiw(_8T^R``uS-w`$>Iop*j%RhC?}5oB9A<+FD`n;vmdA2YmV_6v)_lH_{^2k%aZl;|t-->4TAbwV8|r zxj&ysZ`PMzaz?WLY25zVk2N14xq6~}-u~>WcJH7zU+Yi9z%qFWVLxD2P3g;GO z7|1XI)w**2dqfmIfKPIwy#3G~v&g~iFlS;mS2@v=nNBTV%3f$2Yg&FISIfk`F4gD& zpl{U?N69Up_)_I#OJMRj>&KbCug>3)^|-)l9QPO4?Cl)I7)>O}StF9~o>ZrDu8?5M z0`9BQC!@ORN35~*xonR8F=5CkL>?#KxKERHFrY|9UU$3?fo`o&3t!I(XL^Bm0(hq1 z4RVvf)-GH##=jrL{hs@ZIF8SVTpW5LTb2tH6RWTcDZkVd&V1d_sfxjL^150*S^4;= z6(NNNFI$sp_dYI|4&WhJ;m6aWa!jii%4m6(Mt@x&>$G+fUV77XnGtaZmD4!%2iQUj zJ`sqVRR1`20C;l;O@af}=mq(j#3;GxH9kyM-c$$=6L{y8amN>BMG3oL;19^61R0Js z=3kBQ3HwnA?19Fr*HNMLi99r;9v}zI4SEQC0CG+E9$KZi7mXzR%=GXlwiQrl$^@5` zT<8QhD=Y>hzPXXo6!;(0s3s^_%8h77bO`?^^SsUXtaLtPD9}$dK`<^$!pST>e%?_A& zQ65(#iRLlTnjYkJ za4(Tla`c6H1eBfPr?-r^+J6Sw`#Yv!1sZ*Ch}WOnS@!c#=;jVbtpX8Vu}ZS%XjU|G z&A24p&9k4MCkKBj{prdc^X{9|`>%UNp}qaDmTD0>;ZZYsOCci`P@cWUWt&c$I>MT+ z2Y*?M@?aQZ(8uh0s`V%EcIM?z-HXGuPIVcE%8A{Y&%smbeBj7gb&e)Luts(>bHoJH zB}Ue=j`&A-uORmptN$#(c`%=iKDsZ!T+>&#wVbh_SOoPa_fF+0TsP`s=!Z zYUw7(?jO{g@v%g7sL|&fvW5xxeydXk{nIp4e=rQZ>3=3_VxrkKD`p6fe>NKaeYVz& z5*9X!5-vTWL6j@=LW>x$b1?3NSMmUAXr|?M;%dEZ-L0(YUAr#zZh@!-F&tbTax2G) z<<1JS`6l*|Q=O=m(8q(0xJy)nXVfIaI%=ff+TAhXISTZr%DgVyLOTAhyL>@_fpo zo${&DTcNb=0zLHE4>@PBJKn@_fJ+AF{H}nUt6F9o{3jq{FG*MIASu3<%B zhmH0^>(Vmrtp(5M^<7)tsYC5}Wf&(Fvt>|OpSD?It!VZPkbDT~o2eeaXyU_~DzS{k z0NI5J%U1Mg!zzK)#@2s%gj?+jIbduNo8wC1xG-9rytkA%wads)!!x-TPX82?8%9eh zPP(lV+acNnq$oxdr-L}~UBUFpe%Ssx*Qy+p#87-P;PGY zM+K|Az+V_9lVEHF(>LdxECpZ8rd1M&vAU$#_cHCS%XS?@7k{?qVEWNW%nG`}aw$$q zNIfO1qlNCb8J(K)NF2PThTbmoNtwBG3ZXHo%TM@fC2-q24BC{`&?S6rIACc4t8{zR zXlTRXdfg-LNIG@EFJXWXO0sbJ_IproV`IT@{7&)7$ymgiB4gz?RgNi!P-pr3Btp9U z4zq~o*w0C-@7HIV(8p(4MPC&~@GFI&46P4^TN23cJkx z-lO9jv~bsHp94En7Wo6Ry&_DLULQF}LQMPvD`Y{6~#rHCU_dy1{+bnP)%KH>1cNz7Io= z?n(d?I`xVUV4ORR{hWj_N_d=$copFEc{a6OYnzOJ%c2ihePHdG0p5=v#D_|o*Ktm@ zjT{?zIQ`yx=o#s{i@uK_E@_#@d^$bw+cdC0k>9qI&TReN3SWe_Zh!PRvVb_^!-Z@M zUYS}|KHB1@*^jmpsRqjzee*D5UH5sU+W zs0R$63*On~6#w)UdE^t_Y9-=^xOP;2 zZs!P{XYohHON#0*+OYPSj7<>H5>W!=nuinBni)WBG~_7?YtcZX&flvO&4=xO13JY3 zI0#A^@%pZ#;0ClK-?&I|JQ(UaIsz=69)Pj?uDQ4lceDuga-msAbSd#1y>m_CQH7Oz)Po66%dP=3Uj0at`5(`-&Udd*-j(b} zG7+`l{bi;oLR>A`1odY93N>_Xg??h^b2k*I_c(UH%lQu|>9NK@X$%t_pAty663UlcxaQN^YO*)Sh8KbSz9KvE_sp z*yw!pv!dqwZL2OPKc~2{#IJfIIeTGh?##J$Y~(X!v$W6CA=aLp$>{0b;X7f7e5(=} zB>&yKtAPnm#>1dd$4A_W+2Na|0-Mz#I_p99ehC{7?(8*B)qTF)ZZCI30;~E`F4wrV z=xr`o&M39o;pxmzt}R9Mx6c|KIy#cZ`q$MDr3A~WQ&?Z5_X5-8@Sf4s zfr?vGp1b>?3Nc1Q^q+m>%lXbtlbOSK(JGQrofc(`!rN0>nm55+`kkY_&qQ(~ZP79_ z_Gd4B2Yyj@1(!AsaBd;)dh07#HL4WYv^EB{hT`13TC;5!m#NF7lYcbO6@@|BMz|o4 zlel)UP#EN1hn6~YOzWUVc<}bS&s~;X;DFKJ4<^}H{=4h`DawU))etGO;=#-mi%>f| zd6bKgIgJlbHka=)3UG`Cs!#`4oB1)^JQ{&aN1e1#o|4bU6?etIKHfX|nv1Y`yzQlQ z<8~*x1WD^~$&jkfiFZyT_pYVQ*^C`KxVeHr4SCP$ZhQK{x+IduB31s+hUmt8-caWVosp_Q4iaTy? z3E=Gx`1_;$Vnr!L=~3_bu3lT?_q1iRYR8HM--BWp0~5QD2{}p%w)=FsJA8S`(1I*s z+3@FmyTVV&*_}LT?p5m6dEw_}_cR#Jr`2b~pt2_d_0b9s=qt0oQlTyKN?-L0(PelcWqAq~EX&Dw74@%z+pI3GXvH@#RvmrU1XVyN z3z!(9uGve{W5HH*<}$CoIppF!RPYreTEYV`8Ytrz(FE49{PiE8u2@FaJK}jlGAv`? z*O~q#tH6SSydXiTx7k|w`3UCLzYo_GAXtK9W)B!O?AgO5z81QqARcyKooj(B_FV#4GP~vaoE5t^CLH zd`~$u!c%x`#N~pSCmt?vk>#p^EKNjzc8DhCOE9{}wm080qes_YGc;4DwyUS8?-~SANgpFh(tuXTzhJP~sk`X-XgOc}T_?5}1p~1Us z56qC56cu1{`{3vDCHhGG7hADh)Azvd*|EFe#X$cHH>ni0@MrkhPGJQR5Jh4b^Bx5G zP^y1mvWnqKb)gyfNS-@AWuoaR<4>)+^9%Q$QR53@fSQQ^N$LlQtAUaHqqbD!MkFHW zZf5y2qJSuR&OS{+>4kkndU5ojXZ`+PFI0I#io5WAY=xf3#FznmQ@TSRzHLKaIawWU z%$9S-=EUcbB*QQ(JtUdjvVC6=DSFy@%|@5>`lTg3`CE5$nEP%3=5ZoDo+K%*i(pFemH(oYw?S1=2(65$zOFFj_bD%>hbdeqjgAn=FhfW{slm5G8n7 z_x%4^frDNlxN_Ht_W#JH)C;$Y>OK$X+a|OGlPTzUBZF@9a8QP~WOJJ;VMHZh(iQjGJ-esEW;qowm_RPp~WKZ%entkIUA}O!v`){C{Kk3 zc0?f16CO4a77Rwl*fkek3kGAh137Znn-)Bu);G;jkLX=C&r25fO%ExKM>Pi&H~(1? z{35p#om=<)K%BL*W_O;bek30axE(W(4(k@c6(IPbul0mzjqyNE7&O+ANZIZMGyGMf&?vNlkw^Gt$=&0q^ZS#?q4dIbHXt4 zHzvcke-MxqQ&R{OurS&2Q|Dbi)@XHYZt&1D+TlkB;2`ypJyZr&j~<(S0&GCXW^KN2 z3a?=i3ZITiHFX3wR(OZ`aBdG5q{MqKlkrdtcLPXKJZN(LBgX*8o6D-;*rNSXzXurM zbI2t)F?u{|QC*Vzi#1wp6W@h>cCpfP5}~wlzdJNFFJsWpO6P3IZ_?$lUE)#Ar`RI% z(?S0pk{bOU!wZ*@oo2umGM(is0V>IFT#Q%>xv;)99)kr3r|ef0<5CEHCG;>(TKLE4 zUzLFL0&C7#2~~`lG9MPE5%q!7FuwUP`npJRmx~C# zQvShqKz-&Bhsc_|6_(LR##;x3?zdC8x2~tJPQoi8=I*HuNk*jCQXE3aS0WjWpdM`C zds9VuPZYjWZ$2ke;Mb6RpGliLn;p)$9E}XY?S=ZKGoo(g{)dB6St45^vGiyW$U&>Y zD^8*otOSajWC1h-G=m8bh6&&l2$yaxQEvKi1_*(iL=9oSZ#%20vG}DdeP~u+F5232 zD`Hz{Maf0g&f7_HSfcf|u8vvl^#@_3z~*ANuSw&tIbQP-xKwH0T>(61(>}lLOyp_X z`KT1>f4?leDMNNOhvMX!1Z6X+#>r2YqwoOGy`_$by;rauGi1W~rOI3sQ$8`mK-hMq z=`|NOgkRXt41xZy?lGkq`d3VK$2AU#iS02?13Y9h2@oRbQ#ccfNmz^+p8YV95ym#| z{Zk+~H0d3wacB{}S zO9of4F+JU%`tmYXB8&ah`mYs36s=9-r(SpB_*CEflrH9ok3Zh|d{|i=Z^gOFDi7of^t(Pg?nN=N>%RNnM5~O8YhTog)g`UU zmjK!DM(+-@DpxpRM=TZtn7&zaB$06*(lf5D9d?XGJvpuJ6;fV`glYr?KijA6ae5RP zXHAnrs3NHkWc-D2M_epLGZn8F1t6^;=|bX$2_+A{OAS7#gW>O)+Rw0wmk<*59soWF ztKx?#r3tO#Js^j21SPF}?F6`Pi@HSND>ZSN+o3~1Wc92J^S^eXrk{$vjVVgsTfNZx zfzuY6-y(uMRJInU7pz}>r=jWeea^Jq$lOKngQ6HswL(!RB%O9l@8$eRdTuO8jc);8>ZHcVKb zGS8v!Wq)J`8|yzm{jm5TXG9_?Oij7+QPp>8)OBY%M!=VXe)*ryEu!vrbopxic=UF} zmCL(JWGx{j)WlT2Xe{FL{k!YgY{76noDa2;Amre|cJPkCcK=U$?@c9To`qLCUc`__ z#H951)*1xC^!O7r1hfH|Fo-@H3n_@&F9TPJT8G0!;DhR$(sGkXh4Yd!^l%{qz?uGC zzz8?uB!Xhq0dle?e{a*1kqlLCM(I~wW~A5A$5lXZD;7pghgKgwEwMs=OM+<)w#^r~xFI;@iP0Oib7) ze)v5hQ7}ZNcuXG5?s+1ZAu1fmf6c7cFf|Mho`dhnT~YV|bSiNUm0r~=g3!X76_}300)9$sAYKS! zn)x-xLSs1q3Uag;aVvw~`avqp9dlOs3#0JkwZ>HY5e+x`yR5iDMj;I*yYpNk@b>r@ z%`A=PjYX{@>+^ZL!`gr~Z#A!d=7YwZy}b|1%;OY85n8JDG7jpLEQAv*K4KHC2&m-~ zdX+$FxNH90iV7tzE%~*aw2Ju^7gH%*_1!ViEtgB|nMuz&x=}&zt?Jmk(R%ulT;_35omPv z>T~>>xux-h$rK-+AkMB?t0gxEI90_}A7+SC0_AQdu84o`xC&`7@Q=4zM91gILtK!e zy|d?d*RmRf9#B(?jj~e#CH!WFgTA^pyjm&yU&(S4n0#n zMWo|YS!a+vWI&B&cBsdQKK?H2JpZoy#oWIV`}dk7{Z4Hdbi+6r=a@xPj_DZ>P4!F}`gcS~d_)T`tXRpq27O4KYC zFx>ay#Jq+;C_$h>b8GcmSX9iV;Z?K{>FA8wq#4ha}_}VKw zMWJK%t1iTjdA|!OZPh8Z%Q0SGs=VFwIl`v0ZY@fY1i0hNo8|tOU6Ucb$TN_;x#22 zhxjf#D1lL-)9M6qQ7|Y~a2)w1DL(9%{L#&>(e-x)t_QB&C-y{GwH7^7qwAcy6$|Wd zG@5oo6xwND+Sxb$q?U?{(hyz&jI;^mM=E)UJh}RHO74hNl_12L!s9TBO|`3Ran%18 zCYkid981SY<0$xl*Ha{%%e>`u$J(x$X{p6F`@IBOsoW}=IdTL{T(VR(l8cm_6;Gef zWT{4VC;Rbyl8L?=7L%~A+%CGu2u@?FdBA;Jjjs4BR24YfawE)@_pPWETt*AytEDdQ z?)PcK_l@PpwSLPaSHIg(cd8|;0zlYdRvDmtrI6eIYR3`n?i@MBQ^9fw4KSV_Wwph* zQfOM26r+TqBO{TV)SRg3N1f?M*}w&J{~jyyj|1aq)`9Vvx^#z(^tX6_xi97m-_u}<7*-Q zq6}=0Sb@f_6Pj`FA8WH46os+%6&+KY5si~K;hF-vq7 zkm+pvJWc{VlWlrbd~d04naI}EZlUq>+>Tg&KIBLmFVp_xnxQ1!5W8^bJMHnX(c&!Q z+dg`Wuf2PC!3&p1MN*;Vj%#QN^5u$z#ec5^nqb!|?Vqh018}jrKvtmzIwzp(PB)Xt zRo|(X6!0yK`X1MgM!RfRda_ErMCdI(udu8FEn2US&=_ux?FnfUVOscR6PY1^LvAdcwm`FOkI$7lpz>EG{|K!M%GClI z7c1*3NsG|5ZsRh?|6IZ?&CpuL8m=WwIg#41M)}`fvd3PkxE$EuO07*v`>tMEPZ$#) zSfrSCI0r#smSzbp^mn-Hox!XK;kXvw`mXzbsCw(Lrr$U2TLG~^x}{S>7!#2gUDC0U z0y1DADIi@cGP~%hD`A_(0Iq){%Ickw`hvPd4ZkV`ww`!z6xlYsgdM}7S8KR zAI<7iWCTl-f6|ul54y7BG$MivkB+n$Z-b#mqrB-pI4Tb z8KD;B`*(lu&0r_Nn5Cs+xpp^%`^`sI$NbWaW2dK&ga`M#ZOdoRMfCGAB8J;7w|R9C zqqLLW*82Gw!=Z6=@AbB#Log!6H?u=UhO&f^DYd!gP%m{=guJ3|I1Z%bMleeF$9B@q z;wj*ZM@E|>mm9Vp*ojjqXa#HD?qEvE!iwC#Yk5nk15_v@s1f(Q)Wf-na-={Z(Ub1RtHJX6f8WSj*OzkzEqxUiFNOPkeO(6bwM6)S z(s3{`eAxIx)41)V^%96PK2r!?9 zjJU(43ER>29{>BZ{u7Y>-dDSOy~Z~XK<3HlFj|&la_^Hdc5}KaA3%P-mX!)zWH{SUw+^P%F4sFN-Enx zp;CSMsi~Mw<}g)|RS_!P!VzIpIOblCrY@ci!GTmwGL3e?*%ul+DTfG-PjCFO@H^Z< zVWeqvbx$^Q4d`t=V=LBt!TYwg){$o1w7^gSedL~wNZO>svn8SkdN~)vj!a72t?KP# z$_-v=5)X}9(h*+T#wx$|IP)72cH}%2X6)=`qI_?3OscU$pR)DQ%eSDrimIjnE3XHhgwo_m!7A#B z`fOfS?8yjaKNl%A0!fb041BfMF<$`E#O0_Vsp_h~pG0F$1eL@8Hjv#00e<;^4*XPA z+a6;&Q(AY7ckSM_L}T{A1-{HcCm%=i@b>WG9))J(u6Mz_;63NSa&PTa%oo zZy65LtF0qSYrB-V7*Kj7ywAT7ClSt=Yh$Y|(gKPy?+@pH4pZaEkwb=91HdYrf}$)X z88unYJHaG^#4^HZh~qLmuR}lHF=b%<+Z9Kl87-$L`G`%>F(7>8a?`qK#=nU|Y|!3X zNKkI8>*`Li8~79$wE~*#uVYv!UX1(Q&;t1{Eb2oP45XeAG6L}el+sUZLDRZ%=uWgb z5EiEI3v>_s@9~+s2cD>_pFflX#UL?El!TJ+T0JCo_Ce23NDkINn$~}HlzOb!ti}C| z7;X|hx^Ms~YVIZqJv|TY^m-@~1-Zkp#P#%1NpRH~kL|~nRkwdKFVI9ccx6IwbTj8O z6#Flko#>LZyLH+bNd)^jFYXFeAH}>yAvz8#9WpEwNrEL9G8FO4lUtaMf$LFtk8c*< z_Z`V~gwmq%l5jqS%^O9S)H8%F*Pte1GUm(rpex5R9??UPNC(B-Bal z*ycpEg_$LkgAu7bRqigzM~A^M3aL!x*+&5P2Ni!k{H&erobY@8dd^X>jy5Jvk>9d~vQ+yH=)>xm50^$V?J)?P# ziYx&F?8V)*U1T91I}WuksTFQi*(DWvwG=lN1*YEuK`i)&?)~eunax)Lczn%#P6Yv9 zLW@D2`uU^F;V+fNOa_z??HM-+okKhs6QYlzw`w@*M%P2dM>Yu z)?+L^L2oRVk=^ugOnR_hJ@yqCAKE)=vb?fknh^AJx^xNOZj-MBeD8tk+zq?3f6v{< zZFQ8sm2DXHz&sV?J%mEva!>WqM4c^TnPkzul@@sv5lZOAN&yoP8$ZMPDcB_!uEL*# zTYpNe9A!w$r?GWV`56oi(CQF?pPC%s#f8?apWq88WSt0T(*ju|<>mh+Yf#N}8{+W* zUc}1AqwDy7#9aX#5W}y+P$}F1!9>>E;Wq{}DUL<)`u~so1Iu$H#OFzP$2hf{lyF~R3k~IT4msaKU%AO?#bfvued7p`zt!EZ|Xdby2oZufGbx$yE z+>0Rzpgjpo0^43cY!=?$_@p8n?uSjZp*H08ZDX>U)QpIC_6lh@;Rl(U(xLlNmvhV@ zOtyMUYi>aX)KWwYK&lDU>IcR_X2GIGNp|?!=T9o&x(+vI?@<0Yj!t*`f1L;~>?fIl zN*fOEh{zAB@S?4V`&1e=pglPONFkiUXE@BO3L)I9gbAP9_$;Y)aXi&kZTV+s6t{2r z-UTA8jUo`ChQD$N( z0J8f9+35V{E;mvi3kWgC>FO{7V8vg3H##q^k6f$M%_HD@`p`Bw>x58|4@n(T=MsT; zHgS4#X|zOtG{#OV8Kboz69tS&g&8*{MQKRM3l*`6Btqo6kY&Q;tJ9(w>>uC$-!Dez zslKhX=%+R9zVnRu>3QTcr{>bCEP752!qkK<4~IPP@W|QIwLmeB`|{8e$$wa}%O4La z*n*1L9$h@(bH*?7y7tpq$N)2@Cy zrya3L437re0-8y1y&vr3<566Ok4t?Y(RZPZV7J7iU~A20Q1ga%JWbFAz6LT|WI=*q zUw#5Dy!2w|XdqjvM|+RcyrW{8cAO7VQm0#C-%~LSbo$~5UebV)4uS?49{J3;m8nLD z7h(CniQ&guJ4O$x#htPDLh`3~bTVxBZALu$Z+}`niZjD-?@#5DUp6W{35T0WazMg) z8!w-I6VTdt&?|E6%f^)bUCpIz!D)^eTqRXsN9B(xwLm@Pr*HOiaIb7syKP_rysfvm z;)*QyN>xH{K(%0U(D`f{MnN*iWT3|br55pn-(j^S)Mbr-!S*I=BfK?MZ%O#Ph62h# zV=hI&nxW47u8^g8IH}=P@xac=m$Lxw%nP?m>S@=iBiC~^0$X;;pZ6ZB3}tq$CD*M< zX=(|yb$mDcdI5}%{`n_Eg4_2k%YW3Xo+HNAvqC3rqga8bdVC;bjxSh}zb}9yr-q`+ z8plek%UG>2$`;*QaSOwe?mrthN6u$QMX{29ww>(sa~)v?508fCCexJs66sCZFg<5S z3%}hnfS#;STtvdRBOH;`{Jm*4k{yW|IcXX-Nd#D?Mulj2k6fPVrUbjq8jY6+9HT4{nC?%f&h+j7Psg54P7IFed|geIASB%8Pq33J z(%ffxEI;U@?mHv%{^;XD$PK7=e6zO%v_f}o2mrvEeK1sabmu;srmK!UYR6n#TA~&B zAF5(TBpyJu?QJvt9&B`rO(jN1l--c{BXr1kKC{)=*rwcYf*@|Cm|`zEslMZG({+Ei z5uWuGd;0c7>&GxDf&~*jck5h#oUtB1x$I`#qr-jvZHkvc>r1OnOUT-nFb9SFsMOTD zdq9X`FK>wxVxpxNVYe&~(ITk4?9dE!N}F1LReIIv$GN8Zm{P0rSzu!i?VaFt*~Pt- ztG~X@W*qZ9b$6(~CXkTDmoPT=gb+u^5ozBL5fMrVPCuizAo`6i6>YD}1Y4=qI)@Bm z(*5LVIEI)f1B`Vl-3$;61WuB3PbREG{b~3K;HapZsXheCU;0jAi}~)vXBX!hbD%zY6RNkfYm5Gw=X!9mZBqHlX&e6}i8 zn^R7s?dKvG^H%bFdu{YY_w`cWMOAW|$I;a}jiY1!O4rJ-XC=Fi7o>s=#za-Z@4-I1 zgg+y{n(e@m+vBan(B5M>Tiy&L4?&0YyuGQ|qx}ki*ovPF*dDwEWEoy%9~g*Aafidf zUaZwn`LIWy4e$lpljp8`eS8}W(*HjK;dQ^dz|@o?`ud#`wE2rQg5H46fbJZoSFAi$ z!!KULpLVPkwj`81CxN6s`9pm2S`cF{eEyW{cwzc5V1NUEQ*XqW1jB?A@VXE1V91E|K!Z^ZIa58}r3860WBD zHoI?U1(S0JKP~1SBsJw;6M7AMxnF2$!FL`9L+ZQVv`wNOpYtFTPi@peyLNM16zuje z5M$z_hn<3f<8!_}`0Sg0?*V)Ko+~=a?lAshh7ND#@l4{!DpYV%s-2f}3``c-FQ>}g zOb)L={D>hX7AKf%IaQ|%6wZ3ve}$z@4Ff42{W!12BNB+l(sd<|#sXWMz#B0AfUNyH z5>}mQwtlHE^&|YGPk{7jpdM`|x5bz3D*tBn(|lDG1pv^5Oy0+2XSmGkTeM;KoX66t z0YB%li1oSqabLL6hPRP%yId`X7+x!aNuaf$W2?|Ds4blwFGbe2_9c2fqZ^Prik|Z7 z-`+3ilC;(taX&tD8r63hl{~cO0A=B$a}w+x`*(wa79T{FFdVA7zr1W>K%>&6`_GJn z+d$3|4pzO?wHSGnp`>~ggZks7B5oy98l$*$Zdp*qTka67T0blDMbh$@w^XM;1yX$> zEtZAySYoP&RZD*K^GiAKDQaB`YHXN&zR>}At>8^3&V1G{eRv(|)I|cj9X%{v=}Cpi z39UP<$^?9|HYh7TY0+g~8QFN#Zo`%@Iroyo@gMhF2ZFhAA4=zEx&oB=DLoJlgbTT> z4sG`mAZo>)Q3}@R&$MQ~r1p|*9Vd>sek!TGQPhYbV!^)eb$<_&P7{r>EBf6d8XGM{ z?wakBS^Wj)y7)VT#$sLOS59GP+-Ao82}?f37Nk>6Uj?*W4YvH_|9FKBRAn`)>vmx; z%P7eZs^`8t5tcLt1z$~N@P(In5Batx2(_rxf>?T1&Un|m5u(sy(J zrN9N2p8c!u5-6mT*Yrg%0jT9Pa1Mb<5i_cD9cW+Kg+asR0)Q7m0VjY~O{!BS$DC*i z4G55$`}#p+H2<3u`-t@|6sGX8$t@Mi6aQ*T`e}egxiR;g<(2J&n_}K^h8%@=n$}~Y|u{rFkba3fHw8-qx7d0&@JyTHvn&lA|r250qCcn0ywV``E}}>OyzN~aO4xM@Oo9b zxDeNYI5notQeL$*inof7Ot6}}3l6YhtM_i9)uoRf$EE-Nw)t~IAgaD=hy z&6!N8J4GdxuH-Z;y4LXFa@=H*r}e)p!hf5idIwkud(%~t%SJsr8ZU9mT*{?NK|9~O zy*0kX+a#ZEWZx^`EU*rtMg=r;ivlbT}IbPwcg5f}tW<^6V48OtbQl;(7I%;SGBCp~DOx0mPh9fxSo0Afw`Hu|@QC5+raes=Rj}51zwEh2#IPYtTZkDEaE`Zk z!0aFSa2Zw!#gQgBcKkarGo{%S@nK1R-l4R^Zs_(paEe z(!td>*q)9u!q&A%{cFH1{UJi#Q6hi+UcI=61-?VT}edJ zzD1->k`vlbM3JaA^k<%mSW~l{66sC4%0eqoIIS&C##R>u%k}O6kLE{Q zyIm3bK*T?ezPJbVARSLI<3+<@R-E)WzjLZAXF$oYuI6~9rAy9zZIXbJYI&rYqbwt;+6?fOLX@bh zYv(l)@Qw0&F>WNl{Y`idA$W(jXe)lcaeWts=`GfSkaUVZ{yPXHnD_NsU?{XZu-YbR z&(`F(+5ld{wgCHK4nXV$<3MYYW@w9e;~q=}^a_&Jpi{)n{or9A0V)iUQ5%zm3!%fX zq>}GfCS`5@J(}XN)S)B#X+fd-oN;<`q^*TUmyRe+QMGB}NF$(!2We@iFI>+lXjgb> zvM)b7zupZR1`%9EK*Z-TzqZ>SyoLSEyTNi#q`tvV(LGW|6_HZ3*SDa>%!-(r(WgnFRbzx&7?%mvtNh7HG26BUDRo&Zx@jp)RbxO-bpLH8L-3&9g zcSgmN?uUVBPXsxsR!NWaKyr+RE1x*E*#1TmrquH;35EQ-9})z zVX4frQP+?W*aa{d%ZqJ$`*J&)BJk9ap9`dq*JM^+Hf!8B zjVDV()uE?0Ss0@qvSIB2p=Hdlj1x=>>=TsNz3%;*S$$A6bF!}#|IgaCdCYmPq**!6 zBFL=L@K&$V-Y(Sb|tvA^%F6BX4I2B8rADA)sOl|&^8o4b&f4mxbi<#{eD%4zH z%&~)CTYJvbA4qk+(h9m{W&FLMTTUnYCN`0NL>uUN+j-zjJc-LyrPrRb#yMHOLa{Ii?>_yj2Vdp zkqzfDLf+|VJb)3~@J96pU8;XH%k6uW$O;7%DZ9b0Y{5f`?PBVF0aE5hqieknfB@P< zE(a7mdWZ{As2?oVRPg6_wv?PY-!Q%+si{xWIG76;8c>yyC3VWkEtJR_GAt~7dsDOyP3RDvN76|tTLd5A!vVIvnVzL7(hts>`G8!OhEf9 z*Ea&bT~#va;bD(3Jl3~MPXSvxUue|~F;+HMfuTTjp!=|`Zs2=&J?R(FDm~7a0v)o_ zp7i7~&>%feeZm3uIp{QiS1xXU1*N1RIf8bjm*s!z6x{LVWZ~{D-9ZP19%50`$?~AJ zU#sA=J__vqJtW$XeyqUpc|uzAwuf=Y-@_QbasL6wHiG%{XV!#Ut`|RUEeVWHgdJk2 z`@>uX?EV;0>}YAtdD2q?*8u-D1J$v;ZFC5~`q1&gU*~0IHqcY9F%q~Yf~C}iJOQsw z=4;{c;8#A&wGR7fGzuihMCNmQ?g>wL^`+Ak zc?Bsk173FegD#NECE63$S~qYgTthc7b6~j3X{*7-mNFY|2`Z;!D?-l48IxOw4B@!M znlHuQePZt;fE=D#oQm1`$EVq;yg^vlGfp}G-r-|+-HvsB!J2PZGASIRz{xqIE-S3O zo+)9=dB)biHdmTv^3ZoM!(U6Ro=H`7uWs(e(X*9G-GhwI*e z`l>+6yxgel#d9aG6;bYEW#qCD_>KH#2`Q3%@bd9T%a1g0k^q7L7#sZ2WK)RNm>HJi zSa9qjJ^zg0Dl^`y zoSP#vO51i7Z|RW`7Enbv%aG5_KLy@}X8|h>Cb$Tr&UlR!&70b+J3&JU;9bb?PL&?x zA5&S%wqJ0b?Q<#i!bwJ1dpDzPb0tJ96plv#ia~p4P>+{2?9>9p! zDQhjs62))5S47E{9epb*7eJbQs^cQ!jg5anKqAd2GXaGf z=Qta=cc?j0(${cqx!qp6Nf&22tSBSs_oPb&>6d$c?bPEWS7 z5Qj6%r_VQUewa61M9r62RyLilHHh>!wN-x`Y#*4-IY7g+77$tgdK;E6TAQgAYERC! zEvY?!C#Vc9$2P6R=Dc7Lo67XUcQTL<6TulgnpT9g0vPJxGcZLlqhCNB}=Sv;%)8+3hG|Yu*)#mIQdd>;A9IM zvG%fuU;?#)%ANyXr@j67eq-rw-RifV`;}>}snniNB=**O11aumd#38yD^T!)#=4-Z zVs>cq{a?3GUj8Fv5*{CEirg%sqlJP6poK!q_ee#(dqh!DM(X7Z4Rjg~?=eZw^kV() zeoL~`-P~-_eO^WJ1v}-+#pb#A+IVM%znRj{KWwLq>bKVVB$?C+`yXSs;>O?wF?NBt z7PA-M>9{AC-qI9pW}KQ+8$MjPs;(>q_Qd9(fyr@naQ5bQ287Cf=LLw&HEca;{|Kn+ z*~(RpH;RErL2gR~YWF`A9Y>S+tS

a_^-SKdx5bg!A)&aGQwn;IC7eqS%G?uTqs#3K+^SK|Noz z7hH~lH6zZa7z1cBd^>_k#7r#I%sThQK?_=|(~GRVPMU$~`18uZmUwKsFjv%rAIIF@ ztj-od9%197ss503%&fHpIweHgWWWp987tInG6w8 z67{YGWA^vtwARBo_70`7i-vhp)-b|jSJvY%DZ4wJd^+(%;m5w^?G8zW+M15pK(1+2 zKL*^1H`qqkajSx6StV)Lk(Armp4&_5o`MpZ=qrNOjJZQ_a>SeByXmE!9=orDv$|ik z!I0~Fdkm6vQ9QCyaiP>+X}qMe{`h(t3$g$o2YIz+9J8@@qWyDfRIO72#}F5yy=W5L z!JzN9Gj6yNet*&gyiS}gbwa@mKt8jU&tb_o8Ik7#T@=KM=i{bTsh*kjo5)-lM-aJ( za!X#WO6Hfj{8e_l95(gjz3X@)6CwCbMwf)^tkJ0?u+)8DRM4c(5A*{O<)9Wl?wDK{ zYLcY{23!NI4|q&1_9;YZI7M9lb&P=5FsN}l#}YFl)-($(jLyPr8%u%7$P&AXq#1AR za2txD_R7xn>SIBh@M$y~M(SBT>5IGb&PE}Dm(*J-;bYCJjsgu2v9R}@WGg~T2pOWU zej3c4Kbme|Ke~Nm<1LU2!BD#Hkd$0UVyh!z<~F^`&QbrsvFR*f zGjs-1IVss#+!fF4S$V}dtOAVEDT#2P*`^rCWB|!_y$uu&A#EkJVSN?Hst>pAn0KuUyGBH_@og3;;70f%^!R$e4j(ufPq_D)olHsP zN=6e-c4M8r2*G0)6UBrk9ZNMno2*33Q8-OFK4KZ09Hyw)H+eNCs2ez^kn~O{aVjBI zZ@SF3AlGXsgvL;2%+N>$o53KZ6pwlmX%kyBfv!qd@vz zXY7U1J#dk`%$nk-Tiy?g{H!P%d{UB75tp%joLYbCa8BzG##4X58)Q93Ciou zkHC}6xl031Ti{#*gxGW!rNc8LY_iPtp)eZ$Nz^FhZ4C-W5LoOF+>Y-H8y=)UM-E__K$TQT^gXI? z=3UQ8c3RPoi%L!OZKzHGN6~Q4#7X{pinttOf4`gPmUa31HmPe~Cy9AFk+@RKf~7Zp z2zPc(0^3Ahp7j5YvZ#S?9Y2n}JzgeK0bE$iPVwy|s15=_6nihZ(5CMAty1%xc-Xc^ zKQoZrb!*!i83s_MO>pJ#zMbHnvb5_k5T7k7Un~X`t1d(6p}MuBVlw#<%Q%%_@ixyeh1;a!N;?^K10 z>IGgeVFV=0;7u7%AZ(!lTv)77yHHknh(EQF{+YVx>*RKn|r=X{*BUapmx_O}}J zA78gf3HgqGC+qlrLfE1VX3!+VQm$h`-!Y3p(*+2+qvoMO>)0~+V#=z`PqMe*!RZr~d>) zjomV|9iD%Fk)y$$wSI0T>86(=!UlD}{hZST>>y1KAB<=;gM0d!3q7X-<vx($@}wgCWDa31+J?psL9LB)A_m=llpV`s-4jznAX} z#MuFKPw9P+og-#WR2&lF7MqTD)Evo(oXq$yKrlUJUVph3?+J<4;l{@nflxrjw1kE^ zRT|ZoT|>|(zz-uUREPhd>skevrNoFqwR*#dj&Y#yq7%5#WBT7eN}$D$7aA&klnY&6 z+5F}6kEq%wkN;{{*f{a(xDcop=`*->(ZMYJ$wSj>0Gcx9X~Ilz+o8GQEpAC78|F8B ztJLcCjyUuQ4KpB3{wQ5*6%# z`@fygE7NAaQ9r4~7$sL@RbE*{Lexx&Hk#5!ZS5gQXsi+$TeJz*6TqZR*zm5jgKp)ZRK=Qa#^kSZKW8=wBS2a2UnkwK#6i5_(E%OgA@ZH9H{m?#pD?IU_vOSrESbt!6Orbx>woF z=Pt1_diJ+_S&rI;>MrVD9R;9&W!~n)wf(Nannf!cHB2xpA<YFE%P^DCI}aW zKORq~LEZCuM^p4A2Z&)EXqquUMe5#S9~*WZG3(+L*;FJTXO?}<9QgBq1T@8K%Z#tt z_o$h-x&x}%sk0rMfpGZisP=H)_w`93oK>nCIRi*Er8J_Y1*KO|d4rHtYarG=JVc77 zU`S3b>+*Qh_1DSYV$&0^b1XOmW|*a&;k8>qz7iZ#eegnukag^pB08pal!LH6MfUtD z0Zgir#jQD*mW`9t`u<&cmx;4(Dp=#$T^d?im4PsfZkTt4(i#I=a%v>aCf@ zjzydq{2(q74f_)wwE(`e$Jao|Cd6AXfMXgh=*H5LFjYs=gWK;;>3r^f;7t#{kwt56 z5%M)TGeK_IsXsZ&^UZXs8Tpi^-3!@8f<5nso9&tiua=^ZS+y+pWh-Eo`UiW0Q>iID zviu)8J>jYh@kZCbVuX;?#u$;xIQC^+rf9`=6{e4hoGemYQ36#R87n^=^@}$)(^ul$ z9%klT4wsI>8?jz1ZZ4vKT!VI=usCSYJ~pm5zB$Hm8p6#OA4mUlf8*p`VXgQ{ip!dp zLilD)!D<9gu({Fc^N9UY1p}%YzA5`b=IrnG(Z7@5S|(%O=+(y_Yj1+FVAqdosfY}s zeam0(RvV)F4Hiq;vOsC2HfLf!B(VQ}rnrDcfx%Tn+)Ge;Ns6{R^vP1lI6z{}+JeY4 zlE^&iIN;qqyQ=xL~YQaM3yscK2FT4k+zMyFJJ(pC{3npyo))jsU0d4)hh^U z-?&K?<~e_Rx9VbR$MxT;?ov_SUKW3!&AT=MzTc(`8eyON;t}mg+GHNAz|&W*ZS4Yf zg=G>-TRN*`%;1m%>6E1tKO#UYvj|9jri(SgB0vN|_4+ld;;N_wF?GQ&6t;wN1eZ-uJNsdi-Sq)q6d_# zGauC|j{OW?WDJx=*{U>SEnQ~BW9iW&gNfGl zpijz*F8w&!DR0iSe`#BSnHO#^-cgBBcBim>ZsOSxi+NKN#v4E5O03qidI4_IVme!f z&_pdq%R=O1Mo(8B=l+(SZ5zs&72fykwI)Apdk58RGe$v0O;C&PpHyjb2xi*ji3>{2 zfhHVW$$g^--qLdY@VrWfa-SJpW}SGCCOg}6;R{#C^Y#xV0}*ven)bsFPSA_V!tTyN zQ+rIE#m>jP<#A|gh4>N@Z>h)OBCqXDWdy8p4|qjfjiNJm z>@RPAP-e+D-XAdkBMPm3w$+dm^kMU-n?hg7R(J+&6JmBa5+GsQ$eDG9kuI-M|%cZA0j#! zh_$MeR3A4^Cl;*qAJAPj!ru7lz=jq!&e+&LoYS0c>$A2&0sx57IFJdNv@zIIGCV}U z2B+(}_%*vr#IWyO+Z0y}MAkM8NVL3mqzAww+vlJVXH(k}7Xj|4EzVUjG)3QuK-sU3 z74>^GU5D5VX+Z+t+vWG>V*+}C+1leT6*>>b=z_U)89yhU*8;@Xs+e_jA@cb4%djcj zA#&S6P=hIZSo1%QMO*u;74Qg}zi1<=g$>{OsJHE;R-c8KH)XjTiP4hpc?<;{SVXaYGCQQy({6e#Uk%c`qWp`V+(=d=Rh zK0a>j28q0CRVLJc6*w1{_BQIOaEUFa8C-C7#EC_dXB8|&xk=tKk&pzHFXSFgQ@rZ1 z8`;_-5Osh37E-^wGSO4uNLv8|FXcegCG_uc0JHDgXJL37PP~Nnu#q?2RtYdX22hf!#p`NH;!=+3K zvwyi@`#O3!)NSnplU0}Bz%FOLa52P;%H7;6+jJ^MZM4|)L%p}X@auJrUxJrj>#+kk z3nDt|@LHDpZ8d`@1|;e=k)OtD+ZE z`br=e>3l-m_c_ukD7@+cqJ!(;I|C$C?fH31-!)-AN@HekDHM`Vvi|F9dBM&_ag^gZ ztK>ZY=9exJA-lLrg@eOPv+R4$QSZr0uajD$26q03^&kSv6XM;MVwSxr7?q0Xcsw@Q z{BDPYME<*PN7gDDv^9@!#^fj!Jk{gF8SCUPe}_7}c(EiuLWU5)$&QweGWyZx%AXs<-3N?lg1=;wGN=yZa&linHkq)J<#8{2rz=&0A|grsR^Ag zS1FFv3G%L-=(!l4^FUw-aT^TNfIy&7Dg|JZzpd_?hQ=w>H2bV7(cxow;yyvy@hMv( zi&?_rq<4H5=$T0W;B-e*dwfK6Fp0h-yepS%L+=2Hv6ckT&zdjni>B{)T1-OB4F;rc zt(_c%)#Dqa{hmnFP+?4P91FUmFR{b2sim(8%%4F^yO&On+x1;3_)Erm66?vRV*&_ZeqImg*uC-!adS_6!X|m4T;Rgw&QD+o73nN)M z>3BM}_pqSTPtYnp{(YrzVv=Foit@Ps3`+@QbCX)Kc~&d!{zm3XWY?LN%a80ghMW*B z<4fzcl}K>*r9oq-Kozy)@$Z^C8&66`kS6qf{B=#;R0`+{3qJ~h7kz4gTcHH*nyG8f z31WiR$1|KSxBU8{m2UYi(uNQ9rs&%X{MY<{&4IEjzS|K^V<6toK%;=E-i|S|*yHuF z02A3`t-!TsOP>=Zrhf$GMG>^mz6Za9uYJ}dQ00*zAxKbNVg8h?o%e%$1VKA58))Op zfR;Neo(dy)AYm28%fVrbc}rtvq@5DA|BCujdolf^ORr~XjJ;(G&rORCJ^#srvtP>k zmri&nQMsbH_ynxBR(A@__6?-0++PUG+qa>>T{Pjy>DWj7DcEOJSUyY+t--)2t(k;J z02pm8aG9?iA@GNeH}Y(Qb#MYXLx$eC-I=NfhU$=LGYimIDj!bSQq4@J*U5pBKjs{W z(iX$)`Mh>>G0_NS=e4a;n~?vW)1evv{Wq^(;b z>Mb=7zMBpS{5#KFYdr3cJ&-*0EXzCn=0sT25L_4MEJ1<$W4Kh!YV-UcIf$l6X4dx> zw1Se>M;W&KPAGg=pA|&Ecg_iLAgYo#hbg1Dk)nUU3@!mvhrUN(xbswp5(2>5B|L}{ zW<^C;d=7fVe9Vtd4^9Kh81TU)N5i5N&Py504AI?jhk@_sUJOVr`7X$G!{ ztb*qkC0T%g+0=LX(HO zf21#gwJZJRlj9d#Jifo`uMj7l+XZx>+AEsXc=$64P>1@i{LHBh?4CK^rTN*2O+1wQ zwOuS`oND%~H*8j1ghnn9KEU+NB4!E~ifh%lzULWVDTb3t zW}v5-T+xD@d7Ca+tHyoD-!J+750<>*1l}-7_qeCyQDev2?KT^N4(`#H(%k~~Sr!}HJc1rj1bbu5ch z%Odbz&7KTP?~mNj>RgvHa0=#1Q`LW|(t+ka`EfIfw#>@8AI?r@{C?Oz5l9TB)*G%Z z-j(5S-xgQUNW#a0mvUSJ@Ai=lI^#3L(-RRv*(h|XV*7DJ7t?Gu2&~3}z$$JP%ZFN0 z%}Cd^FTAfjEoLw# z#R(er)#+sX%%8P^K9vsMulmYQNKAm7^{ux|A)X#UMgj(aYU=0m#e|r?ra5*2*)a1C zM`6l%t$TH@m$lm-%-cL1WQQn^--B^CX>mtxkaUK#O|d9M(A%noi#|BKKKBvzvIUsP z)Ys=eW~&m|YS7361*Ji8+pNmVO(7+-a(Gzfc8u4bsykx?H!k_^D+ZF!a1i~4p?+bI@sK+SPUO$scXl6bsF$r#vtv?lJG`7R zG<3B}B6$vkPH0Hlq~3T`zaN zHAGR7XKxnBIG6wJ%AS}1JNfsQ|KFwW5`(v9-;IDzj_LeyE;+QX>&;|^#(&2SAD@d_ z(J0%+ikeobz~ynuO@+w&4nuc1?Mq6f08)i9Tuyq+vn65l@~uI9Kjr zKavCUsg+*LuH4QHjrslQ`p{#kRY?0ZRSzX7xoOdwh0I{3i$3`tf{ zFgGb|VQT-Ibvr5RUP~_lAJQVpYzNZk>Q$Z5oT61ICK>ao)^K-CPvb)YmV1>Pf>1mE zbs(#>mwQqqoT(RdNiXxXSuZ#cIPj{8KEVz^J?fH)M)f0er>-jVd6Ix>(+Z)ktBdIUNDo0E%R!^VgpCg{lXZ&H2Xet%8^yZ{@X|_^{Tz#b5`b9siA?3U$>Cr*7nYyKq3@chh ze)w63fFA%MCkKJk=AjS9GNyVp4sl5bhB=1=_Kw9pPAA2C?|t=ynmdrTZ+UiYH_IEG zpZIL;Nkhs>ts`hm0@X`nUXHJDo`|2iSq`jSQQtB2+W$bM0>P?rWEFrk`LTMrkR3P= z*?Z>l5m%j&siOOG$FBw+-2pOWuGdJW-nkF$*5&Dw3^A9T7;clnw_mE|J`~6^dBAQ5 zTFIMODZ4B72)G@x$rG6CWgfa7Q7%qnPvJW*Or4Ry5DlCe#asR=y5@EuW8}vzEDs5F zI3VmjnX0Q^yn1?nD8ps7o**+pn#0SHIHT0*(0S?0$-%F0`bp~ZLzydD*#l*fS=e`9 znLn4+S11ZS|B$B(9ME39XS%>EE%VCi5+Vh+%kcZs;ohp^F}K0tG(wL+9|Id^PNN?% z_B{G!scgAb3@dW|<~|4HkOR}T4b|`qHN<@}iy!?o{CLa*ZPC8``RMTU1BouJ#_y932c_d*?p152AFHXnZ-TBb z5>pHCbB3*0!?Y3|8ZMYetgw9+$cEf zN^kPif1*m_o`bv|c9nGVv^4a&8_gMAztUoK*5}51IRIjJitKSqN_P(ZjNh-t63UW5 zuMeULWqGmaNlkXftD3qb*s&)vJ*E(uAuEq%VnyKDc6>2Z`XutfX6Gv{VRCV zW_UJLH7kIjazHpDXHy2r!RZfG|EMi)k|I?5KOc#M(?6!uM4>wIqgBkU14ej$L7O8x1PZO6{X6yWk7|ZaS z4xg0{T|Mc0`EPcJdjRbAz2xZGA==+Srb$SK0B%!k<@hxKc^R#>+3+ODI%;_>zG}A_ z)rEO|CWW+6OP~`v{!mn&#z6J!A~;4KUfV-^8Q~Y3RY#@bxssil5#aok$HCaWCU;q* z6<-o2ao)#dz6ZjiSM2SbgxgCqZ|bj^Qwl<|AHa)UcLOABbkpxZS#62U zKs`n+N;Z@1n)|domHThQ`O15#9K`O^RTE78tL`~4&{cIA5&g-$n)r9I@NamAWOA;P z+q>FAvi{yY+pg=lEF)?Lm58b3tyG9NE9~=rw*E+^+vP=Dz#*op9c4lbT(Va0-bl;E zj~xU%SvJ%Cjla0k7TGYs04tcR2 zuf+vmROx%M#M;{gQaC09y?Uulaql=?Lbv2Gmf75DeH_4Qm*dGT@>Um5>E9->viM_jOdGk&5BvWIx1GAcfiiLk3&+Uu$KyXtbX!hvZar$g!cbo z?@QpJ&j0^+w|2X?JLzB|lo;kR#+f^Ju7nU{$T`m3bZknl5K_`cAvr=4!fuBnQYj?Y zMyOo5bJhR#nOR%fGP8ZZ-);ZD)nhj`&AjIQet+Jt>-oB}0&;aVZg$K|wsfeN>}j7k zX>xB!d48=SYqFBwN`8KsfbTgV|GcnFXEdhO^%15h->3Ql@h*8N!r~Fecu&#W&iZuz z^MiV?o`mc{e?!=u-l(KMa2(pdq(99_={Z)qGcX-x&C(MM=n5}SW4>rlz|SU0$=LI z@*h^?`XU6b8DJO3%^@g!C&9B+P$uI3F5G;5)8!Z42g#!0X4#}Hj zt{?i=tlpWjgH3rm+o|QAq$9r#B=?~eI@a4e1B~8ofQCE`^UB=An_+i`Kg5@-Xm_fh z@?bRY%}XRmc{4&K@ER&UcU!r@;~O%}8NfZgz%l&GmJQb1wJ`%Brbkt@w?#o}#E$P% zQO)|lqQ!^KHdiFIgGK)0$Q72g8G(*aa=HbJ#L`)<)e%;1>1gf`Vk=hecJ^u;p^n~s zH-7%;{VIi%jhqx#LoKpPegQ0qPe)>hus9c{J0fgwHPkvLCs_Ec@waSl%O3o0ax2tv z>r-4R`iBjU1ZwA=HHuoRS21o5osFW>ju4CQ_c%dyi&R9TcK1_@s37(eNpb2$dr8pC zjXXCHGN|sJBYHySJLk7P76}Ev9>3iu3K7m`h;Y6Z#SQ(Gw!V~2PJWF>c3ik_5!N?9 zpQESH;@SZ({LvSy)rYCF-!JQq7CV{e!A*rBFb6HvAx@;GE08M=ZpiX6PiSeWy=4(= zwS<|$$cef+FRV?UD;}SZJ+4?<)aFOJhT$0Yh_mH?TQ$GPcVRE_`SrWuLt^^YV{$LY zbWaEju|&7>_#cyYeP(ByehE@=%?z>eFnd8~*K%3w9kLH^Oz3Y@$1XpTf^`jTiu&#t z?{jhKP9OC`VxYEzx5m}QsY5uQnk!nJMm0)!mDd%XE{PjVU)*_nJ7DsShewg5|NJEL z4Unil&rDX5(>LACeQ%t;MIdE4B*7E*zJlqv&e0ybkh8yWe+@KjZHjQ}j=p3S>w*?# zKNZRwL0I@iFmt}%Efuj%coXsMR5mXQQW-uhbaH(={z-@7ldMH0l?#aEEZ^?b(b?1`P6tjEX-KL8H!mv2H zXXMS^a@jVsLd~=)wL~aZ2r_&g(Zx>&j5~rqdpE@P^qSO9Aj4JqpG#$1z*JluSJ_G^ zD7}4osJ+@FK#lOQj!WhwXesIar^1pYN;Xzy@IhFr|KzE0FK%<`t* z)Bub$Jl^c1WzL%POB40U4kfRr7pfDDJ#LMggdFO0P!N)?;J>%JGQH$z8tE#x_HvCQ zZWCh#i3b486u)%`o4IS`hc-X)E0Lajrzpu`H9JR6%5cOb85JB`XPT^^IzMH3_y)&; zJ(Yv_TRY^|gkK{_x=uXdGNq?Q`^d#E{T;`5 z1g4Cxq?ji!Y$1yju@)6bjRfc0y)Mc9dGUZ!PHF3nS10a-$MF9Oz2_`$#+#h--iV8S zQdWo&xUDt3_17-WC723{O!>gLmG!x?Nbu#&BiF1t9%9p$lHF!3A;wQqf1BL@y-|*Q zKt?6e?{Mk3UqIK;Ik2fhBO=Jry*$w;OpDrpX(J{hZ(=pL^qkpu8D3G*Q46W3ZUHTI zqS-TQA$Qz~TsL_ch_F66V3h(_*7nicOHgN2_9HamKvLK64Pxu;LKkpjFPjAl;!<;O z;Lb+>+Gm|3mh|HCo%Zn&tvOtKw(V3c(jNQ{Gw3N7vSMXKSMAUZBk#2R-*jX(d(H`W z9ZHPtw3S$yl4F-yIb^gx{hY`(p3y3`!U~Ub>n4BOQq)y)Xp6~(L%tq|2SV)fe#=^X z@E1F?&`giAj*9SGyF^^|ZMN1v-}H22 ztaEvxu$(7ezcC$l)EID;!t0Fy%4zog>9oYh$e)_SZIU1q+P6@nvM!sSd) zVIZ;cr2C5nrc1-CFYk)y$FGdq&ynaW=@NOK8o(#8>DKkViN%2_QfH$>7owpYtHtQ& zV(i`KCSUKfuhS*G-y{$dA6l@6j}M7Fd-t+vf}N_X^g8v`dI#h7@H#n;FbC0Z4rbT*P1Ow>5;;gt=vZwV@(c(|>lZ;P zLXDTeh-q|^^cvqZwG%MR_~dtU`6Ir$2z_i>idNKM2e(Jx4_66hamq2ep)d#E$P#$% zablw>$k7YmniRA8Q9{}MmqbK0ZWE~5?+jw~t^;ytu_Xr~oFr_WB97C!w_7SOI+S;> zUTJCEt;`b|-MT0Je`eX@cdgO37jm@ZEDU6%HJY;DmXWwHcX=8+65IhQi5FB&uS~}j5v#<@E=-pF1ZFjk0b7c za{W+#&qH%Rf0pMz^lw{{II`I6; zPM_Fg4Na>mV>_K&AIs`!;m(jM@bvVdj4DW6)8{W*@y(Yp?MD|p4O_P*)%2V}g6IX(z zCE<~Cjm^kOMYl|V4uNK5A?pE zCv(QoDI{E%;e$mL4|-ob^cmQfxMOW~a3!y}RKA5@w)%tpr_S?Kz4Sk@fy!OVyLs*E zs%7yt_I%%--*qJQ?Aq*|T|>vj?MRbn3RA~3loeyVjo;)_J8M<1_|&h@moX1Af857S z{`K)$XcR&42-_7L2Vdo@5#nKLPogq)?1@=G^|<>vIyX6QZHW<%+&Ho8h-cc9MU!ytHh1pUvQf<-Kyi~=?$t?qXf3MW zYystB&tvvHcKU7!-0;kUHbK$J#r$(>V_4mndqFdPqH#^G?7-U~i+Rs8TD7#^+RiDz zolt|nZOpp6y|Jk1zC@JS)&p06Sn!Ra_1;BSI6_KmBi>D{`F{CUx&3=K>g@@(@P|%N zm~kz_N}UQmQg+?7R}IyxkL3)p%68(EHUOl%%{2LVn5{+WA<&JwN66lXv$YSJ=no_i zo*3}%=1zW*^20ISs|ApewT=+|OCM+%6@E7UTj_H zcScZZ>B%2i6}#N}Z3;{0a!Rj*Jg!Xn#klXSmi&bM@qX-+*2wbWaHj#*om``<4q z{O#@ZybW7Ka!%o+TuG9JN!ff?tKWvor8e7bmSpQ)C~z(&+$-s4xybZ0XDgMB1r8~% zUN5ArC$aYmlnI$7ZRWF7kzG~m!Z{FD3`uHxDsR@nd^J8B+qHUP0gdbA36k*p4@RgE ztmn@mQaX`$S}%un-7F!cAKMtQVptSr;U!Vd-bsaCv0cBYEs|R=KO_=h(2-Z^;OVk5 zNmnC4x1imz+>({TlLE?S6oPGJG1+3Xi2L;z2SaaPyWe<6*v3)<@BZM*aBN4 zCpcVG*JZ);EzsFi0_@E81L85*4QFN~th{N?AN4~twD#Uwek#gYA0`A+_PO3JHT^O1 z;>t%gbwk$=@NZ<*lhrxnBbhAsKvy;Lpt@6isF)CYK*EK4nOk{!N}gYy6sfi`4qB3S zxlaVAQ+DV#Z60#~5f-&YE|N>E%ak)s=G7OGg&Ht(>jOJ*oU3I|!`QKzPg~^ZRP>sN|d-w0f6}?l%6>IphNO8rgVcFlViiO^cnIBW5N7e(DKAgH58j{yb z3B^O3lF6M4HGm(A3@v&b1JhzzORguX;5e&|5}smdj>L_f@wP16AFQ*wD^e7%ud&@2 z(n+32i)vjB-AUTrX^_?tk>UB|G(|>d7y1xf7Wf@>oftU@Qh`<9nR=SbCwr{9YT~yh zTfrm%>0=`T6`<(NGDOcN@z+19jUC2Ri4mjO+}rY;qyNGD|19*dJR_Xw@M`;u;^CKYQC>K$)|kRk;3Z15L=zKO-p}a zKl3WKyS=72%m24s6${1+U9vVdbLR)L*{~MeOMTamzS!BD-M2BEbSg#}nk(X!!fs@ZO-cmkt$OzPYvh;kBbGwF{9&30n7g`usU`nHo$v~Rj=W)qJez&Q85eoSYfvBIW+Y2eB&0$uG*%Y zA-CMKYe%ezFLAu3o_N>LymK`6Q957xm`Pgiz8^C!qk9X|5-vVFWhRu$tGiNr>w=!N z(@?8%{b6?FuU*Y!#YRof=IOS&w<;`twX%5p_7FPVid3)Wt$D`da?lT@-Qx16_Wo>m zxnvDSb!~U>9$O^vxh-nsg#4`?s|Q{R1N3OT{Yaoy?_GY?h=VM9_Oc82Z-|xsBDrq`Xz>QSg=kke@l=* zpX%$~MP<#GMd#k*XrdZaT$v-`AO&6B*bO*gYD>UIBstltT1BGffLLX8UhVoZL*fx% z>!?x8j;q18{YswukMam`Kb_2IF&-EpI7mHU)#T}i1LPAhNia=@!bKx*gAyt>tgYPqjwe;9OpG(yXk7_;&^YXcV?{N zMYXnXlG*(pU0?2AWgEz4lJOUnsnq7RefLRy1-t5lt>^ptM`QL~TaX;HfjG8(N$Q#5lK4_9!HNqP>M-Ydg_qc#B0olR zaK^2P&bB)3=u@Me4IK7*`lSs!7D2T_THx5hx@UEToAzDiS+cC#=xoLj+0~P~z$n+Z$XB?o z4-R*1753_}=$k4N+Ail5Y{1XrfK;ZwdhurN3;L{C^(yBslGBS-?&=qmXx!P0;~sP0 zaJv{v_>W6IUI|{x!6L|cwaT$oAHdlp-Mk?SX@yCf10Jx2&5Oa*z;ueuwLdqb99Q{- zv{DX5UcFCI&S#GuIxG=7KNexrL5^f3fXIdv3W%YvmFv&N9jV)SQBO-7d|yn#s^uCf zD&nb)f*O|W4o^iw;~%W?JN~GB*QHZ(6>34407w}%R+kwcj%4*%u2a{7DSBBv6u2+VB2m zGT{MWu~%K+Qsmb>Y7%}bn3U}$6X&t$e3pXx3QqMy$>r^aZa&`QLUxd=exiHpliG@! zrjlwT13~28LGqGgyqW$BAg&T3C3`B=%D3q1;uI;d6UU_G-O?7HU1z#)iMET)6)6kj zMyqd=z)|kb&Sod9(5cwXE&xfjZFSI-UHf83y_D1ZEz(939MX})>xt!8YFf`;NX^EI zjrVb9of^>fi*;5Pdl}4QF*jE3du{C7#@(Q1s*x7-r9oZ&BVID5`JwL+HlLX7n{0Lykg z5*7++to_EcYF??Z9ApB$m2EEsyCXSF&p9hW`3NMi^YHo2_1=KlbZXXGX#L& zDf{H^jW3F&B(EE>WvMfs!w*Fq&nGDlv6>O#mXM|(LAo7ib#AQC2+OJdv!wq)1*w$@ z;;%i}Eiy{A@9o=I%`plU91?D$nr@?1isT9n;Zd)h18kCeS!=f5(jgA^X|j`7MlNir zut{p+-Dm}4Odj5!_y%rR_a4zT(U*8H8>6zIBgc5-M^!J}wdX@phQqcW%7F_}ZnjeTk(B-{%Fa{q2dZ)f`Akip6B3kN&l!8Qc>H+&F=KKCA7_L?ew@L6J|l~o+8ua6EAzWnh}5j)RfewDVe1Xq z`J)!r?HujlDXWM2EuEM1k)~oINe7|YPshqbVka^aOEqMUI^e5~m*2MQyxT1OcFiXK zv+?&-tR?#moPLUb(aZX6(^FoS5mt_8`UjQ7oFb0+p!SRTE+5zxdB`-?N|pev@dlN9YxK{@werE++_9zhZ}EudYL_mAYEIIBV0+O4O~j zemtWrcB35zMNq>c7m|8(ZA}wddGr1ozjdw~DSv)-U;HM3dDYfh1463pWC}gmMLZ6! zO)ibUy@f?kxluoK_MP>I8lfLH!;~G6zMxEP+7-;@z0NnHx(@q zU$*l^YFhWhc+-iNqWr?RXNEP_Qm%;#90_gL-5dN_aY^cu7@6;e>c+ptN+~y;y^ejP zpCfrM`rff1pKTh0B^?LNChA z@d+Qt_&l-5>V1+$8iv6l?!7kJISXtSx;}Xt$2X4yH`&WOx_0sUYRKzWyrH^rxei|3 zDd~Quw(i#PkpiLBuVNgWgx;k3o?Txm6}~sYVzX%RZ~Gm7gC7}gGq!sa(Zg`M%l2` z)F6%MW72l7)0#bHbjxKUxHckOv^67j(%>y`{mqbO&m*k2WG~JctalV!sT0UKW^^QC z!4}iqOWS21C^dJs==%|RL`nRj7UJ>`H;YiYUKG5&?K$a2^(c_^pPOVpQOcGv&rG_x zar=gXJKRf0#qYByLDabMNubpo6>YA{bqByqd@l7X^qk_2URmzK19cUZxm{v=<&(X2 z&A~AZG)Z_HmiE)rZ*W(SC1cA)lKU^DWY}%Y$&(vfS>0!)_wWE8C1Fi3tFawx(JPmU zq4m4?!cy3FS=maCa9G&npZ8W&x-9TgO8oR)%c9%bI$U$=jj+FB{VxY{nn22WneYlI z*4F9YjJ3|w2K?UJy(@9`H&Sv!zV~goQbMI8E!8)1?x}ala;c1clJV0z!$(T#CRwXh zrIYbwN9};?j$_}z+$N2%B}S18qm%JUHp`=vGAo|#1qbim?l?J9x8Qr-c{#%I`K{cX zyHtLJu4R?Gl2&6ZL&oMRAOlyPb{qh`_3D8HOmh;#)yQPIL!4LetnRdr7&hPG;DyYtZcfZogg((yMp!NCsGh zo1W&)S$-rcngatYoRZ`7=+M4)sjsMY;T0bt+*gWdx zmHngZD;dBv4OB~4g|5&o+8Yf6^;+F6GPFwt=lxu>9Uqk^E`VCP4+D9jWwt4}6*in5 z6`?z~9a?PqL+bqg)eCBN$6Lhdbl$zA_=-QS`s!qcM|lW!$Wy$EXsGRz67-vIkGJ8D zyq!2_hk(5UjmeM1mq(`+Ty}oe)8{kbd<>RuyhkB^IFS!T=&Ns&YBSwVS8%Jp(orjD z|2}@Nf+}G9e42499ywKH<(@rh!OhEh^nmI-|2Kw(y>Z|9Z*hKRpLrXGdYu;Gd^mr+ z&Oav0!q5FctO`+``}hHk?xe1&O*bpl?qx`EN-Z_3P&Iv_Xfs?P$nlu8?A8l#uTO1# z%(^^2<(3!^^!6CET4E^D0&%fBi(`{BtosIoxhz=ba`G?TEixQu?CdY z)f|?ry9y1r)7h=o>N$JPhXz|!)Ptm9ofRuIUbz0!Il`7_b}Fgeh|Qr_6yX0sQ4};i zvFd4%h6Gfrp0e)9*Ba>c?0De=QuOwUG;%lfqI_m*tP9a?o~A*Jp0YJ2LOyR(4<@juuqfc zoJl{EyRC znJi7AIPF~$o#b37Rny3p0H|4}2b9svMo;k92DvzpO2gzc%}U*`44fGh%$7r?`?Hz{;5$ls91}Y6I3w$0f5lm3Vo?dXi*9Eggruvk^ zo$zcnaHicvam?YO zIlp>wZo9pOJNul^$+gLm*{+`JM$=kbv|cHC-|#OAd*wpim0s9B>JWZIB&{S#^gN5V zX~X6~e;I)hexYoSm~~#d_s1QmozXX_W4CLh&vmX8Xc_N}dRdmOX|y5ndAKxT={wICNw9%OpjK4Z2)Sw10TylCkxNa4%ssPM7-;@VaZr=+V}Ge&T>` zZZtKwuJG_cJ1koSq+X^UJ+;7BG0s4Zb;!KcCzH^QFHZ*?%wk#zc;31 zcg?`=8oaB~5$79mraSUX_nC&D-g=I-SM?TFr^iNnykhB{N4fuO+tJK3DiDwvR=kkA(FyUoIL0o(;Sta=9#r8M#b)iG%7br zd4o(+dwNk?b6tSly8`FYcM?96Y;EtpFFG6L*wJ^1Shk=Bl1Uh^bOqOG|xwz-$1X`^e-NYC}I;vLVnExP+u*D73W&49ai zOnBl&o{|Vx(KU5d?1>k8yBb40tp$cMl3RASqb$7D9ygQg5(cp)=@yAQ?Mhzsj_lu+ z8=_ZC+~0+vcE8!CM>&6>rNc8_u{cw%FPlsEEV{)Md71?}W8|p8vjyYugo7qMOhXbg+Cc_Tn zMziemGV^ya$Wf`)S&tF7|bIg2EpM7K%oVr_nsQF0@D z<>?BPBKg9pt3Rna`<_V;*`^qEDdzbj2mP@t9r~f7YmIMpR^WNBjJy@rx=alj;4j~j zlEL2oB4?zxjClSo2lauHx%<#4|iQxG}>75{&_XM zJSS~s)YMYaY3C@mB&AvRvU>VCu7TYW{bd^c<99F#&r7zpi5iTwHtjxaZC@42+3{4U zCbsWf`o+%cPD_Ni3PjRM9Zl_3@mvMMm5&#`8XeZRqMfD0xsYs^=Qm=+O1hfcloD#G z*kVsStDJdoSaW*t%dGSTIxGs$H47!J!d7TbI6oE(px(Fcy1qGIB1U+S*V}pQq<}|E zK*0+)HLa?0FTH?eB8K0WM-KA(?Aeyo!T0i(!`=|<*Zm8U${NOE%Nx?X!!jPcIwPP! zw%nwLu4T(9_4K?vSb}~j>Io~aKiu>#Py98u(4|Ob_wz~7053Te`Rz@?p6@Deo_s4z zmxTtN_O5wNyXUe$o#+?$SDzdz?H_&n%;)iD_NI)A%n{E(s!biaN&nF9v5dW@w@&f( zH|4zb(Dd5>cznm{O!mt$$UhebnRFU@WG6Rg3oOm9yI<{UoOj>BvOot5#}urr;Q6vq zlrP?+!Rod7%9aO*`Lxn67r#t@QPZMu|Fm}f@sf#)3Pq2cMtcW;dn;z_$L@L|24B! zkM>WS;h(&HOtPq3((^l1hzYf4Z+0%_?DVT)<0_JDtgtF`yEPe+I_}V$a^&d{c`rIo zewh1?>xko1&tpy19VM#$zc%qrIw*}*Hcej787_XhzaN{BpPTz~XkYqDPM%TwJ(nq| zm+x^E>xO!4U407`&W4H#M@ts*}QRgLG-hN9@h`lm%)IRdw(JUK@7z+KL z%DjY?&q>BSoA(f-e3tETT>9j|oLZ3{v83oQqYWJ;=G}uon=5%lh$GK?d>)#1Y@_-( z$;cEIi_VepsJ#@Q(-{f{o=eP?d}1^Uvs@&5g0in0_oV)6bM!`jb%=}2(9pzn*TYpd zFPCni--D+E)#Z*N-h5klLj1xvCx%=V2wp^fbkm}QzrU%8cQQknw$qW};`(ik8&U~p zjq(*mw)u;R+VL&xIJc2lHqX;CRWWnwU1^V^BKnopL`F%sGro1M2cAx?xR<1&m>%3( z^)NPa@a6UV0@spmU9PQ_!Zz4zH@yZH?&G_ug%Bz~?=ZGSQ2AX`lbGF7qbr0rZ?793 z?lCSA7iL}IJ>=)oI8Rm6%Ey~RN*0d#X|a!2MUOGNZf&fdoyrl*bHN75p5k!&4UJ9p ztu=@1UG}JpczH`S@b^Cxc5{9n)+8w+kug#o|7s;Xj75qpZ*Rn&I*#7qF)Ei+fA;w; zhq7_f?Yk!jUkS5vM^!bj-ijQ+dT8?`wb7VrX?M{pgPN7w_0>@Ut478aY)&12Q?C*3 zb%mQEsYYskl38*i2j4DP`c2Tfp*aoQWSQf&_xVVr&&PPCUzerBRZj}@=1Qi|ckfE8 zBD#BOv)zC3N|~>%EsH;5@ilW2dvI1w6PrupL6_W`wjSrLuT+(S(|b1*Uq~M70xMy6 zcqV%yji;LQ*HH@y1J-KRbx(K=UaIxKyuPY~ZCAntmXhc{&N-*#4tkqhx9ITbc(=DJ z-zG6qd$%|-V*Clki(JAsP@}eE;Nt$umLo%=f!#NZeasfta+YSrKOdQ=ob_J5t#4Io zQ;ZL8l556QCLZr>S=DUlw)x)Ond}S3mLejk#1^Tas#WWvfNW95Q)ndb4fMvy_om?$N!}$RFpBi?UoLdkzRAnTnB35f4DkrKarTW{@cIDnxf|`djn@^5*{Pqp(L)|9J+QQdzq%k6EGqc=ZU;}d zu2+|8dq=!`)-ALS@u)326K1l#o2Gy}n+uk<)=3q)r5g7b`d#NPkEtgc`Es>tvhTd< zx*s%j2BFCOS6s_P%h0nr$BnMB%T*P7ixS1*R*mHIfQfYU*2=Ei=P}>*58OWQ-N061 zrR*X8ER5$z+y4E_Qgp=a2ycXiyYGI(dexPcty!|Nm#|NQB6V=Ny{-&mFyqM4T?O5lNW?$nWgA($jq|dwgQHL)c zeU+L*dE4-&mLt8Vx80#nNv=Q=)Q^{PL;jwl#Zh&~O74Xjc~hV1ONm>6VJ14PvP8n? z-IK#-9yN>%Ek3UHawtE*DJI0k2zUL$(!*EIJ$V!+K{uwvb5WNDE`7@zEUPv#>HqJ5BS(7Q?!|$z8z(y!5KUnUuCv9MT2LH?I?Uv|` zUH8JkmdzO%zTU~f7eERA_GzBm-q%uo!f3d;&#T0Ol|5WGLEVU!yg07bSb!V^&3<@-m;}qPX`a`n9h6e6{s|h2w9``7KY<|k; zxs*Cy94X$OdXYz_r4ltH*R)iq*2HoozGu%Y=C5zZV*;;)G#6!`6D`VSZz#EsQOueUH@5^^)R<CCk(_JDny=4ZfMIe_8NwLVV4gaL+UD6A_*sy_{|7 z#=f@WZ&Jy*#7gbW-is)_cx^rkpiygle_pSfsf<+q)uqtgY;^CNOU%AW}{ zDx4Ds3TWK(JDQewbvka5^i9#;MT6?p8zUOyOY*mNR6Fb*&KMfDm9S(Z*7x$brjAz* z)DK35j+MK03dFw@I6U>=oS~>^HO4OYY=eg8LO=eBJpf%gEaYy@=o8+Tc_4GOYHF01IpQnY(BDZVoKz1X)vdb@hhPlDz3)GdlWS66sjubPTT*cDq?yuD#hWzpZI3U6 zcCo^s#%5~KulH>Ox-sxmD>uZD?pUzg-xDyhXX}X|%tUJXU5Rr$K4 z^Lpg;XdA4p_3tw%JQ3t6=iZWMCwGx&y=)ZMjUAEJ?Q&s!3)eTcC4_Eb@#ord$;c<< zPI`Kbqj)D(AYfQlGSY`nDaHoZ<*k(U*uj`XebPPbDW%GEP(wMjl~t)}<)GeOm%`R# z9KD7^BmKqThq*5`v`o;Sz-Oht^13uCpZf!AuUB>yUu~__alVkYjs(5KFLt#_+}-ud z;Xotr8zHX^ubHvl4jOP2uV_XuUOIwa6#fpw9abvTl~yiv`!N3O$)4hOcN{NCDOU5> zmW=P?aagF@9r^g`$vDoHCF?D7TiN?+IMuEd^y{)L%_e!K4mqgRQVrYfPhibkeiB)u z+p84EUgWZ>bSVGs{Lu1p!(!VdD~jtUo{jumq`vB{8wU64YFyvhtsQ;Ji3P!~TokW^ zl}#4W{v#z%&N>GwUf)qGd}Qq&mKA2fcTu&r{u>A_)e*-cF!k+n;wa-Zk3rOZlh&MA zy%#=X7FT1FWsBpCHoHLexRz0o=cv;P7C(ios2w5A<*oOvrEK3wCcQOe$FR&DbXud$ z+DeL#aed%+GGo2fYHFEdBWL*Cq_)@lYPUo_y)m{sXUjU)y2qVpt7}6-`x|bgIp}3I z>F^X8gz|qQUz51GHzhgvXI9%gTxSnP5X;C1+qyC%^-J0DFO znRLEIihpeA2Bv=AeYYE@iB|^NrJ8)QH(ziS^69->Vl=tQdryRGlXyAq5h_#6lUHqx zazvrFe0m`3!PC(!m-6;jyofkG{EMZRueyjw>(V?SL!XIv-Nx(W&6*RB&`Ecsdn4oeG{#1y84fr&Gbxso?2U@N_D8Iu$&f z3V}|AK&L{WQz6i)5a?70bSeZo6#|_Kflh@$ry@kBB1ESmM5iJ|ry@kBB1ESmM5iJ| zry@kBB1ES`q*Ec%sSxQ@h;%AMIu#^HFfQvtV3N#7H=nQZmJ&;F<14)4uCQRD` zO{g*=fCU!B0^`Az1_E%cKe&lBIn0Ov4vrcph)3Z-zSH>PLjuekA{K-(4rDzRBrXo~ z(H59FM7$vE9fUp}ZVmRMi$qfpjJALU$%sV`5Uw&7H~k{fbP6K^I8ZCdO~fJG_|Z+I zsUe00U<)|Vj5y>bVsO)*0!?!q1Oj`lXo@7J-xyo3u60vY^vBc>@ zm1cr6B0w`Nz{thJ8Q=&X5n$#JvEW?L*clA_kJ*`K=rUXZXb+lDML6;!nMkvZ84*Yp6@#Dl7->d3LjrK!aNrSB;ySR^(E6tl=L;wf!00$}vkq4lur)`1e zfG{FJJ3Pcvg@+EN&U6CI+(Zl_3qU{84)G)1NON--t^oOFFiA1+&_TFPUjb9vnfCZ# z1qcD`G;19Twg+RGhyf262bKf|c^cE70+uO{1q+Tb;xAyq(S@`4a0^&49~rLzu@7m? zglOsM%!CzW<|bl+jS~3(a2uJDKM4V6f8( zFr}Trrl(nHh+LR1k+4j8EQq;}$OFXO|41HSX#fqQn~3;};O^1>$C9RBB&IwTnz@fW z1>|vl^c0x(SdbOawjenDDKP1=05c)-0JQT*@&HQ%n;4w|j%HwjFhNAxN4!A8rx+2y zfE@!W4TS+!j3Z3H2h4dafT_`ZCYr4{O*>1>I+&J&kDsg(;5(1Aa4NXkrj< zobEd#bQx~}gV>2+rD4FP1GzYD1x$G?G>L?)0+C4A>AXOLO&LB$L^mSZ8BuN1K^Vk% zW4r|%Fg@)iA~*7*o5+;Mf(6f&rrJO*e5~4-@>np4^Nko;h^6|GKw-*bp=|-VNbvuk z2rzRKX)qvi3lY!dBVM2Z%?zIcg64tqNmFft)2wyyfS7m+;AjC8!4p8x9HEa0Fmn^> zY8?n5S6O(Pm4^6YOxyzm27^ma$CIY7fGLj!tP;9BfP?&49?)=hMu&()AP@u&L`2(1 zCJs{`3l{VO%|=38pN|PJb%+QTA}o!VdxYuAl_`&f21vp?Y3@I9Iy*Dvv0xDc3$7d% zVWy8)zzjRT*9(YDoURvWkqJgmVFqj+%aq451GbK3&SUvJwvLEP##ea;Y#jl6855WR zTSo*nV*)c^>kzMCg1`*eI*uui1^0Pu9mkZ%G6S}b0N#wxUo}%77R2@c47QH=VvJXS*atK)4uOZK;|GZ5XH0;mSP&5A&)7QR1u-Tt1GWxm022ge zz}9ihc`TpD))B;<@fK#l){(PiOkf6V9YIEzAb=PcpT^b^fQtzNpU2h_H;M@Yh`&HH zFc4FB8nTUeLQD|&JhqMmzZesk0b56KI>rQO7V4+5bsSS3%M92$*c(i&U&gzFiOT}Fax%ZC@sbWX28}FT!Jxy8L)N4@?%T@ks6=D)^SY5SZ2W15%ZDp3b3EY z))BXwF@YJdbsUp17R3GkB({!Y&SODjA|lrRjIHCC@>pnSF0ul|^_%W^;+XSTK98;A znDSU=z}9h0#aL#*){)Qy6OZxp*gB3WkA)r@`6RZEV=l(>d2AiWl*cjywvJ=YW1*Qi z;4}UiTgNfwvCM$2~kp@6~5?jYH=dpYqTgNfwvCM$2BW5(?EzE$e zBXBDd1Q2uo)7UzmX^-VI*gBp`j|HUBr?GV;%Zl+9X28~w{2s;xX290*%y}%I$JX)8 zc`P)C11$DGW9xXPJeC=-bv$z(%jdCmJX0Radkh5-t?3vFo;i;NEUC|6>v*O-mKm^h zJX0Ra4A?rJDUW3aY#q;($1(%9j%UhanE_kJGv%?&fUV=1@>pmQ?@wdvc;-Bo&tvO& zraYDzuys6B9?J~aI-V(yWd>{=&y>e91GbK5%44C2jX#O4z}E3h zc`S$y{|vScMF&iX^%<~rJX0Ra4A?rJDUW3aY#q;>$MSh>9nX}g%2{5@w8F~I*$Lz@8VZCwXt+?q5N0B^SuT3>F<=L z+L--UzYwV_m}!&97ykD*`hJ_pW>5mk@a)WJ&yxO#H9vY;5k-F-AHOaQuOqbn^g8kZ zddbB5RB@!_V`{7P;tpCh1?@QhxFjl;cGk34G$~}L=YTj38i}}(gwYrfLg0?0X(?V< zA+!)4B2UQTrKyf)6i0Y3I0hhQ=r2k^ZOGJBlp;G@QtePknSzqZeiJRSqoqC6hbU>G zw(K`?M43Q!iHWTfN*rZ?@~9UIR%PN$P|R+=cX zCdJvs(M|+q=VD`n^5*;CW4lajTqy89TT8MdRYyyD=69>eXvv`9>z&~fG8lk;h43?f zKx-d`;%shdgM5X8rm&`zD%u)(cE(QReN24P4)zA0ocW#Bmd3DU+94swCXRw_pj46l zplFXx8bzks*}>zXIx-|=O>uXH$AcX1A0ML8qn*#pAD5?6o$VbhpYK;Z(`;o z0!uNbErnm&SjyIZAH|MpV~i2RKn^Sk%P%M>h?$wD?6i&1H-&6Z8s$hKQ|z5hOyOu< zEuHtF%xz5UEGT>^Q>wEw)t29u>SE{2htg7&Wa3d+ECokWU8%zy_v1C8I|lL;AAEM>;NhV)@STwhPF2|r+<$0;c9uln8@@i zsQmuS=^K=$oj5H0!*83qx`v`CgbBzp`>2+1*~L*N_V#Fds=bcAD#gx4&J7KJw{hRI z1$mwC4_?``RTO1u4zEsqZj(5j8MwKpUP3#$m_iXEhH1AvW^|KP9zfj0J@RaWj8zx@4O9y&rJ+ zADjEsCuVt@0dFJKtAEubfucxg1xCw`MR*wj=Fvp3Q6M`EjYY~Z{&wEh(q*9arg&Rk z_fxmzy$qPf+BghJkib9|d==itx}jthccQdFO_TS5N(K!Big+ie-Kjro?{lQOPN`u=2#yyK z4eqS1?bI0|**3P8Hcr2v6Jqt4xucvwe4?h_;zXgKJ}^+Mfr>N58f`~$Mw9IX>@CRx zSV0IeVc>T>L`w(+3cPuF)xN={+~tv_{5-oJ60 zpu`e{1^Cy=rm?Nj!%g@&HtUvGt;7GS(yPVzwcDRJS#({D4Iws zQ-z-pLBR%3p#>&8I$U%fv@N#h_E)Ju}-)XHgkT zN3sjxC8((n16&6dvnjM)0^HW#9^iFns=X)*3olwzDE3qr=PAEHg|eSwgOd6Lp803{ z>H}W?{O)EoI0Fq1wUB=uwfMst#~_SO>&W!GHI6}Jkd8cmJELo2h%;Z&_gZ|`8b<;s zv)1_kz2L$J*=m15X+so&0J(lhbb$cLH_%y(9&Hf9fYOGl$gjZ5%&{^9^Ln~DPQ()# zNC-8mGc*BY6wmxB7Qk0`zW-N7`mFO!3u1m*ED`fzx(Bm45RejtMsmcV_a9maDS#Ie zMB^dP2njM0g`mLaZ)bNIMlruB)jbzA$=E3Yv3jO{gooFmWy;^r+*k&Y>RINVmhm;q-2V#Z{*vrPs3t}WBH8i4)U-N(3@|y; z!bK3$7@=#$-_P5)S>B$_0-DY6o1WqKLBZBvP~12nGzme@F$AW0&9Pl{O z@ak_@+z=IGGUh!M!I>G5`zn~&)Y4|7V$%fCY*dU^AMl@szrQ3$4W>fS6I*b?5C(^O zH^}8B!9*Qs6^SO|zDACk4wETrM7-1v8EECO^RJ}zLQ*D!DuBO3E_^Czt*xb{zLOs- zXpBeW-GtBtTU+Cuf@mT5IkOy_9>&HB@~cox%uqXROq`$`5v9G)(hjAy4{A`XQChUr ze{~mU8%w)C%69(94CaULMe<>#k-S#}+G-4^BHT#kE3J@*ybscUcbbr`O)QWcS}l7D z+0q=UuV~d}P}>8)@FZ!i&t$#M7!F6ak3u&5sqM~2#2H1zza*&*n$Dqt>w?N<+c|F0_T zW&_{r~Gd%b!~nefkAL^y0iT$PxbvqJ^;0Tr;XB*;U^06fARokJzNGJ zE^SWsU)7(`77dMbouXA-Ld80a?L-rxNf)%jhVtL9RNTR&0-eH&XTD`$#loFh+ANGc z3uBWhAGf*r4`FP`7WwPN9nkj<@=3rj1{j+*)rE*ubs_WDpl#MyVRRV`gH-P+LwOd? z`kxrevvAfwv6U2bfcopq4WkGk%^R2*n41XQOd(qo5>6227D5Yu73S7tG88@AMuFb> zZnkji|6bwN2mPs;_jw^+3x+q+R`vIAGZbz?OZ%@FZDx{_XQRzDEj`@drLb$ z6u>LH_=R?%EbZ)}LBf8jjWaYig;ok^>@JkKr5p63w5K{*I$Q3iprC^Sya#%-0q};! zeHs;ee@fG%-5)OlWn)JDi2ls5$>$J2#tWG)0cZY}k08L$5ue5W7-4^3l-UMYpCAk; z!3yJ`u^>IOjW%NdhehKFUjzHoVIVrD5CIh#)!ph?ej&UdzqSHKkb#u?I}pH6v5U5b z{7!yYoiPUQhQZU*)&63(8bShu1GHY;32AEd1}f7UNh2+{ohY`Jv}V$lc4p94+J2wC zB-I{CI1`5s(@1w|TN68OXA>uDariU*-PO*9YGTH1VQD4~vq=O=gg;t3T2SmLjwa3^ zglPS(X>G&#&8K>7^Z)+O(B$0Go@@*P&c+pz+>HNt8Ex_* z|3BQyRASz&AYvqlz9`KOYTz&kd;x+8nU(_sT|por)$5=Z1;0)a9FtzpY!Lr{f=&Lf z5_D!$?f#QgyAQ@)F%M`!&;bn@w10G>K?-LCaR{REwK~zr;231MPnjA2^}xeF*pt!u zr**@h4Nv?N!xNyLzW~^n>P`bAE|8?#-<#9Gh)T2|>FcO>CLN7g^**cK|1~*!9}J`X z3+f%Zz!H#VG*hT05x@haOztbHci~UDZtsz@S@r%87c7;Fl#RSd(4F|nQDt85uhh6Vju`Yi#r?)i-Fk@ zFf8WlwZ+=Oq`|;o;`LXt6I2lk08#Zjkja&_nEdZ*6r%f|(pc|7j_JymHkukCv=0c) z{J~Et;GNJbhk~rZ7@j7Q$e_JrW+Ky-@a*WA|Bq%_{rp!7-l_hYX(gL zrd1W-VJ`j52I^Na=V$v~QD73+Y-{=Ht>y88Uy@P-c)TERFpeM$1E2nIvvESuwgdMy zx=-(5&=_V4Li_*2%|?coetl1y0JBt$)n=XTP2v#8_&;f(Ta%qKx;3tfpz~Paq)aYZn*b#VX0ELrHV^##n0B|>66)V)EGs}Kp&UtmxafnIb^Bz}!dI!z|^ z_H4^}v)KUI|55k*OY+w+Fb4)w+@VSx#xE10V=j!2K(gK;B^H`De~tJUliv5N0X}Ph z|9cGZFUelRAStQPHxr3MVVqRRfQzCaT_i^G>$*YUy zn3lqeom7&;S}j)+V~WeOyR@ZLo&SEm2KLhE9uYh1V~q|u@&io}jh+V3=nJ|VtKxbj zz2h#_@6#<))c!UslDK%0rpe(^3l7h-ET9&A3=VG!CUxcPZYRa}kl)@{)sSoI-luW| zQaSMYS$mt-Al$R6=|OW8t3{+(4EL24mRTn;pMT#{R+{9nX^(7zr#)F&$8o^7^)Wa} z<&Zs@-pp)5*m(ASz8GCTh@SrND4NCFPlxTsGemsosTarZE4HfhihW}q5IUcckNpT8QE zZ}&&z@y%>BeoxP_K8V)e@n12v7GN#y11e&eVgtUX6m9Uq=V%TMO$`x0~qm!q4j z@tf1oNw!O19Ng^AipPtucmZ;q!=7gGfr-0#5prk(r_L&(rZ?+*v;N+g_4zKra^#{b zkd>0gFzdnG2GOs$W3!K5Wu}XJwbQ^et}EUiev1R>X_FZEfU<)3yNbZrooFkk$KOPA zq^*xGDX#99$qb3@52DkDM<-O@`q^+l^y<8?ozQRu938tchpRE8XOuGkYBcsl_eyqd zCap<3S$+rfk-DWW0s>H_x8Oy3zkB@X@i00(-j7Z45Pv0=WWLl%MpvWD>1(Txyq%n1 zj0VW9Un(5?*W;J_q-@>H#-yh>HDqx8^XoIxS?1G=@!8dAhB5*Bv%%~Pg;LSH&h6hM z_5YvM@BRPq%^y~$urSzOP5jdvgaI`Q=i?3rA(i~G$wN633Xlf~2Pz)4giVOo$BIre z47N-#=x;guTh2QgWQ-_-T{yWqs4{RaigecS>fj}LB=qBV+E|~jh%iECty}Z>EFECJzk=h+;=lY04%zLd}uj>OufWy&L9~6chPWYL265(zF;$wYPl($L7D~dX<0^lm=$~IkUw<9hbi&W+7yP4|*xO~d;YEgB{L#fhjjZ>zKN^=$G4d5U^hamC z=fyW4NR7lM>uW?8lv?TMV;p#eRR!)Za7RmwP6ZhilK* zt9MfAUdg7UFW*V+FeSawTeAsCT&wgwZ}+@?Z+Lr`=&uy-Bjz!lY@n!Z$Zk}lBI_Mx z=Zd(C*IS0$R?dlUZg&d%9`3x#136nC!&XNW=J~`udyZ#Q@dD8&SLV5j11IZ_zV>xc zTGOKSUiOfeeV5QLLk}`hG)RuH1k?loe^(SEf@d4@E;#$!E$!_7U8ZRK!^pbXn{LFs z7m)jRneKW>Hv+NSE9{BMk#dEQZn{{*MO%U>(2@eg5)?n^B&+gtOJn?}B>$^Ps_%Y0 ze)iWUQL$0+J^5ajc@j_@K8Ad6;#XVCr8>(G(st2c)&BPs4EEv@FP@|9-Lb8n_xxsY zd2uy~T%DEb_;6WpCEAY;QNQKp?SqKQT|{r+M&C@&-$(x-?EhMIpHC*y;l+419lyD_ zj$YV`F7y=p@%3bU@#^&VuYR{S%aQdLtG4M*P_@v zF3ae7#j+EfJzx#4{A|6>-s|kwr`Ly*tDDE~4se-v`5tK-`1v3jy%?cz?;O|5_a<%z zk>Blgc9c~=sB(%kr3@{IZ`6COQ|R!hWnGnByykKgs)V@W{-l2ry?>YKZYEupyM(}* z5|`c;UR1+#$T5l-qHN<>e$o{m}!VFM)1~G8GpyzF{mYA&v(fDR{>|dzay|eaGz>;Jiz)gK zman!?XM^Qvx6iAn&@jEanv7B9{&pbla6si*2lCa)==J{cx3iOB66f*$vq!`ICoj%u zp3Yv7d5#RVA5r@jWCV)w`wo9LbaXwNzJ_RjOLP1NzKY50k6yide8qAOY=POs5$fTh z?CyNPz9~!N&yx7jXn}n=kY+YFI*PhC7UIp1s=gZOXoeR3B(?UjhxlpzT>Z zDT{+RfpY^T@9|h=QsK=?I4u(td`!B?sv9Pa3DTPjhP8Fm#}M_beQ#KMr}#j)EGT6* zMO+}o5~XliWb_ypqKNWNTo=Q%XYM|zzYps7gZj&z0tE3AZI0wzx`aqGsN!W}ZyOUl zWn+>qYFi9V;HrNmxlJD<_xVbgxkgxzZcm-Hx;VD z=-XaO^o-s!`h5%|*d-WnU0VmWbZV;VysE835u^7W|4|LRF2nt{OwjAY{ra%|I~ul+puP62oRlfbSt56S^*Rz#>gfTME?-C5(udZo z+`Ypu5^QTRP19d+*;xs`vNapW?lDwM)brm7guC%oP%HD0AN6y%wsM zfxxx0lLVd9EfseA#6yzhFWS8CkmsRHzK?NB-gJj`a!ju$CGi;!)^~_Aa_=S;_}S+A zI*I*S87FTpuA<{NNdEcx19dY_miN{BbfB+`W!SIzxYaV~sizn(^fi&&--HKl!Vz-X zUZ$_#YC>{71*>k}YQjs2#&qx^;!(2g1zDeG+vnNd%{*JgobABMC{|e`sMY4xW+*s~ zLO3xVI7h7vl)36AWqwQJyf1yz`_S*I4}F*Tv;^-G+jk+57KZRNdXt==shabV(q(5(>5Ln=8Ux@a%y!nD#uJ&R&t#Q?Di%Po;l8nT3 zN=)8a2Fcb@D>t`qEUyS9lGXbn)Bp1z+VEYI$v-@cdq>)*=t!$~iAYOwsNe~Bd70G> z2U;A%vDRHy_m;U~{mu99;v?_H_9w~OJ4L7=tOcSQ5o&lL0)-n=RJB4aAz0hyAdRyw zbn>(-x&6a*F(KE}?b^V{@S>~ISp5?cRNtxhl?Qy~^-hs(s3RZaRTw$~iUQ`iscocN zlGh!TmL6{Xm}_sA_h$KhsoH`J?)GLmWrpQcr3E$DvNT7Hwe%oC0ooL)qFK^~e1H0f zKm6f#3A;)s`TqIS=ePIdrf0bKj?Sc}Pv|94ND}Q7iM1>-L{~d8u6$M{hLS2qsq`*3 z>oDmZ#C?gOzQmAUVyNCJ8Vm1=mU!oYBvG9~%|rr4L1n~HKCJ2@tFaeEUqs{KU4L2& zx=(wpiE4Wn6l<`q?M;-m|N(Tx#qG?@s#C z-Y8$*R4TkL?Hx@n=aaT}Zid%bqOal3e6v_we@?&PAE`2zqnoSoo6~>>zwsvT4Nl%) zPolw#(d)^4Z}683MD_e*ucio)qrHFa4TjU3t3_n?{`}`v9!AEB2k#AD%%&*fh4NBg z{N-XuuiZU*@+F{V6Z;e$%EC{gFCKk`5bZ2ZlR7T5I!RU?rhs}e{N{(pKcVI1Vu8m1 zzIb|69X&jR`5Ncn9DF}K{M++nA1~;QQUCwS7MK5$eM*ve%8DCdXujOTWPqX>Kq7+( zQKE&Kewe&l;7umF0(T7$kr+b8%n=*Z{hcyS{`9A4^;w}oQ~M=u&=<4GFBq3TZG{Ez zKnW}kKw*h*|8`V&TaRkd_{1RFMWAs2ZP8@74_tk`MZ?K^h#GTr(df;Y1yE=LD}g9@ z9}Oq(VU!`_z(?Z%+M-EIfrgWZ9gxXMa{vkr_U&!^${_dOn>0?|LtMT{G!CFGn#7g~ zWIK(MhqbYnzRyDd214 z0NSESoRUYHb{Vq$VJ4QX@}J zMjduQDo;)a&=Lz>EBG6L5r?D3$b-`XC@cZJ2G^558crQn26Zm|4d4LUqRCKB&!dKu zhn30YoH+o6CZyNcmvb1`aPnxM$?c5#Blxb?Xp}O@$OAR@Zrkemi_a_4sieqO+c?nrL^JXfo&4G1sp(YG_@21 zj6Cp-mNphZp$X_UcqZPTG)^ASEbX|>q&a}rXxPSb_5dRfTR@!xi3Lz-0(wm*H#8@Y z*i-V2189pTwW&%Tdv1;eu&3J44nUy^=ru_!6b?=v*2d;OdhBTd+M>am;bIFg@-S&g zj`ojE2cXb|^cr;vF!E?aOJ&elfYxYoNev^9*i)SX7C@m1=r!~Vf_K=suU#EBhy$0C zW&zrw!8M3L!^p#=p6yVf>7-bPUfRP91TL+yD-sH5#N$ zdfSF(+OY6#L8pC^P|u zBVkP9gc>6cTOg6r#sRcNlS&hclZXAR&Hx9X&;-~954rej!^xwaCaG}%ZPBFC9^mBB z`7AfI15juJdX16x04ERBD0{#Gv_+GmVu-h)IeE09l`?1n6q&kcFBHgcyq07Xqe zuSukHn3IQ7KxqOFpe>qIs;M#+fL>#yqRz>qohCQ5189pTWx~>}4I_`% zMs5KIpwI;L8Y5lZoIFsYQ~?Lj8jTTp4JQxOC|9?!01{2$NK0&B4YN8pb--fe(l~&Y zSWLZP5+^$uaoA_b2Jv+?2cWP7^qN#EwwyW;V*@j|#_0gsqA|8K6rVFj9yWkdY#o3? z6Vhv#=ge(qMjoiKmFGNa8vTM<2#r(*IeE05rCyU-07XqeuQ5tQGxC5&D}xrGEt*U^ zQry?Bv^1kcv;$CR0(uSJwep1;ClBYda-=wbwrFrW?W5u3fp3&s$N?xcp+i7!0ZtxK zBZV6BHSk?+(PT>JWaNPwwFMl2L=(_A5~Xr7>WCOA1sp(2ET&Q`vpM2uW#p7O0Es1_ zZKTC!P0Mv}G(91~i4a$BAE55_x&iwjRqJB`TH`U& zTf+&&`eah8aR3@mKy;=|k#*+{BM|eZ+%*oMHJ(KJ1UP}DKFJfu0cbn{>6x*MRHt+@ z0%_i)EN}p=@gz*dEaz>*xU>%xJr+RVp?Lbh<+=BxBohh6^;89U2 z4qyj7n`v6gH;;dmHzl)Dwl$uVZDWgv6NvRuI)MWac)kss)<#|txUXGl>#62a3(yiv zrd+CwIPj>tA#eZ^OW*`W?(+H|vopqt!;C49ssm_=C6%reP8{}`att{Di6wAK8+MoO zb{QiMr;YNYIDnQ|GIq3BESxy3i;5I*01`{!gifR+;KX5F^vP)fT4KqJ;7d*%)&7;)HR`h;oHFOE!rVKQ}-#;C)TDfPku zC}Kirm~r9ejFE@+S2t-{ll63D0vjNat_@Be&?w`{0Vrw$Xbf{@IG$nTVbYXu!vQEX z0U?8@ynRK6lSg7%9+?iHEt-tE zN$L28kw;rVdQcpIL=!kUjnXt3b(k|H2OL04EEzMz+>|lmaMUPG(*YQ(sb^vX$NFNX<4trVIW~fN0ZGfYI*fjDmjo&v4?vFFIn! z0<^@EDt{&;j#NNt&o}^yB_zp|mcfX_j45xXY1A?TSW2m4nSZz{wZXf$*XNn9SLQrGn=N2 zv>+P11ng78$pba&ZrcF}YEDDMNU7{TcD*u6DjN&X8q0>+-7aIqffgl{O;eQPsR_vb zR0?JHu`6PXy3lX{ikJWz!x+)+W_Do%&Qwy_0Vp(qrAeg=h?9p&)5nYjXp1IcYM7&j zkq2tD56A*2G$B=n`4-$3VB~>ol#-cQfVOB7b@$B3!`ZAnCk{ZN2`Mw|X4X>UzIL_K z5_R>QT7b4_5~l83G@LxFjktc$uabpR4eK$pd{U_vr8 z;;=3{lG*~a#A4XSb?d^2!@8*3KL;SO1T^MU1~_x#K#Sr^2hb9WkvB7(IMAZwn=OFE z64GU*&y*7fTGY)<+7#P%8o**CS90Qr7N!0*a%DhcPT7It&SXX$Xi;400OX7Xu;3j_ zeII|Onncoq3`moXk+A!cZK zB`J%RH$03KS~uJptu3?l{AO`^afM1-8{)tj9+D05OC)kjXO>~zxU2GA!_i`NIem?K zTvW#^a;Dhe$H{DdF};cgc>lru>2P|EVqd58$@)VEtN)8uDG&GA}}>{sL@wtd(#r;rN2t;)$SRzv1(8^(`ny zL^``S9_(lG2l#E+SMpmBY(eIn-w&C95-%!UkOt!SD{unJwlXL2?e_y6s$ZRx`_1cz zG7yrFP=^RyBl}@JZls z--i=c%^%XP$(`zbAL0chpTn*z)tsUX5E&6ZY*DIx0D-9XIHpCOIdF{OU=!ozaY@k& z9*!LHL+%4STWZ=-&SS8;SIIS7fY_H%)x9$ASG4lSa(jsGmBEbDRgdpAAdru$bp2 zda<*79LRFFsQ?@ncp<1ozY!7knHC%tCwol>5pTnIh2#b3yI?NWuas+!0(#bs zD8{Q4f0QUrr{|@TbB~3r^3CdMlie-zLi)jlBZ65|zlL3jLOyi)N8 z><@D9h<;jcf(9b>A<$bZ{>ZVwYTx6AQGQ<;+u|BNUYG`Qzu**-dnm@#EB=5jDP=eH z)BFMbMc_a`Tk4O03ue?7&Eak6XX_C1Hq@fA)kk<6^^l_DSC~aB^ zZ$m$2J3}7jQm>pgv2R6XV%LXAX;8DjWI0+o+%1 z5gViS0Uhp=UL0(?pG`*OIG{&> z5+4?L)g1xkFN|W&UJ+aXKrlM9lEAUo| zKT7DzdR{8IC&3@emc)3q;*S!3Q9UoU<`4KD6kY&JdNETJ4C&7wDP4XW_A@LWzb%H$ z)BFKR?teu_V!=4ibMYL228XSR|vB`i$; zTVO9h!uf5$tNpv+8RfsiF{Jnd?s4gl#dz?J_&6Y^8~C>@mHfbzs(U(|B}%_4VAAP* zp#9G{>$JQqYsDWW*iy|4+{J4CPyoU&p(GC$P03$y^OD=j}ze^1XJoUcAC zFEXT^h8ay;DJDgQI+wvyfwA*RZ%z?PKb6)5y7+VFk0$P=Ff$SoVd z#@o$g--hvUI{9tTuhbbv{j~gmp(0?>uTuO`VB2eY)mZ|g0?_`qU_1=yw?V$CyC(=s zxOinhIO_#0`q`{RzUI)cFrp7j{S<$|W2EF3)I>Q4pd(gt8ZuAuM^R%_D!lsM87d70 z^zm=T_o>(l$Y~q3Ec(II@55sM*-&oTPstDPjHC^Cv6^B$+~zgVi&IhbD{$m!I+V_j z{bx&>i|?xxe?WIs=M~g(%^y(zB>&<@Sn&tgQpsuf;N>2Iu@8^%+2Pgq|#JbfI%Yg3x|oI+nycdqECV`pHxDE$h)S4sfq;{mpm zes79-k}?^7W!#+luxLZ{%%*KI8(myZX7;_o%WYiUun39(|2 z*4|pPX8-r|{r%5z;=1Ran|Hi#p69h5foCwdIOLu*l|cB*R}oZD9!VZ|8z(A7MIH%l zJ2!i82cG-DDSaLZxTA}=ohR_y#oF5rW`}aOwc}A%rt<(|omY?Wjn!ep%DvSty4bw>}?0fM#tnn81l4pEr{n*4twhx{zVEJ<= zLEBa^Jn*tlNb@I_X7jy&6JZw%8)knGWsm;-Bb`XhT^#&-{_oFe^Vw)~(Q~i!!+#gu z3v;u{o{h{GmUBN`-7b>G)BgP(-m_epdz4fbA~EL~%Nyc8T>0={>*s&lbLT~2XO{my zH~(Gvck(Ydt}IMKAoR5L-yiXi)7IwDTSwgIzy6&b&YkI;xHq5wdKh-feeql9-!S_| z-pWW*(P>4%{%M5-Pw~5*g{iRP)aD>by>{OXcZQW_F=mLKxq0__SW@gbq98Pcw%Tz% zf`Ex3L`D&&TZ9)w3J&oE{k9w76n6psAV>7QbWP9p^%g-kgEdH%=|2xR}cd>JE*4jU!pPjgZd32F&pK7WJ z^~lt$Hp9P>4j{I*DH!@)zDEO**Z;tYDvr(*v9uGKh16hnUOn!|N$DN1;xgY=+#0I9 zQ*(?W%LSG#-+}Cg)|~EqIQsF-=gv4+E{9rNfk2!h#09lh^n$Zh2Io}$UT$~qv&g5e zSkWT6@OBn)St1OH_RC$kl()dt%T=9TeQ`C6>-~0$S>$(7^OAK#(czhZr({U$-}w9A zoGQ~fl@f2^G_?_`l-1)=O9>N*c8db`qfy-g$<(ZP&kd9IO`j9+q}r?6*V)iks_(** zSDDq{u^KC(~R@1(uM^E+s^I` z_=upV)w4gS*MBNQDjz^1$}F~Gao8j4j$O@ zegq{Qic@a&@+wb$2GvMJojJ_e3^Z}{ z>aSR{+^6l&Uo5L{?ym)#yYZV+bVfq%h4p{I;9q4II>XarF(W@h42M6&vSx`VtD|4I zJ!*nRtmg|$sv&O-9-Xxh@Sy`W_U{?===3KActVI?pPtbG&)t&L{sj~-W@B7%91wbi zU_RrJ!g|QHpUryM#*wY0$4ZzN4jnP21}BN2@fmvPzQoi1z7QEJR({Gf^(_=7QmfL2 zl37;-JrMMTE80W?Hkb14`G@n|-BI_r#k~f|drPm!L4I!}OC-{QV!urtefdapRBZ2Z z;PLqM>$8Uq8GXOrLcbt(Ln7~!BHZWxs~m%A~?>- z%;dK=LSRm#5iHrC5+2QGf1K%^Gu&CmD@X|1bWff3@hPQeBy;X;BHP@^(r|UC>n< z&>xpd3S6w*?YFX>hP8+8o+OB}R*$6Cf%1myz5fo{gRi`@xU(Mv8hyUzXy&C|5LB!jdiIt6s-r%yjT zZdA-xrAZBi%`!5Qkq4`wn>HVR;2H8WzEjhy?cAMelVmWIbKiFFcib5xB8K83KU zoGI_&o#K;1rXBbtKeVxh7++v22~*nI@nRiOjbF;jSg%ig-t|lG%|;o`?mX@+rEkp; zX~%t!N33MOv$y>-P5wNzbLVP*9TY{@V)qnz=CIU5?=wdrVL7a?yAiLajJ?^=I47^H zSq%#j8yuVU#U~%~)zso>BQki7TvG;7BL{mMf6&63loX_v9kVNa@^owC5^WtFgFXom z)Y~+fpZuf*91)~QtZob)qnGH_Ggi2ccHS9rA8cMTagolHw)x1`o~TYOerWuZADg#w z&PCg}(<4dMs3J|D8a*mkSQX2P28kC+cFG|NwoC4GBc_cm-_zGDoaaiKfh0%cNDZi@ zpc2z54P;cu)ufSz9ihyhl|aL=O>q74)ZIpq@I|CFff0;eO>h5cKTCBy@lwQm_kKt) z8gmjC_SgTNX+Zy<{VXI++_SLsQ%?j`PSpkbxR0sWP5-e@cp*&jkPy4NRo2i&Pl&^| zJ@jkrz0qkySx-?uo25HzVA20;ENi|0mpSLRpwZ0uzHG}qFzVl#vCzX|e z@m->_Esl;MXx`dT)1zH}7}MjNm6XWwTYS2s(ZxT%~g`1p)z7RILjK5P}eq4#1)xf;u%R> z*TbIF)0!`}uX%Ue|A{t2+Clxp5lPV?E&JDzzv*=tGqSTNxlhREV*@Uswr#+az1t*{ zqA`2LzB{0d8Z23^7Zn#S6)%WX4M4nH441wmy5CP_1}-H0-Os`h4DC!Q!dFWRjI5a+ z14XTrPR%*loM%-Uc@q+U3CU5JUb0-UHgiDK(UgAQIMd*3?)3z} zv!`e#6CB1X!6Nsht&k9ZJZ=^Ez*kP8kZ_#wOGoQrC-CjFI9M&)y1fW0hEHGph;o2L zT8Y@KhQ#0b`-U1!zhlt6$d^YAX5*$$5L(WN_}kT^SE~J1z(xWed#pdBJoK$4L+I-( z-wrjgR`KV(lf|i=pBp5Cl~Tc5B8miwZ#VCBK95M&y&BfA^Lk@0G;i;@YHOG!efgEC zJf^Cl863--VwT75h;D=rOZPYK&#iGVCV|Ia^_APHDb63unKc{;cRmeS&J4u2Wf^@v|cr7bL0Zw@F2?O9W4~l2JYCEW;q(?}!9>)1Z zL|yeJ9NH99hzws2zpnzTlzLnjt*4=09-~*(d4rCTyh*8?(WdzZ%NxmZx3_H|hybzy z-6Sa4NEnfwC5KM5Q3vnqq^`v-91V&woYuoVw4+L9djErYK2_IPVq&yLgB}2wxVF%M z(v+W4T(R~yN45T`&(5oN@^dTA-*n?Xm>@Z-q>*b0IOZ z%R6-vYl@7>0b$thEG&NUzAwXG78dS(fo!PLI5fMcE#bQ0>_U8``#{5wi}w0!=Z=jL zA?;DP$yMKhU%=?PH&WO~7gNbP`U$7>sl|r9zHYlq)wRqD%WFDZLtxsQURv6SlCtGN zcfS&ao~@U<)9Y>z|2uqQ?O&oWa|hNw(?&_=dwiqhZSklpSf9R{y<@G(me#w~_~xwt zQ~%y9&}>_iZu;gZ6r$g57Mcxbm}K1=O8A0ByCORmnpLRFe8_%5fT{I3pA{xzGu zM=c(_e9)QGWDXjoNe@BY8yCm=Fuo8y+`%;3FnoRNpM&}k2!ADebH_{GFYX1yK6t(i zRA1m(`YwvQ{kYQY)tY9fY{$D_I(7cC5=?SiJ25sIE&JxzT9$JDatQX+E5S ziH^j1;8Z8`__SX^vilCa@e$>Mf%M{fEpcPrlkbhTn8o8U^~D8QI^=$Blg;VAm+Wq6 z)v_+{N8ORL1CQ<~4)5ZgNfKq(1gQgxB-he)40Qn@bae>l{YeQ}Q{(-S?w&~CsVrz` zbrDrXztsx3nT#aDm={cQug=6{@cP02ByFTl`X3d3Ow76tVgXD@srrQwQafF>^HyMi z>^H@42Io-ZKa;0^-X@7FFw+2Nhbq87?wi_1B2n4kmi5*fl0iyNr*U6VT#YjT%q5>- zzpghw;r8u1#am|+R}}nBjI`pp>??%oy~&s9k;LoQk9PDAwYWMp(>5#?et}G$oVpd; ze>3rE~t zn^XP2g-?MATRM@GV6~N1pu+;7qqp>MqJ|&)#l~4E;fWXboXrX z=&v|xP0!J*J@}Bo@tiE!%Su<8_qtY7`!srU+FyVu1}RN`T`wR=@OZ4A918+am{hBA z-Vsr9`{u4a#a`6lW|D(%&ntrohc8Jwwc+uKorB3B^~<7>Aay3-#qK(?O?dc06+=Fu zB_$1n62H`|Y#gt5K$B|DjOGR`#x%#!~2q4BJAH1jp5-5m~5y zf-heewRnFf!=MS`@QUsVDh-u_A=ut;SnpP5(5vA|;irKhxAdq>=~JgIOT@Pj^mK@d zS}|#+F;~ZDA2P>sV-=uV4WrTDbT2CzAXA{}7_l&7mrd0f1l2t6H}}ZS7Gm}uTP)%f zUzwl~CcY`Q^X;>@m{e;+Y#(1sJYwNh!y`D0#-Bs@ubx&BLCQ4Q3LiLr_nBI}2yGdC zK5@it6+(0u_alz1CW3)hD*S2UZ8lQs$=|HM@`M zLX4wR)Gh9_Xr({YS7dj0rXTBVXD5m)i=cD_pd z+4@RsCj?ROLavYBLHv!!p}kdPb`eJ0un0#lrFr5L}c*Pd~2u*%;82(Hb-{<>; zCsL%U&LpA8^qp;uhBl(=dh5BLp@GV?l*+^CrXG=l)?g(6>vVmq?zPu-(nDaib78;gH_27mjiqwC zPM(oc-B@={19EMDM?W?j^-<&?{ynfWG(tT@i)DKy`v))tWK{aw-S}wSqVyQ|H9EZ! z=`b88KtD=o)@nF!-2JXk_Sjlihc$TkFdKOV0(8l7TvwF(}8 zPF3VCsTQ~PYMQ|ytv_J|-fdux5M3fr zBoa?4KNR?}CQMfGHeEvAb0bn;PnkUMSOv3nK#3X(w6~QQk;(QPpJ`hN2_VBuC;i%@ z#X&U5clKGU;M66VibCkd*EW|ZPUsCE(yeP4SGNsp1ag70iM4sv#FLpkb4^KS&Qmdn zzV(h!Wc}8ISi06}-*_;DD1OpU^nAj`0}=r41Ady#SWP_Wym5nm;O`X1C)D7YY6nJ7 zT8kwtfA7}_p~G`q58J#Q`~Vri?2`B(fNYg!)FtT1VrD~lJ11@YK0F*Jupz6`rN6;q z3Gn0!ORHNG2{$){@-aYmGPRrKuJ1$~mTGqx*z6p4&v!K%vihk~_L2G>{8 zSoFC)zj`QfV=E^R2-+gP4gjX z`ZVn=u$si5-ire|K*L5b)qh8XhW*;Ziky`|8Km{9(T$VhTX zh_JL%(+cZZC?j(H#EZN*+6pU5c_-eUKo`yy)pFQ2ce#j}@sG~g#Zy4` zMLRcsHA?9(QH1h>^+Kmtf`7M zaTzgyX!Woo1NKKfZ7vR0H175ZZ8ZG;7&b96xE0jb;9u}&rt<(hn>FvU$tx%lBJZCX z>J-6R++mkb1*v)vn}BMwSX~R?Tg4J06ThsOFy(`edgD!CCgMh4LC82X{VM zu^VyinQo(xNwt&OYSbH|pMT@aU6>mm>)I2o;4DGaSS-lUx+7LF5{bE9N6%`=TO4i8 z{8ruOT^?J1B_?Iv_-rJ0J!guq&SKX!HYhy3$Tr7B@54h2Jx<%>?`OE5lU5BDoH3uC z&XmS~8SQl&>R+izTu_a{8%3P1%=bv6EDkr-S|1z9!JC*AkD>DE_7n9jS+}%#gML=^-Gk zo4M*}jN=~1O)@#=`&V`6X152_yRJj;R&MvZN46eI+1@4ns#tn>S~A!E{Nj*XnTutm zFK)tRMl1BT{+_R@0_^%-w1M>4Za?h$v!#2^8N0KET{ntHEw{JAxAP^qmv5sz%=m#a zO4ESPpuJ}Agc{g;Gve&Lax{d{(SOiH3K^|(=7s7dh#U?a9X=QpTuTu7RWZ@I=<$SwIliWlnYfr$_V}MZT-Rf2PD_eHRx5J7h@t$X!U3 z2&GSdbP$YN z?s*iL%KFTaIai5C0#7wV`9#`!?B^ughTzw-7yM`(uBjOjstEd8lpl+|J+G{<39Edn zFyMv84IOs$-d9oaxR$vbo-2T->->0NXTjwqVTB%jmTENGFYI@t*!M$L6Lz%H`pi>1 zu>gF{VLe}~=R}lv1FE;QKa(Jz_^VRV5Lou<`ot$91DIRM;CZ z!!6A?%Vp`Jqj9v8hwo#AO-DNw=vTk>-9$*MR2i^jUhO?DC`7l1Y!~Jn2$;^pMO<;+2z2 z3^jGkmS6I`+|oBE4#@2Cq@iyPfGD_m>BE+Zd*PjbcvJpHJpqHYZE!_FwLLCYPxgCX z5%{WVj*G#H!rAGAPSfB8gW$h4!|2S7z{6KhZP*V2BRM-|&JVPa@|xq6KeAKSUErIn zK4fTmP~_~C+(&!R%_*BPXuMM}BeEpw1C2hQTl9#U@KdU>oN&npH90fo1Ilv=qX8@? zg{oSOT3o*{2D^fQ=6SVm_H?X+0h{HJ?X7RZr#)pbXwO@b+s(h4CR-^#k3p(c8zE$5 zm4d+!sikH;I@+>!Zo`LgyX>5G(iVH(OIZ#o0EeJ8&(AxBtRaR06Z{9Y{}!jubS-=z zp9f=pqtn01zagl1Omq)H^}6phJyq96sI&C-+fyp6B*qYW(&ZP9Ur49isj=&ddRRxv zTHJp-HXB>3JZ{Jxqquz@d@>1fQBr>kf-@i=`(iul3K-4e=z%+hc5=9*aXsD6fCktV zr{$6W=w69DLPS`B$*U}$%kAV%VU>ZmOIKq$G%Tjfm>U)%h+^2~4Zr-xy}PH%PWO9B z&6Dq@Bz#8FIy^qyuGExshWXL=Z~Q3hsrs?i^s1c?CP*-pcEz*pzW+U~qFt00lr<*X z`mU6RaTrnhNG>$wc>U9;M1Vg3X7XR(=Nq>On@)b{Kx z*5=UMaVPiKG!G>PziWKp)rw(ILu$m$w@znqoNvG2K!YL@^@Jh`e+09y&KGNNGwIs0 z6u*RA!X0?7rKFnoN-?Iz`#)8u7G>Ik#w^z?Kc-pm8tJ;Z>0Pg0)m;~@jXVvZ>E(r1`J3Fv zRyt+rWw4rV@*-U`&pCftj^?6hk0hIQ z@8FdCXXkdMhg{9`fX;e?2@InLxcI(xbcoReP&aWJ$~jy>X+m;uC&vO+gZYPvZ8clPynI`8}Dv#6vX^F)R%XC-Gs{e-)#9E08>0qQI7Wi4KHhun9P zaVsI$$zG~7p!WR}5}3#a7~&i_bd!v0CrjF2&AM>Rl_{iouXFb{NE7zw0eq^mYm7~8 zsQ%uAkoTBG4ZU*6cNQq;d5_-?@P+$e9IkGIYZx^vKHj8V#1QTet#tFyBTHRIP`7T} zHGP@SbM?uBjlzPByGHe3DyS|vTR~vV(+;HG!v-PV0I->FH(%wQ5m>WWqSxo?wg6}M zDuoLB#_(jzHt*ZUAv6o>+Q^b0V(ThhMQ*htV;-d;jSo@n+6Zw)MSDR4n>R~jwA66G z-LIp(dhLXYVHtjT=d$rf=2BpCu{*!*&A^+`-=IKt1{b+QGc-uOlmSg(qoqj-Rfw}q zz~DRBig#o9==6<)!^0(3<}?ma2idZNa~>UC`SRxkC4}Ka)CWB)S4o4o2&dN2)Q8Uw zP%cjt@)!2yOAzS2v(tuYV>KAlpFs%@1G1GFU~0mJf|4Sz1YfbI0`hQ?xNwvMePA+* zq39y(2%DmAQ2P^%{91mg6H&WfGGWex_7~gzfWaUB9ow`3LG`v`0jwV|{x9n~XV3?k z{2fh1<#J=7h0>)*vC}_=yS@)?PyEjOR{N&~;v{FAz^YD2SJqf4*DuMG488sI1tuq_2EVUZHgtic(%|9D2TfvJOq& z)0vaJcU(7&HXti7=*htedwmuPhGuiuWsnM%Au`A?j*{lezwp{76C#XBtx3S-q4 zyeFmSxaf9e0?@Kp6-F<;JgcsqFZ~dms6o8m$>9=mY$U!A*!#GSvoyzlpG*0o$1;l> z8hzxkl5cKbK~+)4LlTo zp^XS>s)cqp>8)b%PI~(5m7UrMJGY8eL>o+CidG@64aS^$#2GF8XsAww`aga0{sK7T zPfFTqRaH`ay@pu!0Lk`tX*y>Vh7eoF6+AgQsXTOizK_RhIQO{8=v>iz^W3rUws{)1 zuGGQ$(Pw)>kpPl2?cfu2ZNAXH#xKS|EvR(teyNVeY4sf^!uCYRtmrQD8(#)>pGDh7 z{tfDDf6w!oNJM49lC65#4f!GQd{6HaCBgUA8Co}S>S*J;s|rxUgVGm(-O~5_=NWwUb|bIhQi3-nP2?UF^5) zzk9bRm8WaO`Xxk3S>}@(D2raRl`a9-@z{nYu^`c(pM|5tarWh_$HKevZ>Afkp-39e zgwD{^A3(eJFs%SwA-%VwB2Ivl3FjOeWP>23l{hs>F?vi8O28SaoDMxOX%%`HvKdCJ zE>Svar0tHIdi>G1Npzi+a1zrp)_gU~J}kx%$|k{gby zu8;N{F*nBB7VoMdYvzwm%mAa4vHaN3MVZYOM@X;Z3NamBhWn)iXXsXUs@Y|i_ZIBE z(IkzOKamI=3T+;PBAGv^>0jPSDL#@4EIP0E7sNRr8(}m6e>?&53VV0F->Z^hik-~i zHcZjh;?H26VBA&cFQkyd>FQ-Eo%nCG6Q^8K8oVmvbotR1t-*YhSXCOj9!XO$oNQxp zHIL9b$_Oaxlj>E}mMcp-Q%=iSS?;gbVMesW#*se0&`^KtUD3~CVqPgb+|_o!qK2JC zyp(udcYSZTjI3br@Le+&c^Tx|dgxVEpaL|^#ScLAXhJ~1vuTjF#2DoQ1b00D0M`hL z%;==@wNN7~d@Qg@or|@*JYNH{=2nATPhz(Yi>VVlXzZaDor{{L$+;)HTR%Owmt?1J zyD5kK+R9mea--qbJ6F{FI06|Ufss*2@w0Hu|EQ)}d$H^Cj=$u}wC{_=?n*<|e5a

s=K1rY|0cn zLt1GVD)TBmy?SYArfesy)>KQxW~jyND6>UQkiZ-^PTdc9jZqPOKLJ%RG1GM$uuNU{ z0022ZL7jLbFjOW2{E9x@HHo9up-^_P#&ps|(_QMSNZFN&sKsl@%b8+nRn9Nms}MbI zq8u?;JbpzYjhm)tdj$`8u!u7jy~G$Nn)OvBsGeh{Yn(7&ZUxP+=v)DyWinhorn-DY zfkx9vhuCe$dqw3EwoK(33(8QfHvJG&eVXvIJyxXWOyE~-U)EY-+=H?CK9373PH5gy-3VcA2;oT>~q24osta3^h8O* zN4`kbAC(wZGPvXLc0Po zZ9B1voJEB|S~b6qx+hvA^wQ4c40K2?3W9`BMT?S6axzHw<&zW4hO^u8ow}&gT6gXl z1Dmf(qK`+%&iB7+g#Ei!PC=~RVoiTLM%5sdlS}l!$9IGP-Yi*TTj2A#G!Ln8T{-7N z^J!oWb@PE``c}2bFcgvI@E(ILczbBbt39yrwvP?!5+Wz+trVm>@~k$*Y0S`7KSF)C z0_Uu51_+L&`y4qAHO`Qh8K{K&A( z)78&u{v`O(zxACapY!~$t6_(qA#H~{+gCdto?S?^cIN*%>v)=-A3Pwh*i1sp?_GIv z_(j+;EYxoH`LiqJuFW@Lexp%WMUzkyMW!5JWJ!?|wpeRc{7cl&7x&??ydah7=3!P1 z;9T{G2KASKFKEPB#8)UXu@)iDz?in3^fLRZp8C5Bb$TASNc^&sWz+7Vqq=}4$jcVA z#}RDd`65=3p^F&%h5_)gkIrmDV-KdPgbyH+xaQ2A_=y-dBf1H$)(N?E>huR z?582(p6CrPV1$CcVjNFXv$qhvrSwQ|C1>0wIO4`j4TYhlA#=Rg!)tussn73?Rqwpl z;Ot{>Z7KQjo2B@DvUS?K8;#*0L#>urFxrEMhOU$eFbq6{egFKXM%ub-{K^vN7@EZ& zc9v}#C$F?7w(t45pAlF}$k~B*?Cm{j1*b}bmAe_{&dMyZYov+%0wq2!Po>5j(p##4 z^4I5A$m^GB`X#W|%?>R`u`Ct36&isoRV80mKdE`M{D_}C6ol?1rtv=QvgnWCKHjgX z3sO@cR|V+>5o1qXmfM)^rGNwn8&BluNoGp^R9EGm@#g$!WheSztZer*lA{US!jRzQP!-r_5(sE$Iu*+*B#+5HN!hBYPs;L zDJtI6AqVFb?|Ea4o%s8CETT;~$sq-l{Uqh6O_=as91e$f;)g4<7*Sk*z5qcX{T=*o zbGR>mzwDKp=zev@z~;d+4I68UH7qJ*b^yY04nT`?PTNk!I!$dP>iE(uV=FxaxP|%@ zr_OX!G+W8#dwflz3%9m6v18%oky`k(ES1)H#7;2V;ODaCPKWzeE*kTM9>iDqpM-Q) zq;`A5BXoXQz(I_kWau{gkgJ9LsJj<*d}GFEhjy;z?{9rsWJr;6Cqvb&u$!u~W>d_x z6X2Q25$AS&TZb;uzxkFNnEK7qXIC5HI`L2l0q5krs*t>dP zYwkEeBDcZT=?T2Tb{~#BRePcNnza-rMS|8W(og$W! zf80ycS@wJA`wFXr3@oDBOIYIA9lwTLjGLBSGkiuIuz9a^ZvrQ!-`(I;!~kb$osFM_ z>Y4AlZY0C+GglT5?V#p9?({V)F{W+O`KH#HMZ=h^n>YbUia}?hK0zD;oHy>U04#&1 z*H#o5>kG+@HTu4NLFifv*2}v~3Vq>_BU_Jtc$?&mQ;-a!RVB1vc8J&x++yr&YU_`F@34LC zO8w)@d)m|;AVd0yb^ah??ohWEdcawjipUeeG`Jh>kf#lx@qXo#Hw)Jx``P_BqOJMw zm9pA^kXZp(>qt#?qEy0RvQ_&xY)i|Yxg+Qrx?IDxdd0V(F2+1$vpFbhMJTh;7rkkE zkKQ_GvbdzoY1}Fok(i2)=c>C&=1=HIkuPB$j?`&$u>eL-(Rh`sMk&5<|2O4@-l;q?&;$6DIN7xr4 zVNWwW_R;X!hdV)bfRkM!!ND7Cjrh|8>_;@BO>EeK_9l|r+?p?cz12ww&^2X@Jol@6 zLiF~(1l52{<4GGIIL;6H6$SRk6yi*nRz;X@z*9d_$5^8^)v2rF4>8v5xhuqq6GNK-qx>>4cb3gW{IkU}uzPwlv(42}d<;CWG z<>|toWFMLDF1X3U_IsOiJ#|lZYjf`VeLioyg9!ciC-YDJ>)IvE_Qh#Kcdbk=m7PRx zBX+wzj-c+Xi!f}@r*h600)+H5mjssby=#(ugn{$hKUOuY7vF5HhJ^LDLiB5cCp+Rx z$$MLJoy3i2hc>o?<3Q308qZHSM4Pef9M`Y=cFB+Szbj^maYxYY)CL%X9CzTG7=pJ( z43A~xgoy2;(T33NATOzE(A-~U?$Exag&E`(K$p0YZK{H!nvuTb3^eZhWr=3>L!p}aT;6x!x1B5c)<%8 z*0nMPZN^ey=Ec{nCa*W8z$D!?o|YR{wGaUQAIqK$Y$#EtK?NgqgIgpne*CmBTyb=y zHEme(&G2epA5uZ&UCyCRCaGv?e+@Cypk#({KJs?B=B$E98B0l}scl0Pe6{LuilBxX zw)}h=FZO}%C;w60{`@B7k_m>5}tk zINqjnZoW?&DauFZjxMe8hl1mTW;O4_kLfiM59HPdgOBaQ4dSGd6OTQ&NjvIU%F_|* z?xn>1Lw`pz{Vgx+HJ$!KhU{W3iz2pjv8jD(GC%e&Z+8#eNDC4I8={} z5A%CpA1Fvryz^=HV-{%ufcL@@KP&$e5c_xl0TKV{XUKIaW;=>=_Gn-O8e<#+U^U;m zp9a|4b8|w01u~1YaXO3Nl#%*v+;$(gJHLR*F_f1bvWd5?@?f#d3F$%}B2#13)gx>hUMihEO2)lv6O{`Sr-G>E2k0 z>_ojqlUbPfE^Zg(&u>$h;rFVCRzfH2U?pn!Ecav0UW0PA!|!xu6-CRX)WdyzilgdD ze?VMPjEJoptJ0fPKlI0*mEn=Zm$lPRgHyf@G<&|3w#CJIEGcG-pb7~MPS=oKu(U;) zOJ@9ngoa6m2+lZAaTm^ILTdRm5sS<>9{EfV*SRZCsLj8G)2JV{RN0PBDZ4!Z?jVH1 z<~r#GBZ{V>kxd%Qb?09S24poEA<47xl%$`nw-Ae0t>XlyJ_}2g|pkU zExy(acGl`hYUe~`SH*G{AB5NwEZzL2R2WRI{JS)lCJgDl(jVM-8r+veT3nBcd;bLF z;ffnLxOTw+H@meq`WDP*KrdlF9&A~#(|$9t(&@;N)HPYV(FxT%sKtA4hAw0Alcw2n zhkt)!@v--kyBae9VYH{AA{>x*n8)6l)BrO!))H0}J3wNSMYUcNh}b|0zgWq#tW-(V zAM(u#U*cE(j6^DEjb#qOML61{JM`~R_w7wB&_MLt=cOy3Jzd5cd&ub(9yi*ikb*A@ zeK_N56}eRY(xFda?jDcCs)B6{$nZgc4s}pmE!WKhAv_;?B&WhHCT}G}z27wA#F%0a zNO<7QFN-i>k@^K(RurfD>VU>Tle^L;?1$%rALkqM=AT(}3q?+}2up&=nUG4Qj<(3g zbWq?N{!_9wKijAV;=Imdy>6zFJB!maz;;Czo?h<>Bc z%6y^^&3UHV3|rH79A}k;=10e1#;lCQb&3ZJCfAM;X{BGUd{_AnXy=i9o0n7&gS7`g zhwIRcExhi*grD_KXST%o>FS^&l-*B%Tw{=k{drv zFaWL(*Yu_|6q&ZubWW_Glzh8J-wk7ZK}bylFpdOtpG)X28Wi)Y_Axa$)_@gCq$Xgj z-w*OZOFDbuP>}kX!@{AAY z)#Aii?91C9`B=H0{;Nd>QkxW%e`Z6s3hOQOxc4tbvP7)PB1jVVlH?j5W+ zzwyc{1iC3P9*7<(sgR}1&%VY?q*fYp*{$sO6B6+IDGCezfxJXO9xd!DSu<=Joa^MQ zj}~cxlR_hce$9uZXG_mE;tx7!Z*lM4ohltM9OeA17k3X!4l0{O1LlrERN#(RNpeb$ zvgi)T#HDY_*_5^O$>~5NiZB#NRn!4YXsp?I3<2KhYHE9|E!7|INRcoHK&s2CInL$XzGF&mKz^D_Us- zdjU>6AVZ`C%XRceLc6n*wX;tawN&fmhH-0K>0w}N9c#-ZmeekqKAiE?_wVLLoyI=*dVqN~U)gbp-jdJU zYF@BIv<~t)`&gbdFdY*zAxi=pp({2*&#I7M4_g4^ z{^TV{9*2l3jVq^nK7U1HCc;VDN5m&Q0z*TzXsPS zEnWA~fWqvHylv1S%@>I+4B=480m0iU&kyv0>_I=YaoG#6?-2dQHG7&9lPGphmr9d| z&Zk^80ThnnZ&~V+#HwXm?KtczrE$C(`8ybYvrw7W2 zx(&v4H+%f_<7ASeKygM;^2iE-208?o^pI5clV2u(akH2{6C%q@c0l10d$~LyNiuQ1kAhus1 zQ$<~Hw3T@9p<^3K+-pt!q43-z_MAX2`iI{r8_V%Oor{2=eypXs@RAkc|6#3X$7}Vsx5z9~}5#wYJShqo{J|8Pci*9weT)^{h z_ZG9k5f**{f?vX-v`V4PEK0N=7)R@71MdQGvx?I)8vg^YQV zgas2bpTCnLtyOCAh(pTHDBD59yAR;mtyw?falb#MD>;ca6_@?RivtFP6wNF&pYT#s z63h%s1HdIU1iUlVdh}sU!~C2wF2F9EYVJ_R$MTS(!pMYIMwW3hhxa4x{c zYI==AEYF4_!FtNx4iH7lf-z31E@Yq(iK@v>VJRWVGT`C1#jTsHup#IT$UR_F{tj0c zncI;c>y=D0(}4lQO8~q!ed7cuuuMLmRDoHNvWwR&fRL`TGfwywsNGwVP_&+$d_^RQ z)g)pPRan{3bUJARXGvOT%#rf{2nZ^9S=)Zoo7hGHSza>?+^Y9i%mVBpHPduadQ75&7T9K#W4=O?S?Pci*-lZbIyC4 z&(i;$m;XB<{oDF?QK9^)Ud)T@`NO0apO@K=zI_gR5>zhOn}gbNRNrPZdS~1(e#bDF zGn2LP({b6#xnIT+kL+7i=4oR0wcmn1vm{}Qw5r>jytaS5&S&(2#Dl-%Rvtjp-;P#t zh5R?^<*;RL?LtGR*A~%*ponTd~D-d~brCOgpXK&`V2R#Doq;9cgMUErLdi&!u=xgZQAA`K{%G~f@ zm63{VHgnh(7j+Rdjv(6eQwfSG>k2|YC^8?LFzAI;XdZM@ub7tFq3LewLxM~wy7{2k zxa~hV!9t!)KA|&r^K9tjhtE`=&Q;rc)_%T;mh1n3p(cy z;<*m1qt7{cQ0x^d4j?goq`AbH#+9=z488|t>#dDYYWV_0|8n0LF0E-j*de)I{&e!d z@`VfGQSjew;F<-+4j?K;W{WFEeXPpf1ew0xGwmD*0x58$YI^sH0(1LXlOCy__f;(D zP@n^jtIURYlod@-JnE-h|NTT&Ce3zgJ}Q>xU=8x~#f;y3v|xkd-vpsCnZMSHI#hEA z0lwJ4<>NF!?gOukNQVp6kHCAI9VDix2MzoL1=0ei ztA)R-G4O!^DJ-KKS6`JpYfFhJ^LH+*s6{gWRuLp+I=@UD-Y@^o0z@Z%a;F0XO}~*c zTG(lDY9*N-y-up-I$v5yqTd5mCs8mRg2Hc@R9>e7nDDa+V(t=1l?OMTmdIvOaZ9b1=iwr3};nkE_7MWNjx;jppVxaZ1w5 zXd8RR_1CP$xrUgOT3N_vCpn8p7Z^PzNoW#%ZF4c^0*|>Uv^mND?bIPP# zPcjW;Gx9_yHjH8k;F7n-z4PZF|BtG-0E+sH`$k0pNok~ODG6Z-5d;+Jl3Y5MZfRi& z73oGgrD5rA5NTLa=~BA+(~a-(dER^P+!<$K9A%f?-|w97C(pKWbLZpYx(FrScLw3$@2Gd09t+7xmtLI-1R-WvBS)-e$Bj1a;W54!I)Gxs%lk{TaVx=6c5 zU7M$e9u3qLSoEYz{l6(#*Z*lJ6ZEtsV|J(HDM_yjtSS|8xiHNxzF>AJZr|FKDXYY% z+uM}v?a{puTpqI==Ecv5eCQYcIpkSy-D}!WDYD~M(dbG~bzrWOOcnicztsk8+^e-F zHXlRYI_ACv;wQ|D{$m;NI_*UbWs-EUtK)^JmHKiG`TQd1O?*ZDU)svd1s!pw8jb!Z zYX}fIo`^o(Ocm;8R}f5=hF9qFCy6@CCYa^m9D6*}b5nwySgxK5&_u>2O;+}N#A5GV zq7A^-(T!z$l^D6dc;~NjP8%?_oR=mBPxbZ6dI*b>Xv>!FW8mCsGn*qY$LH+r1g3mt zqFfQ{PHhR?1&zwg5DC(6>g|5PSlfbgKL>rN?+aB%y3SPSqcShpg@4`Daej>TI~REH zLg2@VhR4l?x_KeH%R`U9PuM&_gT$n|nAh7J9NP8%Gz+^m*L2Ap$(lRtInGhPCz-{z zk>pl~Xrr2wb(kY`RGGz_B`f9)zZXToUY9ieK&^*r6NF@k^`@#Q#n=jvNC>CQCy+Ie za&5GJg8Dd}Ub+Wi>*s+Ui>?=LK64uN3@7=81`V;Ku^M^%R#yTa`oF^P=S-5^!7g^f z{}G03X*>9cK}7oe+&I#2glwZM$|G5ZqoT@@etSsea)N~|p=paMFsnnCZZ6nNi^lih zQHCPH#LCOH&pY?N=ld5*u$7@JnMWk5r)|`PB(>(t5um!jcgdq!Uic&jtZNc~5B7Qv zQ;G&#&k$clQA}Vd@v8dg${=ed{)gOMC|6q9UchPwQ^V2uVOJp@Psi{36+CrkWqbDc zG%9L-&6Q%K7T;eTQZTY8dltBBx0a9p{;w>ROF{Ob9> zHFNyc$s^X=Gq_^IR!d;<-JWpX-t#(4L55A?7RP{$*clp-(_s4h!u00AZ*1RnzgR^JwwH%(@|^f z4x!1-H|Mw54`>udSvG)r@pZ}Gf4yUBwBk9^oElpw{B`q6EVJ#K*lMfWI%V;3W~dk> z+{T}CkpK9;b#8@H`eCj@L=FzJkxOq+(sA?R7zDWmUWGepl3y|8c1J8*I5U97`|NOJ z_ibj1He{M4@a5VF`Am3|a8^vw+k+}Kfs{^X1|DFfzEj-)g$7=R;>i~dFxGm#MRXg@ z=>2bU`imoZXtu2prA(oE5IJJBP?lHna5I7AMjTrPjWdi=CLS=%{3g4%y?d#B#(%9n z&)XS{>ZV>h-=C`-J(W>tiuJ$AJTtvzR@VPoaz+O(h6%(;B@{h`U0qKW6QtDKhq?41 z1#PPBu;x|+@azh9FDPFm5}U!ita0?iH*Np8B5hF;uoHeQcWctO z%N%!eRP=ULnJYWtn>xqb<6?8HVVbRB=Z``}_|*dKq-XYk5T8q&Q}X4CSnA?m(tRu9 zfiUot=CwU=MMc2Yv!B`HyP%X--E6V~Lii=^3a4{&sxCue$F!NcZXZF|*-ccBA5Bvf6q~M#G+0wBZ(?tiq}#}@#h+386Tt)f zw_#X)j0JQh{wJmvYD8Tg`78S3p?)rZwgqeMVm}_hx=*Vdb=RbbLd5BeWHBpJ+8E9$ z&@79>#KbiR$Ka*=rfQVl;;vWvrTWSe4RnepxygqIukNh_SC;)RzX=C&L>0CQdAv~H zF$xBx+gvLxq5+Y$L`Wo^L;zhUK2Lo&T7cY^9d>B~Oa{1xYX*w}ChsuUcvKBWzGLeY zU*IOnaCwGwg{t73PxA= zn*wROw976k8GdG;xKHU#Fzbv5fznG0JjJ&7Ulg1zZJB8EUj`P4Km16;KA*-9oc8e% zY3tDQ79t&)c0Tmlfs)qWTKS)~Bo8g$cLhtX2v&Ox*B;mwzCTm!TXH>|ubIAW$yUjF zSOlM%4p?bEaYBcg-dX5*&O256BpcT+XIrxN#W6k=d}edKdaZCO=EOXE;}M&WqnG%n zme<{DJX)_`DGHWz(kdENEAO2fw#&JGAywHXvdkxB**AwCJ?VKn#fsxfXyosiY5bw! zYrx)^%o6|CP|G?>kgtW+-T?1^j$RX1TgELfNXJhdi4q(s6%LSfrmM6j$0B?9(fB08 zpP^gvV4REQ4_%32%P?eYie~;#@<9(!<#|FWXp`j_mHm4dld%((HV)e6R0xXs{XjD) z30dSL#WM7#Yt~GWQ~Fj zcNKi}Eq^nux-&y%%;h`QcRbipYw&LXe*a(B zO83e0AS()(Cd~f@*?gLPgKYg~`07BU3#wpec!8eY)qgKg_NKzmlRfEbWX|3qfv3k8 zp1pth9;!~6v_w6&9$oQzTu90iPfr9F3I^X8L}OErug!1D;vcg-lh9h7K3#^*8O>p# z^;6=LJ>#u^nbN0pn=O)HdM?M!@n{cRODShhFcL?0CfIW%n?AvAOxWY-N{IOh*CHoO_Rv&=cV;0O*5Lzl~qBuM%1Z zKH~97A|4^cptVAkf!&p_2~Yx1)XH}QlAlZ7VzBPYr2!`B-m3s_3ckIktIAHa(Muv( z^WF)d?8&*5F=)w?rVi+$*8_sYTgK;yn+?6MwkKGqUdO6|sxlCqWm)!MDELP4qCEer z9SReAn#!k$(sL9%ruJE`HqxG6db&Ez&GlUJ;(M#?PBo(apr9!+u3TJ33FabMAw?Yx z(utPT79V5sMM_6W5ZS@|KE=PFfK4K1p{@W3^^4%=PhrW(=B3{JGgtu;MDzn3B&8r=P=V;LBd*rWntx!I6hdJ zyTeRV=2s8$ok8ORB7~$eZ^wu#99ZNYnczLec{|c`kZj<)7KzM7Hwart9$juAJmoq zo6!?SS=UL=1#`^u!Zx9yX68pC(GVIiwZ<4syp(;>I8Hd7p7k%LJUZ)S`=P_C)Km znklQ7oG(CnrjMt_(#*$;NQ&GPobmH_q&-HYv{oy_d!>lx|=@x3a@#b|WA_ z@I60KFvH|4Ex5Ks74ce@8sJyVjxWeQ*G%X~*$o{|XT7pD#NYOvZny4xX`F8Y6aoAg9DBa>UlTKrO2;K+%y#jDn&RgiI%iP7wr z$~XRI65gF>)jb(UY`+GP;i!G-*8a?e4GUx&cjk0cR7{2#hnS#ZS`*Ie)q7A#c;|6n z1Z;7G1=Ki+@AWwB>n*Y*EC-`U!O?r)Bc5EhVy ze9_+LA!8+b#4m!{zO)FqcGk2b$NpS+f4|X!?vcTmkTHuGeLiKcY4Q1dA1f7%&3AlQ zdcdC(owgYnzWDjBkupWx@nDVvD5VKp2sXPn0wOL7gGMB|6!4qSY@F4`YEV;mvwNQb zTHD|xFqB47+TN#+A>yQ&L#LZgCqXG6AoENM==z}A>iB+4859t3(dRvY3%e(V==v(T zU^@4CWSGwH3*DCBFG>~R^ldLisgc2ZNOBeSX7`A4sU*puNPvw|)qh$6p76q1U3n9s z39grT1PTj!vS5*vEZvD*6ME?$t{pSrgW_@ilJ(ksX#XiDYNEE~kW$^aOus|>LcuV6 zRp3Ky>Bx-z9!2pU7jFcY>@t7e?S?rMn*Ye`XwqTX`(e(LdCT_hlB|xbqa#bb=~kNb z;K{p4h^>z~Tk~Ez zL=rR(*uHW9FLooUG^2F*E;CJgeP!h+nmq|u&o zokG};iwioX4~E2_cS(+F!rW*EtRCU?$-zcs5aQKw#7MLI9hymcF_utUE#z^aPgW*g0T1YbxltXVn(Vl&76us6QCb%{zM@1|Y2znH*M9{K59aop`vc7{rc6 z=RCx8QM%L=uH)%S`N7$}5N{Xgy`=~JbcDzRoxPV}Oepm|v!rouA2{KjzH$#5wLeYh z>Z3+aV4P3-)oc}_*=+Ca@|9omB`e33LLc)q=pzdh6<`4k1N}mU=`8S^xZqBqs@YK} zY#``)-MM)m7!la@r+#_#)Hpu@fv&4iGwZ6^y-f=5*T&zrMi3Shp_V6QW)$P+A=dLm zhQij-r8GT*%(~$7LRx!_q#^e%#GY!G3EvP7z2{b&_pjF0Uf6j#zXM2D!@zI0cR98; zYV{Hi?HqJpg_$jKdhDk86bIJ;5zvWmo-SqazV=ksLE-vqo8OYa)Ix-cSZe6sW5qka zUW6aE+vIl9azZ-(B+XY*Lzjxu5$zU_zoy{o3uDpxTr0|5B6Ro~e(zMxP|3btPL@r-fU1Kc42j|Jo=GZgaHzHIG zRFVlO>9-C9JSh$*^&f-vmj%d4Z3beLW}S=2?A`z#%)#J2m$`M-nd|FL=&7Gy8KdxF zf+;iaIWPyOGn&U|n;k8hZ5VsDX{)DI_$eIvx;_>hp>2Na=V&qZdZErtd?Tx&=#Y5I zb5=pGM1efEjT(5SV?6H;iI+U0re!e3l;J#5JlqnWJlFIW?z?(T(2b zI9m$s!kRfK%4}nB$&1Sn)!6YUWpMH@yduAi0tm6J-p!hxzp7Dmzw=)T((oX(23R-d zj!C)rNMOF+qj->UMkGoOgA8N^JcgL~kLi#>lrr%4tYt&ycvDSz=?Fe_@)WiDPJ|^- zDe>N`|B*aQeObyBkvsr+UiDpri;CEAk)bnFf(kw})K3N7E!z?z-a7-}D9?7ZD!U~X z>*Vv7R=UwDG`?27@W~%2CV47`c%oXFi0be&sXbpY-@EA)e~=$@-P= z{^pg^*t<3#yB%2nySA0>?z!fb*RjN|DE0k;IS!imqGye@S#Nh;;zLkD^E)U&&@mL}(-GUZ-wI&Kr1 zSknk9Eox|l8ZP+HI$tAqs8goYFQ^!1AOlp;y~QGNmz1-s z1d-AG)=J*4Mr!ns@5fmsm9AXByEhq^?iTJ{^oM?(pf%jNHtuqzb-hO>u=p40U~WvC>L=q z>f1%Pwf&EmV5jK)3U&$SX{?*TR!hS$OjNC+&!C-iEbTptb}= z5c7Z=C_)7MxN0G~O=&`mVatnE{f2S7&1%Vw5H&x<$5$94FZNYtW|ChN;lxPLGQWNp z(GA)8V2~ftCl-3t$OgqR?jcBb4f$g&Qb|D6wM(E*lpDtB#8KXu{-=$H;oCPO?(Pd_ zl(b72d0qYoR=GVIHsj97+He|Uoow_G5_751r>7!?9dmp5oUALHGj(jHjXqqX^ z?^vUS-Jt!~wip()`t>iG8OWghBKpjjt9S^N2(znXNhonz`Uk(W%pXwn(AoX$R@uv+ z2%Nt>^c{O-ZuD0MW|PDM#B)Zn&NU1?|4sjLYYP9{lJ8BUc!9s*Ux;M7LEm3hfh8v7 z*h7aJ&gAOkymR?Fs!Nqy<(GD)L`h)r7Px{v_MnbW8|Ssr;w2}aHwLzi{|#dq{W^d5 z-~Vv24Tt;LurL0mFVFpANF$H6jJ=kDDc4ODJ8A!`Y0g)aZ&i{;wIWTkHWzwaFnk|# zdHS|6Fi*xWmL!M3>7U+OvU2GD-v6KQ+pRF7yORCa5KIIpAtFkVT>#T#sF?(jD=tG4 ztR@&`-E?I>PpN8Xp_J?7eRHdI5@6IAB7^`{SIaZfhf^X^6%~FOFD|a$C@+03zS5x9 z4~iD*+Bx}j60wbSegBiWTB>vee|PF!DoQzFNwIyv{lzs<+6^HfWs_q&J1Ys=BOm<^ zG35mG{)ofD@^*DDp+lzY70D+L!_Fvlbf(#I zE9R#GrIo<#nY;%@m|8^CbZR=aJlWHE_1wg{Jm{REesxg% z6)RojX}RJ%CA7!;6V<;0^RFTJX`>uWHeYd5`=6ok(FCf#QO|JwQQX(~ulSZoTfL#- zYA`LhIQ;qdCaVl(NW+n-)8zlFYZq_W&dPocyL-+=Qmx5x8K5MK8!GoD5e-eTh(cnu zQ9K449pwl@}(}*&v@`l=0ejEr7R7-affFM;*lz`E+r?EEp zUDSNfHoM^QHIrAL@>G08_PS_s;iw5z`i2Q|Ib=C+!=wFvL`k#xjm#43f^i&3|B451 zafQf_$8-6%-CWd^}bJfN_(9%OK=kC z=+l^sYa-cTe$vJGtqBCyEj_M(G-7q+rn0RNxY!d4sC7=;uv03?F@Ymzs>9R`XcbOKjxZXQjshwNu^!VDd2Mv?|8} z)Z0-P7}XA|FjKO3Y!N?Sj*jJ=!|ScZ5Qwn52uhiUH}<}#dpWxd@_^$iR6v0zG$(I6 zthP8^ATcjX5=G}8Da*8AxfIr#zdJE7{=nKd=ZrZyb7zmpDu=PC8iTi>kZw-`WUek+ zKSZHRk(T%#&Do8{gut~^CyUJTaL!6~;6YR0%4sAk82O`2(HVxKOa&@H5-p6Gh@37`Y4Y_lI_?hZw<38`rixk^Fkx6KzzOr2Q28;3!T)F#qv z0p@PYe_$&Ow0fMviWI=5W%>a%cF`yeALO#4(uYgI>zZl>_%QJ6^MKCZ;&va!YM%jk-whM% z+Ngci{mtpYuU2+f2T49wWdIUs`HplvW`_&A`S_AsvCnA9e;wyrThL zh-_=NxjyeYi7%9OPoh9~NcDdWwU+B65t?Ri@?x@k{B$3ifmUCkqgwU|CZS)7eG7yn zu&?>OphgM#T*wUgQ-D$;GqOa2&^_#Y`2Y>0mjp{M2Sq4Z!9CQ`d9HmG=MZ`jW`D=8 z|IVj1KQGEqJqpnsA4%57II-n3Q2%o*^0;*&i8q}MEi;xwTBFDPw)NZ|Ix%Ydp|8J! zpVQ|`&M0T?*|ODg*23uKHSZvcW>bw<*1p{KVu!_j#Cd%jsHd8~^yHMm_Br;8D8{d`Q?Gn>=oy1 zJo<(?6x2D9RBSeMRINXYx32&Arscr3duzUqqE>N)nfqo!kK;X0MO#t9*-f}9n&AwModyqT=#>f~ zY67Er?4#`bMNF8Kmb~N+|X7kWcaR^2~epQTxMHqiHJY-jVGX zjo+7lr_UXms@Ie}HW1NFvN69iM*3v1xr-SD6(-py9~@VSe8i+m?MSz5EwwoKL$o>S zd%SM$gAk5%w9H%nU}L9mG^EKQq{{Z})lkSM4iV2iE+$NJGvh_Es-8}xmI5~23(TNm z1;>ya{UKHzR*u73Ho%g=CeE_8kTOm+aY z1H_rT_0MV?Qt&|#HhnRa#ZxPsiLpJc5MV7g(&I?~6Y*Q80F%i-Z?TXt7bP+$Si_K% z@L|)FKpB*9Uu1NGzUiw?#-tR}`?I6Sbl(h7cgJ<&y&sR94#Z4?-<~f~24>;-(!2p6o7Av< zw55(P#}qk{k2C73OMr(m`hYpCf={Uat9mqyLeJI0{dth-@Xhx#bK7z}u{VCqL77r8 zV~*#sJ(BdX3LRqU1llMm!J*Ht=AdUR=D1bNV6#6$b0}ACj7lbma*8GwwTEHVT7wbF zZvGwe43yRz@0pufSUmleoQ#xdy-0b)h~XVWp_gk-IA2%LXh|~ZoSFO;Wt5bDl`I?6 zgkq28WeZgc%5x7D1VpzXEcRO{3t^Ru5X|uOIgKgQC*JNb{VRzBH{4zYGLws#nU7CoXexan3 zw~K#9<;puH*!4!k;j7l`*Kp)uZh?&zH@GUC)2h7X8S?s_t+)VVwKAy}HZ)hX?xnwI zKO7kQ6h7-K1URR*Ue?dR zXh&#jscP3x#_V<;T_O8gYwH6=pUuq)4H=0;;D^s`fiS8e!(( zRIJBgQchBp5e3vNyBl?k2YiBmiqMpOK+p6@(P4NJ@>b9h;g4te;hYE}V~>ax3?%z` zVjW2#O}49yH;?J{ab`D;|L{c4W9ggdoYZ{DUSxkPsFH}QHAfM{=YpjoNsu9Jl`2x< z-9=dof{#D9(R(u(96wuF(`elI$wdv3jIfPTV+}I;=EE372g=04p6D^ls1>xn^~loh zFe4}&OEn^b-z4}Fz1~mz{n^L(;Lami`*jL*R(=jq1(cexl=Sx63>H9dUHZ1M$dD7v zOh?Y(+BMfdZ2;9!{BsR;Pg+cK6eQY0fw*idGE2`*{IhL$L-#P__yr@zq`VznD}?^k zb7SE04dpfeWwYl`hzxS9lvSXfe6_s`yr#mt^N{Sm8^o=>D7fw30Z8Bsj!C$qG+6W{ zfP%)JyfROjg8gL;HCYuQ(p@ZTe2^?bFcKq7XX|wB68N8+AQ)MZ>8EoZZ%%=~QihX? z40;y#{H+Aw2|1!&bp;>4U6LUHz|tE4OKP>NZK1zV!Hh2LYCHe?K{NRe3%G)VSh%{< z-?nyp+sW&f26p>D%wA9Os=?acu8Zn-Smbbc2Qq;v%%bgt5vVQ+=Gt`=+Dgwgqyg_} zO}k?somi}JxaTtT?QIaU+5@c$k2|q0E!;xqhB(?cs(XxtWzrB-|JpVk+0Gi#jq%eX{i{be>G2aN)AQybV|!{ukelU9#n@87&IIqVoZ5CrDqQgJB`_}ruI z6_a@?Vpun+Uo-wEfsY>?VhOb->S5x$Jc2aZlIg>+6DN??%^d7ugO zUF)}gm5UYy_>$}vrP0-&Q*z>Ml8n3#UOu^<=fDnOUvoA2OKa6mJq5dlmO7y!xa@$w zeSOK3%LFF6H$5QJrqGKEAC3)S5)j4|`>q7jcA5$o+Eq5+Vc{!r3*qB0^U`bT93Pri zdZ5CcP@!3PpJrD}+6AE$dxLkEQP1`%uf(wH<>X4>+zc{)en$)KjKw+EfN`kq00WteCM-VX&kc^AV}D)T(#xUH>} zV%_sYCNI(&?#e0Tx%Otj?3P`j zLa1638PRNlYg{%{fkhOSLNAlR)y&Bo|(UUg*Jvcwa$MqXqNcJJY zDF;+-(!^FUcV_UvNk+T->mVp|!Fqofe!HoBcjY_oSt|FOuy2`=*l?b& zVot}!hAR_FnyI3jE?+`zUb8r9FlfYBS0tA{?8o)~P}_}nV^Ye`Z~x~86+V#f@>Ov? zPO-$i)tfLkRnj~q_A!HEax5Dl@p3*lN#W&$r~JAN7QMz-VaYyZW|^*AZA7pgMT;kV z$vMpOT{g5?i$N{yGw*)@s@E(P}Up$a#;ShD6ue#=jB*$nl= zU!J$|KvAthluCH~P8A6zl$LaWhT8xu6-7q-UvsMK4eqIr)z(!ronDO zoTtf9J+`=mk;Xf%F%;MC54e@xw<4u872l8$NmIYm#m&{3g6Y1f*?QXIwQCD58CT_8 zrYV@O-EWhB7s!Z1b~Cs@S}#p_cqJ3ORbY1$jC8{yH9MynyF-OvL=p>vmXD{|IaSms zQO!uN3`=mnQqDw^mZL6ZJB9u=XCXUDR$(8}JS_BS#ImS%09u zGZp!HbN?2`tEnjPToA1FEJ6E=cm)&*J6CmoI-ZFeLM`F0O5+CoDVW-(Q6SCGF$2FE znT?<(IloPUQP6#qNJL_u>(@64vQs9Y11q0@`r2EzgaxXRFvWOPIsY807OC}hs%M^W z|FIiosODx*H=m}(RpT&+g*9}#b`)$u=J#XrQ=G0tVr!sIH)&P?CU|^0J#wRmLlj15QB+k`%`{wxbbzn769# z+j##;rQDzGHlsc+_@XYMcFUYlx78l2t$`m4e{Y(i2inm$E(=z$l7KUZs z!)QK8I3cyA<_(!C^WpI}d&*oVRA0RInPpDuKGp32-XWnHSRqd4Fvx+ZT8nSpgF4MD zGc4}~;>^WMR6o;)@RScsL#G0RT>s2Mlk;{S?o_yA3`9NbUOmZJ@m0G@QlxWEqehSY zE;_EgDjM#iq)4Z^_yB@vunPl|@v95LNnZ7R4|59Ld4~z!QsG*suCYg?obdVZB8h6q zr}`LG0qrt>pYhz9teEWVs_t7(4f^}Dt1dJ4zU-FdQ*9=`*;SOc&1_W=RKAcVdq-SA zpI@%bZeF2F3f9f@Z?;8tOgBG}(q#O40q3@x740&5&nnp95J^$2UY{C2tCv0>t&dq3 zWk;gS7Z@JH#*XKe^dS<|nMeUA6TVf=aNO(pG`AkRRIIUeg1-T4i{=5vBX~d=a42Xrl6I z=FvG=sRw&(KZd~Ta4LbE4@hPZzC2ls3u%2W zd;*R3K=4N}QHMp^v8OZoaSNGHAQeiU0=tfuxhYnCA)eimF)KpD)nY5q<*RJZnp)Gp zKSa8apz-`vl6l>?;tw8reQ8I3>s1SR_~jT2qPX`w+OJDb+3JuhtJdr<&Az{5S;2(Q zPtFGFpPxow*%uNy+fBT;Y2L>vA|DV!w!+?ds1CI(KhBvtp0OL*-=%~if2O8RS1?35 ztfx_}D@Im7x7M%LPgR!6o@eM0LmT3QZI?T;l;!`z*8mjQ^Ik)6<_^w1634GtW_!t) z+Z)ssrZ(60rkk!|G-#>tM~Ln?iZ=60tiGY@3lmrYrvG9N13Li4AS7eB-KWnbl3ue$ z69a)g7|14aB)M~DQ-lzey}d`re7a(!eWrR_OhvX`Q=%<92DEffS{|o!Z8+064jvyi zOy8yHM;1cP2z=GFaBl-`i2k07a<6b{YvG3%Ta~wV>8us)(gv9tx}QtvW$|TVg%;%y zOzd?`BOY`CpAM?K-Fw-m0uFS}YT)aNk^U4Z2rAam%604*a{9;9U&WPKw>+OMhEH2~ z;|em~$qO3gEf&pb;Tu~=5rAnLzoWi!$%88BY)8K$`ef8MH-Ao-!byX?bMD5**_*Fr zsv^4(SypC+|F!xTzoM%v>~-Yba6zmE?ac@A{AGh*ce6qT20Zw=1%J<9{~h>iMStvn zf3ZoPV~mzldQ&K@PE0&ZY?*T`-C8AtBX32PpW~`=dPPlYasA%D-u?LyN6Tx1Fp zHne#=c8kLk()>Gef=odiqAlJ>okT17T$VY_&q|a#n1#GQGL+SppFQUOxs<1JYl=YC z9xCi$l>X1}V2_ZKQVUOPo#Lxig7M?*?&g{1a6tGh0C62w=M-&W?y#58^ToDJs94B8 z>#piN4F1`uy9nA9qG}&%>NZYS^K?xrtM`T2*wdy_(uTzH@%@VrnJ|k)7!OC0wqvh` zoF=r|?ur_Fa#JV8%?Ot&bN1?Hg{4a>p|z~WG$-!NcOWTf+ug!adQlq>?rlhUB{&)t z!VzTUsYNA&j4P9=K_s>4hni~cF0SB761n{-|o0_@l4;%zE1OxFji^qeD-tt;mD!%Gv58|7==ERtw#b5D#D%CYe@{X-4H{D6z{l*34n!A$sGuJ&UgCU zxY*Cs16^Wb>m~zin;0?jD+CE9b;7 z0$auD-pqM2KuyroH(=BA>?`>@+i_NrOZFxaB+$cN6IVXeW@FaWZ+rpm;_tKOmE?h& z14+cF`pYaXlRP;6$abl24-Zsi?n4+fv&tyA(V6_)E?$(DOni7aCn&=dw)-{EG^crO zPelboY?tM$?ald*yZuofE}4tlksd+agIcE=alSof3w!U5USSHLA2coq>15(UbYzgV z?UmG^bH{WagL`vqT_x^f*D%C1M+8Z?KAlY1C6%vOo7X<9n)Xlc9snyM6YJtPI$)bj zQ0jUi2z2kPv&MBaW@MxHapQ;M#?V3M&_NDrCX|xh0Rz9~@ZwB?kC!RUQ?Nc%8u@A* zne608Xcp@!Bkj49_Lbo}C#tvSWYEa0pJhYixZvChw_O)?#`#%r9EXV_mSY=<0I<*~ z7o-=G@|1DF<7LA``HjtwPJej{&(pDi>FIE@u+)b1r2l)C{vc=5y?f(k;k$vuj;{Wh z>f0YmFe)?u%LGZ3+~f*uaz#CIV!o;swO6-8xnOYrF_c3_rm2xME#z%`;@5{E>|yi{ zBzs`?q25&0_WktjAvc+W=`$7jcglnFKQ+j-MAxYQW0nL~kkkNQ-6j}0w3>p^wp+)& zbSZ>VSBVfD=`dlGI-<798cu9_d(-9)J<<+pBIUUJL{S`dY*mZQ)d?T2p!-Z|PP2Z% z8t;rh`h?Pg78#~_qZ_6aq+g?J-cz%M5{qhB!omR4`PKC}U z6Qz~!T&EY|#DCaR;kw#AYQf3cPGE73%B$&6l~&?vei@Q>4&*~P<@8H@R-Ijn+bKdx zvfiZG`XH~*=ynlTy?CQ^Cm&OaEO$ZHQ>{tTite+tB~Qpk`Ayya-;9xdiwwcElf{6= zmJ#-~zxNB2w7GMBcnn)LpU!^W-mlqGc*yv%1)1hhMS@zc$}~W9jR=VeAL5uq5L>dq6Ztt?_+{gs|^CqbNlq!ToVf!{94f+6JYMa?jjqlf`jueT~ z?rcGev7EaJNnlCT9R=ZSDZx}SJ@fO=HNA8EUu6W^TG=?MF_HXVzwxtRlD9p1&lDaY zvG1!-%Rv0!!@d}b5Am>W1`!i{Y^fAm+UL50SQdEvjjHJm#dEklCNoeoUDO+l&5M(g zB1~PDmFa1yBK?%wWH6Ra-yZXhn$GMXuUh1pxk&<7mrk8Ft9cN)>Dluxsr58NY!ol7 zsj7NbFS@U?d?H$@_H7DSN*@~m2#&0yn*Dd~$2J9L+CIR1uwN0f|BLzVfgVc2=>Pv< zJ|51{RT0baA&5bTLe!bBmU(m=j_N7DE0Q{M?6HlWHi}oXjyill&KQ5xS;zjBtEKKU z3WQl%;gx>5`F=xL5LNDTjiavJCp}d;cSfE?JZl141PU$g#3Ylm(JzcV`@!TVsEG#& zKZ5f-v8zc$kTG;=PWI}3aJ;|(S}O@bB+%xbytaKn9_kKrTQxXumJEswvN^^yIS|xy=*j^n;1?5orY^a&O3d-) zTcr)dseG#Ik&j2(2Lj@)bFmYn!CgnOd$$><2@2E~#!{N&y$^-`+TdXP7JL7lx4QnZ zCKYQIhFfZnmxf#EbObnS&fGVBkv;~WB;lPl79-uw2^}au;H7Jo01R98*XSxBI)E?5 zV$i$O*j~G;b?mOwfR&z-pjcbke%|Vtu)1lPVfVq|{;2Hn;)jJKy|Tq*lZ~&1TA-b* z-NXfgvpl=ZTWatk1#9Q{thf4Eu*Wv{D;NOo58&N#3w?;EC?3o0=A|e0j!#A+pBH}4 zWC)^^t79t=J}lpq+XIfE8OUdDE;T#pAgGd1nV^IntvMhS%$*(D9VmZ^);4Ig{k`98 zD!8j&yH)Yad^Pdm&&%Msbg6my*{rZnTbomog{Ygmlwiu@w+6l#{FUTSF5{aAaP24- z>w)b%xc*QKtDE-@T~tpL8M6KRkxOGc1W6JKS`FTt+NxD#qXrs9QA3i2cNc7O{R;}Z{zh$mjxp}TEVz_g2 z)3|xIKO(Nzkl@I##5T^IH-GRR?`IRVnbBn4Fv*nfRl&B5)4!Ha$%glm;V8EP<>lMb z;nPpc*V>EUs`nkcdDXU28x!jD9Pm7j-J3{L^P`Vf65ZdX9NO~O9$FbM9?aoAQpsFf z8R%r-$b(}Nv4RsJk||#rOI!Uh)AjDP2iUXV;@H4rj*mV3c0>u*obX|OG0&7wQRYRi z$v+N{5+OmJ(sr(^v7fS?UNSDj(2yLqIHYPxd zH^aNvx-vt>L5rzqpa>vLhUT8;h9#d9jm${qggq#O+kUor7MwP|Fxwjed$kHo8~wtT zJ0(Th%n^9d>PF4izKFydIxpY(;@^6QbAG0FIfV!8ed6v-nLXEehas=F3q9jrF24uc z*I1ero0sj!q8!g}r882bd7?)rXa%SYPw-W^3_t{fL)>=261eC z%`PVK0%FznFrKe=iSYX;PkTz0Gd8i7Oj#2PI==rzRf=~eG%Qd4mAO%@em>zgF}*+9 z^7kwoO{9X6rp>@<8$wgUBdUv^s-rI`*Q(Z2#<3`GbzaWPL?j*MVzi0<4uh~%MaiZx zBC&ceK5Z^u(c#(=UCrplWii@kiHMTJdL@##X}Tz9eMpcE$+X}CpSo8=GrFa9RrIAp zZw{YArM4w6aO2tHvrI3#4_u57gd>+g{t*^qGMo1F5#65fs-sC z|4y=q4>jF?W(LS`_KT14kQN&Yf-9|!y9?O{$rT%^GWJ_lKvI-;rG$quqS_=MDABaaB2wHL#EY||E@cK zoX{;b5KzZ8(h8uW{MKQCQ5dsQ)sO2ZD@0Zk@vbtWOs?72Ls7m#bFq(IZIXgvDo#Ur z87e*a%-tqAnrS-Dp)zBL7D&smD6&0hEQ-(WA)o%h)Kz)z;I5jO%{Hgw5jKF^ z+Ccg>{qHIW-kFkRxN*L_Pzm=FG#FAP`yr07G<3h8_TTh9kdq=ZKh|H69ODM;4a=og zS?EWnH=odbloFFAT8l%XIaH#_d5H+vU_0^-pyC6-09So@-&za6`pksk`P_NU#) z__#Q)uX-n{pZ>}o{Z6yJU+u$sKp!R}`{x?xHeIrtX@=NjJXu_2cL0r! z8#?6tY8Yn@%RAndxcr)Ak1arr69!*23vyyKEKNJB*Rnoq*%kax&*6b7Rf(LLIh+IGkW!mIRK5bxp05y+gn!JKTTdYn&}!0<8~qN z$+IKy*}EiX-rHY|!+31uA2M(iUGMe1E`rj?w9@EAWU{`8Xeh`_7SGlnoGn5xS-<4J-#%x@H zcFjFTDZ=L;p_OCHjfEGP1`$cv0m|-=cf&(LW8F_;v{lnAo=Y2Fmpa~ygN2jhMcPN} z!;<}nF4$NGg=%Q%2h{yf__uP4dr_5wSG%s*>32-O^|=(f%O_Mf z;dyOmIDBHGG+pw(RrHUvk4KvO;Q2d|jSs_#G{YLDKA)<{T?H>EUFn0DUu5uH z!!n&iOm9I0BtHQ+Uw{=2QmiH;YwIy{a+c6&z|pbjhwB;90h~@helthnu3fL*y;dpR zn+u=qBME3Zv2`S+QJ_aztjW}R8Y0uDiEqQ#9}k^-QS?ubItuYsVyl>o?iC_<`iVVH zH!mW3S3_0wxi9TvEJ?s-xeinX9NJg#y5jswU~2coX2Ek~4gzaUMf+qGilq|%=0EQz zIHO^rqwxn(F{XQ4@?jLc}1+t8-t}-2JV=+iueC`NrSVuk#@`g7H&WNOfjAOfr;m3Qiz>8A!KsyTQA^m zOTIF6>IG|EFv)h0Jz7(SZz*e@&01yNlGb51HsTW+vTlxHeSa$lPPNOKd@z2-ZjO&F z;%|v;_GT~={pOBWxkXH@`hAW?uAjKn;0K-^?NlnQ+rTp<3` z!&Cp^@#ifuZoboo$3#PHBs|gVeHExvg)@!9v0f6HWE;^CW0Dre*#P5#%s!0~y*-Q& zcBEhTBWc%bW|4x+-7ggYO2Ex`Oz`*1$19?kFC9&^oRx#M)=2$qm^)Wd2?n=bS?-pN zb|S6zx8)Xoqy}LHOT#R`?)1q5O8HKO5@Ua`8ajKB$$(SZo2mF`P3woAtx$2vhO&q0 zc$fe!F*Q+v3T~L-#%*8SvsX7lvKs-8qNU;ReoR@+qyxd6di!vgRnv}ODkS@{z~{YB zw6HU38=OAh%cc3E&-s*{u+6k|d;jM2ze|m2sbSBBmKv-(D0`D{a8`~cbnb=yXV9JF z=Y)PuI4DRSS2HFPFN%U%EWL!l?)gSF^9i!M15-zcpb()2K*DYk|LII^q=3a-NI-9X z%Vya1@Oy8NW5B7?U1w4JS#|3IB)z+$Qxw2wke}5|1bAzHj$PjfrOcO-%|TizhsT{M zbkJ+$mSS6Og%UJ2D!M16-)wv=K*@Skl54LDCF#hrF^Z73B(y0KCJv$Z`oC-E|M+fv zWUu-f?#1cx)*kBnI(7)0RExck|3B<~2|Sc*`~T^*@25R2ObbekSq%wUvV>$U#Kai; zzJ}0F*+Q}u3PrM%EtTbTlzoZH9;e8fWY60FdS*_i^p=@(-rswAKmS*sPnPjK*Kg~S?Xw?G3yqt*}i_Y1C4ZRh6o zLgN)n@h98i1eq1~rRV+j4g8E%?eGfkmfzk!%WEMt%Q6hOP_sDdPQon3Cj}xGHscga zkI+&VQcoQ>ntOZTxtc3JDwLw&~6(0jTSdjVG!zMfjr_t>JwarCWD z=JNwNHpfK*2;**SP9L~kB-DkJF5f#sRJ&KV5n3Ah>x7+osl4);_D&hHC?sv{9sn(b z?S7bfS^Js@`%SJ8{E;z3lN^--=|JC?T`RYF)+SZ`CO2`zrjl~PX1nBC(ZH7n6Y30t zyxA_sMlQ`cDo`N7UfwB*lSnNjP&Xzfl%ms41J`@_X$n{jl(zSf4&7RSqTlSOAkI7EYCKX;e z>XfAH2{~l5$-Qjv?vK0!Jxjv8de%!wY>^EJ`RWyOo>XIt6)K>BBM_R~Dh--dkTTO%i>7&X23?r7yR*iJ4nI&b0bLpuje-h2f63&4a|3 zWYw5w)}$x757)~}>?OYT051f!t#0yXhrHt1t6uD(aC<`7tWZRmS6Ays@sqodUaB{1 z4+1VE2eVa&Lym%aTXNq?mRm|8?KA~KF2g?qhwsSLvF!qh@HGX`#P;@yYE(UPtC#p z;m29~@+ztx__~=|HAm&V6)cY#-0UWmKIpqd?6r9=WU;i&t&p+bb(ABn-}P6+6Ncu) zo6Wrdz`qcsms7V8uR7S)6!7?EYw%s;ck=oy>Pr@Oo|SV6OpP(JJoot5t+ztse|}MH zoKm{;RkF{Dup1hW_qDI_gI;GFP4XY~VOOcjhW0|{N>#B*xm=pm%{bk+TO41pJao}A zx!zPxS#qV}wv{l1(n~aAS78+kO>B_thx=?qq_Qt~9Q+(B*NZNYiIL*Va`<$Ecx0AK zmfm9goq2I=7)x#oXF|9SE^COduIN_vGTWxc_)$&!sZ(f|w{2(lIgsiw!IWRu) zvac1X^vr74Y|#|2`1@(5w{;@>`rcmb8a-eT5_{EaW07iI$H5g{aoJa{_@~*zU*nQf ziO?C3t9Wc7l6@@`z9)7F4)>-$-s*TNB-T7rgI7Bts9j}Mm?};pBqWYY&wb~uPMZdf zrNyALwkn*z?`@#V5xoG}8{48>Hb_ZA@07y|^|E_0%VVn^6JqM#G(cC(HP?mogS!sf z=+~G(U0f1tr{jr|Ojw*8%u=PjZMTi+wkG>aQuYMX6_0nU!&IL?8JA(QcKN)up7rWW z=JciTm(10zo7C(5_!_g(!$>nxZT1|TFB}N{A%R4_d~(s-iF0$qp2WDPjtVM!MQmj(v%x_`+Kh&Eh{}K5Ai-$5x1o4hXFqECftR#&S{FlI=u038hy(!sxl_A zChcr16jhS6;RqJhQnfi%9x}`BTcMqUgLU9gRhjxwwp|wJz?Ev7ZTe%X?rH4zl=Cc5 zVXxP@>T(xc+k(*gUP_)_-`E9dulSM8RQcT^GYWe+ZOZxW*#kFM` zUwm@t9)IA5XiYVrbj)^$MaL4^|us@E-1YIB$Q3+O-X?x7z3Gwhv!m zWry^A(pa{(S;_7b{pvkgCkr2rYL$=hMJ%k+BndVqGs|RD_3x1BY#1?TmCra%W z;Mewnx7QCm(+FcvXsX_z8hg(^KdsxEuVQ!c?bw>2r^0Fpenweg9KVKcqJ&gwYD;<3A&n0Bqh69BHbNcm&Vvli&ja>A1{L$=IQYpz+D7qq@=!^3&{ z?I$m&?_H90e)9sn*AmE}xCC7hO4i2-VT<+*%()v>*r|TIaqY5IK@_P2AG5o-#hW-n zw8hR@Jv!hG?F4t@x2-t>1tm|2iY2(BrYcvLti&W?mk;6=2cpVx=;5xx2b0j$FM@Tx z&RA6EMk{h&K&{MHe^;?9Z?N}`0v^13%hMH?EBo57^P=MR!&Td3jn{d0=jlE?Y)aIG z{(J&UH#y==vg{>Ea#|xZ0GF)gS56Eu#Ruvz!4YH z91A?X2cS5}F{nE13_0U>Pp!vqQ~fphqTfDu>XxXw@*S&0c@Hizd#KsC4U#I$lFyxq z%ru@j?Qq3KGtqVK)1cwrAg6--!c?93S^jkmovRB%i>qRi3#*QqnHz766ucOFf#p`{ zl_-lt>Ju-ewgs+Kp|;7X2V8risamEMkiykcX+N20k{Y7(dhY#`f(xHR1&^4U!t+Ad zql1R!-TgoBiLruqG%qN7XGQO_4P2Ry)Wq=3u7)!MBJpj$EoU3a+7f*a9=i*5$He6K z{A5MtXbXQn%<3Y&M0M6%thj=ETGnleCztVCVM&BX#I(DNP6))ODPvzZe+pvnPyluRvQ z(QUu&<;riAq9369WQ`?s|8a0X-|8FeXn7Z^!gVU%D9C@ z9~zuXQ+R40e4VrM-T4!y790g!+t;tD{3WXD4$rLXYG)I|)~6kOJLr*x7MQq_oiLWI zVYtbK{NYilzhdA3w!HDx6Yk%Ku?GBCc?F!b(BumSYQiD)V3VXoAn_j1{w;Szphav&QTm6{WXxuj%<)`*Vb!-S^J8VOwHS=;2Ug zJ{DS2cH`2k@slSapSw8BJH!1-t?x0Weq}2Uwj^Dpx8b5rx*xQ#-3SN2D#~yepPzj2 zc6>m~xj^*6o#*bqg!5&StGlcgMqG3Wl$Z1sXQNoVUGxbnaesQtgYx>Y{d>(d@3il( zZP1BaBvYl*sWB@Tqe>KbV;%2~hZL+-yLsZXCCUo-32{EftO^Wz#i{2FxFpZ)>Z>e{ zD~|u_rLvA(byuZw)Z1WwOWJE?*I#gA`Rsjx23&i>#y%vN68=FdI%Vk>GE%4nJC zEVY&uBbj|YO_8XrHwwx)g*=OgxUq_k0{Sj-`jHp>*dOv0t8IRG`={P?;V?rNr}GmI zjRs)VDkhsPSHA;2pyTc&Szv;=FmfiYhD)m|&w(!4eS22qA~Op(@=;QrTGRs>eo5j#5U-z*Md{+ z@pFxrp200E4~Jy$;=BOLio*xlo$ZN4pMtva#0X6_vTte6C|1VCF|fb6n7nqg#s-St z3)Y+*xzV;kUh0*B9Z}fSrtbP+(u6Bp9?wZr)H`)Z_DT5&UJpfOG2~6{H$+$}i9Mtr+)!x^2RQfZ_u}in{n!5eG#p1v|yWFb2V=p#E z=*3D#?u6qCS!)rE|EkKOw$cOK8bcp4Z^FR1xzsj%bi+8%cib5{E=JlA>J1gy_ zwB&~2UY97vB228X#IABnmUHq8RVW`v1H4O9s67LN&V~>_zg6rI=IW~D9=pqRwbq(9 z>#}`Y+5{fSG!29gN4Un5E)EE}b=*EwQhN>(1$v(BCs*i}@fbjT`Qkh-U9B~PyXLDy z{oh29;%MDQn=*FjWW36#(4^`V6#(7x2i>)eB?na-w3Sb>Xf$k7J9kGjtKl5r0X=|Ndsnv|aB z!yxY4N%bykAs76~`^t~<%Oj!d+yWk4ySjk?xXC`2H98A#_Oj1BV<3dhF3mzO+Pc*E z>fP3%ML(B3S2!@1x2R2WNy2q5XU8n{(Ws$z-uc&)>pV)7& zf&5d!8*KRq$sdo`^!c*ff&)Ufm|S!#d48zZA76r`bbs)?EPQ4^o1g38zT#%D?B_8l z-j0;!ie0{E2Zt#iL%dj6`^bBLe^h%Xu}`@3_Ci1)R6WK+&w6mh31{>Kai7cjUG8^w zPo3ycUUiR)X%imi>z_M`M6SA?LUxr9(>`(m^VU>htn;n7-OwL-awlVa`8fRI*KtE- zRgBQ1#cOl#Xum&o!xuS#_hnt^EoU}LpgK`HOR@Wb`?ii6UA9 zx`Aw*N_H`OA2HeEHjCYi%GE2?JIVt(q-gS>!dpQ zvu=sy#Ss&8G06+=uR-5WGv-ndyE*jRuZy?5Yn;Ep(Zn{3AhK8B+h}eMRv|tGTpkU+Tw;cyRO!Y zoS&s`y?Xak$gLvT1q0T2I8nrIHIIuKRtK_?BR^ig{^X~1g8GNYe%bVVF^kjI$7wq* z>$F!`_8J}*u3mpeR^_s;gg-YNh@$qkdyAer|Bkl9`6W5qR-APRU2aCc6nuXFx|k- z*e3s~sV+6O+>YNQP*>pHyZ0^qkF8wdKinJ7G%{++iyoZ#(7JbI-{_E%%|k`~NdJWM zx)J0%_OVo`ukctsR-c$A&Wl&vEGp|@q`AFHHEXtz7YN8W?vs*8?FHZF*LC==xH#F; z0M6j+oi)#vN7`sq4R!jxAYH=&CNObiDEg<|JMq@f)B5pH0&cD1l3G}25UhS^5NqnL z2vS0Mc_fHhev{ZNuxUDz{9A9k6d#z*yc78bFnXHe2g;uYD`Hb3!A@3zi))&^aV~o zWm5cYpUQjV1DdOBsi%*P9yjL@UKn?EQ}x*1r3o8{#-a*LF(uK_71--s{7cQ&izkeQ z7bPpbLPe>$-@F<@6!E+`wq}n=uC2JKI@YI?m6s~N(n3XN$?5}JN}Up3J-*VN%<(9> zbKqz~iu2X{z^uFc_-+Ym(7HIJtA7dyY~Q)v1>h9|YB@0fF3T2u>+9>i`7*Lph45Kd zmn^@TMcfS)cUg{m@Tu#wNJEirq517{SrprrlfiXQW*0rZ(wlchFiC&)Yk9rBL>p)> z>nv*jIHSsWshz&wrmn1WyUW)>MU)oH;OwZ}6c_QQY6=@rby>S9i_de_-Kc`nrgD4v z=XbnROf*hV4OigLhJ3iyIh0?sA^7^fgZoIY?>S}flP2Qz(?a<6=WBb1Tp~LACUkB* zYm+A-;&ZwN((%+Ye4HSz$^9M@ltR0Q4yU|W+7*XE`$0MbSK-!FIN8*|iwH(QA+eq0 zVS1MQOfmQxd$&z(Wv9E;#5INOrwn`qeqEB~!KJ@`MQZ+%C{LnqKmyE}oKBUh(eu?v z<4QT+eG;qj$_^$ZIh8u8x9JD;cBP)Zu6yskI+y;66fH{0*6qhy+nzmrkVQSGEuot3 zAcJj^Adw?ABZUu&^6DdnS(l25F5Dv*tj2m1(qx-F%RXAE$*Udz=o(+re?hRVzrG?z zi9@|fh9ms4_>jXMykMDyJ7>Uzi8Rqeq_ge>^e51BV@FJ|xSVE{cu32rRUa^QHu?Fs z4ln=moW|7}l5s=;Ns@yruRb>f9VYLsBWBf`yu9Th_uV95 z5VaOO62?AYb5s))y{Yagi8Z;DUsmWxYc%ol%r^ODIh>rM%4u+J*-~ukytumI^N}e@ z=IK8{cefXP8do=<;@017)CNv^mQt?(ny~!dN&Qs^&j<*fTd1yK(ZHRnG3?-MitfuUG(Ndp{afM31`~Q}$ziHd<;zREDwC6`& z*fYNPG5|0f>q1MIbh4yt+o0Wr^E>>H zkLW}5a4L(2V*ltVgOE5qZu8PElWSC7{(#JEZdmMOJODH$g6_eJ-O8HPvidCx2RO0s zcNqS5XTG+@1UCmu+=_&R(CtmJ>20Tjc+bXs)E@%96;M7urss9vEPTB3x!dN3xSK0= zSKVCnx+MPgYwpd)&^n{Scl;-i2nk2(p&WwiHW`%y%^31l<2-2zzy(|N*5Z4stqe`_ z*RMqI>5cD1%c{1ReH{PD7MjE*q6glXtkg*o}HD+QL_PetEH*LNs1ak%KQR zhuSL@L%NIGQV2~&8YjXYmt*xW`|VFB@NvZ~t}3l8$D7z}52Z%-;k=^nSe0t_h-e>z0ITj6Q-hq_WyWxRqeS2`A+}f$eNV)FXHQKDym+~+LjPu3&q#v6 zZ;AueUO!pz1bTKY@v}_W%N{OJEGF_xeYnWN(M#YBeHgsrl<6DI<;7k?vaoyYYHd-i)-&x#UX zVxr*3aW1?@puhk2k|F7$Z4U-Wy^zi+btvw6qqn?-V4>3*%_{`}lHQV!E5=Lj^{ekx zym;}3zgm27)}3=E4?G}WuKSFj(XMCE?muoF(sXb1^;Mpg0U6E$T&xf8&Hs5(@{3d< zCzS#6xI?u*A+y+t2Z9RHk5f01eCn~3d4ooR`qI~gO*Az*3=1D_KBTYF)vREnzfCu* zY5!^$-hgO<(IWe`BdO)A3wK!Gxv)u2ax9JIA~o0`9JiLGwbqe`pkB4Gbv+l~qw%e> zk5OwYpdDy_&gJ*cd^bwB6h0>RmJK@;_%25YILqv-=|hjHbq_h7vs1OCjnQ~_nyAQ zG2?nJ(99b3Ui0JbA{b8+WFF$(e}b)y?S##YI;*-e^J*zY+~wK@^p61#gOYHo%yP{7+kFGIYmjR zbE4cCDnWH&a#uNTIg-iJSz}f$@uud!;F{ZI*wu~Hzy*0Ndqq}m(_Am08(rrdzX5VV zS#O_He3$)_GRQ{0w<|)sd!nzRZL*rIF5YKlcQyFg7L#L}`t=0;^aNWc8|5UnkdC$W zr19RTMi%+|AR+xrHC3ZLZr+uptog=y5PqBA&i1Sq5gUdkSSyZe9y-Fot3-F1@${Ip zRAnTzq?$(9vF!_J&kVdaT<1MpH`Msv>EZb~v6ABjtasPxiD|yv`!?&zR^AbzvUU4G zUB8S7FotR@cApI=VDVZ+A06HfVa!#j%D}b_Z*dsvpWQFtTSFZHz)lQ&(!^d zPxXky71hOAE33)48`%Yk7Qq zqwf^!g1la+?_SthkyhzRjf?X?>0G+LczYtw=M3n3_GamttpE-L6x^-8d{<7jGAJpK z8hmZ*l^lcgGFlf> z30;;UT@~haQ{qC6@Ghz%BUfX#vq8sR zZ&L1)E3Y_kui$*Z(F?pQRSUwJ3Kl4rUGJ(eQ?eJ>E8*AD-&?!8ArUJ6&zcgqC`;_W zI&q2`=TB_Q3wr`bifuZfF}vc3@lfW8%xh0Bvg-=oyIUrG$NMc_N-SXk&Vwa&Yr&!I zhbi9S=hYJM>*n7I@=$v=G}g%@l1-Tyk#;M1ey^cg^1aA#Hac2G@>Dt6e5Gl&Y}!N4 zt+{E3RIU6IStMy`Bj zQxbK*`x01&Ebb@d=b!(emE9Bh^Z6Yu8+kMVg*Yr9=4W_jqFrLB7N5Ikufk1F&Q<$< zja8##)el>1uK8V?k89GNtINmubV zx2u%=ex2*~kPPby&Jf{!k`>q2`g(CIE%Ea!Y729F{8V>oZO!c{=jwuqJ)eoESOIRZ|7vVb1Ji;Hv>a6f7*4%e=hM*(=Vv z`canu0$}`=$Evbv63$Sf7pxN(%WYT!V{z>%au5+HmJNDV)=Z^`nAj z3O}#dH*gbOth9OdtF=1|wZ>!R@V^=bog;1q3vMB{sv+d8+FyM+J(RN1R5VqXh{**2I_PZlXHyL2kYf+G0T7H zA~+(|#JYQ(RI87=NZ8|wbwO_o^yB)RZtvPfR6rW884`)fX%FUcvJCyE!MZGd3smru z3LdmQJGeqwRZYQvQAljx6K%dKA1e;WECDiM@-YE@Iw#kno@>D z@4Pvb{YLn}4Sv}{_ssq!?(sDhqr1CRU6YmyLa5tSec27}QjX{X6OmcD1$B-1M;JxN z+Ba_&IBPirP+R=#ps2LTLLJDDWOcllrVoCpzYz|yHBIDSulgNG-tJ zn$W!|jM~&P=2I5OKE(F8VDZJ}C765ACw2mz#04#@E-b4G4~`9eR#~wMIuU3?qY?+( z69++d!KJ$>u9J!6W*2FZ5I4&{DdT-Z2fKti4)@+#Q`^zK-Aoc(O2R5J%`V*ya4?l` z#(Jn8T|1#sUV3NUbKIx^&m)|wOjBD|5gvS-u=(ESg5!L2*y2|NWIVLWld6S!bCtVK zqN~rmKCFCI^)X8z*Vr!ya0y$K12Y>%bTxREE~wovXb-qfuDSQ)0*%CjoO*GUii<7n z@z(AUy_^A9?Rva}10q0|*2mpUixKiZ{>-X(XIiwd_Tvbd!EDlP1G0@<1FFZzk!8O$`*z!4M!pkA&vF-CL9vZps}w37I-G}bLieLCk@9zr=qbp$ ziOMw9K4cx%OR~rc{3v~&I8yT>C~$KQ>!8b)`rcQ1Y#&=a+E2*|dP|+$Cb`hUR|a=K zqenK@ohnW9vhK zW~|pq=J5{2WY-0N8xv)=*0WD0{7-b>_^KZ z*)95$T%>+>l5dhVo^0L!f%Z%Pq5JCS`|8<6rPhU|eX8ba0ape+8{&f+;>mCHvs&~g zxJbUT=B(s5tyYDlh2^BIdpYWC)Yr4yb@JVtk8^Xvf~@mVc?*ezp@pMHV%srC+jk;b(({=#pXUWAi-wubvWtaILtekiQ;q2v^9I8bBz@RQ?> zY;7$@9jzICsT4n+i8R^Kvc|y-Dd$^*s%yq@^98zWA}8M!^WC^7;3_P5)>=T)O{6~L zO|~RUQ_bD{J$1bX$wgwbp7;)HpB|ukHui`)3(wxI_4o-ZsW1hQdy~L{u&gdCwzq>5 zPEHBqPG#ZSGuj(lrFJLfCx^BU^5;anW_#;hNq!jJ^H$5(Idxr>D`}7B4K`UHuO@{< zLF?@>b%Q&4x};?L_ovH_lvNF83|Hxs>(+HWF?r<3+4x@L+QW5aCXdF`lID)})K&L1 zxAkaGlHUui$y|HYS3Ey^Z3%fyiNmi!-Qg&EAJ2{_N zeQBUIV_Qp{iMH3oPE(m4jV;xSItBKRS~jE`7{71L&^YB)Odj5PYf~MsaAR(Kb5)iH zPe-ZMP1`%e$Cgu6lVq0)zZ@G7a$crB|K7Q~vZpPQJsY<}Z|7zu^6v(3H%PE&b-CZS zy+cAMzG&>XB6ejR-9MV=I$0*1;m*%W)bjOfVtealO-}K$cw0kZ?LH%}e=%BENlxRp ztq*catMdb+i&d8Ob@20K)!Rt<39cvSj+GF&?hGHldh5l#{E=tXsE#c8Y`0yNbql5n{VD zGoSdjz0`Bt5S&x47SSjo+nRm6rBtzRtQ32*r5gQ4P_w$3HU1HizoWp<#jMMu{6l$l zrDH?(yes~Vn-A#;U^6&E{TnwK7|$I%o_Sg0MVHcPUx*W$Z5H6=EXQ^^2n2LYT=AM@ zm6!AjiE0^sNU3W#5qt4u#r;b?z8j*?tQOf!EPGww-zkVLOk6IwEMY%enD84<);8T; zyThMd8sHtq(yy~c^PEn(t=+_)oXi`(dK}?IZ%!65OjuV=zgR%e0Al!Lfwurv5WKb^!yE)M*A0jco*9y9hyu|xoTlr zOUd?rRS`N+rJ7S6!Ma=WqgF)r?>)_x$2Il$J2`9$@)@dp_D~?oNz3^Co%_~?qL-Vh zU%VeUWNV`s^N_=i`-#bTWmLq#k;wqohKPLG$!?$L>&!;m^XwX|-FJ3q4VT`C%90x1 zh^)cAuvIx8`&;*^@bN|_JFW;U^^7&umZ0`;<+`#?u{P7Ai2f&k_>*vshX$#rO&n=(9?pGJ`KWP6N3PW&XW#tN z1}(NZ0VAm3()o`}g%{}ei(;-UKUI7Dmy*`gNBP+1q|pDQC%oWhIoq6Gaf=8Sh2_^O zs)t5Ity%A}?Uk3Y@acD1<>d4ekv*%JHF3@J{t?m2SnZ$<$Fr-veE0UB{e665+&ali z;lNOAOtteDTlvXgrS-DP`j!qgk*e&owG&nfr@Ku%cz67<#3P;5kZV=ziUOn>Mg5;i z4U+QDX0tTEvo`cD%GYvb*k?Km49HY}?>8_tI;R+^sI2 zu-L`&hHu(oi?H;otRQhif-hIkFL?Z;ea@ zQg6RL+==@z>6h)|awN?8U(9md7Bka$DQ$BOH!eG^Y0aY zvQDnHnRka@M0I*+6gX?9BWT%OicROE%-#vjh*E`*?$3KYr&; zrZ?-0g~o_1-Bcq!)-!r}WX{FbRR!ChWV!t;(=R+}{T#&>FH@VORYppGi!HxAnz@YS zm{OGM;Um!&slAgS?DN$Lzqd}^E|0W3>#c)+&tJ1;Sc_7()mQ4xq*r40W2HvndZogn zCYSnO@tbt_#`Z6ZFHA#|Vq{Hum8_4uwLRtZeDM%i?x=i`YqxdwU8=8R>hmTilh*31 zt=1W;=?hp}o>}em$+|BGTLgb2N{FZ98Ewlw;n;OFL2#&dtT$Wm@-OB-j+t#Yt;x4P z$!dbOaT~XGaE^G>&GGL16ieY#4V&3>L=JCY&#~XxRQrH+_-KM}P#~vwo%1@Ea{h3x zGEe2>4_Z6*-WOX19CvBJ`UtX$a0P30Hw(Y$e`!@dRE7C{0=j}O5)l!PZ*KjWGd+6w zY(I;)-EWlXD*RohhQ4FOgZbIqef)RYoQ@Rj9%ywu>bo$s`Ggr;Yg zJrKn2owqIg+}N=1gkbToC#pD!EqS%nNAne8MdlNuUE^A|n3mD8>!;bPd;1+O^{g7Z z7u2(^>qAuGu=i(WNAk@dbsL{tabUje@)th1AYR*vj~tE;ZX_R11Fp`AyE{9&HD6b? z?0%k8BDu%>(!e|C#b25Py<_Tqz2&qqd;t zpFtxS2~2?SsgQvcMwL>Ta-$yll~R9vP!jFCH$eC|>YpF5W~W6|lWT*9GIv(&n|*pY zF4fAPG@R0v(Nf9pBTJX?snH7gTHQjM9UASuV>|{EhS&GRM&zt0ZyvfwRjzz^i~Gp# z8t2K&W-5q5vgEb@tj-nl-3njFcQ~%E9*h+gKD?2d^orb6lbpXt;{gjUeSVBW-=2aa z?q*uPPOO#YMcTPJ2v>|)W5zbMh~y8vZK_i!k@?xC#OJ`)8j4fv_S1vI*o8Wqb6ibC z?zowV-1T9j*h`L>$d!WyD%^!wpgA1|Vx<)B-!dG6b8<6=?!{6#{Tnw*M7$ie)2<(@ zio@CKP71|f)x>IeU#y9+fIO zOB$h>r!_J}jNa{|=!OC9#6M>|AeGi+0b2g(ZLKUe{`B-(|xxqU82!lqM1jQN8V?hVmB`*38>&N`aLI-Z1S@^&97bIjVfT%jjpSa1-Ne=F2qICCtg z4qS{;eapMUuQFOkpPxKj{K4N2px5mYKAw~mea%Y29Lo+v-b9zA2%1}9p4DVq*cBNb zFd(D(Mkn+Av0NtsbAJQplz|E1VZ?+9?|vz_uTEi2z#b8{lJk4vg9u5v4$O^qvm)>+)l?V6( zfsqj!fvcg<1B99##z4q!9Ix@IW$pj4G`z?q0hfK3;_G;1wJmzT?ajTB_ty8e2P8K4 zCrgl|z#Ek>)|N%NP{DT6>)5F|X45`$VSFUE!OK~&QXgIv8tXmZ_f8+t_ci}U!`T_V6 ziqpBP+b>^>b{cuPZcx~!C@nrGi(7KF3%N3RhgGsm^6*Y?r7@TDnJ)@XKj#Qo8|ABE z++FU`#~SUeRMy`uwc4>KE+>XG*(CDLxqUzW#p{?5Gu1X(Z&DGl;84lAbfzl$F3oN)29NPZ#5nHUjdqBCxP-8qA(ZaLvA>?e^P~&lGgL;b>0Zy>P}1vZC2CK#*@Wt;3sh~e_P8_Ovp?^b;3N?VWGEM{~nn(Ryrh!sN+FMq$4NsJoCka<21UX5v zcG|5Y*4I@ytQ1PJQcr1A<;?Njs=09>UO}d@5Kh7AF?+%ub~12Xq06iGx5<|^#vZbV zq`WcMd3|u3lkD?twpCSQvDaVk;~AaE#MaOEJ(hWebf>R%U!&{2=QkYqTov9>k|zCy z6N>~VF24!6wMyMycnzoLulvbdQHMso_Li0esAw(VEZVrVj zW|`nGbuFTr>)F^^Q+3RcpDc8Z7ZFex6zw}d0gL(cp#c9MpK21}2PG6A?JJ4)75S4A zN`Uqii=ltR(!b&8-|+Nr2xTP{mQDdnCxNBYz|x6e=~QrZDmXe79Gwb|P6bD&f}>Nx z(W&6*RB&`EI64(PoeG{#1y84fr&Gbxso?2U@N_D8Iu$&f3Z71dK&L{WQz6i)5a?70 zbSeZo6#|_Kflh@$r$V4pA=0T3=~RexDnvRJBAp76PK8LPLZnk6(y0*XRQTvr_~=yl z=v4UVRQTvr_~=yl=v4UVRQTvr_~=wfbSfk|6%w5aiB5$?r$VArA_{V$D z+Jd4?wMA+29+Z$o@v2ad*`j!5%-~+}Kfa4;(XW)W06O%62@5acm8a?%>4;ezLun#I z2OdH5!_bHVL^Mu-PiqmcI9v`-wn5QeViB*Xxw!@WMq?l)An*s5VKK<<^pW!_qj;q( zR4h=u5-3dp`o!=`8rfm`dRDVtwzG;T2$K;DNibFk^V!)Dd8j%8VJC?{$eN61TiB5cq|UqpMb)H zoTo9ymjsyFi6E5m@F*NeUOe`zS72rm3BX}E5dNUWU>{7~NHh(>=oN4vA#unA!dAxN zr*0&gQei{@59$TkiFkw?zuJj3J;aazyaFCHBp%s`Sp1Y#ps6l~1Yq)TpkMHyqHu(% z%Sh993<?Z>I+fuFKr`V$nc_k3e7y=ZHOzdO0y3b5x^mn2L=pn zC-P6-2Q-s{5djdSFbA-iVB_QPQ}+SQ3Sme9*co{`jh(+90W<83M}!H8G#XcaH3u|X zhv5i7q~S5J6KNbdRjAU;BZdS(q|;UbES|4dfo4%LBmkQT2gVZ~7z)QXbrNYt7$X8W znk0gygt@>9OqED9yNwY6JfhN&5rB&Smk}@{6Y*e7(PSb9_5%(xg&S$sA)^t{m(M)iL z1i)dUt2H3-6+6?cc18pc0}~I985WVuSi+QLq#61Q2>>_Z!PCNm#{v6cDgkB`3r(mZ zPydE?hL0HAiCB=`v=M+n!GEQlX&wlpSHOcjz#~ExQLy-_s*UEfFd{&kJjB!m&kAg% zDRV&ca~KjpWC18x+9ZCZTxos;V*<4019nENwJEQF1NLBI5^2i^BR~k?rkMLU3^T?y z7IZisOg-9aOkD*WNPEU3z<~xu91a|45txfFClQBXik)dT60kC&=BF|f4#Uh&!~q*4 znh6vuFx3>9h-1oQp_zDK&*4F^f_R_u3QTz{G=~EWZJN)7#Z4u^%p~FgaiN)ch+LQ| zk#IDGhS5es`~^fFApXKv@&FN+Oe`a8c<>i#|8W9SHxhFm3*s*ztAH%$SF6C3$3lAr z7y<1SFjH57hBh&p14KL1?qUD_&sAW5EJk1S$=M1;7VS zoVpK~@>p=--_o2enz1=WJLCR>#{w*kcq~)he;iXD3jqV02=oHte1AO$OnEF=#7}_t zM_3PspSqD~kRGE|z=C0c2P1s)RSttO5ePyBGnDST-=y zwPs?@W1)F%FapGD`)UMCc`R7Odq<2c#8UlApfKgJ-~nx?AsEP9d`*CvNu=S3$Tmhi zm#>(K22L~NMg$H7=aZ(|FjK5`9CIEEB1{pN4}pXDrV?PvW1*{cWDfu#{wY=(vcgPk zB*gUvOO*~teKi86CJ{J>CQuO9cdE;Sqha%mCJ~QdCb9;`kl2uuUc9wL!FfD$9?SQ^c?20@Jc4P!c_e+k7vqbLF}XNfb&S)hKUh;ADl-NHWLKC56&Z@CngAdADqWC<*^`O+;_lv zJX0RaG~hg*DUW3ua30T;$1)8#k03*gCvh5Z9^%-{5cobgk7zx{BbWx9$1~-zOasm% z<|7j$_&zv~MAI1)04SI)mH!o-$1~-zOasp2netc=u;@GBJf10!Wg2iE@dOyp0rvag zJf6823lj1CHaL&ipiGS5``|p{#4si>4LFZy%43-ZoX0cev0%Rs&f}T#SZD|a7BG~5 z1?Tb1#aO-%&f}T$SiTR=BaF&;6{Z2_@l1IvG^ZOexc?QLN5mx)Bltczk7vqbnFgFk zd=bVYmmTj|KOAaGt=F$1)8#PhiSpnFgFEFy*mK1I`ne@>r$;=Lt-CEYpDV z1g1QeX~1~`a~{k0!Fd8x9?LY~Jb^in<@?|~fhmt=8gQP#l*cj+I8R{8W0?k=Cotu) z&{f+v!Fd969?SQ^c>+@&%QWCTfhmuLmY4QzaGt=F#{zKp_rQ4qQy$AS;5>mTk7XKg zp1_>P@_lfgz?8=_4LDC=%43-ZoF_2nvHThJ{TFba@P)^M;uX`eq0%z?d8MhQ_EcLV ziVl+I|3~cr@)z2#%67W8v}yvRrT|O(XrDR7LeI$D0L6RA$XwLi#^}=rU*tv;XcZIm zK)^Sj#iO8WYGh?c{Xc!?XNT(B&lIyT)%!nvAX36H-Af`5{J(!uqy~fjRODq)yt1%% zdbG8qFR{{BAIqTV%klN+V(>XKW&iRyas#b8<%<>l>|=b|Bea4J+I0T8N#u;oO=zDe zQ7KST0)ZYhA{7Mq(OAHD!5v4_a>Q_aXg&gju8_ftSy<~)t>Ie8+JVXz`iG)Wp7Q5o zD7Hox<|w34V!w{PjxxpC$P!9a_A8@y*y~uMbfCaR$IJ#LgwjMgqbLV-%&5CjoJv%E zD%8DD;PeBkjgf)5j;)0?T(Td}$&I2YQElz4&3B{B?MzKkF5F+-rlw)*1Uo9uDERKT5+rk~2-Dx7Y)GZr>KmCNkC0O0R}z&+n;>gPwxJj@ai=-F z8{9ell_o}HcxBooA=4&=g4aOFBkzNvl?92RC>G}Cusjyl3<;S~9UWkKkjee?CK^53 z{7iqlq=kj8rL_^13<;rZZ1pU4^lWy+P{_2Q@Q9H`%`6S6<`$-8ECvfnv;sID3)_ykHnT9L9z#Jah%(ZLPe0waMTpJ}92}oMLfhEs zLOCRedAJP24fSJ3cA|t}^KIiqeu96thDJ7MOQ;h&U}pvow$!nl3C-@u|l^C5V=011tFWf1sBlL(N*^N-6daV+1rR{yiS`y{wQyps~C#oKbqGPE;F#>7Djj}P*0fBAfXr^mnI{m0Y2!1KMK9N9( zO@HIJq?R}t!-rv@IHpRb>7VfhhyVF<-@0Rlw;AyEm*yU`ybY*3S^)43K=BY>Mp8`C zB(PB+LW#y9bsYaVZz~^Sp!GiSw&bC2?UK(jUh5;p6pZ^0SX<(e~bsl#6y2C6ha#%3-h5u zHKCK{S5bx;LLLQX3(ScPA_~FrBB7z=-OTLM93cr9W=5tqf6NnN_2@aGY(RXXK3!r% zrJ}wtP)vY|E!6~VPPIi-%y})1D7;YSz=y%YSHNHav%q3;Oc*+Una+1@9b`H_?+f}| z(B7Q(vhc3(lJJh-n&BBa$v{qi>7_C&Cjrqy3(#sAU~2;c1!<>f3_uv*<)9(_`vc^p z5_XEc{mBCUEdM^qNi4?XTifLGg;NA2j>wPsZ*<$BQ-hJszh(9)fmcMQG5vIWOWY~_ z=WL$o1~tRV3|RSdob^w51Zk^5vs%7~!a%Aingjt>$XiDv=pdFK4LC1^@X&mi9|6LM zGhnyRd~7%U#+|~)5LG13_%dIDS>NJo@MZWh#ObGXs+}_ZwKKlVCxGPN#3^X~qW&sS z0eKf_90oFwi6k@z(g&bc42{%~LSz*UIov-$NlVH|Fzd}2>Df_?biQfPeOA>!#6^+( zV`wOO9cvTnUxG>XKiz7^#I4_A&`-~vp6#ZxsJM|e#SZWi)Tf&Pt^dCHf6K^Iz?&FL?d?Z#SdC8EEh?l606?;eT4= zScK7OJ)!=v#<6HD(i7+(M=g}Fr0KWlXDvQsjUxe+8EgFizu*Ft8~pX?0wj>5iO>)X zi7pVKtQ4}b>Cpy0EGTU#tNa1H%p5B-Ft4YY<0ObJPfzxMg)Q_UWE9W*Ar`<7cfS8q zM*58NO$%cFy;$NG>9NeKZb%72bRR_XAqnh!7&HO$jF2EBi4S^T{p0K|&M4;h zNp;Tz6KT05Gr`3Fg+u|UFZt`b9P)qBv<`6md}u5b06>!t=zfc2JQ3h?XdCm-GdGSw zqTHr_EY2r8r-tvszp3&R%O!xnx z?Aq84bLl@|K51=_1=Xl_8q+`}UuDBs8#$?RjQOFB_>vd-$;*28VenFAl{O{uB<;eqe}RHfZa;QQYQe1FjsiFr31I&RYQTnJzh zLceL~e~IRUC>wNo{Xy0L;sgdP_eo371iohi-~Tfe^0ZTh|FV|G25 zHk>4hB#-_Ou!~6xUGyLhizCVMKochwB`F37*^~$smWYKTZl?F(|BEX)k#=FCC{t*f zre|$I>v(`vO!1PqUg6c)okO8pZE;J4UCMN#q~4~&kCB^<*N=-Fz2F=n_B4TaTpls3BlPW7y;s+9UTp*=2UAPTM$CD_SUqn;XL}ET5R+D@n`69 zZe&RzgMc%2fE+jSpC6+w9lu<^DZQ%y>jwJW9+AkLqu~FSuktA`Z$=O?5=4J1%MMcL z@o*FXG>S%I_7IE^00D%A{UF2khX^7iX0b^egIL8BV+RLO6$ZA>KOw9BC0a3)jx*EK z{$G3A<1v53ra-D$u?P|gHU)BU79OfuX=i|eGlRqYm~vJo0gRb+oc~Ce;XjpXH`urblrtlad8YA#y)H?&X@sk9YQSUSA{eLFg_KSmU z|AKmle$GUst<B7y>CIU|8rNXJZe+2k!jNlA1 zNFuPcpdD5Q$FZ3hP(NS&XSj}j{x0fs)b5Y_>2W(#BVB79YeybCTR60h7oGqg@aovv zTEO+Ze-4-T4{Fm-?bPIgKX0D?rfwm@yY-%!U6QbK!5DVJkp{?$U^XfPrR}csTY6 zPJsb2@#7lYNi%6MFgUyZhu8`7hy{SCdg=ey-nBQ!aU1#n`6=e=Dizx$lJkBjajN9i z!*WE4;loZU$+6bUmBbUtM-8>74G1;au+b} zA(35Lr#tB&QaV}p=KZdR&+Ka5bP!EGc*_QFS?w*GCmRUp45s)P%$pT8sR$R{tuJ^Met#S_LCshlHZrN45XCMeKKPwQ=< zf7a~ODBC<>r2m@t>=5a1baU4*79#!cXQV$kxIgb_NGNX#I<`q`5pin@Iu4h#He0i% zM7WrTi~0Szm~Y}kmy(r@-pSxbTbB5V`Y~Bfzc=u=8N`Qf?W_59kE{6zMEYtx4{|~O z?BZWS2;ArBAe(RGLzhD)!cpLjduiu-v zt|chu1n+hPsH28@g}m3O|3VJ$@P zUG+9aCQ0vj2=)7T&FHj0kIFPI_p>bBJLtjTMV@=qf=|KWU4EoCDdlQXTnqW?wki{3 zSMw0X;fdnNH*yn$r)S-On_!hr;UpHrd}V}XK8U;LU)GeBNxIjyNACQl9a-7LvB$Rc zDL6@`UVA#bo|{;($^64&IX-_7J$v~$nwey=izto8m#0zwVB_HK|G6H|m;Z_;$m)23 zAT^XHoLy2@+Gw_zoSmBlwX5f_i}go#s3(Vek56!4Dz{pB#T|_tC zMTbugot+#`1*44_Skch6`T0P z!OU)N@hIDjGOoAb>lqSBCh-tuT}O%M)M-6x!nHnJ>+j9AzSzWBj!bkV0#cA^4v*z9 z*}?G@Z){Loik&K{Jc%d*7bZsdWuy;cCX&OIU${8F*}=_T#o0+5U@Spou42_Dn8$a_20$w|G#D7 z{&yJj$JHq;47OJn|AY&nPmS8dH8G)VKPGOdKr8`50AWDQP23P_2$q8c7pxgu5MDXM zE9V#LWQ+%l{*H#EBh_$pp!}Q-tU3vzgPH=rz z(1_){#s!EX3GmJd<}OfqEJIE2vKWH757vAQ2~|Hs)z2?A7zomJ138^%J8=cp#S=_b zk~>m`00ClU6!0C!XLwCk4jv2BhtY3cyT)y4iU|MLb!}a3!3Z7;SL6BT=&?|2;%EqO zLZrrrqama+Uh@$K0M@SPfff06iXnU!Mybb27gX^7eGuL8XkhNIqRHqjjF!{r%MId1 zy}AB!JI#?rjVjf(u|BBYog$Kd$XK6H?rL*?i6FVZ%n*TM6JNs=QMn~T1n}5sB{!7u zs)QtmF|A1RLDFZftCfd9zMz&zXRrTuFC_OSPLElF0#+2jhqtaKfjW-#mIX04T!->v zh&Qg|H9duXYUgr7e_Q_j|lA^6m5K z_%xy(qveOI==t+5??3HA!2FI07GU(Fb1A}Ov*)q7+{9-O73blrhf;E=9E)PlC{F>` z{>oO4t;->*&>tjgO4(q>4rc5x)r?(k;-Z%!ReV;%#sxpzDyC>!iY%b(FrJ^2L4<0u z=5@HrU;H>qo+WAV?5EWxTSvH}ed3A0{}i5j-Hvca+X#DHP0TL{(V>va!_jsXZ+B`V zL0bBxw=s>ph4Xnv9 zG*Nl=rrCi5IP6T#PMMIs2|u$z9NZI+__%#3D*D9Ym1Psx9vyoqsTqRXY{=Zly|F`Z z+k+^N{`PhB?YEJMCj2e^f`8+yt2Kk$U}xIkbb$G}LD2iHIT~+IF~SuFG)Lz_^oO@5 z+V)qotK+M^>E-p4_dEFg`~wWn`1v3j?~f6Bya0#XAJM;w0~qP`h_uLJSflZi;@e2< z$Ad&$jPiBGet2dI&rJ8_nF*;}`wQ*tra~$`E18J&n+mA|Mx=LIYwoQ=xQn{*glv6^ySmDp z^Vwy2u4B*1x}mN8)+eoN(SnuTXJy~S^DCixOymqwz$*bM0l?oixrm@@Loozrf4}BE zJCtRLCNIav%-;1P=F~n8WtqNokM434zpykZ5OD>MZhBb5LmN4PR^%U+BKN@{L6ygA zUdDe)i$5i4{lkxk&;QgVD(+N#N4_^zk$Mz|Pa)sC_|>FxsZWZXte-Pjz5RlG!S>Hl z>l|6{4ll+iJND{&d46^|jcl5g`f%?i-%7L{?IC^3^~HmTvRyFMtDA5)X88h+3hKLZ9j@nZ6JdU30010YJM=}n8p z1L^~*tqr7wTHNM7TukO?SIg)w1>%jm{thbk>Gt{A&-3y8!}j&^?0m5cJovCXzFyAI ze)p!*^K8C*)7$EK6;a)@%ggBmnXy*`@mmVARa%gTN8>l!H@}@6jncS?x1T>AZ9m;V zp?NylC!HQqEk7b(CdfEnjl#7OvaX}6`RolI(=TX_-{OKZo&V0sk&RK@%z;Ttxi>}* zO{D5v?6NWCS^S$cemq`c-%Y1Wx~A~qIN7l`?eC+mL(B1-c1Lv(6J5>T%qSQ7f{&<; z*a`O^-~DG3kHe&hcj}6w-k>&7sT`O%8>mlN4#Vd#rE)yp^sU+y^3H_U$@}s;2?p(d zBTB1sCr)uALJCL}xJzr)xr3!6MUuF5h)A4K+8I=NXO+C=?BIA1So_|v_9o7PSy7rW zy9~}mz z3=i_WQW^PpkY|dg&mXUAt2{fsp1_{eWu3Xx;s-HTKD`-Qv^So=n|f?`uHo->3wp5z zC^!EtmZw+a)5XIU_v&eJt$L%g`Q-Y1Jdavn)SZFf!O!FQ6b7=ZtLZ#i&aQqJrTF-6 zI=z}*FIz{17ciTiM~~JraWdb6k5d;6Yd*u;MjnJEJP2a)Af&WXR6+&uJsEy4^POR` z+JMp5^et#NR#yXEbWnl7ZK`%+WW!7IPNoHn-c0+ID71x;xt>4m$@5U!UG zrH~)2n_Q=-uWy!nF7$(F?g!Jw*#iDGn_I~bsC>QYWA(+P>ymzO5|^>(`r~Tut8uWK z^{PRIyS3+T4X3^StejREa^NB0wymgwI9w{aFl0p)56iV;IjG!0<-R{E_a+``87$*j zMRNH@PNTSCPWS2o<@B1*Uyonzua+7-?rwF3-et`?Iz)-0?KD2Y!TKKFg0^B(iJz-gV%Nfz#%`L7qqk?5 z(cxQ!@V)y;%8a8Muaj*$&~Ni(n9+RNL>VAIicy=di`-yy^V!@sa$IYxG$F2@T+Z*R zG{KCXBm>k!JV@7l=6>f<{lm3p&@i1qro%ehM!%KaaaJYLY{}i%Yh1G{guqoWXLYRmfCW5D|4RrCg8U*4x z;a^IH$f+0vxuaFXB#rmgL2EfVyn+2H_v~NmeLJL|{Uw!NnoZo!vL@ew@yuMbDFiGh zF`W^U4;BKpw%ghDYO%|8?Gds~gw)cG_juQBe{>QD8`|e+Lqm|}26DTA3Z8(A5G5})4u72^ERc?+vW1A&1yt`Ea&6v%gNhgj|PADP_(ss^xc2FNY+QaoV zF237&G1~j{t8^Q6^Cl?ZacQbUe9ecFIM-&|!zlTBD~gDsIY1)HF;2A5rpl z4-Jw&xM&!8k5G_Zo;8^PP}CHwslhqwqT%ELO)06d0KL(~a;Gu!u+vQ18@|&L1EA29 ztEqv9pi2!W4`}dUNps2$X!kL+Wls+pB)k-87gviYBe>e$?c-yZoMo*es{jJ?;9yAFPFIL_#^5{&HV$A|bYCLiP+LTKSrw$uU2~P{q z6HAVZv9kdViG*$O9U6LT3h`FB;T16KEKDm^8$yyF1MS zC^R00BR8ey?f$i=03#1upq3lj0`x_bB7crEX`DO~Yf>4s01}PofX)k{*>dVYOsR-5 z06no}Z1_6dI3Sg9L6a8crUz0DR)zEnorqqRH4* z#)<((9`>`EGz*~6c-SU^f3M4&j6B+Dk{S!p7fqJSwPEDZ`7F191yE=_dJP;xTxvLZ zpa!u=?%G&@zGyOa?O^25PE*tv0ENb*a3s=X$H@aV>e^ue`l3MwVRzCvd7ws_>@0vn z<54)0;!aeW4b91;Q$T3~2B0q*%GTy=XigrjjXXLnfI{QZYY_J0qT%F`P?KB00`x_b zNmDl`52t`B-sG5tI!)b~N3Tgr!JeEvS{pfO7C=$sLnDpDoIF|^#h#rO^~|H!WQuPX zc|fC#!xlhM<3W>14LV`uVbT=eSOAH}bEKt>*l3(O5Tg`m3(yx!EH(fq4*Se_Oz?e% zj0@QR3X4at$xON?rg3uWK+Mhi;hoLa0`x_bn4}UM4I>XLW3s_~AhCE~zaODs)#)!k} zD;>ZBNGu*rBQyC5*wM_111-wHX#sj-fpdzWg%L+`M(Q&bKw|Oe8M)*aP8?`aml_Mu z6HCGzPwWxHh{L*Qe(7?eL2*8x&}5?6;Nj$fW0YS_)}?8zfk)07ClIryRgJDw26#O3 zEMe1RxrPx)VpE;~7C@|yA5X5tGb51Xrrc{5K;!WVPG)H`^l$>P50rdPyX1K6;Zs{p!c{oCz62j~%Z)x`9V|i&y|bj~`FU zM5e{V2*kW;8EOD}-(5Z}Ku;{0q=gfQby0j{0VEdB z>6u7Z0Zts&MbTmbdSanU2kx21h{L)lPXP-cv3O3-lo__o>T}{SV~OM#3(y;jpoJ3$ zzSL%WBXA`a&*_}AtBgHm7;(U&q^AYwi3Q)PKABj5w@| zx?jG-FENGyoEdhhHdw$~j>;akP`w>KlNb zSQ6>(!igiUl&fz61eOC2zaTY^poI~Kby40f7N9Q{)~Vgej5u(mTK%kR#tssXr8ZGd zT#P!P(Nos-#N|bk%l8dC+E)8Z)DxElP;=&48f5l&mxhyvZKmEgEI?m0xqRZ{DXgtSED*ZM%d7wr;aan-AXma^3$jJjW%5TF0C^Wv~OimgnkJd)2Wog&DAc~N# zzGo!tkTiV5$)mNAT80Hs)OZdwq$hB9njP)!hE^iL0`x}1qLb_?z{mr8s-2b@0ENb5 z5=^uLct;)`Hgat&KwmT|yDA%M>}YQ%O>2N%wt$1gV-!UCQFm=PdDsHV6kq`qH6Aob zui~QN;Y$p~#Ve!aLs^RJ=HJmy+pOsQ$0D7a5bH>S|^I2*&C|!kd zNi?2w6A_gzF`PPJ(R0@2igw_^lCf)&+01sV?Fo>p^|t_$7SByHVZKe4Wf*bTW$F%S z0eWJ|r1vH#4!cZyZn>oi?TzNZBWO^##9bFo9B5I_n-)OQ;=z(h;mnBxEy^#z0!S>L zSF}VbG@Lll(jl8^Q})D?D(+{*ffl9DSOAH|BQkUO9>9qMzbNLn06no}>|$y8g%O7% zM!g1D0ExvX$)uLSiK9KHPMA(D!-FN0tIvtUj436<0!UhX;!<)YRsL#EY0#opKk4L3 z9~S8kz=^{i(_Co)1TBX@`R+3^F4YdUJuVaN56~5t>P3?=vy$0m2_p|HqvKM$Y-YzE zViIM|V$@+}l+DZnh&l6N$)vR8#L=m&^q4MbdF;J6DqOwJA#dp0xja5gLFeG8z_c$Aq`-px39ght-YEI@xWcgLimhLcB=ChyIu zaUzmvJo*u407xvJO_oUe94C&BGr2AnpeL4G85{uSFiz)SUegtGUVMVFLoz0;xJ>{FTCq*=GcQJk(LZj99Cbw%~$|Q zi$`P5*h9Rbg%bx_lp(_c^u&_LR})SgXiV0>axQ2!-)ee>eVFc3gJHXU`ga{ znG*+E)Vr(&khFMo*-Q!;JJwqzQ{9#=Ku;`*jH>0tffglSEP%lB7mtRKNv`C?(H@iM zm<3oLi`lrG7Fq_SgmqnyZqvOjgaO+QiPF>9WLn$sP&L_Vo}SGYOD9v*i!nZM8bQ*H zHSo@zAu$?(Ac^vKMegL~ER3PW%<%HWSOzcu(1q9bcZ=7g4SRLHJU_cc=CC{R;MMM6 zxg-Aui(I1Iu&nL3O-D8wFURMzH^?tWdC4LRvisw7zBrp*M!TqIVgIx@J4N!cuOssf@kS=` z8T~oBwBOZt?qow>zs_m&bJboj6jzA4SBX;4RXIB^8wBx_gg_}zs0w* zAVZoPs<hWo8C(ZkNS8hPg=-Wu6aB#s*1D5@P2s;PDe3{~w4lK{=d zTNZS!cE44H+Anp-delupyz9U=aY5E)_ZuRu z)chegm0Ba1S31U0>#<nHjLg-}SnwQ6re^nB z*-${b`wdgC+SPj9aG{jvJ-oDQH9lMk>9N7VfxI!QS~fW;{;&n9?*RPtUqo&y7ab6c>lHstF zU{vD3H)9s&?cf!l=cR(Tn8MMRi@pPkT}p~3{{|dTAKY)qRA6*9(GH4)Xjj48R`3G) zE6J$AVZr(swfl|Qsr^zSr={30Xs2kSQ&-7aNN)KJc#V;jj|KhJevxIhcpUr}R4bUUf%AYF?I3gI}=pGj55zd>4-EMVEzqz$i(jRZ)j(-u<~!%2aw7x4r-^))3RyQx)l&`YJ5;%#9X1jLdk#%I*rDQ zS#`$(dNB#^H}qFZ-4Qx)Bl#TdDkYDgJIXbpc8WhLqzsY!w1{iD<{;KQ^6)uDe~sdg z3g^9`joNAcsI{&KEmrbA_LXrgaPdO$3mj-yDP6gMR2FN5VEan$@whah{nHByAJ+{B`n%inm@{t{If)VT1To7bFKD0?yquuCBk&YH{ivk$;E-x z5skX{KtgH$K&lzlAMS&)KWNWN&fo?n$ATHHrH)Cbf#wg$P>omJad55`QujfBCIJVZ zQ_yRY5A$zmXQG(-H*iNI^%rWV_yf|2!gn|<+7%uAQOY$(yHfE-h1W8*4`2-za{xJL z!UzRk#nX@SAZh67OyJdb4cy-JeufB;^x`;B zdQ*W+YifLS>6CbpM^yKR(@wQBSugpR5d)y_rUl*}WPc^xlBJ%5b{+gtDZL50quk?= zTb1Syh;B(QmQA0h$fYaChn+!2Q%)PKCD=voH=KVa7)i8KIv38lJ^LAXkh9 zcx%lcFoUT5f@otoKGfzRuUs)cC`s-&$gPGP2HbW?E~)y1AXIV-DH>FNFoUS^A&y7( z2Mt8>LR=tvMfO*~cq3q`osu6=$K_h0ok^C=X(Mf%9?bbSv@>Bd{2R^#{HNO~{wQm; z*U+D`&0!xX*^PFXR2K)!}V9~KPZ%9&7p@i9qo*F1E&pgt5CK`tcKzbNEvySz_er> zGTr_l_SJsIfu+U=`+=s{JXbsYL3@#V2zX6;U(pUXGk0&GU7`7-GRYS>EVb+44_s7K ze@fOuGb`ySSM&!h-TelJ?$9H!Ipq45cmh!85Dq8RAH<-hSKC#}THm`tuHp|=pwshL z#&XTU9JRDxK`@%sN_-Bm|6)xC*jLhvZJ_lWT-p`ABpls21zx2qa9}CCxBeKBY z(dGC+ux^K2wrEFF+R;w)2gWSziO~L}48{3p9=G}2V?DrJ0xzu8n$N3R=~p=JJLb93 z{DHV*Jw9dgfPCxNe|4<+1J+!%Pa*c@{FR7xQS(=uS8_fFwELtTJ%(FJo1k_b{87pG6tvUtM-_6B zC_aKNrS=Q_vOC%-{=mzkKL6@k@dwUCd4^$pjp7enkJK9}+A02knMT_&o4A8N6tCjM zG5!VadY~4Dn0soc_yc3nc2Vd8a{ek@x0K%An52ge-V*7&)jVTPWq*)R+I|H!R*V^k z5H61H9s(We%^LSm+~8C@+)mwZxCc}ncwvPS?JC^a1uXEYv0y(N7cV{sXjf_d3J0a0 zms+k77D&DApubx42eNf3erp;nKlB@4T*8k+(p$#rjf&c7{(uOObl}dU_=7w=B=w5wnn*6oZ#uG6l>lxjLmN*JddGRyX>ia&7uRr)9Zq#^j@m6E$SP!ha+Pip~73VX~ zM8$)+&)_g|alpz?RpW_Vvxk=R@!9!wZfZ0hp8ab|&Rfy$!E6SHIy%FmgJ}2p<}~JG(Rg-I<+9@^W9^yYJ@Sd(QpNcfQN2DW|{#<`u+e{TL7!gb#W4;+YfN z4qr^{8K0WfTk8)t&jf%|+RykDY#lyWIRi(BHy^CztSp=?t)59p;JbWqwtC};?=idG zYF5rG>b&S)u2H!MXHMn0VHb5zkLTe?u#!*jyZcA#i9({%=f#&a(H0$<62nY0J{cgC zp#8UM+=qb{ovBARr`74(aKUDM%f#Jg7eOBv?Cq)l&F0Cl{}toj?QLdHyU*3|E%_RdQ>)l)|7Np^<^ z`f}mIX}Fm*KX3fj;`)01#HIM=V$7)OW(7Kcgt@rqx25GyM|4OH!4SVQ+ zOWBS+6kfWVerO6NH*Q|2Y$RV7?;fP$w2t$mlV~Ju z(}RkysGOi4m*A2m$2y7rkl}a_Yq+WU4DnwJS-V38Iy(ijuH<1?!e` z9qt)?Yx^?&y#rTK%K)kmPJU^oH5ixKU zZ8DLh*2J_hVz-Gq@cZqb>^E#kA>$aJeKmjct{3j4hl7YyN_bxvjyg=Wtf-yrwx6}H zQM-#sRU}P}x4Fahm!V&gPV64*b0h*=$hqKMzfjmv6R2i}KqCLSr!>K?p?~(=g8CDG zP5-1tmuAtw`^yUUJZF%jdsV|)xy?B*F|by-SAxg4o3)MiV`t*+*{1BeXn@A5I|py` zdA9qEvs{QL8vkxurt+~j$rjMiU?S66$;luXK6O1M>&fGK%d9eUWq>IyF=Pl)pr}SQ zeWg<;SOt4`F2IM=!Z&x1#KeB8X;x<116_iRvI!A18=)nu>2T8?9!)QT*BM!*)cRWt zww*y^f4M$r<4RrVJoEm&eN!U8c-u_Tw%cyBf^9dtyM5FA?*vWPuH=_r*!Ih@H;yfD zz3cg6?0zl;_`1{N^vb_5eSl$Fy*cUuOiC1~en#-udzc)~F)1Z$~vJ&10xnO+Qf;F+*h6D2EUoG8RO?AhVae*O%0K z%Rm=g8X16Zr~=_QxJlU)aSl)1>7Z=Yf^d*P+e14}tJ@B;8{FzA!cHp$)3zIgSUo?z zDE(&B>sBgV!z@?RiV&SuJMbg-&9-r=ESx;>d#Tf5sBy?~o!qt_5uu#L;@@l)X*_PJ zY0oH@Bu}`@=p$WCKL<1=#_?ZM+R(GN41eZEyp}@0&wUU+JJWpLA|F}0FO)2*_J{;H z)&9IaMd9}jT(m_Vv-i9OTPiO>zOY;9*e!Z4#y3f0PlmHj_iJo%-R?+Y2)R{22#rBaPo=EjCEq%| zZoOUVva4JCN4>Ay+u^l7^b~jlZU%8;Rkz^7k>(Q7G!hwM0`pTLbw_I=WqVD z_NxE+arGRzIPzRm#n-1!xjlN0oYV{IzVPks7ukS4%o6fLt;mP5ZQWJT-H4G)-$wb} zvu;e=0*QuyfyCSR%y#>D(T*#IabJuNp7eMH=R#YiXk)9>%SwcaD;`SlgR}Qe%@??d zs~*NgxN*UXXi7j9)`Ch)86{MWnkf^~B((Q$XUy~hZ|!(4XrT7GFJ_?W3m|{RTGnb6 zFtoN=mRm(|nqZp{g!bCOIxKrF`K8uchn(rF8lGqq?!bJ(JOb26m0}G7a2IK$|Fs#o z)VFQ?XUvdk&+;^BER_W1JaVb4i`>_3148_hZ_zik;O8PA@_TH*dV-%C<=n1EVzU9L zaT#usy!#544|gm1?pSWvAct<+^pp8Eku4sbHU0L}UwemvTB(`^6Tkze(HA@))pakVu6a_NDs68O9{Pihd#zt`OYg+oVL2 zD0 zLpeP?2ne*o79}Yt3-%3&30Q|?%XXN)lIO+6vKsAGb`x@CM z)(>yUXU~WNQf1~so{V$W%moUDxqWdq2+NSzIwL<+U&79YInDZpk`qXA6pmKdq6~xn zR&pwMpq!E@I(W15Q+QZ5n7B=?$uC{L9G*2iJs9yM0ri@Tg87oP;99UjBU+;nc)O8c{S1$F(q+0Xt?xE8=yXu3r0^LoZrrnAB zg=|a1d@xz^2a$Z-DMb$*c|z#wu6v|4#!?gBmU)k{vAaL(bi;3{exNpUyYf4|^WI_H zM?ppAIIwziR)L00HX=Y`+9qB;F?`_U*t1x<$4*DSw8ZXnb6M3UJnW&XdbqET46Tew z;+OjT=vM&`?2;)vnwfF(vqPRFDNG{Rkn<<=Z-=DhB{~RITAG_B4D(6ZgPP84k-b4_ z0TfDTLL(ZnmrZ}sBPlZ$eO5u)2jVkfBkN2ycxbs~Qlq3WayBxVY5u4znIg`!GWjOW zN75o9xu|}W#&u$d=2TvDS`JbGq7~fsC(tRArf5G~n@~kgSdVZsrcCCc!$(?~az_zR zw1M6NGtPVZQV|F=TNHesE9*-ym)T*TTtBTF27~mwCimWH@1kU3NuSHEodbKP(Y6?r z2oK)37`FQ}Ckt*MPfMbKW|YfsTW#(mS{=fR$-^JW#~ z=w5gY+>_r;-1~4O@_=~ZuO^rb=19sP5Kg{@(9+{lfK8QMF0shOss!#pCE1>>`l|ofb^35n})(%YyPfH4M)zh2hNm%e&7|~FCr&{ z*)}ojs6qAOf8cUw>jS;GW{OvXgck)8DS$ahnyJxEtafeCXWb76?hj)#PLBP|?g(z| z4jZ30+1qc&&QAo9M5OfDF;qk zg8q_$k6Rm8$!kZrCShO--`EIOSVWHo1sQB>;f||dgmSB;EeARn=v{Dw9wBskHbOf5 zuLw{aBcQmi`cV?HnO^xRMc|X%a@DCP+O!!~yk?;#alU~)r`#FVYvT1|T{Mx#w0?o- z*lD$sQfwjHR9QtgsFeRqZV>*+% z0#r_g1n-W9ga1Nvw5m~ug2kzd5G_`ENBc?Gt{;V$ijW-}uoQ75D&CDHC*ycDuMH`# zb=nLVC*=Ov!@^SM5X|^0*tGdU(Z3n^%5PE)I+fo(&k@4h<9ZYi)t_v`xjdI8K*1ufT_M}ftAaKbN1dK2Ej3c z^uqgp_x49rPYmh_a;EO}!1AI?a<<+35_8``J z?I|AqEs&Y_S~{%}STlQ>1DAEOVJ(&-u;~m(j?nn5zk_IcA|gP&vX~<1^f?8@#vT+u zW8eI+N!`tTTAFxhl}Odj7zA(aE>WW22kQW?p}|NpD#8^*f4+IB zP}Wh8nruq$*GQB0%!8jMDYiw)k+Tjkhh_{BLRb2SGRDX0Z_TJa3q6`>V&@gt^Lp0c zKk?-IX|Ofhy4L|&`@_ox_}o2_!5$$?WpoqB6K?^l3Eo1ck{SCJrG|r!UwXK?=zJ=& z|BmVAL!FE5MFb$(ldYw4Xp%yGL*bh4hp!Rlc*id2j)FWm?oJTvqO_()N~eyOuv`5j z@j+HI-yR0;_8X!(MW7oLIZkKw*kP*5i+4)`R7#{7NNw!UrdK0HhC_0!1gjIZVXYr1 z7Lmz3<(E>gU->N$lWat2M#NYGZYvdLES<-du+{j`3&X*NOvR{1#@nbc3d9p|;exg) z$%76nAUc4Lrq6}PKCV~@`^Zx)pV|tgd#rADZJ1?iudXZ_4ll=A7ph9X&le1b?Hdl6 zHsL#AHZ>P9l8%khp2XJaFJ%a3`kUc##fR}%TWhU}nxyluD^axG*_z=ye$S=yXBV1% z^>%Wm?XmH#gisDlY36&hsquNEP}A2f#I=_JjuRHC%v8T#=5DgMA!_DuhTPIN-}1r} zjAsf8l_JJg^iB$g8$4zZ2(p(}D@ZHiEypEJZ&jmTES<{ko-uvoe zzaU`cYnhqU!*as@r*->4-Y=Ir zNeKj4u_qO<=4B~-OAo*|bxM~Ge^O?TTf0=*AY+aPOqb7#d~36M+ll!r!V+geAJKdD zcRfZ&Uu+?7v_iZ#DS&XF=xMVjTGDEGepM-zE0yrL?K@M0=Aw zH37OQVtDIJ$?hU2>kWz^4_AA;_ICS2vE$`LP7CV7u=_ugX5h1MigxGY9}l!FanYwt zoOTRoIahf{5A^9hVFMy^v9fnYYS5X3An6q?sgaO71D!;2;39~A0?E91T{zraxk|XY zwPR-*l(m^@WrhUY2S|PDTbxbEaw0`)_yAto&pY;{_a4_if^ftdrqE1$6$_@(4`_** z89YBWwqN_?2syTt*Tl6to%L6U2Ec&|%;GT3_Y`xqvh zd+5^$8MSkpIz4uo?~2chV6MNfPPi8mSAF#t_22c@!r73UCq{B$Et8aVgVEBGm94iQ z#F=v9%GdkCDehB<5k+NG?N>70bH(K|$8nEc1lG!gmXAP>-zf&#T0BJ%kLrW_ME62%351t9bzDeYk%%ZW9H}oKqmI4$1t_=-kX3}l+@oy zmX_O7Gs%`NbIvyZ1TRGt)^p-$P14`x&vm&U_SWa7d2nl!@kQ@QiksQ*1)j%yB*TKb z^GTIQu8nqPUD|d_r1GcDTs$F_@2r*C8a5YSYE&A*Oi+dZg!N?X9jL7PtYXWCT(P3J zd^fW8F{1UfwWdm#7D@>9?Kdn4>5!WCkLDf=e>+CfzP z{fo31w-V}(d$O4hUBBEdd(M*nBy;Qaqc~Jp3OBw6rc7f@;6BBIWOZRpTlJ-JT{q5V z6pzfqU3$S!rh}$XQc|r6eo7JP=(KE*>72~auiY#Dfd(~kM7>+G;ZpxA9hVoIw3$}F z`Q{BHPZF%$Iit|_dUQvi2|J*nOK;wPl1prDB1%Z%#Fo*vnoJ6vBbg+WB0BRc49LAf zuk~aO`B&Rvg|fDKOM_V-lX<7s#uwLjQDE8o>5nQxfmPy?q0kq-NinHV4^TsO2UrgS zC!XOfU0=^FV`6WU`n#5b2S54U&;Im8EHCu@d*p9=Qc`u5<*hpnq}3_Kokq3%s|$M^ zdic|uxNYu_%{7BV3QUi`YbptJ!n{m41O(13BKZh_lke8^M<>bm^tw1}1~%JHX;%7H~-sN^xtD2oJDrMWMStYE zy3@oWe434wic)b@0*QqMf(*MZ?S_Bd3{L075x$r)d=XjFm=;#%0$U=mtE0mFp>-KH zZD#$QOE|1qE4e#LsPf6_nLyBN*BN=bxb8{~3y;l|D)? z+izGK(tOYw12=zgu5{McEOt8VaXGNP_oJz5fdYs{f+#In(zDtVrnar@_7P=^+)i}% zFtTP@^e=52ZFJV54-cGACscULm7}s&Au~lB$4m!67_5UeGsXboui_U=Xfqw}7fH0y zR4{>5GZX>Fu-U-El+%!Yc}Kk(g`e%St}z3cgIlN-8%0*Pv0CdRS9RBL$s9c;0kp54 z8vx47Z1Hp*%0H}LS>S>Q<;a6b!Q@(mcCp_A;pTXR^5jMYL3G{~@SF&Po#=uY(@C|F zD0uk-k~)SX1oTi5xcuOxh1d>kP!}};a?D5dh2&@$?M^^V0 zu5AvTTj9h>mQnxusH5@@=2h#;=krlC!^J%%y(sL z5g{Ot*W~vJekD2w_pO=ULAH(hC7WbNVGn7EY0r^^LQ%D+JX_{UfClJMIcz{~~?vFJ!UD~q(M+Z_Q%8ffpxP51k zOz__dOjARgtpC-AsrvDlFo)wn-q1f(=b767A;%$nU?S9}v{AbcxsXDlK4-y+hO4y`0Ew`va)dPvs;5qodkqpMSd1*=dyvA6$J9aqs znJBJ-jI2hJsWULWM=!&Y<;>hGkE?Y1m+txH0-x*ul$lsasseY{7o-+_^{I%iFVNRp z<<4a890ms(cJ8#c9_QDJ5&!+FYDRg!Kc3P?o$c$hF_@kbmv!9cT1~sEu}9SE#HFi- zcRT2Ry(4)WR~+uVDlv6?U*$Tn-Om3+@pkv<3O-bp>@O95dtip`_gCs%&AjdP$6mm% z@+OHPJ0lV%`bT9aG3R*Xr|a$K?ze;O#FAY7z7j9r$)EOL+|9rFy-q;jTsKPG*6Byx zarM*Cg2!d+_nJz#9*axD^OG~9vNMZs>nvIzLqVeZvamz`H{+K9T3r5#KHDNx9AFXU z%~+oC&ka?`c z+f%0e$TDZ3-spta&;DcIBz3~HDP=}J2^j_xwTU!z6)~Yrh;OK`MX*h6aIw#PZe%p` z`(5jt`GVM(9c>vDXbBs#RK;n!oG0x}qQ0D}H1 zsw>|I9y~x>s(u=mBsBjQDlx`;z<}&WKdzs#HzH?1JpZTIY-E~#&arh%BjIV2^KD;s z-Hk@qY09?4K%KQv)uH&-@J^qD<#}~0Wo1fn*O!wjR?2nWI=%PGmvwRGym!VLx)`*p z)Pdlf+?7>z0~8=IeWA4Fb>NF&Y7=qHZmj4aiJg~)Wlw%>0=-}!@9md=2AZ0&@7?!Y)MCr z+qE}&MMtjtkMv26T;3`XtGtf3gY-2+i!pen^84BIdo#+Fm5jk!wSGe%a=c8cVwH~a zr4x)JdlZtkHHTOfXEmA-$?%*6*T@yOh<*vu#jO++JV(X18!RN^_%C40ey6C4vx)Pg z-^MZ=v#e~nlD8Usx4@F6(XE8DO7UUR2lwZPe*%br0Keaj-N$T5;j-R6@7M+eAe$tL z_^=#`pA17yNSwL4A%(X;FO>!T#zXyK#i`0dCz^k(R9YfV*bq5QH574C^Nx(as|C}- zRVZ7W2Vc;6uIB_jLd&goiWKy5`9H_=8Z1;WiofNj^NY)?ez3>Fl1QXCawg#3nRh=2 z3?4JeI{nF|D_k%=&6}@shWG6od{DS}j)mz=1B(&(xZ7oziLd*u1`c=9G1SQyOq2X$ z2$}Qir!;3nS~@5z3EYf3%GgZw*S&?5+4~mzv;QO`ox9_Hhn1O^W0%4A6qH@$^t~+5 z7j5aE4qXwE`!{iZ#;0YP1EigT`8^F_VL*y!Dvtoigc)Kl35p=E?AnL@^pkm^j{&kp z@_kK(@}uYrs{9RWQp*DzNda-*jm);!FN7c92h5f?-E`_d&$Sn-OWddyI)4GxvkH3N zqU(>gcI81>Y(0VT1UIqu6qVACl_wQEy*5`GqmH-)<`EZ+DfDGnfc^_vP6uitGoHuC-Ur2>~tyOf;mzrl_avrv< zCo-Fe)Pjgu4=YFM^M7UQQ-f9ws&Zi|>gzr?@P+6#m26CG8A4|Aa@Id2N6bv`%S>JW z8JD>YtOL=#ptC+SS@JZ_nVBACcCIgqvw<%OQCQdx_aw6{-(w~lmcw}Q(krs1M~e~; ztjC2VTYiqnq(Zmx4p4>*G_(&tERsFY?KF5@qPgsAIRxG4=)8y+6lc%M%qVtF{4z5eVm| z&re5GkUnQL+5~4$?@jc+OU?vLzCMiQuK1*B<@;->X3)!FOWAu=tG3;wY2hGBFB%Am zyt17Q5cO8+-!;+%7m+j&Ywp(tb(yV^ZLo>1cQ9IkjolsqnOtg=P_PB+M4LQ1{EFKE4%KtP( z$}vcmILwrnQwnDTt*eI*S?WA80^Aj=cX%8tz(9@)SqY$67y`?3r%|Hy0M|?3(nC}J zKINx)5S(v$X?chtlfT_b^%f2SWm>b*(e&x5Q*Ya`P2orDaN_s7QN8YYxiUD^wE4>8 zI$yl))r=_Kpao01D(;fL3%Y4w5KsBoR2vvz4E{wFTsz0XPUfaCwMcBeH7)G1rBFoX zBLw`Y>j*Y{glWhA*z5=LxD!+{F2j3g)iuL)u%)HIP_8IB9dEU+Q%g2$zkX}FV+N?h z*9+G5ns`jwj^XBR6as_>(`Y*r4~C)?yp2-YLR6kjhFVL)~LePRbOQUSQ&Fk z=z)&UDgE8*kyn)Z)s=!amMlChC&q?GAv~hr!0ND!+7obQv@Q`ZUR$yhdWiT{J?Rrf zb?^XC#)61gQ86O=C#I+Qu8(r%`!oK5PLdqJ)$yNxC;5Z9A4|yBza{gm_vAbyt;2ft zMo}4OnJj;%?C|yYr}NVJ#b5m58^dO-NTD$Rg|VQDfZP|-DK8JmQX`+La2IrQUuZwQ zYsUsMppMN|9+qPj6*T87nQ5RD_r%Ft>>Sj$j!hDzb7$vs-sc+b))wJtA6F|iOXjoX3RR<091_%P_0*uX zwyGR#tX?#Haj>*#uNg`>+gWN5?Uwy1f13c*S5otVOzw5$=~Eclk6JL1)AFPSTD&LR zDMAl4jW3yT9OwsYffGBx3YK0ax^SmQ+dug6k+Wv&wJ9=nI@=V)SD01=hlxlGH-RiM zlB65>OHfHwz&h4nq;&rqlF1(vv(ad*b7u2nW5#;8Y18@Xh1pHQ-f{hK zxp()I3FIrFv21>`X&=xFoSY95P<^2ZRh*ptBFEGlwrn7p$mPD*5UqUGvXBV}V=ia2 zvbYKHmtO1;8h5=3kj8Mg%1nue7NpY6c2N}7q*^X4X`4Btq5ptB^SQV(9yq08F`iuo5PV`>j zUYqtF?ZnR-H~E2;Ygcsy<+<`R(5rXT{302$UV&R{r~HigpuJw04Sx1f?DRowMnxy*|;%c&#p^yt?76XW0X zY62NnO}o@H)7s#R7b2F)S{Mfht9huOJb-p**{YJ@7Llu^Z3$xz#)B^3Wq}1NstZ`K zCJNT@1<7C&)vwZ{%gQ6uxhB+v#@;R#rAC+4wQ|NAIBgA1nu4{g#O;x3MLBhxx$pB! zDNF3@wtnyot3mO~-}l(JxPZC}fvI?=xd0~P0k`oAUq6IJgd=G)_0-%N+|*Y)1?aBK z2dQa!vQvxr*A-Huwv-T5vms+B;o2$yLA7%BS&(m?wis%8at+I^mbOC@eF<_-+yUzO z%(Va@B&+^;ri9v6_Dlmkin&noh0qCEC#brUz-Ir=54CM`aRs1()?UU4zU8_0k)8B% zKLy2p#-)?BrJH5qS+eKW%9Vai4VBE_&-^#Pw_{Vxuw7S6^|8YtR&HIhrB-E0!L=E+6l&uV$>$n7Y0+ig zxf7A7|B*H2>_gHt^a@OVJ`q=&TtB8OrFSpC=^=VhH*d0{Wo}}rI@`cE#x8xW^Y{Av zTdI#7pEA2Hc#iH`#`W&8f9&BSalKTUqS0jXpsGvvnd0~F6Qx_nl*#YY#Q}^mU5tt) zu+|K9)>`8tztXF|xQshen&M&gR@RpLKg%OC+W@Hcf4gS4xQ0bC;E7IC#-I-UdB8GY4<#m z)n1&%>7jear|3mKPUtwT0>{^N?TFK$wIu$~*0P(qlE*1r@fQFYJ^q~gwH z0RY29_pZn;`ABU{4pkAqI2-xGbZ-P`inNz_i>vJTIpUi{5gNmpye_w&ynEm3fh(Ai zmWCrf6_Jj*SF%K zc>Ithfqk0G8z7fjTd;%|QC5b+TuD_w^3_Uj6g=x_1PwDcyd%7#}}E2&(90S88DJDS*~aUpCl_?oyJZsC-0 zQ-&kn5)pvUXbO;aJ{}a_n^7nwoL%~FhQPoVr;0~Q=Tpq!EN@;FQxt1aHy85p3xf}= ztXVHlIi-bxm>^hLwaJzukw=YmMe>>b^4bLU>@}`FXLbAD6X3DKfe$#Tk0I(!ZQ~- zb>alcm&{DNqTjqq{dn#D5TsQA_|2KYPbR@MMMVaw(&6VmT(~D}5HR3eI@1EgmC@U^ zbaz#wo(rURc|LR-dhhTt7O6aX0z@YXSny?$T}VuFs_#Z}+#%ZcjrU6d8?1{Ct{PB(M8O8qb<@ z1YbToyWw$qDfZmG(pQeVBz0#Q(OY{^F=H~D8hP?|+cmg%lDCdX@r1PW&p~~pgFKP1 z`eP7oXF}Bnf8%j;{pGg2Nc;Imi=N6i#o%gJ?rp45+o!qS@4Qv9DJP^?2M1M=J2Z}b zm1YGrJ809<5E!Mxugdz!Y$Uos;G4Ov`j7hG1tQG>LI zW~<85_g}d$XPDmQizGirhDw!i)FEp67v(J1ul}r<_SRXrtb4bvLvB&MPYyQ#uDrW{bqW1`W+S#u(lTXWj z5SD!eA^0ljKleFk`EDHbIm+>agm3GHKcz7v++0ijLJMLD!8eP?+wreN)hBEgKZk7ZOx=FP7S z*6uZ~aSAn#{{$HHZB%N}iYkMRB$Kvb*rkCU;npXlVdOE-NVA~ug$jYH>|tQLh>3NI z(Awm|D<#u{?fT6lQ`=@&J^E}#C}DXppl`B|0k~6sSC10YP}23%$h82{n|dxU;ry4J(JEbPITSOBZ%jOUxVl*m8!yd7M34?W z;!<*2$S7%E5mkG;uyD|+6Kt0`#IR4TY3xGet2AKr~G4^_a@mmkk4>!;H{p*Ua}5lU}U#@~eNE z>COZRC$d_PZ{}GzY3WUkhJ^Jj7+0d)L*S%}mlCr5AOz|2HH3=KW?O0UoQ^)Tm({yk4_eJzh z)%3KL+tmA$+KZ`h-LGD zpC~sSh;8W4B3b#j_T;FN1o!ZTH!>N@2ee*i-QQ#3|Ka1D8|p!>BuBzz>}nUL-0f~v z%y?c7$eI?Vz}zIIdDN3nc{&Jr{xb`oeg^oTT4WRR488|`Sk{AIGeh#HzCD)$Gmx+L zCaWcQ9?iTmLe@Zz+TiP4Y+4dW^FS8kv(8tJXbg+c;t8*`TVu9YO53t_j~8Fyi7pWN zWD;VGDp7}3RzwpK4<~K8w4)r9XKc?mNqVa%x7wBt^fZ()?0!^F;$8Ks#0f!hVL9@y zib|HgpU;}qDVUR2J|wG5^Ojxi*E}FC1$*Seo!2@4o`u6rFz%_3t_w7`AGpzrv(H^_ zs0+`Gq{H=@#A+kn?2NIeWwUCc9gN5aw&?6~*)h(7yYIvMz}nT{cxNEi49xOsuk-K^ z!gJSVS)VzNYAZ9xERuLcCJSQcaZa|m*tqg%1;wm;0fiQlBbK>}Vzf%Gg2h7>6jF;T z%5@s(9ZadCM)bSiCFu?bQROyR_{N_je&*#T>+T(WfJaVPD3~D{(hNSe3}J;2zUZ2@ z;DX4iiZRHqZXes`~pAyCK6aYEJZCP>$8 zE`4h2uZ*#RA+{klki{;4LM<^?)p zU>+n_chB(C!_PQ7+0>J?v$EitjyjX^h-qo(9zqhwR^CpUab$DQIu#8A( zF!|p1GyTOE1IfJ=7Pkvb#UWS4Y0o4eLb2DI8-bj@SG0FgB==2a{aU>r zHwOE`UtRB{CuQZn5q3XhSh$@bRR%KuI_1l@j6R-t;dz1*+3>LMox#8i73G{}xj^0|B~cSp&) zfr34hZ$jKN2QJlKLuy!%sc$09!-pzdD|d%Rb?PYmt_dJMo53_Usvft&oVdizvS~e! zn=NFRzFoa==pc$o`>>EZ$P8fe$BELmN_krhY=qN~`GfSNI^M?76lc7B^5}EO!ZS$a z*t?aFz>)hg%)+F@B;!kUFmX07Y}V4g$BB?Uu49nPyswkc)Eo*(p!$hGD)J7kYYCuu znnilIAHEN4J113N3lZMqdv^GN{I9B9#?&LuxYFKsO-`j>Fw&wyHe7m~%XD}ie#+#h zNR=+$gtTeI*LSgH+0>uwV3Kx44|M4qgJf+PRk$29=#4dJss{pe3e`<87^VzVOBN|_^lgM}^w5f-_%T6j=EGK^Z@bK?Utsp&1UofZOJv$B8l zsgZR{HX*FvzbJxB#aqzivZ_*yrKzPwT+Rg#0X>k)Y2$CwwAL&u_nr0}NsWojb&b=$ zer#&{kBQ7ezTJ_dyL{}&el z75ty?{{Q>KwLI1{3Flh8DM7*&ODpc2M2@{-z13Cpr^EhUO25i!J7>6Wwy?1qzi#cd zEO`+r=~oVkX$uqa#zzwW-1RP6FxXqt=(0IAbRVF7W)g4dQu=-&TWXx5s}r8NmpTk& zM!Gw9z!K)yFI;3~1jO4E}=2|xAFYfof^hafKd)&Ht6N@9N zZu_xMd!2k^tJ(SW9JYmz#Mj<#(Q|QyfWm?RZ*=w{5utzeZ3Y7X@ycR&EKg9~Dw$J^ zX&l8T)6odc1h~an!JhiAg<@w!HvV=V^#s10W11~-Ddk-aEj5XhG^)^d>R{;D_O^fs zOMMCfJyaun)|ac$s>C_p#B_ z2+KQ6eiZ-iBIAkjlv>!QG?J!_Pf87IcQy}hLs#gvK2@H939|~o=5gpev%=w(P;XpR zx+_L7G^z;j?r93s2a$-J^dOfrLMOqwVInY5e3{Ki$LB{$Np5*1G!w6O{whE!?tD;A zcZJdS6KjLXFiL)!;X|g)g4w5I7imvsc!n~-&{?A#Bim=kpTt6kuMAU^F9BNAl})ma z4>)ph27!PlY}^m?0A%uN@(!_MgmxMdU4*c|!b4edRpNl&y(Sl7{>UyHVQ3?_|8LQW zzs>?I-EB~2$A5lSWok-@jV6gQ+_&Y^q@?P2<;@zf-*T#{@1nj$ukGdTP3OYazD9 zwjc%snUe>v47)43=@)^WflHps!=w1#2Qdg6Ehxsb%<)W(2MnF5c`Z@%gM!bhyrg?C zU^`|-cfPgc=T4!%-o?QMKj58^lUju^+IZB%s|(uxtpJTEt5f-xK3xf5$BbQ) z$-YyD9=K`4xbn-7lgOqGZ{x$px36vv6oFH&!rw}E3aIAj$$?n?$1WT43xlP5`kB*D zb-p^$U&fBYt<=S8z{h8s<_&bkTHO~@!ZLH<>u65h7lmePyGPpv8c4fWR25g-#!C6A zAAo%T8Xt;hc98~X2X~Am4DE)eq6;ImZ-?AT&$iPTunl3|g~t7}tGlCwJS0P^1V(@D zV6{vMQ%8pR&+TxB459TW_x)mUU>W}`K< z49N6I9tVhr&>%;tQs0D&(&f=i`dJ4F$Z}=zy^xIz36gi*^669+Hua62U^AJqHf`F) z*6(`sE>QU{rdZf{#2>Id9VF2dR6f$hoHo02YxX@}Y8}dxqscz`dH1v#5W|-2xH~z! zn%bx66Q3XpOf6QX&+=VMq5%oA<-50kraDOhzP<iSVb1jfX^@7d ziqC8T8Gd@Xi8oyH>gs^V*!{Wr0}-*AY6`adkqMH}W#Vd9ePAn6kN#Ix%hU9D^W1c1 zlHm3UEOUIrKCRQe8WsrjP00&ymE2SLdxC5lZ*bi;OnbMjd@kp$S5`6vzT0E%_Ry(y zvKMJPZifpN{Wt+W_-DT*)|~uKO3q%T`M6KF+Nvg0v*vw&lTgBwYX=B;Q?7Uq9E&a6 z>l3buaVg4^6I&-Oiy}rE0b%&BO>puIh3zD)?WCtkS%3W-pk?K_pYGFsTc~3*xp&a? zb>c1*U{Ry*5+oI+7WvS*PS@%Ix=)ce(LCAI$PQ%0oA6x0X;WXkKT37&J_{gtk)87) zPYG@p8>mp?-4lnS~j_zUGbw3;}sU<F00V|TUz$i z?*)iAO(uev0pgkErEAF_FuvQ@x;f4klr9{qaSvP}4%ATfr6%=m*o|J$MQ2H2so z%n5a8`SsrN0peGy`EWt$OwohscJ~r)v$hW6RL9%J*6Ew*wuKHLF!n#Il+(Tur@jyoq)n0Vd4F!n|YlyyFEDcw6oY-HtKdtn%GlkNDBtPWp3%8>x}j zKTT*rv%DXC{+~iB%Udnwd1s|Rl!@Iup)7B~|79TqxNKKieq$ycb+0)#P4F!}B2F#O z+cIQI#v456;@+q!lS-W@J^1{&v6@W7Q_}BfmQVjTh2~mD0$d1lghZubP{Q}_ids%i zF;cYr2rX}w_2Wuii;y_qI2sn+%3a%;8VNW3kxo;E@80Z6b49%pF)T8V1)Yz^%wXtRbkp(;+S9-%!ELpinKT`xMorDY8asxq4W@SD6V z2B`C$k2Xigfk|I*;9kTuxrM` zwgl*k$rlfDs141p*hy=F=wJs@3Jj zp7)~<8~f4w+5KoZ8`a<&=gQQ6v{$NeDZ;sufsLvp&A2qQA8p4*#r2vO75*%>BVL&; zz3pxwnX$eLf*;MyK3yF_^ZM5q3oH05VV<%I{AHz5Vp6x#16^}m)>Do3lTYiG{IzVE zb?;RnL8Q_rYguAHv&8%{z}rpp#)T&7_D^omp#$#f#S4xRgk}cue+n~%1J$%i>s?u5 zU!*-HfC9~bRru`&TtBTM2&HY`yIrr>3XYYIed!OfBGv7OJ&bMZL-^4q!KCz*)%J*~L`S3a}0{Jr+ z*h4La!_=CP!JEHDk;2^ps1-CHUXRvUWWQD^4m2Tzg(H75dciC&eMO4HEG`eaca$T; zs?{*bg5E>#2;$w9D+vj4h0`3L4H7lwRa0Dj>oFtaNQt7F@- zBv*U2W7$3g7dD7-W1Z{*mSNOh;_F}{PFm|ttTRba$s-YsGpL{E8&6rH(uBOq2`f&* z7P<~EGLbODY0uO-)GmOG5}yk&D+%s@fW!otFv8Sac5K>*Fyoe>2uS?SWpRQnVaW_& zc&lD;u_xmaX-l8bOgXcX>+mzwr8&*1$3-dltGB7k7=a)(=xuzC%8y&L&tPiB#V>{z z2eVcd{1t2`GFN_*iN;C?6%i`fwr8&Nkcp~F{S!gXT7e05C;DKH2ICi$4%BQV;%Ba^ ziK2YAjsL9QMrsmMESKzJh)_9LSe4XA;YX)&C5!UH=io}9WU1mZ1)`BQZb)_9sIPmNZ1jZOvY?`~bhIczmv$(y~10j-2ALnUs_xXgTJ2p822|3R3VDPBtN{{m6iH0pR@%5MOd$IIH4 zl_kvhfd7(2o)Gl1O=r-eyQHi|_S|>Q#9`qQlE;Nq{(@pu7B<6-BLoD-E8rM{A^>&Ef?zS~|{7&?FLW8qUdpExO>I8+QM3kH-=Bw#`$ z-~^ovhDEj{>=P&L6K8U2-Nw55aV;pB`l)Mf+{If#F{MZirPo2>MJx2d^fa>}3gn`+ zyZPzS#}hP*2Hb)oSXpht(U{WFm}v5nY&W1JFUcc9J1j!Gi=Op+@*fE27-;f6f_4E4 z>46FhWRii@l7ap-R0%Xx#Ocw->Cuk=D+K$P0NCYY;-$It33YN}fGwHh_YYvo_cyh- z4qy&%Fkql@zYr-e7vTYyfUsLqF2ctBqV3-i+tTbBt&IYYYir0nXeoZ$bUnLZ6f#25Nd85WqUf}GR0xT>;*Dzoc>0vOmKXQ-{FT_UXRbYSry+v z8+VkMb&n1ERQW0-3{a_;?)%3*iHmze2yN86fmCRtRltc?;7JSF(*56&|3ENi1ajU% zRnmmp@wd*LLx<_E8)4tZ#wZyaGh)?SD7p>2<0ETQdO z>+&cvD4PE1PS85v(k=1pIojC}242ya%Y5<|R})=7mq~&c8Uh(E$jbJq%l7@d zJ}2Hle%EIb@)wrmFFXSNK>h;(2IPLGJ`;(V6^Xe-S9iv`0UY&HVZ&8v!&S71EY655 z{$G)=3CcILe@p&b1Rc?9lmBHk5@$)0&9ZTA$G`Yl{YhRc3AkL!NA)<)YfUVEc0Qkv z`a2?wdXfZ|-q0<6+Nw%~I6{`c(XUE$xufjQscYxBr|hq){_=OiKwa^_GazvA{W-;@ zO-96N+He4828IVD)RC0{$$wa!DI>xP5(@t>L{0Y|)quKg9v*7|@dYB^D?9AL+Tx() zX`}D8VTJlO)X6sfjsx+I14Ii?jcy=&;i*Hw^83K$X|m+slm9>v?Vs?K`2EkR8H|o>Q4U&B`2t)X+cdT+SZCZ}#AO|0vUC33~{q zX6te5DAOz`LDOt?EN4eikH(J{H*W9Fkn~VBkQ=^_#Owr)mZoUdAr?#_H3?nzSrdgPnTDw`8nMHbs zq4x&H=ZmS3ZrrJiLfZ-E31}vZL0Nl=2w+2bWzlIEoQAUzXU1HSLll)Z!&(Xdwp)gd zoBB@O;4U7Rpc=>5@b4myl3%B(GFYDKfJs%2zfGFh>XUm3B=rOB0UmT}IhD&iL2i5- zh$RlnBj(37qyn8NF9 zd0MC8kNf4KfRNI>NW_L2FSQ(U5~x=-)?Y@q-e~#u&Q7ghS$WNoWjUr(DX(+tvREmv z*S8pbKRRv}QC&6FYWfJg*2$@NUDwr-G~7QDF2ao{%5~ae|U-YAY^wD$^iAfBEL{9&L4AV%Y^A(J#U9?mhReeuN6yWJJOS$dfa`<-H za|5z*Ig=jLj61cfH7D@A_`4cPMq{g5YqOexn4|yeVDHzxAv>rBq=?zM{W;rA$=1}m z+o%StO8v)YOatDS&j~F%g5~zuak)acn~}XUdyAMg%--2wsTjnF>U`rLXX&fDYXP^* z#kx0z(GyTezV74Ev9%5xL%2HlPD_$pXF#BFc%%yZX48_Vha zw>N@L28uvQ(CI)CAt+hmuCqSADfu1GpAl2970A$?ddbW>85d(rrOrfbIKD5+7=Qa2 zG@<9Nas(J$dBmFP%v?U#>GiVXQs2=>mX4==hk+etmM}F9CY!5oh^!yda8*!H8^Y{o zL<5~?MEPw5itE(C*ZvC{_?tXNP~B_%ZIfC%it<6~=XFM4saQ{7TiEN!XiPix=yBb+ z9o~u?n*2Ir)e-Ne#i(|4&X{&d*gr;LF^i3QGwnnS2v2j?ZFJ`@-X@K8l37!2rc(3- zSCAl;d*i{h+24B8@5eu6MUwt;ixtF$-bRV-GDBdpUdu<0^DHF&FcytEPbEf&!)J*= zwI;jc8Q4InN4wt*VWnZd-0~&Pl~*WrQwARvp4`DS=$7zAI}8Lug=?Ep*NvmzApM4T zi9L)}!uF-{8&Gb5bpI7nuMgPJ@=Rxo^o|^jlZ-WtUb+%AC@xj*tFi}g6q}~1?A<3| z48#Ayk-!UEQ^_^>U=haIDJ2+)R(hT8F;Rhv__M!>#hlRX6eeG7XB8Hic!6ebKl$W;dL!jP3eCF zqMe*DY4G8jy2lo9#&^@kaf+tOfqV%wcxx~@rm|CNhR&|tLD5e#;C{qZ%R zE~JsMyPL)FSf(itNt*aSI>9^-(Z3A7=R#GD4>VnBd%e;tg%DZ-NOc*9nneJGM<_SL)E;LmL>(@7+@4X`oDJL&jMIV0ENYDp)WubW{|>dy+FQ><*lYafM7UL@jz##%$Z$ z%`EAI!ys6@ZRWxHO4!Y+DtZ(Kzf_-~t?M771+VElk&K_=gMt1YKjSMFbB)W-XCUzV z%Qm$a9hKLbD)<;-2@VR{le~aej=GoUFCxbtnCdKkgHU5ADU;_AV+xK?daaRRC`tbn zlIaN8Y~_f4Eiu^*sp+izlzEfC`;lwU0%KM~QQS1_gXWY)F<-xBKuJMEQiwWAOrH`r z{+%k_VEw$Lkf*t*_Y?AxLqLG9c#ASG{vHqiV8eJf#L=C&?k3}qk7D)?e-hUn2WAQF zSrC$$7ELUj=E~mlP8OgE?HHHWg|R(P6s+TEBQ{6Cl?`+2ABN><*bhHXN1fNHmqv|1I95z$lEv`;ir~jVRc+6YidO zXv}Kd>U12VfZ<)CRteMxS`&_I%i2{;ke{WNCdOs-<4*a<$PZ>i@-~KH%3YVD-mTARbL%mm_BVH~ZGUR4%=ujA?oiFs8QPk7(4&uV^vZ9CQ+KkZY>bhXAX z{~WN5**QueA$;N$abt&$uOU6t>p=JyLL_>Am16WPt#!{y^r{LWAvp7nH6~7<(Y@I? z-gTgS9zO{0D54IPR$=-ccN!AO)F6YR9G&;bk4j-O1u}ZckN(p;%Mn+C@!KSC@tL;2%kje=Uc zZaqvejy}t1OvbEU$~o3{YNcfiw``d7r$Y{yga5u=A_9)FvBOK71lz6n_AO!=z~+$x zWgOvm{+J-DvO&AHe&Tb#?E1-Jy%hEx&4`|c!e?J;`3k=Tl#KD@EpLNKGKkK4CSBMV z8@bkkpxw?AwEl#6v!FgPvsmfuT}iQ!59hU-J3a z_xDb*)dZN$nyhygp!JT`eQ4W|bt>MCd-wT>(d(ORPu;`z^(}WOm{!a&ZMLs0B9rj~ z!AzmU(sOhs(885^CBoDTO{6QDX;7UrU;` zZOubV4>bKClMTF8LS7t*chM_2W7<#(CmiVddX~J?OyAk>oP8$ARer^7@8^-MBw##N5ST^!F0zAF?LlKbUt;Y;Kq zw2pR{LUh3j;G?q=toF7NI|UbKda(8yw6mjuBK@`}7ygF_E zf{G5I(brBD(6_V$7pmw0PU+es7l+3U4Xy=?s_=Vnf2TGx{<4?g#jXRP`X4Pz8 zle&73(Osk_vZSqN9!Er*GVT1RBbMgpj!MgW6UO45&EEP@lv(~=?i~x z-Rk0v;RQA`|CE01TcceX>xx4$$0XbzA+8%^=npSPiXr+ckB|~7x-AXP3@Y5+icib) z^Z;uUtW}Jq+Ize(C!0dUw93fE{87o6!J>EPLt85jvL9l_V_Gr0p)vo=aLYx?a;PE~ zOGL`z{(jaT1_Z$D3_}D1EYR@jO-3106GM*MP;7ezueeR$0AXNZ@E7$H-KOscyM;Jk zGDb|y#@C{KIcWSG+>BWVJ$k2Owhc)Nno)HI-3_;_W}D>vDYvZaF&9XMU#z%gr7SO( z>hP+4-5^q3OS9{%?gPpiUTDtl`kqN1J>O%EN?#R@N*|F58g0!qW{*lgV4~IN&ot(h z3Q}RE)j<3AB-E(%cB!BkAjKS&o|!P`g599YlD~9>Fp^&$sAwX;iA!ymqFsh#*=Wbw zV7I3VK1OpNNv5ti600rv>g~#%)|Xl}IRV09Ia4tE@nmPTf2lAL&2q-t`f0$4+@>0sI#(J@{t=7G$mw_g8d;H~Q+^(x$WORux7!tK%-mi;7JbhCNo^)D!V z{f48(3Ec(!uGD)MIEd|ihAOO4>LXIHr@vhFOTm;`;}4jk)WH?+FJ)+~@gsePqpeaf zcGmbsK1hTl`&@uH$e|W$&~kH)w0Nx9=jb5J28^0~!Ki5}7GFc( zr6|?o08x0{FYc^xEN^{{!vtk4VGdzo=^R8A%(8DFWHA=c<`YUq~7jb>SJ2Wdj5Sym@z#_GBwVc z(aChjb+f>p1~FOKAxrXM%+cfC;WU#yjluFg^k)zY*EEuRKEt*6ObRW{trRcXM0o zB~NNXC!W~kx<6zC&zrXrYA_fVeCA57d0Uncg8`|r5JYc0aLvm>;lO$C{^#CW6=9RC4zDTb;MY%#W*jS;x6orF5Pb?=rc zsDa+we*_)>SXc1sqZU6570X?knlGry_XH|4O|?b8qp%{lGSR^W32 zI}?V40t|75GF$(fSsM^A#ufTU7M}McO4cy2Hau*j9p#Y!q-#Ry4JPk=KKPUeCZiE0 z#d~${_z3$wibIj*GIRt8eAGM=T`LwUezG15&wThi67~Ns;&83nd+pbXn}Ok;{|_&O z^YD$fo!gh)^+?QM;dMwA#!+!UEJkhMsKCU^mUlnOi%hqYK>f{CEF5Ub4>m$R2c)HO zP6cM+k$I~cJ-!@L9M-O)yT==FAY|j3-CXoc4~t@C7V+V|6Sxgf@JhPZHSm{{PkOC& zt!P%UMEwRuBQ}NQ4cX)kc|;eFYsjmOP8mDN1VHfUME{FsN@&%whvFX%AWN)7cv-Uyt3 z)`;AKsdTx>S?Ezm|1OgA8K_1a`L*H(d>3c-|1U4Z zyokjtM~l;U^Vt>l{D%|$+3!(=NrUsBpP{|$LgBl+f2>Z%3aN8E7m2`k?Ft*LfabI? zUPN_Ag~u)5rWt50f>S4e326zRhse9HWnbyTi4l=f~$u2ODD9xZgHZ zjQSlf$Bk8XOp_u?s2sYO4{PsvWYm7ib1sCscK-5wYA1qBlKG3lA``Dl-@e*YsW2SY zn=AR>m!WC5}Vs@*OIyFOtKWmAhG0UK**+!YH_?| zc9HL9GQks(XLgUxj=udD=On8ig(;xTZ&WIdwOCO>vy0qLN7wAi(@RzFYqCFGf2C@W zUBo;2j41B#xc*?pba+fty9n2p*B!k<0~=xBJ<^J(Tw&>(x z&xm(gkC81Gmd6fE<+y;%E;@AD_F_glIhuI_nr@_z>_c-?5Tr()F@XH8?$VBI1L`)N<^O zLjUq+d2#kvPN?SgT-?_#l|jw#wUYx(fs9fMjT*qfn?n z7PMN*@n!bQ7nrE`F%NxP2+=fsNf=RD+>pPDwpq$2KjxiY)M+WI9hM@d-88na7oM}O zpI+oIqK$@S$4j~9EdKT2p8QxVzym)LQ{UiB@?*k)1>l%=yi#8eelHT2vrhK+MA{UA z6?T>1s1?x;L;syxV2Nza`ERMESD)E!7G_aHi)wcEBrIL>w1(G5zu*>ldkfxL5)E4( z;(h#0@Bs|T8$z}v^Jxg#m)t2iMK>Fv+-H76{u2~M_012?7Aw&xb@`d3IWs1g^AH~* z512kZ)IqXs3!B4JWfd!lJ6x9;;k8^D6lEb5vHc;Ecg~MD3?a;M7uRWp5N-$LZH+pF zoNKQuki##3h>gJ2%-zmCAtDmTK$qJ>nt}zPR6XuwrijSCqof== zm;-!T`Y@c*bN7!Z;N&M-4Qq)b7bWXj#^25?T#|d0Y6ekbA$ag6J?m)vA$8R~b?k`W zJ9T_Mr@O=P^E|D3`0`8Bg~#RY+~u6dS?BtdYv*nV{_-dt%(f6YWtY5%OQ_zpK; z*CCt^_`fm({i%#D#f!JT5$}$P?zdu$Y^y;Av;aO&morz&q$PjAy;FU9YPSor4qn9r zZrm!~AUtyS&Xys`Yp#Gb2L=?QVt`l8mC8IkC31wLPm_Y2%ggDN3z z%`)EtLbO$mkeVl0?N40RCw$-Op%f00^z8CR^=q>9YW|F;&EjaYIXxdyd)@^pqSIjZ z;}$XUVwyI8&uidl&4zd6>zizJzqy2e%RqDRjnDe-iPLQ2=e>=WIL^rpIf5%+?tY)S z`XI+c$_+2QhdMrG+K{pn3lXMAVJO<(MpXijh}MpfO*!f-l_1@qI#%Tp@(twTqHI(# z!wux6+2uR+hn|}QGEWTYZ{41HqyLQcwlMvgE6$wONd4XX`nxIdUQkRXtw16nRLdo! zgOBR+8xm9-#mHwa_I6=@U3l+Nk=4GeHl1S7lH{}?=FQ)+>v*&y$i&02oEM*Da(Yxa z*HUs2Ft~cQ6m(kz)6C`NdiCjP=ArYsQ?#Ql8pEm7K;znA(HOZ zV6oKFouejwYJ-L(;zUG2`lq6;8|~puYbS*b#U7fm?sY%;hqDGPS3mo&eD)vC3d?!X zL{0T^zom-2S0%*U?#MTQv7w|8vk84T3$My3C424jePQ~MEIdC7G3`_DZ+*(KI*=kS zP&)OIO_RCG&V)FkwD86EzE0jf+#79OvMa*5vm58LTk4 zYRYQRxWA)t~ z?Ta#j&t?LB)!J@@a$hZ-by3jM4AB8&=H-SiCl7S&LGZjuvvd1ob*MJi1}TD931O}( z9{END-T0U+Jg0ekD%Nr61bG~9W24daHb$8z0Z)e&TfwpciV-Sa@GUR;RJ`C%wZD1FVlNoC4`?y4~$k&Rkhd^ zcW@gRVW|$rF0Lz&KIx5?cRN?Tu%><}(wH2?t+DH=J&$rQ1ZS_FTaCw50YY1VMMn<= z@DykF*}1I0g4N^RjwsINh?q^fU01MWiLK0zY3~~`I)zPl2Oo5h+-8$}!tZK{HACL| z;b{U-{qM8fYQ`D*DB~E*emJ&O8NxSRp6J51TbKyoc(lJhKe0YvGmHRCOK}T4&u2?V z`08gWaGQd@=F*_;XlHNTQAO;lXR^~m?fP(?(9Fg&tl{_zVHVsi)SdaaXAR5gNsr9(X>-FLM$~#GM3~DfI4nwr_ zClR*U$zpwz6Bv8+W%Fj>QQ$((9thu47Z9a2;37bvQ~a8htiGj(OMX_|Y2)98)kbk& z#L6fwlHV!BPvZ{&Ne&eNRw^Op z4Uh>umGZO}|1$xmJ)E~b3qE^9C7GY$1?*4YQs6u6*Pm8F&G52!978sRV%c9X*fz%( z>*aIMYeCcnqr^M(M4q__56mvF(ab*V&}-Z63{GD<)0c60QGcfE!SHZQwfU;>NeGLL z)78d#cinu``4PWrXcMvTzDJfOoredevolGF?fuylSvUhjJDyB3J>5aeloK`F!KUIX zyGD{0+xs)scl9mv9|? zy{>+iC<9hbSnAK3@!;qiAK!aZ9!zl;Wq@(unVwbp&NdokLz{WIy6ePO`(#Yl+dyag z3!3sNpTwz6SnQa?nzX=|lgk44Ljy9B&sNJHF%GNpRyV7%_Jl+DR6^255-}_E4w@PB zf7);9bdBWys3PAeTeC#m_6;%O5tzk&+;E4H$EKsGTyvJYUj_7642IOs=El1DWaF6) zVn-TGkH=X3R7ix{R7g;spQDo#?|N^ldmKhOY+XncS2TS;nL=;YK2x&%S>(o4_VJ#4 z$w#K?DKxT$h6}x#>N~Qx^lO@2mIA)bTHF^oM4smg_$K*11iw|gwJDjo;&dMknvdp3 zp)$_daoERycHB8#B~xpDQEfeSDr+;fmE5-KK{D54z8*O>Ds7<|J6&aAJ@xTNm0h>_ zI$3pL;&c^bt@+F^ICXX53kyxoT61l{0_eXNiJBUv`g_U+Vy7 zoV(1||H|zDsi*&SKYs1lKkmmQ6iIcY{;I70N`+dDb3&h2XS)@Qw_lh$igX;_eOesf zbHI1sdpf6R?2N(8;cDr+Z@TYHqIGt#?%aUJH#K;sRdl2dDH5rlHEOang%=c>WA#6WZ00?4+Rk^EeqiUwc@B%{J6|H(oTVrANCsC~nPUP1hw_Z!<2= z&H%jo$=ZkMx)-$$oQt#CJq>lVM_US8Gt#vVvDVub0RNqcHp`eZ&C%9xM50}e@BXOcJ7e{-`Tlu~lW-Mx>@6{^dm7zm`iqepI8;B%QgXvVfmh4{3 zGPJp0;JQ(qmLozQKiVkMs4Jlu^wf$tk*y*rqy|5*k-=ouDCU5l6p8mC8v4oi4Y?AFLtC~%nH0%t_K(3i$ktAQN|ah zpC;?D5}7vdusZz81jLXS=tzza(TvU<%1BNJ%Sd{v%SikT`B9=Sm?+QE5;y!AC(vdL z`E9%1SE$d@UK|Q@PM~R*k$ePrXUC3ol@ax)`GRQ<1PxCS7`*BAex1zOsu~ zDGuLH&SJ%umi9@0m@|igKQ2-~&-ykkZe`I##Z{exJaFZXG;nqNVRl-?(n8GABAt^n zgOhVOF>$5;@k)RHO272}5^R5o+S!KH*#@hj7Qdl3aCSQ659~J*f6Yz_v_GW(#lnpU zP>=gt3qZZsUswR%-2Y#4=%3a7PyS2MzoyTSHuX--ePFQ*y;B86u^q&H!ZAKLxMv?3 z`~VlB$M{R|gPjxnQ(hE^2L4Dxd+-CDWpPFhFkIGo0@5Nc9WzfO_d*>O`n)>fLMHx8 z1FX9>_;+gp;ig02rbTXBh~2hGzr`u81^@6WGhx=t3PGW zxR&@Iu>;k(zts*r@%qJ?DRZ&g94fy!^eYQBz4@mWDMwXY3A1|lvWw%7bfb=R>%Lo> zi9&L^*~ksX`r|V8OdkCxtGVJcTk0Nf)Q?hq!(7pV^AhEGn_qIsW_+u}BY4$YYf`tH z-)+FC1RKV-U8AE`VUH@H{#rK6Ex_TU z4K_X8ZytuY&=Hx;1_-!4E);m%=;{ICDWEnm_T_GcybY*hNa*90<#`hD4Ot-R5vi_q zjK_0b3;r*$>(%>?iDh&z$3zQQiX47#>manGYyi7*tm;&&)5p*Q#u+kw3nWrEE6xqrmm>4PzA? zyu)#dHhCg_JHnbJ_@EiwS>8=)#KF$N#Ll6nk)xuKla@=ByaB&d0d~PRbb@b8z2KC+ z;6~u@<^e!LRsw+a2Z4lCfp>pLKy<5^nntfc>c+$`LO|}p@2tRsKQa9iE4K<`?$wXE zB4x6h$lWTyg$f}m3nAk8N_Pm%7Ggt4QI;zciK`A?FjrP5hY?$s|B zYY{ju_*xLbgf35C2@N?rCly${<42J`J_s2|Ca}Qt%h!XSK=xwTZHYBY$SCKsPvylgRRm(%LPPoI2kh zGa|(cn+gt+qdEuHhzmQhaP}9YZb9z5McLlEdot{S%ezu6h0Tslu;b$p7* z>xh8McR>Um=(SC~%U_u0WhGfh$&0$@aUm4aiZs}KYW}^xg|!l9La0Cf?f~y$HbL@1 zrSp$eEWbM8B0hZ=|JPdq-y|mTcVaD!$g0cFW2V&IH|#H$Eo+lRrw5?Z1LPJ)%oau% z)#U+G>RwaoAyevYn+zSB3=8(S-|cZ*mnczS@6e29{~NFI$?9u2uNHia^F1YHmSaU9XiAk54lXl4-kpRjwz&ozkX zBW`~rpETC=!By?!_tf$!c_`3K>%L>F^MBw$5neqq@Wn!8Xwf zOqKE;N(R-XDQ~vdTI}S@-HpzqxkT9lrP?c&nr`!pLb>c_$TU%G{{v@ri=kd?ZyonF z_5D{5nR1kxvl;aaeUCOU{3xKxXa-z1$C3yrs<-L$Agwr}^z+IiJ9%{Vd3@V2HHVH_ zl_fXrMK|s3b9b;jku%kt%>}x`&P8ckL8ZN>4R#>^=Tr70J?I9jIkZEH+W4{qz6IHr zr=Qh3>?zqg(K+wGyLaOC<;?-j;KQW6RDx~msO8%DWhdoB&k>=O#wy36go$`+kGVZf zwb!;y!S?=-+_;N~RiC!Ys2NgO`d@-$nh^4(IMrU(Hq-g%B{3*-tLNF5DQ*6ctBjH! zk%`GmGRw0+tTUsKZF($X#kNu14w=S|iJB!qTtDA=QsO*|_iE|8Jo@1r=MTyUt)v~N z&&>0eU0xO(n(KxQ+;VVYwpX8-d*O%$58g^D``fRgPK+jh9LI2|izG6LB{HN-!!o2{ z!_?&fjH4!uqtq+9%__PXCJi|z4ROs`9-6ZRbHs}#_6a8Ti6!>^3A;qxNg;EK^Q;MN z1!o9vF5StO*GLM=Kew7~v-7Z}!k{4q->M#UBo8A~8Qg{|XN(>dh0x_?f8fWd-OG#P zE)JS@QtnGq72T?*TN=nGchJGHSyqnVE;bg$_r@@>gm_PUVPr+17nCJ6(6#ovT&-={ zr*8SCMHEP%ZvihOMSM_O4`$$BDy&(H=k}6iG5SY;G*ehB%l!H>N+Fgii!m|!BLl77 z1i&S7dm|NMg(bB)XzkP$){Lp}Gxp$JS|CY}r0Oa9QnH&uj46YRGv~mE7i-zZUqn4*l9KP!+QDh`%NF>x%!k1;EX^zEdIjluqiS z$Un>aER%L8)J>h?HVM(YqFb_`>ZLY+|3b(#G>^5Yh~cLa{pLC7)ka`|9~$JPK3L;~ ziulY2QJ1HG|PrIOYwIjfP7VkVzDf#j6QNstL1{`canp z8A2cYxd8QOIOYFX02uN8tp#9&_8%^h1DBXo{-FQPmbI>I`_`o4th@HC`%L}C$NG!W zBwWLGhzO&xNXKk>6ZhA7C$#aGc2}X}%b{TH&nEFskqCIts=i|;#5k*G27`AQ%aD1= zPrOu~H%}gP=Fi1$u{VIGd$w$=7yV(Hz9fTf5Z}!mG2#(4ni2|cswuv}kGgqavSsZ~b0Vb)+Ujc<+YH8}FiquNUsNy(4~tvLLy@ zist@kW;okru4<$_s>8JN-B15Q0VSk}UZ`6y*@rgB!0HiHa@B}A>!dJFAtp|NgE@<$A>KqaAC=v0RXq@uNDDuf5 z$H=Is5PLzvIh@TnY-sJRN?Z;kF_cv=^)gwLZ* zwU%f6HLM}c$dn;EOnyelg%Izg`EmhBGCda3n~7r9{Xql5%{EBqvThUlcSp{gV~LRO zO8L z2*DyWds`{f`&vIPT)+HOGO)-TWV!=4*6>*-)o{DOYKFfJe{5Yi^7RZxt;l>Z`Il{Y zv)&<~G^RqIO{j>Tj4?s1jsBVq$c!{Jz~Xh?R1?YPU6k*VpV@ssz0>Cf)>Q!U)DtQZRmq z6=MRy7qLuOe)I`_L2Fx?;Xm9P{FCRL?}rp0F$5GZy2GlNYSFQM@Le?Brs=D|0+E7# z(v{mwc+%K*w?n4Igi<&5JG|`IYac9LpxL&+?66w0eF?8$gRDk304bsge0x-1_5PU0 zmvoESUfaUz>KU>x=sL!F6M{$^Ro0z=kOreG##^aTQebub%8jO!6}+|{VJ|v&3?V!C z1C6csz%blE01iXz&EpL+FZzjoNsBi-U$e>dYFbH)?)RG)-9=A$FisURE6b*X{%Jf$)%Bgz;H>j$JUs7w zx7)Q0jARkA4-C$nVPFwN_g-j*w7bRWck^$`qdwXyV|f0IWeD&L#I#OV51%*NbdSd* zIvL?lQCtY2eYMWCovm0yWIW@qJvz>)tzcb(Hi-!)9aLI9Vc0ygnloLPGM)1e?y?B3 zeSFE{5)~bKuGu5T=aJA5-#E6XgB@yy9m)_S#t|fjD@qZZ9^suH5t<$$I@~2T+?8%@ zm|<)v&sGM2hG2q*K>Z)=2%0k{HrbTs1T%L$)=|+?s7>~wGyA_#nkPqAI3;BED@Jn2 zMpZaTeqJ&GR}zyv(w{%%a7-9;5A^(o2T+86#j`{!kqERVlbP(X{c`mm6^lOZ%?28% z{>kmtW0y_usbl{rz*E8JUS@CUg2?!&Iaq)df9o@h{)X~}!U@FNM;fFQ`D_`|>Ala@ zYlDoabjfT~wr@2@C~Q=_OEw*R+1KRHnmaW)Elg1`^|*vJ9gtXu^6OGH355%A;CdMv z_`c$tsJRm;z=2*1m%X|tWf6R-G3+{G#Y5I=uhWc+9E0IUCX8H<;U`Fnyl|7=!$c5F zjq1t2Crq#CX(M@iK%$+;r+GtmHQb#~e|S*WRpB*;eo1Bn50S5MzTXcO7GMTM{`Q^ItJG5lSQ?d0}(pBb{Lq2S?AG zNrdvyg!9nIM*IPUuL+AEV2B^+iyx3>o-$>gqAR#T6x{CR@}cDN`6!Y2DgBPU^+w_^ z+0%M~_Ku!kF$2Z$ztt_27bfrCj|HU6Rw?XZ8-iN{6v=~6N5J1#{!QGC*-G;&K zMS*}@9c5kNIJc?K!h_a*hqoU`I{ODla;Ma;WYR<7>NiEY0$1H?lW69^umVx>vZ)4JFd=b*~DC)19{IkrzHt^vxY9oZ zp^fX}FY9)b;X2=u3T&w(xVZBz3dkE^z?yvfO<-V4;WX5U(36b2^Hg2tscC3dCk0xv&z2FFa1G3 z3~6FN0Yr3u7z6La4`W;e=g4YJ#3IiK#3Z&bBrFmlez=EATMt9!C#~j|1)Uj5Q%{JMVuT^sS%e?jmctQj z{Aux?Wj0UiONODEFge7rd=O5@?WFF-n4)Mryk$8^xv^fm?{nl^%1f?sLB&fVGT3Q3 zytixMe7QZBzAU_Q!nE&tkC((R87=J^ySMKOapv<$MiUkL*XS68uY&* z|BAVFXpii#nRki(k{{ClN|{e`n80t82@O6^UH*H@{^cg{>aP{0EmPc70n`c95L6+r zR_}mxMy(zEb89T*66jhB7Rdw5dzCjdd{;Ks)P;xeg))(*)%1I_^^}vsT*8#*@b<8%9 zz#A3udg6gT-GvWc6}vTfAHVtmdJ_UGDe>#H`D3?b9F}K6$bppN@b@c^b>W|kR4&&c z2YWlZmuD#^ryBZ&t0@SFtB3bCCD*KZ|-Zuw2PX7Q*gQSlR1m1~gARc2t3QW8L-eXw%ycdfFs9 z@MNQ>>72mzaqj`&#gO+lmN!4%{f0(gJR*MnEWWX#Ma~oTE~Do^PpCOo&(VFVm0xXp zcyxX0M2Ilo{eXD1yo0~K_q4T??_Su!$^N#kvqvOL=z(BWJCDlYvTmMul2YcSCY{1n zZje%=a@_ti3whBsG10Yja=Q$2JNbx@IpVxI|Bte-fU9EL{=XI?B_MG~rAs6Zp`_9v zozmS6(xn0iq+1$kX{5UjogyIJNT+oFH~9YdUGIJO_wM_A_RQI9_V>Hio^$5R>{xq^ z$mAyxb@?<4`Lrjrvh1|7xY$_)*jfI5gMog7g1^GA8UG!AA85y;`)T2t0@M@#Y5}PC z{GTnn5Ui9M+MO`8J=k2NFW9l)jS4>Tl9Fu5FMGW9{#Fj%n_*q2UcN%EyWduyv?P}z zL6$Mj+0QR7p!N0cU0YjohYs_H_jgXKkIuP|&b2;sDYq|hEbVR)f!N{Wee26_p$BJ@ znWx^rjlM0%eOIoCfuLc_KK254<0HYwM}PY-f%abnIhTbvms5ydrxLyHN2@Zr%WZUb z(&(;wiKj)0C$Yc7h~MX0sQk<=#LaEZH3a>dhi|^|46a`cAB|VNz_XnE_#KziRpR@& z)ZpdT)cr+M-OPu+`O%m+>a`XOxD~Z%9rGXWtQU1|#dqO;O?>{kAwL6g7rX#K z5qNby84eOc0e$HpQ#8iYH*WaSH7h)MpfkOyEW>A2()VOrrB-9ZL35_GHLuTH5Ta5m zC3FvXqBvwOQX0;B&TbCwc6g#fHYM?b>2Me)hzgM)n`i+LMm2_L;3YSyO>q;ZSeShS zj=@{qn8VSP5M7v9NT8f2@g%pd?(op*mB?n_IJedZ1&IQW59hgU;cp#IS!tR3S&=@L&Ny{Zud(+Fc15ahcYL!(5xqcuxbBU4Uh_is1G)a(^W)P?j3N~ef#M5{A{-lskM&-;-yZho*6U|~d%;4cr~1a|+wOT+u+hPz zq|SR~t9`F3HO!+73IbL+*`CM7Ud{0&o}Mc^sX`1H8|4^cxPk@5bK}u)5Rw6h3_^ zlrI;OpP{bxw!QS*xyhx_JR^+r9n@gGNK9#*@nj8nDyWHGc`9Iok)w+GfLYr0@E;$F zEXb70&!ck3-5;;HzZ^18S!GLIWs|Xs1L;$hg#09q{G@^WBxSY*G~42VLOGs7`TOkg zfb4OBA##Omj}Y*DsLsYQ-20$8Af`ERq6)V8f|YAO z-qZ5BPYQLPhFoQGKsruQyiVV?1@jjDRlfVH^x&3u;+DoTm_#y|uxbv{Y7UzGm9Q(A z_v0TC=zs-$xIZTyw@{-uFFSHcN zLlItzH23l{$V*fSi0SqyCfu zd20WCc!|hTsjhFL&T4*CxJEsuaBU8OTS7rnPJNoXYR^D;@~MoiY($lbg@C-#N$Kb3q0SFgKNA9 z2#uVusVD@YPAy-mA$C`-dx%?FsX-P@Sm?2Zb2!^ljkBHxIU4!{v2AqPiAv&S zi#0a!ZN&&CNa%q<`}-{_&y5Y4GLAi3e~~zg#Pq4<*eOVagW4 zP)LI)r1{dy3SiT`z@`zxrb+R`O7+8%5%dG;6KTsQQbGApoxi{Xt{MLoUYiP0Xnuuv zZQ&;+#V_LHFBX7$%>UT}sQm9Wzt;OA=X)D&*8YO&XW#4OxQ@DqUo$1i-^lW|{N>{?_r}G188M|c}TX4@$ zXU~t+S&+$D5TiB)Qk&wdArqh>BTzssR6w1ggq8Z=;Q;&MSNJkE#jo0dYM^)7<}2%z z?ZMbNrH^4sEpq&MRLj^;fxO)xhJO)9r3{T_9iWThdkxVcS!+e}kaOp32zO7T9Y_e)8<>RcS&H^^Lgr_KkvPG~dJ+x44< zZ_o+v6cFm87}gX#L7i&cRhoORx31E%W+MdLpd9RjDZ6)HY=4+i;{AG! z{8jSGjkg;&uM2mht|45~vEfM$^RerLBKjG#2k*!lJJ2A#_ywx^C`!LcIyB@D$uHs+ zcCETsEf>9N%3m`sSn_|8d1nFH-Zsfxp#5eqyRHj4*R=0U0C*F)?~G>9aEf2%Bx>Ad zQ^uCtgl^t$y;Q7|SQQsV?c}K^SmVR3)H3IoFlyxC`nX0bs!+;(&3Ag>P3X|UTJ`qn z((sNK@JeqRXock8Oq`&ka>yfAkx)LQS-UulJpBRv?(Y7wk|AXRH+4cuX49Ygkb?S9 z%bG5f!v19GGvpR({>Rq#k-Cb<*k2$wZm4f3ln~i965I;>9Iet#S{gmHJdM4#rE15b z;(UkxT#+d7iRLU)q3U}Ue2>VpJd?RQMs}u6ln1|&xtHj_*KX+kz+8+))_`|fU`7MJL zI9b;88Lg!v*Azh$HGd!j6=|)dUiia0f29l!Rwx21fFc%X%6e_(Ulj2`)6i>*KhO%S z02R^r!=%?%0OeOJgB4MrVt=IkQnCMFCFke4{qbCWu>$J;^3#=-{l+n330f!_0#)U~ zv$vFX&oP;{w-{D*9*Tj1SOQhd4nHMlRMxmkPtn z_%iL@l@ME#sr%SdGlvBO1o4dV=lSsSVLXvaS~C|hOX1~ll!ZQX(@t7LH@_PX$qT|n z_@;1j-k^(F0RxjQzNZf8m5(=5gs7WPAu2;f_bI_D0<3Brn)mb>ghe9H^pwAcNUPu# zu3^-^6zvBMINGS;C}|BU?Q~MLLqhe=HelNJQl!mdNDkm zIm)WZQoVO(V9A?2>cO$NCEc}T%Ja4D!>8lpIbO{UU$>1*v^rFVoKqUj^@ZcD8Giz% zl1^KFO~G|!ckU07at}V24>=bsl$@pe0{_%;7Vc>u-`dO8xXNS&@Yg(5{f!I(EMKUn z1I_)~yl=zUilkabcXDD$$4Beyj^{NBoOYvYdrwc%nM$0K!tir>Ae+)2bu$=nA{8D& zvI@>q&Ie3C+xfjve3A-D#%(11q2(qozgC0)~#oa+1So5UEO%N07>GLvrS;^;amwoYk2&p)TPoJ6NbZ>((z zg^cHT*$UlOBDi#JwOX>G@X1~HNQ9`^m(S%Un)rkcV;pK}g@E3OZDfT2fk#dZ((6=^ z8MQz*p9Hl-60zbrbsbl&upy^m&~sdt0&NWoqWXvrs+yL*Qsj$MaHtvK%T4TyS-?ZIHsC z)7I6#ppovY)@&u%Z!)zzwsxUCW;UenP&JzHq#D}2i87i%Qw@c0iWhUr*&D`A#uRZ5 ztoKGt#uzwctoO21L-ieCqX{I{19lD;TX()?=iA<9O*3~)9jDD=7iHE`%6 z&wXVU3>S`@?A>xezc|0p#khC`4+v(*8oFjU9o zjy(@DSw&b!ZrWxi!)x*U4Nbqe;oab^Rb1q=W@jbwQVkR{=>=Au4?{#0(+X4=p40DM zX*WN~;moe2OCdcd>vX^(%!5?tD%N0vm64{GLo6=9)#M>Upv-`8@=*TKd$960MvlTY}I+|`p%GKA82EP8yy~Ja`-?lVWWUL4o7J0c46CMLHU8hI4k2>h|R!J@7 zxBEl7b2jNTF2mFnbd>fFM-sup~4*6 z^=y251#fi)cz$+aj>(_Ftn1lGzkq@C_8)_PYCa1p7%j*h^bwZVyB)xt`_>)I7tir9 z>G6-W(68dP@&W%^rQeiMbQ^eRnMuLFz~y_0IulH>eGmf22;B$s+`+UW?xvJRKIuSrh>7SSR^P@mE;YL3ae;Gc*2EL1og^`02wg9}cO|K6CG`~cQLY!`9gKRpvB7!b3i}L3 z3?CefqWl>Qb7Rx~1+1M!|IfkKnuT1q{l36!qId+sf^P6eb}=DHA>Q&6aE~3cdv$f$ z3o3!jzB%EZOSH;^%?W{*rb2gF6@~74+i99k^i+8cIo~Y9L_)kUx0<>ITf4o)t)&-f zP$C-M*C zqQVk7QGV~x9Cpe!Ti0VXO*v7Hw>j>0$_96fvzj)juzYYOl{Neg7}tQV@j*@s+2{)?Af;fxFQ6@39D#Vu|ID0x;%U{T|XjXSpugL1&cGapo=VXAS6 z3M~(E3;TnR9?Dav)3vxmi~Py=riYp{V@t=Cds~@mr@ZG6^3S#J5>*@oq7PG|KgYDu`KC|qGdOR)a<3cdL+uQxq5not+|?A(DV|N2 zSg)YOb-7+ z6+j88n$x~kaUWPoyS4%-zgPhf3;_8f<(G>62P-Vs=l09F{9*;v9nr2O^->!<(PZo{ z)0R(Wo#ExT{F{D|-m{(v!|3C?)r!erKyIi6j8Ss*ty)e9UKS`IomYz6dtr_wNAiJ3 zsByY<^Aox(EWZ(b3UGiwN1g5g@OwaV6wbvOgSvGJ+*Lw~yn zV>N6Ah9fLeiEIcq7}>|sDo5?3Rc(AG!Z;VoCNV6=iEMPY5ZMpVD)$|{R6TquxgFa# z8sQTHTnm(QcN-)1fd8+$wprhql*2k05JY;pqIi$@lH8k?&@do>x$MM$X zg%nqSb4~q=l}+38{foo>K#}8toF6|*z?@y%gO^An!@J{#JW(yXTWcP*bKLOzZeY?PknZJ3&BOH`TyZXQTW#%+^x~`Uwta_>| zD%HAh5OjmC#A%f zIc(AB*k9|Zafz*Ii**X#Aky&IeaPJ^rP1-RCTz#$*~Gk$6UBzhIUa1m>V7z!o7~4G znsAfk*#y5$g>d^UF{O`-2-+dzwd~U|OB@F07Dqb_A>7oqsqpy|1rB5Y`GvAz6&&&p zDeNkf824Z0RHx$S88tleaVelC`Os2rgE|}@(lwWO|J(H=L4edK0St*mSNDLfLghH{ z|4@s23qEI&v5wE6wR@n4wz~+PjZ8VBQ>cC7 z);^%=-@s+jYNgN*MiNN~Lz(^+DC867UMo4=JsRbdBtZza!otkwwuqP@B-Eb&Fk_%S zM3M~t8Xxbgv0k(!89zrL%7C$+NM@)u$N{K$W+=wbT-rc8V}ek3{+U7k=#g^1@}*+_ zXoOm^u}x3LLM&>yDI58)S+rU)Nu>cC$Q`T%l*48s`J;@L2K+WXFd&DSvWe%9hNu;5 z1NkR}*7CvS#sTwegOmN?LP+p)U3S`ey#SO z8~LAV^hfa1H4w&g;B{e4GLnNB_U8W=JbOS+j$=f8pwU3=Zk#d5t!P0d?#9s&nPq@n zx@Qjy$N^pk2ujOCLv&x33^tvkq}0WD3dfKQ{gs7(0NR{VGA+4W9aVZDPfg#G_X?1>favaxu2=7Jj!LUe!TVL<8haeapY~{mBXqV z>Y{llBh&6fi-bI0cNLfA{0A;3miPUl^V8vaL77)0z*RcguL*dJVtE7KoNQ_kg(x4$ zR@m|~U@_(=TBM52hf{5e>h_s&lPg7>@b%u1s}3^sUmfF&>%Mc(rue^Flt25>(UW*Z zoazos2WMJ&7W;1$S+vG?J+UeDXpl-0z088QN-0yQADg+jmPmq_JqlPjFtY5F!orP$ zy51COB;XPxEqFt6X9HRcVnY=oXH(Dku4Ty!-Y~3Bv{JybqQFVHs-S=Z*32F^R6+2D zgVFiWRmGJQu45yc%Sm7STpms(%b+6Qr_Z;OBx*>m$n^u%vdCfk{$Q= zu}CxEQ=#5r^)U0<1G(O2mL=29W9QQ`S6Pfv=NsdUFh$@2lCK9k^qX7WTX+K7mIL{E zNBPR8De4_FJ++}@0MI(WGVZFPas=|-&M9Oeb1j36bI8i$;=JR)1VgQ#$dZ@3A&E34 zIw*GJ9LQd?m9h+khV{K)lFrr+_xY-scfk-`LAUEP$Nq=N7|U;LvJR0k9*-3*=fkby z`*I4`_P3;H9e8$AC_sBtH$T{>xo)OhQ?o$%=ioDzaA*s( zS~c%Jnbp1O|8Kea&5$CP3M#f~s>VF~Zs>qO;%Y*ivs6#_l%Z+6JYK;?vgeVPFpJ_M z3;VMk?gC_5GFWD1fgROtDVu5NeMtPm#6I~nB;RE?JV0@+9z3s6q<%nc`L@Ly!Jyq? zL5$qQ0@hXn2y79sLCeYM;W+Acy6bPPaF6>Oi_NCK19av#W0PeEc7I$w9!+60bNgW2 zP_gIB!1s3{<=%>6g6rm`tNLF+)5MRqVS9QwxsJ}^Bb{)=3{%Qgo912D3K$mT^YMSP zq0CR^-5M!%$}q{w2HNj=t9W2Vy^3X4^Lxl9QZJXHeQg2Gnf$F;T5#=Fqy)_RR&WN) zt{;LIm&{)HABrA#jWmjW_S_wI9;jQ{=+n77y()2f^2B?pYCPZ(UvY*EoA^JqjR#sEL+i-m~4M>>J=FQF65xEM=$9Kg~;t zAB)4u`#GrAv)Nt2>AF(wA>)SMa~aM}$viRNT-$9h~8Cb?&My{G#bZlIE54xt^JqHDKeS(~rbU#ie3RMf{s4B5=Fa}h-cIgjKikZgWGk$IS z>a8~<@N}Ycve_)V@1(64sgkb`UPz~QQPU!n7pu?yTlu`=9 zYgo;$SBF`mxOK95Yxe8(hB@qlK~D>+D_(!eV@(twN}Wyqq_j1*;PbHvgmso`@k zn}G@j0-c!D9o@YGQfVyb{At;mik9MI>5b~xNVR&@+c6QtlogYMm|3R-)tz1P}yD*C>6y;PApY-JowV| zpwQkr*b{_>LvXy=YxngaaR*v8cK?TMHAZy56X%`r)fZoA5%Z1M=}y*niyus`_m-(tp9BcC58JZM#Me)C9*R1; zQ%uvnqtfQC9$$5e4IE1B=YSWA-KZ9-8Aes)(t27zB}2=-wX`4E+2%a3SAdT{?anSaPE(@q3HPp>65c@8J>T4Dt@og*9kZ#O(kqn0D^voJ>?I;u9J){xx)3u-069s39v?*X zI?;GBhTYR92kAkR2xgNAAdq{7iX)PVUMKHg2^|3ae-L8Og~HGg@|x+{;dr&p`Wzd+ zZ$iZTP<2C`6C_AoqA)Ma!sd!2whhCzlG43+ zxL6U?8TA08vMSHR%P-&^2M9FN#@$Q(2`Z%c=o1LsoASB~(o1;9uJr)vTP<=i`f^4J^Ik~;0yfUw+5`iVbO7BCf?LFo`~&<#KlT( z%cYySIPVl^$rB8Cd$-HE>-qV9w0UrHl}PgrZ|_V4h2d>()JIu{>ZpLu)UwRXkWV~*6% z)3ld3y1@a_>GdK(=Zc=F+BpN%!9&M%%EQlw-E(F%A3tL@tykD)*v!vzMFZn?8|8jf zmgiLms&~o`yX8;wZrXwvew*bdH9d(6YX%gaB?;B*BJ1UT^InGrLx+pgxOTgJ0+VO- zGUdLXR0BS#3JlT<4bqdY;*hQ4VA%PC8X(llFIK=`-hW)M#JAn+l|EIXX zPjP~SJwk&$q^kyGs|FZ$#lBU~eucALGyV>K%_zYvzkP4%JnGR(mKKVQhw*{BLA`)l z5h;qdI;QkJe(ev=ikPslyp^G_bfuTyg5n%)z7@3fCY;{RS2npT&DF8SU?DVR@%a|A zG82N+3aI|`4Xct#8=I|z|!zod&B%(W4f1ALzPKG6{8>zQjq66tk`Ty*Jw)D zYDza(ialS7y))(qlB0Qxqq$&BnNCgFpWp%4j6cF1%_;sD_^;YAuMPZOnZIiP75=j_ z_pYlC>V6GRjhVK7L&{C>zhbPMmZ{GKa(g9@Gcxr<6Qf!{?(0BNbYhgCvkK0@K+)}_ zV&6Vxa+-8-sI`+E3mcHcrMj-@07{be>EBS1>%%XXe+T@czkYO}=&alD@$?V8oTGrD zqW}fhRuk7&S{_|i9$oCD&-h87{rX-7^u1~}eB5gIcn*rQgZFY9&wmHc|0tm4C_vD) zRmZiJlt-6|M;9aMvv1$4cfZ2tuNZ#^hg>tn>*1JNnKRt$Yc-Yy3-g%~p`J@NB&Qj0 z^PLawFDdHNFEsi-p2*dIcj5NT-b2aGFqDo=d@%%aU!kAG`aa@2BPa}9=S)Cu1y5e0 z?;|aMf?T?xH0ysL#U{Q`_%jJWQU5XN(OAM#L~Gn_lVP3{qy5E37CM1#hjv2}6b@vC zA0mji7BwaM@JddOU_*NaZ!vnD3T&p7?kAX>tjaIv*pg)kOL-G>9~Q*9^J1SxxnyKG zNJnzs&0gV`m#cxk%Z0#+NT>yx4JwQtJQ+j5HE$A(n@y3ZFk)3JLBh?0`76$*C{!4ss+HhBQStUygbU_a z^_x@}VLwqp#m#HFlJI{44_Woo{w?@Q7j<59Namc_i%i3t7&FPhhq`a;OAW@`1$&hn zt8yAaQOZi;dzd;yN&6A%FvQHsT>6g$8$D6 z6?6m>H>kBgrM17o9FD{sPERv^EJ*KFSwMPFZiHEGL}}4aZqYA3ks>;g5>p{~%ivOb z`D&p%1z6}_r)4Pd*75;&9Uga`=vzzZojQ8G7p2lO+OcG~4DG4dvd~vIKz8Y_nGXh^v!7jbRw7`~K2yC%p=!BiYF#S#{Se z3)ieCb@J?W^0=DWc$(So8HNHFhMGT^%)Km~dC5Bel65CN7NjHDo+DWa*DM3qEXq3h z2b$S;eucMQG5!t?y=LI4KkPkq+r{C=4rn|V+mmNRm`DU&Jz@)~zT2b?8*!DKNQ&Ad z&Z#VkKEa0V>|$F`J3~#@S{eMl#q$qrSD(ilYb^7ZC61{q!R?SEJqg#MR(7#n%{~x^ zjrVhhx?;~!yj9}2$AOnf)kPON^T_aLnmNMmtlAZv3&{`49HK3lc#ofHWa<<&X*&BS z)U;fZ{>ZSyHPcO1UrdUwt@9vsevx{v@?3 zn>3itlNEQ8_GDjla*)anKr(o;Ub@M$|4soA2{+mM`=Z=~RQu9Dg+wQy0#Ja~D=qcP zNrN6BNW0~68o%pTZP%|PJS&VmE9gnDAxW=&r8oklIGU+8TB$bX!tLk7?RP}0kJ7l0 z(k746)Lp+?xPE=YW6aKDjGI(KkW}I?HP%A4(fBL;n(=q=z-vYZ&%NH$1TrDe>0b_a zPYwP0NRih)cqllj=wxfC0Y!TsUU)*1>kd)m^%}aby-!wnqW$U|U6B_h=V8;TkM=(Q zt8>O&cf_2Bu&d%fg5j$^zk&--F#b9Cf71M)!OQym!2*;lO}q?ueUbA{#(g^e zRV5gZW$T&6&pSg-BDGhQgtkRtN96oEPXHD|_ke-m_d>uYYwrF9e{~3`l&fb>bJzdH zM7?r7v-_f4faNikoU5O)s~-(d3ky#RR+0{Wl8&DgQ=k-6OD3c>6EY`j1VLa|wr^w;qM6X^xCzU@VTHzcET?*zQiGl<2hkyF{0 z9C+s-hCF2qa>k9E63A0D^p3`V3WJjw{I4ks?K9EdWjDW|X1pBsn^XqToHuPWAu{!0=p@3MxqLu+?}P@@gIz+*T|&ymXXMw3uiG{{dMPA&iSbYot+G7qz>A4P z3W7&*HEAJFN=bvUdzd}8C>80urBEu7WfGT>Z7!xT&Z1y0mpzstU)m!*#3rFI9+6#U zd@W1J4Y8$Xo5yCC(I}V?$p4iBRLK5`0uCkrLkini!g!x|sdF#6qin!r;{NG}SK5x~ z+w+-O0&%kM*(4~&BE(9JY5OzeQzYcxv!!F2$BLEEL>dhM89*{H&BZ9jSR##}g680{ z>tfPv#3k^&CprVoT#RUp#m-1>^-D$;f1Iojn+Mdv=At_@etL(d3&X9``=gYoB5t1t z$(-C1v(pF$0oP7%^G!{(eHvVZ2@m`v8$YRMfl|*}GUMmudS~P$=j9}KXfi=MiWoSG zkaO)ccI~9$`Hq!jgOFtNM|kTMz3?69ebj-$BG%jfoVU>k0&CDS_$~#t zA7nUUL-;kP^iq36yq~tf$*fvm7zDY>+Dp)5EXMtV&HEI9S1(R?7~4Hw*9(v!xZP9 zbC#F7z(P^`E{8dzN<*ENlJ(;ERvapZt(2*AFtGi?-&^HVbR%=bK-*k8elK%PD>iS-X!sGM+v7ntp>PG(H*a5o8(dh1j~B{b__uf*FMIq;hByp)`LejNq5k>%;(CG7a;0 zU$A|_im_=1n~|URGaWw^)gBiOCP7#pc=I!R^}xUUUx6R2!N^a?vH$EU%UBK7bEw+v zO;EYvq3f3TM!1<@?>$+`oY%S+dYQHv)rT1oUo%l3dkQViS#Pkv^6je}xSc2$Op2$? zxt~Tz{fG&cYW($%3Vj+u&a1R;#eh5kbhWPYt%RF9C*@c)3}%_KHHrd$5x8Z7^cqyp zD(|NVc7FF2>?~l21f?MKzF;TvI32owL>GpCgp(5RDRw)-PR={qE_OdtHsa*zU940e z51S${iC_K=3ge4o(-p|Mz*t@`hm1GDkzX7pFk1bP3cHDTt8s>0`7I;XLu!$i5EWyH zr8c#Uv`7eJ1od;Z8@Cl_KcZ8Ba2Pe4UK{=o);9@1W1xX1l^79v_Z_`1!lOg7cg#Mp zC~FGbcfh$Ciod}?%Bagh6VRwBl^FzXrvn}f1yno3yN5?>$8r}Zc}+bwNFLm%9^Bsb ziLm;_CQYf?0>hbt%-Mp>?css#VY#C<ykauL=g`vS(Jc798G2c z#OY=6!4vMXbrJi;8h7u-z|}GGgo4=~@#HF%^z3&L*%r^you$7rK6;M+@$IAYgQ;F0 zTY6Rd-oW+;soh8UL{#OWlI3@ex$cq{d~Bm+=cnOjd(SZ~HLM#Rr@gg5vS1*L>}vL- zyCSc2)#&RHQgWy7h;H~ukg|1A{?mF33ZDYyj#)WH8!WnK9M^lS%0&}sq02kU9ch!$ zPx`WjEs@!N1;i4{{yhzRe)2cHA$xRnIwPo|cW8Yx)l;Myp@?nvA2dWc3J#r)~k zj^^okRO_BeA9g!zQg)+?-Mu*y=@oA1gX;7XyLunXu*9B6u)xoVhYrDMCrak| zzNBdL(@sY*?N1e|u5vpGJtQVV$HUAPg^R4NDlV=LekByOSi_ryjF~PwP^KsryiZP) z_QMl1PWl0T5{cLsF1&i|fI6lN>$6GAhicMdnDmF*EN~!s!GYQ%PSA`}2d==>_GMkN_E)!{Oh^ec#l5rhq@69x;SJ z4?kK%<#&_H`&{=>f+uyf^T8G)is4IJgO@G_T_-)m31a!VJ}uAVsP!Rr3O!CaW5=?A z4j3nr3;c^{YuPoODs60)hVE>#N}^osxUHitAVI0Jg{ zElmwyy-O;;G2ch;6JaquqoEPywihzKsftsrJ?}uzY~DyQWPw_jIpm~R$DLeGf}2Bo(M%+LT#3e^Ot)SYHd$YAIJ^uGEiw~9t-h&RVkY9vc4FmhIZDr} zWI5=iPhHB|d0RCuwV^6Du3lzq0B%?-1(QAOt_T>^d&*(E##R0lFQ|8dqc0T-J_AaA zEQ`ZZZPOmZ`=8OPz)m=7%Te~-S+w!Qi1Jim^u7IBdA*1THQN5&hV*4m;%{VOAM0jy zzy?oUG!z#sJAT8%oF7+F6gTfc_niJ9v(??|$DWHFQDhddSLj+O*rjFhVw&F52}z|8 zB|YQdm5J#d7x&yUI(+J`qepZyrInp7OvP@>HDoLmL(77Rlg#a;7yRz%rn5mG)YIq; z-5{SiVpILM*KcJFb)9~ZVdqXVGtwS0r%+nvEGAK?RPxw4+&jk)>f2K?uiV?UTq%Kx zO_oiNv_%!0o#hJ#(L1D-?OV5(@kCExKAT#6p*-3`0}n_e=IkvZVKqyW4JI-i4awHc z(c%6Usb4PtUYCG$kFX<}Vt6DM8B+u%V46Wh&hJkm5*(A9zcUQw&*F3*4II=PnJX!; zY&q+GJKCqKe8D(CKr=v4&j7aAm2-Kf0y?n_c8-`S>X@lzJ?GS)sbf!wYJh-ZfFMEK zGD6()HFK>Db8SC3`cDf0iRE{N;VXe*D}j<3?0>fO#{y6$dyV)V1ZrgTC##@F62I0~ z)C7m+o%MM2MoQFI@cFFiQ+f%TXSepCtV&#RMAX+Y6xFM&_Eu@cHv=g}7O_N*&fbRQ z_0`vD@#+cvQD1dv3^J*)d%4Ju#!Dh_dTa6?(5HJtw@5M~zQs88MZcuU>nR*o5kp?0 z0wMl;YVs;AAE()9x!BY&ZCHhn}?v&qV%Z;K!-q>V%R(L@@=!*VzG* z4nmpZ{mL!Rv|jthH$7zGfVnkT_REqna`=d3VL%d=R#Z%G3oWf=M&UR1uk;~(k{HoB5d4%B~aRL5IR zQ%u(hOxIOjUd$o89E*y~RDalOn(9ehB6rc=qAV=nTJf%pd%^TB?E_Zw;E4`Z?KYyS zT0Ps!chQmE(yhnF%CH`3G30o282uQZaky4r>CD*G*DzHEz6OSMiYz9ygC-3#^WeoT z^${2SBGn%SW9ND3(-Y&J!QGa1%W7;#Y5NZNN;@5366UmclbZRi$bTpn{`FIyD zjkISBDf8Vzg|44FU52=}PsCJ-4V-eTIQmlAEz05P`l$%pkZ;pe^9J|CSg4kA>xrbh zP;Q4OGFc_2%WC*b)hA_o%Bz%p9oJUZjfV-Ix?3kcnFwTe)o<6|zbEph&v0sbDQkI$2~M2a)`sH2eS+039Zp@!h47_+H~sm; zu{!vD5>1PfTgr1wPg9@Z&356FW9!nXG?1hcxQ=^u^!&6Ra~3><|N^JPGUlV zb5wz|I}3^-Z}i)wciikKr*=d3E@l1AouU0o{rte01k+~9kzz@bpz8~n!AUcnP;`67aG>rdwZRP z77g0&J{^QieLoG_8oZ;2f(+PG>pQ%DwepS+wt0)9tjAH(OBxOgq)cOw&HCzRU8TO= z9FJ=(=u^>hfo}H~&8OHNV*JmhRlqFQ%tE{FZmpG79vkHldWx z9IahtWxK6j@5ySLSqFWpm4~?08MbU`(z;^*FzRA=EGZ34x%K@e@y6vvlE>kFkHe)) z*7&ichqCugZ_sP4GrWV%oIEGW@^O@H>sC*id6z*2jc78=>w6|*J_q@92W2qkiD1?q z(%{qy!Q_r&+fwabAx`R{EhakiYk#KK{<_OJf7(N;T0jB^LAuThqD+#)EUf?cz0NfS zgTLH2S+)5Keb9g2d)F~Q3)(UE=LlsODEBqV_ca%MoxwMOYb#I-4wDKG`v1&b0c-?n zpMnD**Zb$9MPFwoQ1mQCBc5!v3>@xqAeLd!gl4W~cCXMbzP7vGzu2{y z^6`_U`>wgqBOfuAu}kI0t;j60T0j7Tk}VyQD%Y2>&Y1h2xmq@GaM~ukEPwd!p`^hn z6zf%IAqlHyl5G}CC<&8hg4jbzokHZ#bD80IqK!-8cx56FrFBMZ&)zWe)6Zmv;+aI) ztMuN-UN#XR7EPifQ=8qbM6BDSt5Vay{7oa@z2m87?^8{V-mXD7T_>vNd6MH~rS=Fz z2cJ@%^xT~3)>7iVkkK>_*+N}wQ%IDS!BQ;pYNd9jQsy*WoH|9EdV-mKq?x^4(WpYv z=sssEfo8Q)ZJ88(n?%(7;^Q`({u2eFq?$3h`uuFA4)q~$ZU%%HWV6J3KrsasG<$zM!>vV+y0FW{f^ds>j6d0r%WSka*fX&pL z-Hu|vPpeWV`PsZH3^^ye1L;p=!+85?jvu5iNWB)j5CA!ejwBHZj}*BdRAL=T%MoYq zWq(j{fCCX{qLLj+K6GFxo8S8?I<7dTi)rEaGbtI zrLMw5X~kB?!KP=#rla(w{+~Z$6_X6Dt<#jWqX;9o^qGmMmov4;YQbbbDHyQeYI#L# zZ&{bbBvZPpX&6L#^b&0)cb>;>f?dv`^!Y6GQ2M(CIHV4?$tnI8+3sF*$;xq;Pc}??0T<$AuI)m+im^LbVP5A(pHL*`jD=eiiB zy%a?Ajk=g*|J&60UUB0Pa|B;46pr)xP+?Dluok!9#d=R8cWR)N6;ZZZI-5flgj94E zqA^%PV|+E~JG13E)WbN4oofLLm0wq+v`<9d&R~XX%*S=E^Bar>8%%$jU?4ps%_2y>WoiceGm4ze1a z$>@sP+b&^xc--)|JDWkdeBEk=A6j}eY8Fqy0UReLkYE<()K+WAmIgjzwS;6pDi~x6 z5|bEY9&(L!2T?MsF_?_{bW;pwbJiz{#ObrtCw@xMH(b=KFaqbv(J#rZVKF8|TOn)9b2Bss{c*&z&^{waVmY?bOPV;jx6sr@BH0 zC37W-T50Vd=B#3r{CwFmDhTz#tKwp;oijgc{`BWLNV0Z50y()rW|6-y8Zn6w_Uw(j zFRK#xwFvt4m1ua5%-FMLsDTBxU{+;IL^>sBUJu8^kuh@ql*Jv+LKCLq_;h(Z=-$_p#-R@h<5@=5mkEq(_?a zjV0$n=cRP6t%dY3bv%~%v27S?mJ;DU7yMogf&EMMWBkY$@OyIvY-vJ%4I&uT=>}DA zRrP?h`tItMAbPIQB~4q~8=wQD&v>xPj^dJJ|IQcDMB7<3*SkYHm0S=-c&P+-TNux! zXZ@DJU6VK9$|H$-=Tw^A{I!M@=m~4?rqd}O6z|#&&}N&eQ;@;Ax{_t`u*dnCzXd4F zV!MFhk7u^o9q}f;@g~ff2LslxqZ1O7n0P$q3)*6uP*~rCR+GFI&yB|Z^kac_;a%f; z8HK58r-yl=w2d_@Aycd<)0`s1c(5(vv^5mCLk1E3Z!gS=?~y;H9l`@$_wVsVW0a7=zCR<$?YH3z6cv(B*ci&fu*X( zZ@moNdTCw9AXvxHa$JXXTt^sqvHcr=>MfLwVY%wsG@kN!_YHyXyyw*(XX74+h_*`HqD%yKdrKPo2l4uknCUj^7sLCM5#X>v-*;-L zz043H*`>#x+9Ra#`iv+pXoh$w{PfRb!99jLUf=QiP=R66sVUJeS(cH|68Z?L<%nZc zK#=}?LU@0N!RsO@hq4eAXKJ|qpR%I?y~iz|`nv*p`-#Q!V#7b?Y#PZgx`Z4Pce~zq z;nDGaXaJ9kUDB3Tf+b@q5`$k@2b;`b#5UI#3=5$X(Z@flD)o`=h7EEly`#rxo5!|& z{qYbc!C)C5_Cf*zlYM$CM%$l}52n4s8UYb0kq)JMZ#qPfMnJc8cS=cjDkaje z=?3X;kxu`Ko_Nl4&UfBty#F`8F(%i#*Nl73wODJ$T^pLMF!~|7+JI?EwFJd|OXST^ zVeUu<|BXV6nrI?vtH<M7A$&yq95hr`sCUj3v&i$6hBVV zp-stZddLGF?F?R%;_a&sE1l^8dE$P^wp~TmQ{Q4|SSVKFXM*!#Brb??>l1>W85yxW zqej=GGQupmez&ZI*foS8I-d%mjKkK>-*dpjtks`bO3)oyU@AZE&tSq5uTq&NGw#0( zGL(N&uugUEEK3O}C<1vOEhQpK3FLm~0je9c&#;uR2N}OP{m(^z;{>=TmE{>4tYipt zLFA{B$0NJ>1VlBz)(HEGxo=9ocE$FY8J$E-Tk zGmBEL{ryad4h$i_Qf-O89}hzx;b5-|K&x*e%D+IKz6+I%OT`bq1GU7FiYGp#fMb=V z-^}j5Jinl}kC)fu%OP2LD#O;WJoLlj~Y$ehq?;h}Zm34tvPVgdV>fUC*fSk?uXoIdIt#i23KC`x1N_P)_9-=9u=25s* z-iZr(ib8wY`?smFk0uBkx+)yR3+;Q-1e%B#KL}H=JGlvui|ar4w(m#kFLOUM>V{-~ zujPeW?k1i|dJDJhEg-?nxT4PDXdQ+RB_p>CBM#nQ6Tpq-%=ouiQ8fN}&GO@tBcaej zbqU2#%?u$2@fv)=^&$$Zq_&n1yw~dtkRSARC@*S`zO2Th*UYGgoDhtq~u+-Ux>%P&#tJ zKHDXE3pPsVXl5!TTj?4CyNjYX`>a zVL978p~iv-jRo7NRi8n^`?ikzD=}0LC(Ww!{VKEe*3$Wvra+_L?dX3M$IPm~{+|o} z!Sgp~dD}eMUn$`)wf^_(P5tibKYII{;eWUdPynt0?g88Yw@=j)!+~p!N<&q`X`Rmc zkz>xxWYTHf)X>hBazmBu#q{=XN(fW}l>Ei?gWuKvwFtD5yO_2H?Xx^=_`I60D*bK< z*j@;29C_>pzC2e&FOc<37oskIKwZB5w(m1m>QW4%??)H-lNC2TF#&&>)aB=;;BNq1 z(_ zV^f{Src)TH&U=92Z_)uH)!~nIKsCPKmj^w-d%S`Bctala3%%fXzd+Zlf3f&;yWhNZ zias`0%|EE?*tr*OuXd*)2Py9-6K&|&srJ$pDZeJ`krJ206@M-QrOjc)hZEyZ(&=!X z@$(GTs5~cM9>!EToGFS;)+0LaDzeFr)t#V-EmC{tc`>&_FqkD2p*K=chvGC+Fty3= zNM|x;hr3g^vN&MWqwATtrC{?*&8+h+{(Bi5Bu6GD%=thX4(7&k^9Yjw?~ zQ4l+l3C7Aj2{w{0>nL6@9&qE@2InU~&4&eaMigI&TLEqaj)0`BH> zjM{u7^(dM+5TOSHb+iCbUbdvtH>AA(>8fQ?%iTVX>dd5Rw(_qtse>ow4 zaA9}huaYFPpxQ8VV`0D6kAFBJH6Ty^05<#JR$I=UdDZ_7j^r%k7$T^zJLKAxzh)9k zGEWqE7{jkRhh(?Q#9ZpuBrtp?k@G>57t%F(Rh`zNGeW{)ONXc#>oP7@;t$%d_^B```Wyi+`*WJ%UV_= zT|drUq&N4KjA%VoG8gK1Szzv2Tt07QXt1kkbHUqyF=f8inML2qniPWeNbl&bPm%H) z9kM@=cbY@imoAG=VLaYM@unK1DO=b~N&eaAcXzS*y-m&GX7YW#SM#C{TN-x`C(5cM zSVxns93Pz1FgNuq8Q2&W3MVXTKR&$FDiogF)HZe8c~b%^NGiYF8i$Rg>AF@DA8Ho~ zPeLj_fNTWGutl%p^CJ=Jk(Gxdm_~cpb%&75<;xto?~kF8FI!Ir?2^w6rl0)c<$5?$ zs(sadgQzN}zPMtm6huk2OsR};gAs&LmmIw9d03)lzFnNAVKUZc&ok+MzC-j4sfekg z0M=@sRj=L^B%V0i2icZiLhi2;FOA6B=We~+HeVWv^ws5V=~cUe4>+K_H}gX>n9v##6@kcN8{QdRT!T>HtL47^%^=Bwl&$EIkd65b#vB; zEXCgBO!QfZy=jN^{)K4^u@ZL3{~iA3@FxT#7I_&}u!b$!pAox_m8gxCKUf10|Bk*! zgn>qcWNoZ~_z!rCX>8-3#&d^;g0}sXo=2t~)wg^SYa&9L?qr-KF-| zdwJ*bBE{n@!Si%s=4XOv{bj@G%=uu-S+50VMbwUeuNsyWaW{_qTd+&(R{6o&vKg(p zZ2Pv08bYc(_&w0J|E+6O;MzXRE7_Qp`cih73YBw zhvllrXBwObjz9rB^+4h+3yrVamu!)Ha@f><_6F|^vgoT%z0nVkKRxn6zx?43eK*#3 z!2Ma;pE!8i%u4;+7lA4a5kDX>dd44a$eZ!;6Z#hQCwg^lVG60vQtFE35xWdlQss@& zxmBn*b7B;-xTS2jk8AT{yxEl4{Q8XHuVsU()U6Gfqx@;BmV_5x6^|k+4K00!t%UC*J zLyW7r6{i$mPD|QRr#xW#UYEQMx7!ixq(eVRU0ZZ2{_qQ01l!{etsI-e>RpD8<+iZp z6H*=xa=EY6V$LYJ&M1dWkL4avQF4&ZVxfb>#Mt;rqi{lxLmi5+ZHuiuAA;-_<~98H9F8F4@g zIKm;M$#1`NwF7X`p{Q+GIwLllwAn3>RM$U4?k(mI0kaSmR8N;D#?q__xE?X!)$^1I z2?lTKhAieOFCm}8x5-@T9{5pCQ zwlC$v*N0HcI4z=e-e{VDedc3iu>_eFY|J%L0I7%vN256j{UnFc;dF;`=K!}h!s2Kx z<8tVIrRC;>>78rRoIb+sSt~$mS4l?Q(q)+;8q@HeSr0Z)r7EeDs4rXIeS?HLrMd_{W2<2ATi33)5|3#ayx``JG>ki zk{KB4kWpa-iBT?z?k^VnkrjCow?ibi!>fUz>GOfVlS%_a|5Aruj$AHYP|$3h$!vW! zBPSiCh>yYHAA{xN1)u&(@xNI7x!rHxLp~pm5ka)o`-C)J_&gP@o6dJC-l5((8kkfx z-qI4XN-w)5&`GhlnlYQcDHhyAvB=~a`u9ALQTnSC1mu^3-+dV=+}=O#1A#hO6s_8s zMUpJM*`jX5xtzLa^Q$Dv(3>&rd)&U!^gW6V&GY=Mq-D0(BA}7dv%ZBYIDpvZ`I*dd zZ?S?u!?^1hTLn_$YFLC3-8Xm{4y;!mD`D^vQ4!-pQ4?)luLBL;)=i|mMfIm^7>6Vg z)ksB@>WVkSmMYSJJH%?kz?iBMYHNSJ>@HD%S=}`ZnJyaPM3HnE*R zJiI+C@m21+G*yrSGy;6jmWGa+O>%doi$|;7y@}0dk=N+5JJwBq1a47ytp|3Fb8xib zw*EXhLxG_n$1n5w;1-YXJS=56i8x~~^9%wdG5%(xByYefqF3ZA+=~nU7rs;XZ&o}ty32(x(emp3=3C8DOY{0IH ziKA(H@SScm7zUDQ#DdhN(u*+~omt{*33@Si%Mpt}1Zk}{R(5wCbFii+_wMA80RN8t z7>ie3yMLy!?oGI{_j&z_MzxMXfdr#pT|;&Jx_m!FvyAz>>4=Qdh=@-4@L!1`Dk)Lb zFP|K!>rn2y%a?zjy9m+Wnn( z4#Z}xwkK#t9Jb=m=W#bq>_*JAI7|T`J6-4(Ir$5#*o}k_({V{y*Wvp;Gm3})%h#aC z2iz&KV&OspGS;D*w4sS_1&W|3J8duB%iaS9yw z&+ZA4Qs{S2PNa9^HQT;2B}FTNejR&F$FCAh=uu5So5ps1w8#cy^@2w)lbwKW!*fjH zmWRjarpS?VN8Ci0fQoAzv?3-kr*84t7CqdY-Tvd@%bp?Km;dFk{JW-riYymPIfjeo zn%ck;|AKQ|L@`}S;HYems%}q5SC{U`V+Px93Lrk5KsicA&yaZV(5~H5dxt;h=WPWt zE^%fegQjAMXX_kb>Fpp;7L0EGh&}185(e$uV=if`4%9tT47yxX4=5;Z%sc_2MB8Ps zmSa|X3ULiKdjgX}nJvZ>lS_j@$#4=;fC)(I3S#bABkBa^U=p8w*7&LhvWF+-8#_?- zO_d!CeFqCBsz}Q#^Bw}Q7_3hP@=%Duz+}(n0-dl7Fp^c1dO8pu6M=p+r$SlSX6A&{ zleE4Gsi;s*@yjCX+2+H$@`}^NgGPx;(jvx)3)2y+pt+mLc12sg)ded1_ufvvUf&FC z7w1TAvCJUedhUfz$HOi?al>noDcIK_)U=MtOg1p1hi?p6HE~-L9g+b9F4Jq%_B7ct zp)xGK&eNZgNSa^w6x(q!yG3O^o85V(8Kv^T6>Ggk*@(QQGW1*BbROs1>sJfhsz$!C zVz5h~w`K&2W&Zm=+?|u}7(4>&QEW8sP1CuIqMn&wlTe0%k2{L*YP!bd@ml?7sO9F|V>ZwEt~G z4+m3#tTJ+zg3LT@T-vjHRD!E9>GV;>_>q*wpE(nhu zZj!WOy1c;rZQPF*bh%JL#WAPJVg(E7-jY2NZbB9nLK(i7uW= zyXwRnTJTE>MdkHyy4j_S!r3Y3bN6TAIA{FyL3ZR^kh2P_Sv>`P9X(n7VFmi)2QnPm z@;5fZQAd{Oz=^&IqgNEYS5y+JdNiuKBkl_g+!x~@_b(Rwjfu`5If9d}1ikn#pXt0D z1TgYtabLtl{P6@_7Mv%dj?iP|cgg7Mg#YOTG>iLt@BYmASHHgzq1cSwXL`0n4SlBX zK5yRtjQP#^MpbyNu5iA|oQ2GrnZuGVGcq>Vwee1>j)@#dU;-M{r7;nRHg!4Dt zFphjwn^YfoU74|C#3l#?%-1o?vtLL zPrj@xN?h;q;Zz&aH_2KEo~+nSEs;PGgmF{K7SHutIq1OvAy*oRaSbMJPGUmrlMjR< zC_r{50ioampZofG*CC;I_?KEON&^8K3 zxA0c|bGxJYw+=_Hfd*3*Q2s8zkj=z40k&52=Xx~ahP@+<`2mXyi5_2^;XtCF{>DHD z?0Nu<(V$^p?RxUNz-Qd_Sz0lIXk^OX|Mf9kcLzdx{ZWlILz(q7)Q zeeAM*>_TD<|Lg+D#r@ZUb9b?Z^NJ68XR4sKBEuhR`{V7i;O?`?_Z3O^74eHT(17C z)V^sJG!3Kcv(UU3E0!HTLIf-Bjn9Z}Otvx)Bi{V^u^#GEx0rDgyjCP8Xlw&x96r;7 zOi&cLzCeRRYWvmKD+JpNjA(n9Fd?8R+n?qTXI?=S=Vc@+6=)3Y#z1T)>bJl2rWOJj zfa<|OumZ~7o4V^a1u7-sK{Vt!LK))v-Ml#sB=<0S1+e#4B2?blXse5;pi+7|P)d$@ zUQC#I^|krbM>8Ft?eF<}?MCec721?JKJRitSKa2?jP`$CbMOqPu2mcA-tdhR)cbzJ zWu7Mdakitf&{a(BF!ht2FWU}IBqQ9<+Epx(odpAv+%8DxtxBxAYxl-N;L_(G5X9XK{T-rPHS18k_&HqKv5b_n`rmAB# zO^fS11Qxov(j-8lB>WUic8W*697Cvqp$13j2kPBSG{m?$A>(KT#D~|@{e_EmX6|LL zI9w2J3Y2N(@(Z(9uB=`BTxmdIhKb*=U2gEX8i1b5*we`eJpmR2r^AZ${a{Yz_S*9T zyE+JvDLl@6a&-}wevYB$vd=ib_C+hg-)NPR`UR9-Rl!^)qVMR|lykH}U_?ZItbG3A z=f2UN=C;@*TGK&1Wt)*I2Qlt*a&f0;m|ch=Ccmy%d>`)EYZ=FX{!r-Hde;4Pd7?h1Xh$6xu1naGPNTc)aJ`8 z9Ea{nW0IoD6wW6K@%Or*)1!_S`EVIS-^eK=TjRXq2!RE=VrYS44v%4I_I=gLAR>H! z7S$WUL{GiyfpjWom5g&!n1KZtym91oS(-W^&TnUgV)^XrH8wLsncCHhElHtF+v>>? zuqVj@%)4D}&`&Z{M_{2e^z;5IG=c}PB#afEGXvCIh!)r(B8+*eS6Fd@>>Yw z=FVR#>-3!o$1u@T)2gm$Jz8kDL@hWvHo|;Q^tRHBEKV#ou{DhNqb<|cV@PJ=7q_r4 zZmCqFoX=FmO25>Oc56_^=ieRHu*l8lv7me5PlR8VMi^)C^zH!kk-R3hrzq|-cEOG` znhqKg0$}9LT`&Eija$XPcDF@C*gu~_O+Ag$<#W`-sZ;V~Yis+H^YE;*Hcxxk&z|R> zvT9fl&CPjKf7oZ4s5SQLG@m9PMFbyJR%WQ>Qa-YDs@dOP+Ikw$MPa~fXk@XouTdh= zbci;)vCTZhs$a=>ZAQ2y{w7%3mvgd=u!4oDs*E>S^7GsVwi+d{>(HU*ai<_u9!>I~ z$E=T~COF8TA)Ry*KopnmG(D)2c0LR0}vbMH)9o-!}r z&y3CcO|64U%Ko1OLB{Xu|624B-<}5)f%ZuS1;b7&YQLFf{>t8X9c@^sw#Q;>H`h*Om8y&nOBNEk`0=g}=Jv z(?(Sup;hW=%NIs7Rs2|@{k%HE7r=yt@8a{luqqplx}cNM4fr(TftY8(ZMFJ&2hmDb zivb;i?3Vi$12dORy?Ew1I!ADkkBT1!#s)5SU4ACXpS~x4T6Zd=4t|aa-ta@F4D2lr zrZM&zb~)cdNEzdGk6yV(*vgl4CaffHxBM)iUA~vXAHo~vHjUVwB5T3P4LA!s;oHMc_qIK=D^fI#)hA}(brzeMmp_uxp)rg^|nnG zhv6y|_47XEBrSB6U6@H435EE%6VprBxQ)z;iMoYR6{vOl)oaHDqouZ$&KMoH ziIPmF8)9KKR*h0M^}yg0Ec?||q%82EJnQz1_`x5A2)a*s!E<^|8Q62O1dBWh0LMN_ zN?@TEv`%~B5g>zyNF7R7q8XbYyE!rp8A+Rl^ZOl$5I^l!WB_-U4y|$qE~9g+NJWN_ z9^g|6EpNZ_rMx3ej7UdeBSD;cPm>~CZe1DreNbxxPnslunD~JYpJkX@8LX55b~DGJ zi9%M6H{+$-PxqwPQSG6tuXj2>%i8?Yhw}M0MMR{*UhBG6)lixNM^*6-qVb^w8{nwo z%6eeB@9fgtPmQR%`~4D_w9c-#LMqm4PpB%SP&BzW1G)E;+JPx)GH01N=F{#|ELNUz zGb;w{;tCnWlkSDruM%CGVFX#+`vLYz#I=01Z{2niK9BV|=NjQZ>g0F_%UTtZ}21Q!7eYw4T^m5Ejs08dRG~Y9bedZ zR;Yg0_k;M&71hhQzCt(FPUNhOP;MOLu+$FVWVMer%G8x1f)+Y|=d&vV5QRA}Vm z0w7+pyE4%4m8KPAn++UK-#ga6YX)zB3Hhq|oGhA>w&tQ!4yDpH#90a4q zV2WfKWM>W9(=ANZ=o&?nW6-T!v^a*&3ceABAuKR2!46eTzm>Cz5FY8JNm@U_XL;=6nON__d)e!GTH{(XO6gHQWnpz;3is)q5b0lhqzsjcCb2ra52jOh zU&foGACUW^GMuit>pa!yODCkfhurzwZIIw%?tJ`qOG*R3SDhnVkzd8d!1g&G(GGD9 zi6V$2$LgaOeWV!C-=7ieUqI4s6yvaYsGh^1l^I{AmfNA8vuqh`j8dpzHDq3@ zlqW!15pOwU-XmGS@SB3AtK0LM^NeQ?CkAQC@tQlp)74{wG^ap@NxJ%LkO8QqAWfbB zTEqidMFeSfgSy6lV)&nnYAO?kq%3;GqA8LEenxUie{`IpvVGS|yDOehA0ao>(D+fI z=pp0KX#S~ZZNce`#|n0vHf@b|)_9J`SviiNs0%;H^>925hOvu zk`AvDHz>q*dZbAY?d~XjS*0TW^fU7w89CS(_2%4(E;nGhd6)>yrp+UX4-@_6vBlY* zNZo$P^D$3}|842CK<=0V6FTu}md3mgGaREi^+C!P^^-mU zKj7jfW|%D#sd*0ouvIRzOlkOZ8!G-BxR8o$l zDt1*4y3*ZkbF(RRv+1-r?YI!Sjk;enesrR8=zj04Ug!@P;64-NK0|(14+wx-XO{&O zB|CgVZ1wLYH_y6*4~`iOXKWnHzyCVqYXZ|aR%7e1@si3becv2|B6GpQPQlCcggIQ({h6P_WNO^;d}p0t^29ya|fmT$`D8 zHG0rt#Ek^x?@Oq;=wOu8r~ZozpRkq-bofQOEXQ1$JZOSQ5z0gaaA5qdnmFEOL|e~u zOUVwFeZ3q#4$CTd$_g0Xph#Z7a*05+ef{NhgVlNEBo!N`58BXOSx8Iy5}V(kT|_G8 zf$gjmx|T5_J*W(|9DT0GX9!p1jXiF0rfURDDJYwmaZBm%?w0{*xr0%mHgz<_OJ#)|K z?rTz^#E>#|MXb|WA?#({>B2Qd0#BvK=96XDX$^Lcw3S6~NSRUytk@Yl2B+@va|!VZ zh0vC@vD|*8O5R>F{t3JePi;KMH;>=Aopm{@bdlKCUEG{GK9JROIlEm*G5Fqq8P+B> zPZ;O^hTX!wU~sQ;6mNI@`>0LYC1p?6h2;S~UsJNs9)_gJPs0N{KJlRNhG!6|_YZ9h z;cwSksi}Uci&k%<{kYL|s&%t{WD5bUzH8Vtt~S__P28gB_}scy>6!`9b^h@s75%LuQz~rGG9%{ z?%;k8Z{j1AtPy3_+vVBFa_gq5huQuCvGt%tdQkp)7$AP5U_A_95Bk^fk8S@e9l_1X z9`h9^{%p~SEH1T3!=sAnrJr#@+fLcY!t;UyQMXRb5W@ngwm)FWNIFPH1ycVbzSvO8 zKUn=YWEydyvk>;LplHzy3I2b9jB-dkT&Cfpov<>2?}gSv?CaxXL*_Fs(PaX_#5cLS z(QdORag~elGqm9|vdExKa+fD^=MH=UK`XPB4|+-mM=06PfBCbJst9<@OE` zzwxEpJG%PEe;xnW_P^3M%nZlRKlqh;^1#r2g-d?&E9^ho6itSTupFjv%rpbL5J;SG zLBHjr8+9L@TObd~;?t2-5s#ITR4n3bTt1My2T8=n0n)dT(wN+x5Y3Dd1GKs~=B2FSRiTstg1v*z3nbJYhefuunZTU_h1~gtFwu5fDH;Yn|2v>q=fbR*B;RI!R zkpz*)SrU3vd3?AqrAl~m$oOU=HW;_gGCIy|1Sz3EMY7bUJ-bd~yL&of&Ja4TA~0xp z_#P)P-F6Xyl?_^e47~FLKtqQzl0&YO7wmE7cPzc9a}_~ksFS0*MME7mTCI~pyzHoN zaAJ}XH+_kDhOb9;*vyRU9R4U6_0&MRnBJcSn^f7pS0k?0sS3gir<0On;bU%*;=-wo^vm2h{A z4dEjnD3*zf_@MAwUTOt_aj5P&uwic^JMt zZwACKoL@TjivekYQFoqEH#o(~FU3i~W1imQ|5)(H*8daj=t=Jybf!|sH>{MLyNZ4>0eK5+wSE1!xF-S0Jf zfZgQ0D|hpZ6V1okD->*K@g*6VG%?S>ufO@a9uX&z02}elN;p6e;#_>1lwLD5njqC<%*nl z`@*wr{N~xXmTUk1rPWXb^1Q|$b;Kw^v(fz#_-_tyxS%16rG!Yovy^cKvWV((@|XRn zWNl*U$Ui?5y`21cM7f~Xa4)doa&M-7PGQB=L+4=X5vIj(gC+&vAZsa%i8C@+>b{;$ zNYRY)Csu{^k!Gs3Kxi3tRO{4}u{49h)_ThZAT8R-f(_D?ah9D{n=MAIFQ@?*&*d)jN3+4g+2pD9M5LmHr+dK(AI9&MV<_(ox7O}ni9i^<>Y+8610_~N`&e&1&MqJelpM%@*sCL_iD@I+zR)8ft{hr|W!-$WB zk311r0nTRl2OwZe-3qw{c1P$73ko+LNP_x#qIfnVj4A5W;fGjramz{O3Smmo&&i#@ zh(x|Yu;p2=o2dBENg}s+wc0eQJkpjNv1lNKxPpr3>KVd<$Y-v_1r6G<6m`^4p+-ZHU0E2cs8S-YPC^CjSB77 z>aaDO8I5p&=ry?Aq|(OH$YEH6`}d>cqK(&$n6RYm}qQ zFH!G~&25|^{g>Ra!O1bpGX9`m9!h!JX0%KFcApLCWHAmRH03p>WnF5AvF_+QS4F&DxnE^HZSoD&W~eI(1p+7@iw4h#PvuCG+@p zgmN5SkD#?1fiPr6l~r;zCMOFR5?`)ECt1a@7^HmQ=PB$rpMsYv+2&e#yQQ?%47LmK zqTX`GaM9<@n6}cBOaaoKws*vpz6)ItS5FuQ?$8bJYetk@F?<(|$)zW`m5Td{$0?ni0aH}hO5ialuI64sqy>_h}An?eh5rRZ6ShDw-HSS3< zaM@mLDy2TS^o&^p0;7s$+Md_2s-eR;yZS5p{2eewT)`21gbE0bHZ2&u4Oc2Dqkck8 zLVm7+A3!=O+EzUKd7S4orF7~tH91@8OsQWDl#v%Po(DTs86s(4M0L*A3?F)4D7RAS zjymUdR3G#DVf}fEOK1bPD17kvIpdDuINR_% z`Dj7$PdZ)UZyRdvj@2z>x>fI03@E>8l* z63JxGKDYTfryyE|wtVkwi?3(A!P4t!=KD=xA*{Qgt`bedYl+~uR;o*W9n{Virj__} zc|6BpJd|W+<7HA3NwoVz$8N3u6&+4s#xKkR(RxT=4ctu9qd-)nYa2kIc;yxP!oa4k z8w}#jBKprtfFZxRTl%!Hutl8#)4Nkc#KqU5A&a$*{DlP7k{11ud>h6KNuVE3sc`Vj zNdB}aokW;4o@m0mvcl$6PbS?eSUZymO#m{>ycLeCL*}kF!%I5F1+XBBZ9y0m84!{p z8Ymi0LCmQuL18D~rgF&6IKN|#p57Vm#Vg8T-Q$_N?jkfMTQG!zQoenRpfp>!&(ozn zvG}9ns6a|OSbEE+1c8NHe#HAMDR-5hS-jsf%Ys@fA0plzYC5=ch;WIY(V$x+nm+WGYA`}ffLg^ zX9`qT8!(cy2ms5F)#sNvO%F%6o^3c@);kD!T1`Dj(X1-VpB&fti`Wiok~71ndP=R~ zzY(+qsmvPw4+QM~6GtYsq5>bdgf8QPQUap!vwOLWvGgLaU*n=v_x#cTCQMC&QAHFm z+aT?MTt0RMtSg*e=qvXH@K7G^`uv>@8S57l*rruzpCNUza zl!hX%rs>sE`~8z)ju|ZRf;8YmPJQJ=&U=_l+>@B@r&smp$0%#)G4pM@fScjuSEx*O zJHz*1vG0b)%)yUiJ9j6~o3;GF#7mgC;4Z95GH&IWj6ZP6s&zCE84r!W^t^s|s5rz( zj^Miq7Aq&uuZ98z@$W0 z;J1Ah9BK1k3dM)|?f}DR@B0 z@w8%R_8@0RKWazcq25QW-lzNI4lhV6LSu_UV~IQZ5j*;{y)O$V97gd(-l}g68}hSk zX}em;!gweaqpOz-xPg!2=)9zb-C2D_wYwFYe2Qj3LVioP#$UuzP!oKRY}TcOe7_O2 z1gVUW?;i-*{YQ=+>Adafg&xWjQDbcyNu@3SZc)e+o&IUp{3FQmPa$o2^YB>+{bnIqhPs6wdJI+DjWAG7^}`^teJKmE=~ze}Z& znL}~8Iy-qD)|EuK?Z#%?jc*gQ7U4v}cg8cDd(e$$!e*ZdXdZ_Jsp^^1b~n_wNPgD) zSTrU*2jv~8@obg+>}qM1(cN=YQ9mR(vH3#OhK6?lcx?k1OPi1e0{3B%JtqAtd&RZ; zcf_v|Ne}_HZNdr9h*r+KK>;vOd~Qw)9I#s@q9$T(zdZX@{wR&HYn^#@hKY=6>oZjQ2#^33qIohj*+3arB|gO9|6x=S#$ z@C@xy+m_M)gx!YX0W9nC7hDjl;F+1-17142;X5-`=AK(4Z$)cGD$H+bRQQzrMGOHo zg_L`8$&H8a{KiuATc9y~_zoa`BVhNRIHGJ(P~bT9sU%f-hw*~dn8lNj=l+Yw1sQTp z!F)0k+?&UK87xhT>-X)7G{C+DKaLSmm(~7|bLd8EN8DB5f_~E<Y?U5VMW99IFi8GTduYoP3`DUzZ@8~^pZRh0c`y%(b$*lVCV)fq}Prlwd`6{(? zn{w$kWfHqvB)i+I`tM5h-*FngZ^)8=VIwdsfY*4~~YV&Rig3WSz4 z48Krm)xck175s$)ts1xstbfEXyGjU=*6|w`8zK^pV`2l|=uIWa>a@g}o8+4hyDtls zD?&dlOb(&zC9h4pw6QsQi#}N!ZiYI5t%&5Oxikr~;I3L5A_Sn{9Ok z7;f%+^rohe+`dP0JI~nRyfA!N4@%(S>Yl6b5a~UvgsCpY{DM5`H+BE6aOa?x>Ya3L zT47)ntj01)|3s2`SqXFJf-f@Kgg0Y8vE+qz^tcLzpt2I2O6tZ}!Y9S__r>KPu5vdE zfSE_z4X_ptn@#q8s;c` zemK&74BCzA{rbxQ>6@oE#(>JV8l>>MiA*1~|DHILOx zFtvxqQ&!v-VM4f*DV%%X^*5k%04HJr7yze~o!w9S2H|LI48pjaMe|O=o-2ywGws$k!j?C{AQ%M ztrX+<0uivh*`K5Sc6af3=lCq_!_RFx%5XYLQ`K0Y!#Jk#q8NN;fYy)^(i8Z56zs#>BwTXB{_Rwm7pql3q-HN+_;KfRo4<>5m;;E^}rwFr2|%_Dbca@ z9OKH^gKz0|(b1!?+1T_ZQ!lj6hX#IxXD-ppcF9IZ$CQ=V8k;%ycfZ_=60Y%s6D@7Z zAR4H(f25sB`37Z5of#3Kw#aKep{hPi)2A$9ls-yRq{I&OP5OEES;|~AZ2Ju@?>^T~ zpy3vd5L=54guvZO;d}3Gm(6>J2bQf)=ym=UcDGmP6N;ubnEk{SwZ&}dJe;yrvV@XO zUr(*=S2SfbWcJn~UK_^gHOci@pttpnut?q7h4|#X`z%ivN`mK?{A972eoZ>vU`5Rs z#N$-UXmUa%-Fp|zP2%Vh9J5X(fO|ME$xn0kFlv6Oxp?$d93AJ-qsSKYR%;C?Q02ei z2bO4*9Pu+sefn%DdJltRJy+tZ9q!DI@prvz*>giAnY@vgBX&%dSSf-C^}_|bOvb=% zc5+l(W#*~fWGmE@116Vavab%iIU<$wFnjui6{^&S?J0KFGBwp~3wKUh%+(r+8>L(& z=1-U^q`dR*8}bh{z}2=er7Q$&W-1ar3+nrAMzf;#7`mJzmTEg*PAC4tc7ucfMWLfl z)@f_iM`b-bX*~9+j4;!~-T6ebF7gL43reS7#^~vjJ*)_-66**zJZTNiCgwXz z7)`eR3l(}ueSbd9kG`q+wX5KZ$U;RATlk>}A`m{h9i(euSWLzZ7kHGTHru43Nuupn zfjQoeJDki?vRpcnm|57UT;*G4$@_wLv@D7K7$5HJvc|dsH%`{ubR&`PkCk%WkE2GU z``CLC2Xnb!`pyNOtd-2&mUSp>Df1YeKdE?})Uc$$maInDdp`gk)lw$-3kT)}wrV@? z#qC9ee);OxLW(8S+t?)+Ms^h4DNlk%XyRbGexKLjA87vTl!w=WAmOvWoDTWF;=~j^ z_zwnRY79NHebq3Se5sj=JdJCo8LIqNwZr4jitE#o6Fq|!xHE|{qO4SJk#jsTh1w9M zz(ybu?N1{s5tf<8{~H~FP1&kkFBinRpRIW97W%WP#|iI!g;lC%lRBmK*9kRWfrn_7 zOauJoYY<^?@U%>Y?g0gy(hl~6{^sv5{E1obwP-x}&{BcKhp%}}huq9-$5q$IAoc9x zdZACOt>6$nc%y4=J++KGMakKtpbVLEcAcWTpV5aX7y@)8fgJA5Z)TsJ1PeJIDj!!& zoNT==yhxJOye{QQFvxg2kZ4T(W^gbuTBRC&S2B@I()mY&K0^sTZ{q#>aJ|Qndp|xn zx>jhdfw!{QBhNb1hp=i`+ku6A-$QFwt!luF;f{bN4@9#W2aFARi2*hNZyhi-j+_4; z_fA~^8m|YRsWRZO$6Or45@&8>WSz$&+{Fk0Y2g>~?|A&S1td`6_-(dalc{b}7-!%z zfm1N@-1$J<=l^5uEx@Acy1!u&r9`9|5RmSeA(W7mZs|~9=n^CZq`N~xK)PGH1gSxW zlx`3tq!EzrZ*%+J|L=L;_r0#~yRIKIn=@O4~ZA(inUIM<<)x`?e@JwGuJ zxQ1M!(h~1uD(qoPf5P@pq!&bqXR}{hVq3pYe0X%u_axZSeD(l5Cp+HE9?0=O`An0p zU@z>$R7?D9&Dc%XBSChp;}unRJ@KnGT7#doBC^hY9y)ggr_cCS4(z8KF{HYoLlQ`3 z4DM7tr|{=62z4?+|64Eq2kRSG+=*UwJYL5{-zVK;O0>>;RZpIHQJVGsn)h)gt~@)L z?H8KA6H8rLm&3cq0xB)kQhfFcMgT{VG~>RKE#Om{6^U-^n$~LI--ZfJJkk_cXyu9tZ_W2+TBL6aYW6>DY5t*x8bT_(b$@mqIHtdxJ!>_b6|B~?dNV|K z8}n)PLD%FMrIifSU?q3Zo^=ycM;ZYu&b=d3l3cnY^MsEP8&&b=B^P#$oNB*eK%t}= zbY{riPGgAvXZgDkZ?jhnNxa~0#1XBC09bs{EZWm{?V z&Nqk#8O1@Z=k>xA4LmLPsA{|2Cbp8>H)>jrc6WQf`dV1M*#xCvUem&Ab7#ITtk2&Q z?nANRG7eU=I-xNTM62{tPP4|lLV~)qpYMCAx=%B*S82wouD%hB9%GPouZ0Ld$P;&u z9FrFmNISPa;heKW<6gfISQ=ngl2Vm^1nw7pg{|p)L#7UL;|O*c9f3-OZr^LhF1YvN ztqq?_!TK+Ze&fw_ew`NEc?XB3CY%p8G0JQ3Q%isk!2zGv0M6UBl;w^UCr*}MV zGQPXn6lq5`5ObOr*#m;YWGx19N0T|e`{h!HUd&=I^?O0C(;up+Tc4cm_=Zlqc1Q!y$ z<`Zkvn-j-IX}IJ4j%+r*wk&;O4xbZxBV|=pjWxcn)Be#aHiy*VEyshe#YHYB_XE4?ZxG&|JKkyO>6eEF-XmK$pS^!< zU5ku}+&GmuYNNNFv$WzOgSM|ue(Vf=M*s7HJiXt1eJgWqpRRywXqL`F#60cCox#B> zJ*zZqP!;xtRlmXEBX$tlU@dqGieAIfcRzH;+!_3UQFAZ-qv??K-Uu7&L!T~l;NlFE zxHbK1bI68hs-wA+*T-AvH1`}X#}iLW><(-@< zub|GuyWbHMFGYwAauicHo@Vdirat>2MX0Z2vQ8h9l}KRfCL6!;OQKXCztT-;ANm1Q zanUTjqi1q#FLhji7g%1pRuoln`D(DEb2@oJFDCI)HwNs6w3AjPW#txAA?4jp+k2L+ zb=hm)*BgH66GUuhIwPYVVoyoSJ$hNEF4?eC2(`z`J+CgRO$xpQEY{%y4gu?`gUcG6 z6bUvR$fSqH`-%g9?55a{=)^?BfMJ{12`WH)wv`9X19G}fGec`0lT6ded3 zy1aX{unXT~nxy&n2RKr-0COkcfM%(LwE{w$<}oNYhQ1%e#p zxFetbJM{EVt5wQ7f##*9lM2#-7v9&i-e+1OI@%8|)rz@QTS^9G z&WzGxZmtb(_KL1VR4sF5@?vN{N`^*D1U=jqB>IT@)XP=Tzw$Gq0~q^7DzV zwokFHYs&Qd1H1J5*ITVu9g{YhZ2x{>=&rT_og1hhJx~N0s7OV4r z*N;`vOIy{iYdb!jNS$QUW<{sDg1Ge4ce{6K-_RADkRQy$7*u~5=`ondXCm?16WYRv zf|}7-H6blRW##g*z5`}m0l7yYYSxf3=u#5=H4jxqn& zhQUSBsJ8DM;3yEWF~`=$cJ&T{tzVVlzre6SDf!L>h|1&4iY`jb1R5KI?NwsZlqa(W zV~!F?>5I)Pyy+)5)eNLBnB5m}q$Sh8V;-USQaW0BA%5=kY(m^2Dc3A7z4BG9&m8k6 z=5zI1C$!|CYnk%U1)&N0B*wbFlh`$_se$!$fA;oNMb8>?8_ZR5CrmRVEUb?CSr)5l z^_P80M788j_NEC&w`6&qJhYn7xGBjVr}3U6&MQBDbUpC*`hT9!LJRTxDX8_J^hu6G z^hu$NOxtj_NM7)cabvH$K7I05>=3AsLp2sey*Af8-RjajJ@_aG-bS@giN4l`rO31( zR`==0u_`rL_7t$aC^tcoo>zBq_SKd1z}`m28z8j#*|dyWYN{+#vKf5zRmEm4&_dCR z6zbBdcwfokGIhbLysfAF7kw*5C&0U zYqqE`HfO&ZY>$fn4+-$Hx|g7Ummpay1;sy8fq8!YUna@5N>)QJp2xCOga53jjpsx+ z(G;P6jb9aBB*I&@tQWY0*v>@>%p#n41IO0O#exRxY;t_E?!gTr%Ph_b2z}bcq;f=t zpu8m~jcIsGQQZfp%JvY+hS+&+T};?|bm7z>*M>H}CbFy^srxJ+JDYuKEy4;By^Cx{FWHXT1U9VuEYIa(|>sw@twY>=-ZQOcJx z;~!CKZax}C@9QVbGcW=;=or_DLHM+C>cUC$3D0 zMg$Pa3ZRo&28xC6Uvw@y(EN@U?n)bRpJQ(QmKM9H)C2IgVC8LrNrRe0gBsTyGyfbj z>F;>*-|^TkayTw>L4x$bg7h6>u^nmT%(tU7FuGNITaf$TDnLH$ukgPjf9Ea@z|@Wz z|AH{=%rb`s4QBI3RdiP2e@^geYfMRfN0J*m{t@Bxs6qFRu;E%JLZx?+8Pm1bwXx$p z{@e6nwP2B*>Du|3MI%fWOfz#c2#)#oI&t8!(+-g3d*>L(LFfPJwaI} z!Cic+x1%mFx>a0+>FocP3XmuIEBvp>-?^tzdm9tjA{8F0dh(U0JhKjbv84t{MO{%Q zj7Zx0={|ina5y56^j#n+?Hx4bT?OBkA#BUgexluIqJ62BHU?rg zB{ppZHtkgH3enujlX%%Mm^ONmB$5(Zl@WoyAfN8Fn)n}Ieq0B=1fUv66TqCJ)QqCE z2Im-~0I_jcYFsF1K;9LLQln=Do1`@#`3o)=7X8SV5as)y+%g8OW)!<0YaI> z0SMHH|AI)3m@%J?A)if2LC47yBpupBV{3?@-;+LV+Bc!cQ4{Hc&zA%rTDyjJsCU4N z0T!X-0B@5v-zHh{DZJxT;3dx&AkQbmQKP_7dl+1V6I>LOrXJh@4iYfx0Ha&QMW}}T zf2ja@qQAobiu|2>y02_Cw-qsY*QfOLr0!9f_2+ZCG?Q$~m@j~d43#$FLLx`s9FUnn3T}iJtZ~;#qm@<=bmt1It z8`O`S%R#<+=6y_tY9EzUZ~Bixd^e#O7WQ019TJ*@=0IllE7AstaH6*>Dh!Im0^ zx;cqB*8VR)*=}Nu-u@a~`}~y?^q62(9TciKn+LFGnnjQ!Pp~6T2Y<3-ShHSg)9)$HK-v+g$NRC9wn*z|UDnT*Yf}LBXTAft?yS1*n0<~J@J{%OIpeh{^qs`BiJ zouoDxoKRzqNW&Yynl?yjeC|tN9!UqoZhKsSvL8RHsBElJj`b&YgDW=%OZRq~iLTu& z1MSQL?FO(G4zUbynh?r1<$HrTDMVgI?t$JkW`P+~zb6TNiM&e39vW3q=JAH@V(7)_ z`MVVaY?TN4J`2_QxfA$o>xGGuC;V^SIeY;CwGhsHZN zCne9ot*nBJiYW3|x1qe%9b>5!`DS1<81>_*IfBtN+{)}f1SFD8BDOJ+0kA5@xnP?9 z`U1Po`@b^3A-cMj{M@lx7q4yxZ_b-q&le1W(KHeY9qxn@%|P*TzcYYldjp5FLS zJqkc=UO;Wm7*j1~=(L2o*^Kzm_LB<%$z>2RBt^N`^FZBP6EcGDt^$L75TFQiyxX~< zEJD%fboccp&z4i+pJ*X7YH`-1smBW9$JemYKb0C8Y^dQiUjAbPD3MdJhAqb8C1xN6me$c2f{dZ+#qLD=KIH9GeZyVZ#|OJ@$_IZJ#trH zOkB^Kd9O9Vhn>^9I?54i-U??x#dtnxZ6nqWOboxe2BU zx#IQO3LJe?a*sS!RLw=}?%C+!`mw(xvqDr%#t$V!J=_&=yCGIwe8~Y4F~;}4zCUig~|{pHJjVyJ(d>2rNPe|lo~2Ps9+%_;Z3{{1M!MU3+9L{w7O2}KhV0%Pr0qT zu*mXO4?SkD#F9rjI4B&XSaD9xsu-UVo&?G=H0eKB%{^=j*zs@~%SK4G2sVFX*+!9# zVCJNR)7{s3OzKZ;&##uWZp}uJ^eGl*v448q6U(A_;46Se|7~DrUYGd#v_Qm@LgW}# zRp$5W^E^5^#xiKAs>4JccKV|9+DAbStAXm|_Y)y~N{pH>iqxHYodQG0T%SDmF~X*K zBDXvMR&MIY##1zurBKi)=mi;4g#dB^yJaE4#g**15cQBZibm!HYgT%Tt#e9Pw*XId zYR;ixpZZ?*x40-mj9UgWaA$()l96NDYItw-;U6r83|@2RZAeTx3GgJXQ;<% zGW&ollr53%sAre$_s@AE2GtyLJgKsXAF96A2IQi1GSZt)( zowD;Peq1y$4M=`ze-@+~Kbi`>ms~lhfQ-c6ipU}k3ngAGh3&aK&}Kr*b9*Jv&SKML z+qAae#7^=hVN0#-S2JggHzwzoguaGo1};|uSR;xmf6F$Wc|CH zU#rqOjB&eG7^#h+*ts}2Ak=eS)1)bfEuML={YX+SB4ARsi>EXrIcWDa!xeoC&%=^W zLE{P?R{8LE`EcIR3V|SX*2nn5p&yz#WwpqN(9~jbA^t_$mRPuG1oz8%SZq$n!#Am_ z=$#g&8fco*0NZz>znrZJ{W$CG6Hvw17zOwe=* zDdh9r-VH!p z?mg`HSBQ&#gdk^>ASW`71Z%31fTbyYOJdu8?m%bO-bu^vQ!HVfHRxwD*pztkCoeux zTS}-1K}SzcZK9RrLG#w66OzogEyskdNZ#iKLu1hIR>T7_4#Pxf?7s=A0z|w0nfVFP zbeVVpF;QJq$X$F?Y1@OBb+O{Vk%ihsgtL^;X}VZ<+P}O1>W3(Ky-wSkD04v$S4MN4 zW~aF`%1=kgfsu+hB-_p~*9#EkHFMXPueatBFNpZySM6dzkwEZ4e}+T)F8$^uee25e zYWwtQzCoK*&=SS`=>T`!!S-`bM`}kee43wpu=hELW50IcP}#l@$BO+!H%?K)b-&#alRuMCOy9s-=u7kVEZDYlvBbQ46{C;=fxz27 z*}f>b2e$Y~J#-z=h)XfXUG zpR_-N$cnW!zWM(4EDqx-DtWKq~h4}bNH}vL0lh}r*MMKRN`1x^! zd|x~n?b0E4{$9uHnHp#|>8+>Mt5XvjY7$$0CwuIz?SN6E-YeS?og@OAKM#Yq*#2M= zpG8TS$8jb{rmeH#*mL5H1{nqrq9unk1VDr8BsEqr{qbm046%3m>@%BA`Vv|kBFmMA zQ@F0{0{%pmTy&M2uXD56wzAKL9xW{TS=_;kfn?;o#?^o_s4hF!VBujI*N-&_gz|nN z0^L9c)nA6eV#qS&ZoQUcrwT;C$HP8O*GjbI3;U3r+1{vlt`kdVL5i}Zf8Y#-S-{Y`o4+N=91VxSUq?#as}UtL zRp6On56FeMZ^!sd;Npea){a>tC5pWh6v==)38&#h8>G&)?H3v{bB%{9!k1WlcCwK$ z$T?6oqP<%sJYtc_c$f4=IH>miJpG+rYqiqe+38sQkKfYGEpL*%&Qe-Esv25v1`RG+ z$jbCz|0W0BkABc+^D2P)nV`=zu;ktckv3jA{AWn*vhw&G#& zat`ZHbIbIbHm=*6qn9MkTh=Q=hQm`=uX|lH7R@&((1V&=%i=QBajtTGu{m|*%IRuy z8SvkfqXi9$7aa(!Xm892?3}ZnJXX5tf*dv$^cQLy;7LRzzLA@0?I`yn0vLgC3!mf7Jba%GUY8!NQy& z^vVLb#nqLI+f*q!h==nb9qH&pOvXQXIVyw#L(#+9WnjlsUL<97OXQ#B?^bL()b(uoFi0 zynn`db9B~vbC`0_663fqvWXn#ObkA2e6gP5O@Z?@`P$!`V%4B)JdX3`yptmEz-o7w z=~D7?IWfaNV_9`JfQe zi~OPb2FXO#nIsc>Wki<)_>;lojBWvuu_;RDkaCP^{EB5!z(x+YA~Po|;xb`Fcedp$ zwiAlYcEy2L)x{_(=1YrTk{y1DLK+SeI$@dQPZ)VNikqM z2X|hM62CBfUA*30X{`Ugi-}W+rxRITgU?K0Qt(IrOuJJ)Dt;kbM#R>?KP~Pd_3J^Nh zR<$;3OdUYGvV6Ku;@4<|eG#bIM_=ltND_REm=YRX6>@f!4)i4yW>sjmD>9YV#M0%_ zB#$QwHa!~+!vt@AIjva=joF+`91a5pwlOMMsWzM{P(TY(69QgX7t*anh(55;!qS_` z9ahsUMQ#*Oyz58_$2aH)Zn~~~)oUfJ|>Z zc*8Rpv!``yGdyH7{IhZ?Q#JFdn{hAGoZQP^4JL`0#p}ud3vueBZaQ8rRo?)wvwYeh zyxB%LiTb#_9m7^bUzv_9-R(fGX1Ta3>?_M9;CqP_7|Nk`V`x8xt3 zkQh{rO{o=sPP|%KVds1QKxx=7F7f6*qutrq3VWqcf$IB{sM*~=la9~U3R1p4%b%Qk zx5(%saP~%I1ytPAP2r?Ak%sxG>N#t+%nLp_Zi7e@4}sE>kjaQRc<&ngWLz1Bp2U z33psyu$ja5!shqG%Vn)-_w2VmS6kN$Jb%zTN3Uluj}G=#$@fP_WrQJ|o$Uo`TbGFKSLSf#KSeEqZ;vt%*?=1@1)Sp_v?s&{Yl zi@+`73>XhxSaT~z`VyCY=i#lZ!L(F>;9-W!$792zg%ZZdjA`icx~dbcH!T4nrv(T( zl})n7nJY4k=?BIq&jq_i7b%F)R8LExM=f~?6gtcn!`k*Ln&b(;lvx>860{G@^(p}$ zNJCU*6c`#WA!O2tg~~kk1=uMyM&R%U#~zxlXp`#H2t#Cgl@YI!h_}kV$7O5uqt7`* z1!E$m{JS@2lit@mB>MGj8LDMTr$w(|``=%|ZWeAXk3N>yM8I{ z8_}4D^Y6w)zAGSE*P3IfD#uxjJGU!*Dc;?ZOIXq@OkC0e{+fl+?CRYDRAE1cAIJr2 zt|+dbL*N&W54)!gF;WscvU^wvSPh;ueJ*^dloo0z?*xm0Zu6Q(F>a&SJuuF$&|?|a zb}lkOKKsbz9n8+fpvnajTEXsqE=BMTxHq#nNM}DUCQSkz?*Bey)q4>VV4N z%2GR>*|DjF^9?=D{pRP&Yc7ADV}1_NSW}PCSa3)AbbT?>qq~%6=l)!_gF`sC!qZ?W zw9Qlz336AJnl3-H>iKf<1u2`p#ojf2dpXZPsa@HjV8quTnz<4IDB4#dnyLYq<{t!} zpp0TaFzT2WPZPRPg`RJoZv^N zcd?Q{J8BcNDZiujKA#cU5G(Sn8I`MTraFrD_^q(!E@ottVLtzQ`T@T2PlHn(;?ax`T?i6=`0P*e_!&Rn?eEt@>NKO!uXN#sKH0>+?#YYmdBncGtx|okh-SguwuoH`wf; zdD>UHmk9nh3v5j#YWY5Hr{(q>pf{_J;v)1B4R9kWYk$4h5!uO@!4Ceqd){@)y6m1U z$D}NS^wir?Js908p6=xTw+fK&{VV*h$Ujn2yn_We>Ke~S@)2!zc5m>xtjA(Ffblr| zB*YGw|7oi9={%Xxc*Le#1Uy{5AiCnUfAsb%kegckP&vuMG2-qxgl-!p$LIFg2JHAr z#EyVRDJJlDdqe;ut_p&vIN=kQon3dcE|mek!|@4rx*`~vI!X+b{LQwIu?2sIj1V7b zxBF4rz#cxTWsomVurJSd(Iq&k%yS;pF9-4#Xk3-wMbkszR0OkEZZvJ8=Z)7k_F7tk zdFa}D4?n#2j&v)lcrB8a2bmo|Y7jy?=e11BmyQJs?b>Xfd1;G%fW~q?w=rt!^r5dN zWGIzsam$R+oU+BsYw1i|@||O*e6p+rGU0!>u4*-mR(ppD{t%j&vov!55gGhTiD0&L zJrwer2NquiB$EX@I~)pJEq)U|>PuV7`l9xn%_S_@Op>khcJY#PWCR3Q^@o36Usc+# z_)m>P2||}mjA_3`rTw9~joT@~;>9!Jv#LbLt0_J-s{L9f1#6ZSMYrwn7sF<@6Dq$>G1b`%# zgx`|HFO&WuiHXOs5}L^qjJ8ppbMq6(^eiVKhGlr6XC8gTQlSjKhD5?-;}e zDII5oG6g#hPB|Q@Yq07rh}+d3xZQHaQ^M$?MHvR@gC`U1fGKuOez)f|(LP%0->!r1 z4^WMR24GHsZAO7jsN-DjS@!DaK_$_A#??%E-Nn4)xG?=nf=sN}J9&>$67)Zvi;gXP zU)REyKm%YT#%3VKR$_xFut8F_p-I|Mz$yF4C?CfIGXFn_;tuyMLodsJzVv_q zq+b96*z@FfP=PH&o-ISLe=H{2Wws2X-_oQiWfW@#w2?ueT*fv+jD``x7~5Lt5yYha z7A$}$hQdtW8uc0)_5S=8-wqJU><>VohV~akYG{o4*bMpDN(!V@`Q$UK$M^2!nUGfq zNxThceXK0C1l@NeUv2JXZVgK0Q{W+I5FlqD!y%x+A$S-ZiW3|f)FBbvA<+TP23Uj+ z1H4UIf16}6CF{f&LHi4g_!OM}Q3P5<|E;nJeQE!X@V_E|=T2+hE>u1jQw{)3u{L0e zOE>A#O#go}#ptac!*?}k1^VQ%X~@v%LIwh1&h&jP{jhkaeG2@M~hng za=e&ryzi3`q*)N4v63a+yqwY<#`k1K$0)VXK9>ghb=CsLDbzbeo;N|BKV-I8;d{q1 znpOzI@#ul#N2Y|{%wpv`;H;0T7bMIoyx@`-#cBlQJJL9%K~ zWyhUmGaohgZw#0n!_@k6z!kSGNMpflFmd#ooEcAA?v^M1xb+WDOy3a`3$Q5D1MoI? z|D z>@^vjHJKnslVC@a4*n{DMe=EYw^7@vM!UpoWrD}@@NRzn(og7CAW(H93H^Ht(`FUyiO`t_9agFscQk zTSeEM(*ITg^1XkB{}uU1O2OdK3B?iiOG}m!&_# zVyPjGst~yyo@BBPVoURM(A;&Wp8&LG@^nC|Ty^-!qY}AT8n7E zzHjLZ(`J^<0l|Y&IDmDE;xV3bZ!{H~nz*~&T)F@(pbVYG19#TTqY?9@d?Z=v^J8qq{SlycViwO%L3YLp_IE$ zm$l{@3UK!faiGK7;=iG9f(N&&^(`FmW(tgg=;;u`o$~&R=?_qfRit4~m@ZYD#+Litv%&?1Ju#sQz(!EFb5q?=h zSdbpDK5xYp?v>K7(gQQ{E2R(zO>zfKZ2l4){*s_n zjo?&`7X!rr)aD<%r>UR<`zS~Ha2dn5q;Q2-b#$n1QTzH*cf|gvmKVOhY5S1ChfdV# zfqY33rslhJSfCZmp^+)?2`|DqDo$~Wd{%}NSz=aFED(>IcP^tItS=re{-ijoXy`yp ztoVhC*n_w`g25J&6zPO7KKAOz7hL`9h6d$AEbkawfGkx=tWni{#84Tz97=P>(?fb4 z85v@!-pxNn@#DKM0}Y}Q@ij@}DjESn+(}o;p@i5p0vqHWv2SdMvSh@T#iZv!V~Rh% z$E1!8(@|X%@_u2ZgOp%#3h!L0iuimu6c{UjT19U->xXL-I-)`l)SMqM5wJLG>?ev; ziEAIGqYESdCrR~TQ|7&&0bL(1W7$^tU?C17Ve7|NX5Gl)B z!HyFXT={E|?#eUT(&OsatlWOnE6)bx5}JGvg6?&>dTZt{X?Sdp(x%*pc!YC{pw&w_ z?LwNq5z(;^9WtII8pJ@9MuH=@A(dI-kT(IdU!ez5qpi=UQ%ooZLXX8TD;=Ba69Pr9 zHcLq8uxVPB<5Cj{$hx7uGjW5G0(IbK!$6iv~D~W(4RaWe% zi4w;1KrSJ!J2N z`1*fw#1DuG17pVYRS418HUHs=e^nmBa|gkmNZSe_A;&zNy2;j#g@zPzhRFkVcq1E< z2n~th2usB5C`_)~#{}ncPJMFZyxf0~#4K-&2++t(n+ec1aK8>0tqP#kc0-VR6+a$z zM=k7M;kBRs;P)t8-Sd?StQ;qc^27=yY+yn)2=6=A*XIFJhzOO0n^!Cdeo(aA zL<;?$dlcyeDX6mYnEiJ(vTcD~B`e8BZqhIu%YTa9+di6o@!3pAZLac{gp-z`Yx=J% zy0P}`Jq-yaujCzG$#a#_zcl?KYJ&GWITcN}&ryov7R72aQh82f3bvtB^ks&4jo zVZ;Q(>+H|MqM|fB*C#Kft%PH&+VB|MHh6Qi-2-+K5a{(`^A`-KTLF}gi2NfMQrv_ow9U1k`@G(AS$w`T?LTuX=u@56;Ag>!iiOEM|$hdG~uh7~&26*?^P;ZE4h znosFG@Tn^}L;qiT81jG6!wQQ?jEi5(MKuOWkmKYqS^OX}j0sZ7Da>6PuvVZ3`LnZN zzmmL^5cl6en{SFrYE@&fokN`>HxHd&YdC3Z@RYIu!Mi17Gpx=cPjXjfa7$>g zrO%s6Sw09p%5YfQ{q^jezIkQ9-+ryV;lOb2{oA?s(BIEh+9uLerjA}QE|GTyHd08t z&?hm=!d0rDdeGQSIdSg(l4s_ujBs@b?6F``kWzzlEyWNSTP#(uW4S zBtic;3E<;xQ1ijPOZ_N+MFnC(Jw(WWJty{d4n;2V-jgV6Oci`Kf@jY&f5Q#REV!jsB5_==LX0 z1`*_Mk`EkQ#vgcazFgxxqhEVZ_Adkm4tNF*=+>s+&lh2R8jZt6=?d+6OjMWQ->Hb_ zyLU{dqzHlbjxLTB0CW^70|cvOgsEi&{rd<8I0eEF3xp|@Jjs+i1yav>3=%&O8oR59 zyhQcOxsVmU($DTc9~m&>(-0Ex^(lhBRWd)jMxKh5EuF@fxRyU{=ln&lWe@omd}T|} zvZc4K6EBbQPZn+5o|uhZ_(Xf%K^YbLOA%9QtC8YCfB2{3z?meUDC5=Xb?A14h~l~r zt-S)PJG$Bnh?J?)TF0j)DqiU}dy=80x7@*hIbxh;1kPLdpV@|X)VZIo#mh>M-WKEp zM_JG3RLxJ=A2bQKjgYgG1}t_PhDYq+bg>qOhDEZ9q6q;DQ}VNJ0wNam zDF$_QAG_^W{$o=%+2+hPoPMXJ8TtwHY($g##Zn7cZ)9H{LB;D}LU^fcz{)J-uVZ{b zGuxKYdZNJ)xYL85{6q8;M2Wd8>&efdu0wm`;Mdh}6rY%9O&={x#5)jw?vUUL{@-Zh zM^h`0aVB+E9%-*QmU~(}JIhR%b3G@3g?D_TG<*RS$C^!crK$KI>X_w^2?1yose=w$ zXVo2kwDx!xwtDE~u+OsF06I|#IQRd$zVk+~^7^8YicD034!LGQB0+RZ4U>Ns4?s+@ z^Oh+YDcXXhp#yG>cyNhA7R3IO9U!dMIS;I_+Vq96!#0S_%kMw;&*;XmDKq$D35{vS z7a9ZKy@AB&8NC{^M)~s?P*|RHGhcFy14GqMF0H z!g5^GZXzDhie8MvAV|Z>MRuzG8F%v`GtEOS@{KU3D6(tmYB%kzwlAs}tDSh)3z4Je zcYUm?RleZly)r*ap^&>98C6Ny+->>0GU9~4sXWhx9CCckk9R7&IHXM0y%(Q%XeBOh zMKgSCWq8UKFTg3w2c7aiEZ$Of_DhfnH-`49{5f9n0L8YJre(^!>z6o6< zCl=vxl3g#C&m4YQsTIQOEKQ0Ou7gz zI5muZ5BEq8Ru?&(eDB5W^ldy&neXDj>X+-}_{}YE?qaL-#rLu%CI)@o#?xb_m&hq9 zWzM~y_-=xGof4X1x|8Wo1)3GF0p8~QnWPaAXY%X<_^V>@vwaUF0MX!5n%+IrG6HDx zB>4av%qbkYd%Aa{i(%`${WOujXogXMHQyYI$^+?!n20ZbS#u%2sXk{^>ArQ<8QtHn zGs_HW0@6RCWbjH8=0TeB1YnYSLsRVU9$tXxObM&fr$2RWdE!8yhswZ!>@kD9VE#*) z3xO5nuoVk*AH{fW7O*Pks|pArQ~Y2!zN1OjiHP*q&Wx_Dwtl6_5>N?U>}t=z;GleY zvq!qS>Ga@P^g+wopt)4&#^41B@xik-Mjcu~yyrY*l!i}UJ~VBE5z~TL0rW4fLzy6# zm0T$9c0G))+~*UA(~R%-FWCnmjw^P*^mHdo9mL{UU_J^XL~BOAU<~v{+q)wV>ScBd z%Y%$HXvv-%r3*K?N*#@mbgBLd>{KriqOXq)y%-ZfJtHxK0#@D*D%*W9|0j|I4 zy~#x`hIPDzH|DLLFEsr*crwVzsjxaXawRnRR^Php=o6E?zv4xED^T7$$LV~qIE}hl;GBj)6 zafq;WN*dCnf5q@Pl=m!!nN{Wr>7itoL~!h(Zz`OXQ#qzK4m}OVxlMs%g6p;SoKVnD1SW zozj%RSrITK6!UH*4;JHwAEtYluw# zI8bO)#Gl;6D?tg86%k`ovQ)wa-&_4Az%pa&piX`9l(t@?V1TUyEU@oaVQ__Wx&W}l zZpuMhSKT|tOtn|7$coM#G2WnN>Br`vrNTiV*p=89VqjsC+a{WQv4TR2C8Rv+H|eO_ zO;Rt}&0cACXe$q=p|45T0~~0OlHoNAD8K%q73zicqDZll7c|iR3|t1QY{`y6xMO$w zgG|gUu<#Ws2#p^>0)LRv&`=wPdP)HkZhdJM_%)y6u_llUxiybbBWdgPdc#8u3^+#4Y-Tl8YrD26U}@otHwovqy;ZhJ?5oFaV8rpAr46zd^ndow1u~>?I0aBkAjoOmd3m-d(N%uhNq?GC(kFcP8 z!EXmA&RSe8S&}l##&#zUQlVXRk zXeR+zC+hB-&=0k#AMVjOo|9PJ$J3U>`eK7<6U+R}9FZ$N%9ZufdYy)p;77L?L1Yl3 zqIRzbcZ1{H{)zL+hvmkBqpc%c%je5jrx9DVxEsZ!H+45w3pnqCzHRTG9*t6uaN7_K zx|0aIvPzu)$=`nWj*GlpRyAE_{h9bl>g^S(>pZlyaw18l2a%tP(Ud2}&jLOqDG#;p zU^>U6Tv!atRn%&}HW@*Y>l3x#ogZV^E6wlIPL1Hi@B}r_Q^z7zP)Avzez%>?w!IFf7ZLG}Wl0XPDCE1ujM_eA9d zI9H)fDRk?s40U?{V%Hr?`<|=EzT;&D-t7+UgJQh1R-D`XH z=NxDmwMaTlC8Hv6hzynU_)sfWYCN$#5A|>5ES~jei3c0D%x4EMi>tIgyu+iLP>&Xv zgZJ8#rk@|bMf6Ral&2rA?QyLMA58RKJ@dJYqxKOvDjGJESD1>RnHfj-OvtFzp_2)y zg(I=6$$rJ4vfQhiCDo)Hn;1l0d*KW(MJ??GwimoIl8(nvDOGgbncr=G>^v@W_>`hP z+E#p=Lit#kK<|+CQD2XpWJzyO1r@g@SRp%Ek+DuTPF#&x`>H13`w^!$s}>ls--n%% z!1^>!u9UHpuqmDldOXsHIStpPI;#EV5!pZ)Ub-4}v~f9v504&a_4?FBd^B^6cP<}% ztaDwPe^PLqR2&T2V>H!w0dE4NsoOPQ{8D$h-wR7w_$+ENAzHBdBgaCjD*&o@7HSoz zKwc@K{kHU>h*+DYGL#}NDP9Ui2cKNZW^V+zkZyI!Y0R@k{w1aymia<1y{w`u7hS}) zDtB_p#60r$+}PT^T=X_ZZQ5>cW)m3BAe_*b00MJes6qSQq^>amG4Aw_7M6-fPafbe zq5HqxGn7GkIM=C1kIaa)s1N0Gjkwb5@`kE<&!hC=uq!Cj(SO~^*Vb=tHH&4$5;2R& zr~dGsJudN02wHTINR714Oa(i<^06k~2X^?<38OWE(P^X8s(nIXFq2Z=6&`AIqyR%h z(Jp=!CO9`jzVwEurY8aS?DbA6wUp8GM~v?N#rm5fwzzYF4N%Vmf?n9gQ;yxI3}un$ zX^@hQ9uJrYHJkIU6?Nt4lP|0dS(jEW zTp|`4a0DpxGS`FQB(W+1ZTDQ>s|4sIp*gj^$Tg+ydXWqKFnE+GE%3Wh8Mjqbttq^Z zGmDW6bbHtjyX?OCm3xW!Qh+tYL;k&v4`wIr?E&}bI{}4+(sYDq0ZIEm{BNf>JH(eY zWzSd~WnNWE8aJQfa{z6mDCz?OReaGxFPWD{)2oZn5!@5c0}pfLT2w+t>u~wKM=!H= z=<16j;lLx4>`gFSLPHDwDylvH0H_Y(n*e7ZMt+DV?vX5HU@wNuX{rs)Pd~mYs)e@z zOgo1cFJ-}BF!Vf=6Eq<*wP(Z_BDTSbRReIbP;fSgK+}PrkQL~SYFIY8Z(R{!)!yo; zNo-8pgzIHLqfy%)VSFtm_x)k$9wgx`nm?IYrlWTJV`R(RsIo$yA1@o|pew7ZKMI7m zR%aCWfySNIKqtI{24C$Te57}d761R@)qo72^ zh6mmRu4U$zO_l(BU@2zrTp`|Np95>LhkZg-s7p}xc3nCCeYEkjWx3q#V!CyyAzWYm zfoZrDB1hvu^yJg~V8&k@yLcLyhy0u$bB6&^j#-5tqbSR!Qwel?$G?8IMshl2Y#1}9WQX- z;HRWMz0a)`)>eo8x*e3q559b-)_YRQ(Z0u`h(zFEO<>ajSLU7t1%aaVqdX zlDFg5HN3=)UT~_z?5cyGzVqYJ8%eppO0wlSc6EJtx`KRsbG>kW`6K=Mo4$`1yz?>N zl7;b9Ls?o={>^@Ovr#QiKJ6#l!gx87$mfYk-`{kt-i-)+WY;>5zY6evTYi1Ogx8hpRW{nD( zNL2-$CAm6h`|m*06cWinh43Tw6Oi6|9XL-vt?5pX96X2V*0qTh=}cE)N=QCn|AJcs zAD_iKLqKdc&(#IAt!O5C(al$ooow%&8}G&&M)Cxe*gBB?Dw*-fXiha<;Z0L}GjsK; ziOpTGaIxd0jZXtj^Ycbu6|Hz(%d;y(K4}y48h&+eq#!bWQ#1#P741OCn&MCsfayZ{lppbubM zB&Rz-3tU@y1%BnAQle8L>W8-uM#$Qtyc!9c!Ry^$W6~famCNSVkh(1;;l6=@;EdSa z`(LebU2yHaU^^-Sw#+h?&wnv=8Rvho7zsO^hkij*P1rSXZVEFhs6hBMj4vvpXm~^i z9yV71QhRFUU@<$q_VaKycznvj7fW^)nbZ!@aA0D>DDm)i?X8OtqlGZ0DL8UKYrX$Q zclQ(%-a{`(4QQUJ-vbufryIFSwbS4TsTt}Euxht{CWUB@xslms>})<1J~DD-A3;|W z5G0B00q0Y~5-Y;b8sPPykdN`rF>Sgi?CTL>&`Z7-zOHd7Wm{YXL?tLY z`>|c>(lMciIWXpAjm1L$DfM1Ibo4W!JV?m#;!XhxAe{>&{FNSe2Lq8kfKl!BuK?IB z8xX19a-(xd$U*-XH;_s3jwx$QY>Cc3FpA!z)!oLgO|vv6sb_PPsTslUNrC+AXlnP0 z$ic4e{-Ja2V(EM;2Ca|HZXetH!Twl4K5qG&Gy3}!Dy5z+3LJU=3 zbgtz5fwhB3)jhNwz!CuZFBo-8CltWs{~z4`!3N|{`h}ejqN4vT0=(I`807(Pi&32v zP=!Jefg;906;kgqd2_Xh4<-oJte+~seR>`(^b6spX%Kd8{a_?%UnSLTEYLe2UaUow zrH5F1&5Cb;=%R+D7w?%C0nP(|s&sP5TGebOa)lKbeu$Zjuob`?!e0Wtl^(4VEW9XW ziB39~a4X|{znAuKi`-a7D4tWKg7OCmQoEiXvofybJ<|_hwoF_F`gJl6Hd|m-#c#k~ zdCHI6{nH2tsk*csA>78`;HDP}D_SO>pGgDR$KZUO!4vQ=tZqKMwF@S9V$uw26SFja z@hDUYOmnRzfb`Qf8`!`)mjj)XuOZ5*&_Duf1feh!< zH}JVU4$k1NvkyzTx}z2%HVs07dXDv zji>{E$ig;EaAr=V34`yK0pO0T2+%XH5KWy(x$g4a0dDin{vrPx{Wo^@008n0{ZDy! z=z_ZK@le--psRzSA=M?pUdw<*+$qSlm1#p)hQd)JD6k5V`{yXF~&MHEw$6v`{_ zKZv39srh2uXh$qa!t+EHySIkbM`k5x)-U>l25U6v5e*J4_|YTcYi-zL?D0XyZ;AVmXa3UEyd#yIFo)5Isb3{hZN`s5MY1I9I7a|NTX zJD);NERflwGCM*+TfIKUlL5`HD*6i}+sSlxa0~3h4V|ma8c(-Rvp+7tdj$RBqt;mo zcNX{`7{S4SriA{RwT%pGKQl?r$4hw{V`B2=u}I6^WuW-`su}a{?c{*0ZfDD z@Ov?A>TF__Un(6IG9QOK6R}TydgFaU`icy4Q#!I#dpsams;`#DaZ~ouet&rP<|=<; z@prw5kH&K1l23Ws)GqN3_won3)rl2ic#P9~z3+b<@5H@Nku~+4;JRt*G-?@w{WdLH zaX;_9)OxnP=6=YLp0YCaM1lA~^Qq@Im7eZYYlPYRy*}rI#Sa~Qc1{W3dne{}UhV8R z#EyP$55Lsl*}{?jY%oC^QhH+3cwRhYX5wD;J0$yA{*jfWzzo~Ti6-OkC$*=e5+=gTW8)S71dcxj{ng2e>{F$JifP%uhyZ1DMt5g9*0(tMIwvtojzl%8M}GHAQsDYKO{628k-pJZ30?F;}TcQNTXc2Y9v zMgZ_U0cn}{Jjj`JR3H@RHOl-s+NI|h2f;ZbofSlq~$?RKN z*VnwXVJPR1EVLx$FfIh<=;qZG*8oc)iGobXH zAn17stGe#_;tLfU$vO+P;H@u_BENiHcY?9i;V%E>thJ=(=(CZ3ST+AkgN|KXeyasA z@9;5tiYc<6|BEO_Qp*B}A-tifRikY1{1-g*dl^tkhl}!OiYY%9U#|F^ElfS;e(E5X zxlidv){wzQB_^2y1mRR}cw;VsO)kvMK|%h;6Hk5&)^xqdMEY@63#4SDaRDLyx0CSL z5LRmk5+N&Ok7pJIm;u^CeI2-#>|FS@*?!7z4Ei6XGrri`avc-opJ?}(iE5upe0a%@ zH02Z@QR43PWG6VsNcWzZELGtv6nCNun%}tl_7}yy`UF0=4_2}xRJ8B$EtX;J0fBIg= z1R-VW*46FO)s1Lw3i}gco0|yTT=3jnxc9f&_O~79Crsui)*6cYL2%Ic2*S5ACJ_FW z%~7KJ4;iPT&upGud!BV(s7~Eyu~0W%HjpPzpZEBe)n*VbMm`nw^OZKS{IE&zL^8!!mfm-+2+Fu~(zt?w zmlY_(VAt63%SDot%Kptobz+L?b9RpA>f((wXD|GC`l4XkATCU9uxqHdxa385mH-=1=|c;)Eu5iSbo9QBAu-D{KVi-k*=HsZgWf6qE;cdkL3h1z$2jRQC4 z6H{UF&0A%nu-?g_Sf$rwiYI=RpE=Mwzbb~X&OHBMhy-Q}WO2=S1_yXMbL3JN6)tZj zmujk#N+e>QXuan`ZH*_x^fZulXM8VY8(F~_h%3quw$o`$E@RbZB7-YZtqafHaB8}7 z1^U5W%eQx^mLt)QyY+OJrR*5@?h}+1xO9C9u=4*v6!s2fszKW4`2&1}$6{Yx3n{z6 zWh(5Q_{b#$-bjP!vhJ{P)Col7G=C76^+H9&*L(Cg4!}_k;Nr2;rWLZ4@IGl*y#IS^VC%_)ifpP zj*>MmZ}-ex3Qtg@r5w}pHo}hC^}SLQpM<@`w1{OjN0We$p0L8z?{*|BJ^ra;<@Nhr z?KR0YIIeQT=RBWzk8g+)%IN06Gkc^-p$es$P-xUFGJ5u!rvdrBRM%1EvNJljvL+gp zGV8BLX1uiEy(njJ#=DiAX!_`@-7Yg8CRBnFnqHA&)jsZrzq$W$}Gva?by|jqEKu`s~?N| z0<_&H`%5L=v?IsU(yG}LXoN1W;h*o|)uw-Hhrk~ymU?HCiM6)4&dowh)}oPSUr@x))~5D%N=$L=Vev zpR_9X<8-0femH_vIrLc-cUU+PA>)oiMt##vH0C5jSFS&3R27zf1NzAA6IK+H-FQpx<0$BeqL_Qy1R9H? zx<-dFo%5)ia@etT2~OQ3m>90Kk!sEHD$8)Plr@+TnCJ}pI-`x0S3FuVv5g_4hg>@}Lb-bi2TFBMK`y-(@Lf2b2^|B$;(_xdAibjT^u2WrQCUHdL@ zM6W~A&)(ms0$e~wB$$W7*ou(mfBb>*&;moM_L@79tG)8^^M2D$sVm6!_wn9cx-VhX zWy7~=<$R&*Wto&2SePoWqy)mF&FoX#9#kr2(Rzih3PA@72-;UTGSJ2$FN>u z>j?Ewe_^ZV#~5a>P7ySR15*{mVYc;=H$U@zuF`MLp257!EzQ%>U(Uw~@E(5W=GDLH z7|MMAEw5)qVw6k^DdzB3rVgP%C~LH(l(V?9ztr{Fcqy@tv20F=rXnJWWg2>b@>MB} z7I+JG3iX$Ay`f3xP(Hwm*}d+bxOtib-i%ilOTxErhD!k_m^LiW)gEOj?ZYZLiF##L z@yVkiS$QAN3`=S#4{w4EqMtLvLfgLK5tr;M%;;r266qT+muuq-9E(?Cwor`?dfz zo4j(rMPU0mwhFqBW0mH8k4pWpoBUXdb23UX! zV;LTja+uUSbcr>|AuK{p%m`zovfO-^o~I5!ywo;l-$oTki#Kjc%_D%!MAF0KBhYIe zV1FO19vF9TLos2ORC5?J%&ZA^e?spSm^1wNv39qi2^S62tgF+vdQg zxv8`BCsFoAQl2y_d6B#=;x?t6fsp35?hrCHFXXsN|R zI*mVo`yQOndO{1F^zP|Qa&pw?3dAoS%BNMTsGR5@@|`0$RZ5iiNu zabNQKcrmn?SlH#&%a-TVGsxxFGYkUc{}rn$`|o{^0AnDp()a3os+P45SEeRv!%-c1 zYpU`;!0<7h_`JV9reiwt$R0MgSB{J^9alIGEh4$?U-8l*EQHY1MaTLVrEPijpPZl$ z?lQy+ye(@-2Q;93o|ZK+S9h5Y+-B(-I{8L+S*jyhp`n4!|ZHrFs3 z?DH-SnLf6zFKh+$T~f(}ZEb+CrntO^0_?q`T)a1GOZZh-9;2BJ+^;y* z+yf%RLpyq89sdb&@ZSADwoGdz*Nc9jUlfIAmjFGT61=%QM6_Uwz_~o&XCub-z(o%1 z2qlp>F#>tadn{`(&_ZK@JMNddzP zAO=2f@Ls(IiYv6YHYrwILqP`RBZj!5DAxU=-+`|+NdFJBhZzMAGRz;`UN_-{MnHJ{ zHtQ}Rz0Jb7Ck_Jjy%4HDf$m<2{5?#$dzh*BXp-*H@Z48qzpv>0C-Z+{@qcHQzZWa} zC#e3nYsx*E#CtT{_Z8Xx1m*jRjt?@7A7p%o6Y7N%+8Rc`$L|$H!Ba}ER%pEsUci?^ zN2D5G0$-KC7b@MDO!1lXskIAa7d3Q!{rh4Ib#rUD{|e9J(<1V_GG^F*(Ov^=K72~r zNuyk*$_2WL4)i|-(Z0k|0C|?B;06^fkj#%Nj24K4ZZ>@$I*SF;qjt>_+Qh-bw>@<$ zM;mJeejlG7yaTeLp3Dp&5c_VVh-+zR;_@7hLz^hPY#!B$q6otCoI}E;KA`7e$-~hD z-Is&EQ|O0av!y`NjdT@u<=gQNI58@g3Z)QFsKEuAOA$bbQPflIHYzGov0;D!tcN6j z7gQ|ZQ$$gKg`;a3%lN$kJh1DzQ9%@IxEs$CQ2R%)Csuio>!SuYoAM%@yd7+lZoD*m zs(Y(N#n_etT+r@eMg#YhBNBM+i_ALI-|yRnsSkk7hyhst0L*Hzb^@qquyzr741xyo zXa)H1LC<&z4uvB`>#FGHY6@GK`}XP93*Scb3EWW(E1|BWeGy9yrleOcu-ASw0BlsM z-+`fLhyiTFw^kM!SkrO6zzVxncw8|D&XVTT{oI9s-*^GwH{RYDm%Q0m$#kH;IQ_Zw z`6s*6&Qmtev~HMJAkDFYBi+~deOvnI1_kw)OuN#b%fZ#P_8&U?Ac zdvWZ4_AcoAfvEBF>F4uegz{3HRZZCUC5f53>Px-j$TbzU8CymHGkf=9y1CO2?`xZB zbOti#RC$YD8T7HxA$iDRRUkjFsz?1k#`ngSghR}DfP&=U==9_S34@jvf^`%;9#J81 zZHRV$uc#AOG8Z2D6zCr}xo!eiGhR&wpIP9wC9z6AR^|?>*1k|#xQNe*l@6%rCX})c zMU9TCvK%J1g%z@Z6W?i(Fa4DrHZ4vqo*UDHKFoxVXeko7+cJ|&1#WIc)h%nesOb=C z3;M?~WE1Y4pR&5d-={C=&yid&&R|lZ!z=f#L#ELYZf0b8^=MDPZ-&ks#)?{YjVSfsxGxHk!UHSAoacBwyd!dnn_%~h8$ zd(c^Ur6;?0x;pO7oOj~kU@3#6qSM4xyA%rODIgip<@ZhvEnzq+mn{W%grZyEAHrWi zz#t0{@=rWiK~4a?WejrO0YAR5G2qYFaOkcidK4{utEo53Uvy+6EE6W>A!=9P0QNB_ zR}@kYV8A-gsM$q5NS|bOQmdX&phgY6SRALp&useBbK~DA)eeLfn&_!A9<7eEG3Uj< zaj;CpQR)AbpuH|W!Nx4lcw`6&`SEX9{}&+F9X;g^4;b8XykpP_7|Z^XF~AoCx*X$C zk!7L=02XPlPmHsb7sbEf07*qAdZ~8=P_*U05qDX(0T2{%WzTpdU#6XFwEmmCd*B=1 zQ4SZ(rSH{dY@_FCY~xp2r}J@Ir;ApV^$U}N)B##2NBP7UZWH+-S|_&rf!-NG1=AZo zZg7{vM!gZsov5<@9o#wfrKG5=8v`h~`;SZj;BpHnGnQ}sa0dXqq*DKINLE?jO<^+w zy1FH;vtE zoK!Hx9JF+Xd<7Vy~dZYB>{|f*>L3zA)(2Y+t|1RQhTmdhwR2-B49q{*J z@3{J$eL{4jnkp?n6s;deUr?sETTt$ImSOZcjn~f8u{>9)AMcA?P=2yO&l_W}k;zp* z9@3bro}y#fIj>AV#8_)+TZpw0ugwbRPBNtlfDV!e?&vOm2;zY0-+=%z&RDw&7_7%@ zI{*fEIsXEV9&qiIX0aWFmgIxJOR9l0Y!D@?eFca8YfPup{Dfvf@r5hep@noqK-kz< zV0H8PuRyLlddeLhFi--7I|iMA@xVVB1AGyn4=$t^?O&?`U{SN+#Qas^_PzoKNNT!N zNxdV0qAmZ8xXZE)fS`!0BMQL+G5Wb@BYn~}RW0K5%vo_$ZWe3eHHR0NrPhLy^stjp zgJ1jBzxJ7$n>d-9Kx(SEYpU?HX9%@tkV~zfmRbj>zX()+AxfW|87DV#i&6m)89RuK z-5nfY0jK`}0*-lq*wOx-7hseXH<%gsKZSx4{{fMqFHh_;KmLtB)3bj0&F*~4Lgfs( z&6d_m{9`Yz>)vl@F(X*`Z+mZP109l_E}bcS^1`n*vgYHnleJ(T512MznG7Fczq_4(&gq)Sx5Pm)*imy z!Ca*UyEGf+Opt5FuS@T*ZZ~kQv~~`V_^JoYB2KzsKbKY@H%kYK*1J1K*B5X4Jm1e> z9XWM+wF}-1ek7>Wz>R6}XA5vAt!41j)O>pN`;_}3Z$7@{$E0cRNwH-u2-ZY=QlcXK zXl@tJQ)P_82vuF>VJX^d%~|6DphC1CD}96LC5aYrMYl1W@OzGR)x{v}^?Qs+1*tUd^z`*?`G)B_bjDe*QkLlF{@I5xFHWoW}0|!n!)C?ZU2A|5+}qfR%7% z0lzzFj?Td1DUa~tSb8Gd8=yJm?8_%%`#AZzf)SYs`TGov@BBA?6g^{L7lToVx@WFO zfPD%mZsyQ$!o6wEH~$85dRwJ1gUE?O)|W3lI958UyXT<+Kx3PqOaa^hwj~Mbqp&l@{!k7S4ua=6^zg;n-$J-UtZ6a@oOh-w=$(YB4?8Ul2XD zg&brUsYrC#Bu=Xut;Wh)7qLbwSJIN--P+sYGQ$xiKVU-;w6|_b58IdM&Ql0a0MKcC zIV-GWTmL+c&b%W|rgwzNOpfj&9U7He3;q#uKnsoP#l*C;wp49_(b$#irnT&C9dGOV zpV!oBc2McN8iPu!{;S@1HU2sLTCv*pqL!p7QFF`G&|}ARRh$!}{9sY`)28X_aba@s z9)ca!y2ew>Wm7{ZTdEgMkV@|Q=A_2N>6GN)!FgHhp}`JQK;JMmgm7XcgXF|J`t}{6 z>7PJ=09*$FgMq=0b--Z#pTNHef3f--@LO`QlZ;ROq#I`C%P_u4)?Tl}PX(WVJ7Rl{ zNE>6~I6iPF42?BOI#B-h*od4n0#4pS`OU0JG?tOS;z|pnW&!m9H*c^IX_qc#85d(g zkebV@gZJZ(B`dT|{Yp&6)u-=Oeo^M}G+nUf9k0{iJe|$9x`mT%+zY4T8t!`OS76E=edrDkfQ5i?$6y^WUjHZK-O|xF=G8Clh)1mH4UFY# z4G1llMG4}Ub#jk_;B{6&)B|TDXS8hY%U?572Oq>!lUP@mZvD)ru5|DrJ|PM)v>>7E z@-l10o=@F}EiR;%VY%gBmTS1>Pc5D3Po28vYxs1RKiiWRJl0(*F+1&BcP@2zYEp0J z)-7I4DVwmBpDN?geF0p*P7fhMC%rwWs z=b-a2yOKdjF&n}6*IX*HcgLl~kNxT??wzc6+s$c5`xG%@$nc5L6cF9@(g|kyDNCGu ztK-nIn8DnQ6X+9Wd4(*bW-k86@!tWxHgv4^qH{}oZ`HZf)uBmUFQu&3R(q65o&bw= z7uw8Knk!fQ#U%GP*&_8}b;eByHI zVVTR}r{6dgAyNwkMI6_@@NLKg1yPk~W7=E3I(u_bLKZG6`PK?WX(lO4$UhO&I-+Yg$?;sAL;GQMV2F^q<&UNL$4f* zwG>iCCa$#=sHC1jL+{Ceu)Md{eOSsu-^4<1+g7sKR?_geI_pXGD%C&;(?Ce_KN9|= zW!+u>0Rjw?{>}ri0Z_6FvF6`gd=~Rz%p;#AR`F-ny7zgrEhw9RH}EmlHt2e%)K)RS z%Bb02$nugB3lZ3v!X|r=hNaU}v6fl+S?tSLb}n839WDpT*yv`s0P5hkEb2j)PagPa zL0cYP>WyZ+w(&&SI<8^KlmnabEZ8bOKYVaVpP`IwP z$%7TAAKVB{U%8K-G%z&RG{FZ{;3@kKaxbIrjTSAY4s*X<3=fwcu#_AHd&eXIr@wiu%XX2m7l;Lzm~(8O`rc z*AW}CxkyJka7pY-q6eRk@aYsl8zwzWM#}=GR=Ly82|g zyoN{7#&ydWC-a$~_tRdDivwHq*Gr>eTMa7S+Us++=j9ty^5?JdVc|rLefO^4c6ah+ zh|{{u3iD}=31bT-l_Z(18-OQ}SF>oR;_DLjFsrOFJItk?IQZ>mE@yNfoz(r`9y+gj zOEwYvf@wD^k%BTlGwSg+ekN-5Qn@7cJs}^YG!Z8FD9USUUgV5o&eNm!(B@U-dgfT% z$9B^3{=U6TE4%1PFH+wNz8lW%-%oyaLMZ%~(z;5ww!YXUAO0kl@s{v=&56V9&K_9v zrGZ{dcT9e&K?ku)8$oG`k7?_^f(k>w(6~;1>URfy^u%FoxN(j>v8d+7{s^ouu#ej3 zO9XG(X2m`^;t>h!I?2w)1TCi>LF$7&hlzyeD3@HN=E^v^EKptK8f>(Jn%3=IB*xQ= zc4|%|3aru`LT453|K_cMJ)rTsKt&smv%Zfu51GNt`p7XkY$}Y?=gTA*_ehuaNz>;) z(ZvN6+c}eA^RSU&lQV{Y=&Y1sbGs^Ihw4dF6T@axuWuRClP24$jN*RZvgCgz-GMCc zQvZp&%>e*^%lm)P0Ow@qPum|(w*R9jkd(AIDfq)T*9O=_^*wM7-~N zlXCD*E_eejF|Dt3;hh$YSu{SjzT(6im@r|P`d^Z;9AmmMMDPYWo(m>Q8=v^5T^VCL zojzL>=PxtlTmUukV5_CE|V{Sf*Ui1;+OmRm0-Tm9ndPCt9U zz9VluCHCa3Kw08>UD4!+u(Q70y8V>6B-sz4nD=#sEx6rZZf)ZJkHkAl@n6w@KyNcA z{RcZxz-^9OuArPI?v|1!$k6tG76~Xtyu(9pB~L5%_zQVgw!so^hPPpfsj@M>Y%6m6 zBD<_^O4sdOkfDEc%??xL)a-7v`n=0Wyuvmc4yUOFJgRSH%^m9z9l;)xEDU=Xy!i2g z6eSE)B@9e|uE`Zu4HZ?^ZGEeMf?-=<)noe5C-nVyi2nrrJNduz_;)hMDp2z3B@?#W zcS8pd@i9SR zcP+|~v)Qvmr9Qml0(>~VRUN7#r8HtByTn+U&?m5xRQi3~-ia}eT#!HhS^7*ea}&E<;orE1 z={NiIleqVlQ)Aj4n2dR}jtc(1^tm)?+TlQUDieM6(gsbxZ34Mn??_(j;diBR-WsEW z4`r`WEkcUjIK6w#5(=6US3i0N1k=^ElC8K0Knr|3q3so7XX@=NCHxeb_yMK&WNHH} ztl+1Tgy(oCt~m=xPkcZ7k0N8Jxj`D3OmT}cN|D>$GcCEW3#KT{nFo?A8+4jpnijNK z4Z3PfRAq--#=YjH{~V6B)PIkzGOMnQ=xLV=_gE%yOmLJ%oc18AzAj~%^Db|}q#|cYjSd`*IZ4!Hw4MH*AefS!J;-{UBMF8&>`N9OZhT=rmUfud) zYwGi7uQFzO^S#|T&bp@;j;yOpUcQIOk`}TlSroMjqxo11<;8h7O!7+cS%F$xeQugD z7^Ydux$2fIKa0(}*wP9vETf`es9BJ-@`*j9 zYge6^z0l(@%cER>NbJ;ZI+(tMuZjMqw0W?b_Mo=n5tUVu88Qn#MOH!mZ^5eH( z-Il4qF_ogFvSwSZNQ|!ZN@~`hy(4G+$~%*R;rd^|iB7xNf(;vU{ z+(LmD-Xreu3O9j3Tzp7OMSb}UQK1A%M6gs8KObIELa^m)wqvq*sg^wb$0m28rWNAqkbrqMY=vrW>O^dlYuZZ8|+n*c!$M`vh zxAYIv#vpJz%w~Aq$t?u05};YzjIaG5?6Rs?gNrEi z&jX^RRrrwG(V;>t$W}0TVlw82Z@ToG{>~INQQ$9g_Yt;`6dSn=u^cQZ?8-^kev4O_ z!I20Jo`wumtWWn3RAAZ9_U*1&Zw9*N`lUgq`?*q0;jx{B9TT7hZIAgNG-&B8?HMO$ zHTdw1?-V{mzZT_$ObQMxW}s&uKdUw?JQ=wNw4fYEA5eT>+K0UN#3KlA2Itp{>K3mn z5kl{jD(|0*yg-HhK!&T&Cyezsv(FU|h25ORm$^3bxSx6|9uBN($1ssW2uu6ia9-o^ z&lj>DvlV$w%08#>rwk`mkK?D`st$-@UFfJVL~E(U(Lsh(oH!UT)QAsoUG_k~A;tTJ zKo)e+r@3Mk6g|k`A(Wo8#zUVB@DWf=e{dQ5Fbk_j*v`{XQbtNH&^+PWSF&@q?7X@Qtv-9o2RM5)8 z7=etTSCQwe+f+bH2113HY1W5FUS<4{#Gwe{ZdZcd0dH)!E4?1Jw&CzAMVXgmA?M#k1tB|QKBpz=`&xoiPA$@+ zqd16N7*B?=AWGqBqmd*G-W9cbPaxY>1kZNMYRA|P2c^$fE0RP?nX z?WpJoD<_}%SH?ez>115@Dm!`+nVq&b?B%ey4IY|&KGHrBi9gfL5Ejb9XjL5{;NzN9m zSjBv{hnvrM&eT=24l0M$f&i+Zn7ymMcDPIQ3CwgM`%z+|H@qFU_x zn1$dF{)w;0{UU~_DSiHJ|BJZD~y1%cja-uvhpD@XC zpKrkgHuQZ?F3Zm=51RGguED$#$yfx8CZtws1q$AYqIADZy`Z6aH;hy6ezVwUiqF*yk#N}|0^zzN)*{;XBG;Eu{d?~#5qDc08u=L{jFy81 z;)Wd?yYjPM;|7|@akwQ4ftT@kz-QW{jZGtXr!~zN>ZXCEi=vN@W8n}C zG~sIlve_B@u!TshHC4hF6eK|#aLUJ~iJp=1h_SSDlW#0q;_hUdVQSq=aSV?{UeTV~ zRZ?Xgiw?JLWtmAbn5HgDXoZJ2X9e@3efyZc=FUF+1J{oaCo-q6=CrXrbnx@>v< zX)oY+FHu_A`tVMR9_qYTvDxcj`(x@F%a94O3N8U#FN-cNT~@7~{gZ$qsci{0HI6>N zU-#Q{#D40&qBqqn=V1NZoZfbL7SY^S+qQ6pIoU$=gKUs{!xAM&eKzF~ZS%&PWFPU!o*93t@$?cgWN_{Q}5^GrrEY2w@F!!&5w1c=&F-hFw~ZrC_g1v z=*78eur@!oLTP=h4&aM>+D1j6l7FrDCo?(i|z8n*Zji z+kJp(omep6qUL00G^Rio4#pxm51gsR&SM?ct4W`4X)KZz6timY6AC1lvnJTuo4cvs zChmS6tbv?0rMEVw5?_bTm}s$(h_Irhmq z{$s%KT;AQ;?-p!vI@Eo$@qH~MM8NfvljEFx&wh$^VgqKqQ$hC4CXng}3FM>%CyQ)3c? z+V)bcwU&~4K~?@p2UhY%&Hd=Aoesy)Z8wbjD{3MozM3T`6T;$l@Vm3RoUVR*BlDL# zA~f|~wa~byyf5)#6x_klM;V4`))EW)aDTAPpCsNY?DKf%e9`(Yo;COKe5b(dI4^1r z<=c5oL!x6CpC}!a7uO*%of>`R!fC)N8>tr7#h44H;yb`a zGq)F!LhPfnSLY%vsFoOpZ*AG3z`s|cf4qlaMszAs{+UE*jQ3fe(huc_UwYj=AEdYn z8o_D4y*LckoK3e7j9X0jsg2-*jy{-LLKWVucPzJpw4R0-yzZdttZ?rFb}I4KgKV7R ziVAw)H_Ci=pL1=CV{+odwLRl4l8oVAddZG2#GJ_B4|b96J-_kRbyN!4MRbw# zex=un7_B(fk$>}K7LD|)L5DPAUt7;d)NwqoXQT~wzYzR0u6*ra_iR#_^6&o|Eo-j= zxhy$DJ_n+jS9l=`dr4yCdSwU@Md?0Amy3Qf=0*AdMK`}5VjfWW8YL`qQ9iqNj4Mp1 zTkDaLb#r(s`Zj(c^RV%~@Prx3;kl-^oD|PlA|J`>#akaR5LiLD_1Y+tr1wZeYj`?f{72#1xU@O+F*Hb zcs22@mGP4RjtR-x=eHxAKSm91yBH;{nlWpD z@LsQJLMGB)0A8%5&G5g5p5w1;?ga;Bowv23U;=^(8IL+|n#vtZD;Q;v%14#o*Q&EO zDO(=h4`S_0V4kJ==WZHr1Ics?6wWm?!qRP-Y?41EEgdfU@WbYPOZj3F>%2q;t7H1m zlzjxVbsofhLl*8+pLou)sXeLsv*rLD%=Inow$|%(&UCJirLPvolAdaxj9$_-ZN-B{ zXL_Ax0OhukP2!|Bi6zzR)9DWb6_iRY$DDP9VQe|I1)ShA%z-OMAPO7fSw;-qs zStJ&G;QK5dXx^WpXOcFdF;pG$Z7QB;iipX~QcH#CNP3ntAh^i1kb1n2MY)gzNM(FY z@B(V}Po%y-azie))l_-^VQ`MKKgp7xsFm|HK4c`Ewsg2D7tZq6))~C8;V=;;w|nJDDG0+-EAYKxVsl94#oXux98k<&KuwV zy>rL7W2~K(tjJEXvXaa>e{<%s1|Y8n8{p)XJ|eF$6^r*m_6$T*MQHbvF#c4E_)u}F zaU3xD%LtjB9hb!{lbu%QrfXvBNa^Ok(+g-NG zM4JmjI0C7+HIgf7)Vh#o3_MC}G*>L>9XG?!<<6oo4RZB)%9#tnRsQNM)g_o5pIhFC z!NU0rCJ^1qgzk-lOIQn)yLuEJ1RX*_CgXvniS!UN7^4GeYbTnYCv8N0u1x%{+-|(! z7XkVm3QOD4nT+1Gku=^J6jBW?7ZPu? z(!z&pjh9W$N_QvD{c44mfzJI}xhS=AC!LK(FS{CzZZA)qr7wD%rEhHI^Jko;i#>Fr z3X&&ZKafu_lwR9liwO)`X9J^Ryx;t_>!!N^r7=;SN|q5(%;R4C@d0xqdT3e3??%ym zJk5~-^U85K!wt5&OXvQhR3n{=$5l1SlRvlMh5P{7yqVQ0hsVW6L= zp%^FHDGBn|dsgoTTwg?BzstgKHUf zP(6Xt^y4)fNrt!T79uZQPB2AYuCCSvLp;M%d#;}nsOL3w^8~iskc?h*uLh4Yj(GTc zjD5Vl6d2h*gh;e7dnCWHnaWy((cF-+Z=M}UwD?P3^16-eL!&$}Q;%K$pF~-V?6-mZ zvX{KTw+!+p{>ktCwL&CtYup$3q<)P1R?fKGK={M{@f$E#*IC%Ir56XXAI1(z@?dSdy<;Ieo}7k6 zmB}IpW+zgf5SO zMP_?-V2@PXJJ(!h+YY&@@?i`2-gSS(Pgr1=zceeKMaE$p@X7x1E#rn_CYrcS39ots zU+NzpjE0z;efYdIunP(J`2P6*JNdcrDvbJnjIzu)G{p^-m0{&`|LX&PG9$b?N==-a zWa4)D*9ZQjGO#NL)Q)TT{P?f$Z}P!#YU1$L>ZKGmsx$niW~9*DEw~f_dSrbwd3TdO zZ}!fmx9VmS&GYKeij4~Vj%xOV6eH*Tjd13mh{{o8p+EM7j<9Ml``_1NN=tZC(Zu*bVL__8Do;hRhmrPfU;SmgLltCu%lLnf zn2C2-i+4DIY@2~hgM|gje@c5(QT(PNEQs~C-TdXyRPgp^@m1Q1XCp+kF_{9!by}*z z+?vIB6clfzYeV(BzTafLM+&e%{c#%l_y=<8`A#|i^}hR8d$POVnK>=WSbUIQ>pCH^ zn1!2ZF4AnW?Ct}C;;E7CvLl_TPeU&SWXC=5aYyYe^Naco`63^r9lAYlc$-zN{=FD0 zZyryB>+K`rYx_7bJn8rX(grG5ydUq)4jQ5iB&NCM-F#S}3>_dAsHg2Pe6GOX?jV|V zB-rdEe7R%u-y6jn1Lo>sYrLD)2aM*GoE)^=m}H++^|G4NHb3!&2xT3;yJfa?j`?7Un+tS&r4_-if)Zmtd&#qzfaPg@W#I*7b{{J6x2qdcAEv{*!_yjyfK< z>nMtxVP0b0$EF|I(1pkI3`TwFk3qHd8851pl>Ur2L~`sQiHpI|Fs8uIT;vP!JmD$6 zJ|MYpJ^jNmo}*roN9z+FH)g>O*VoHt^Y5Ps$bGhGMw<_k1QMd{6w|z~tN!q^xmCL=+KN}Y{C&_<||gXM1@ab1^yq#{A+`# zMT7VnsdSZyswUv@wM5UWsY|Vxr}q}LQm-yeA~uGJB#nBBWJkNG>dT*$9S`SqGPDp; z=hvM-^y@<_@x?@dY$9;|Sb2W%iP=1VnF&ttpFs)o(f)6~1;HCXz#9#J4tb4DE(C`z zy^MoOEi!+g>~RUAWi0QD4L;_w(>E z;lG%)xpmNDyZBzSW*JpU_IRqs|{PPC|~s zW9I)tIKeGYO$M>J-&gyddfEaftSm@88C_nj;Y6Pw-#dzFe?m~WFE<_aM}mZ zr+a=5nM^oaZAleVKFb2Hr6&a;6C+ojnwAK=M;{G0`kbwt5LS9>0s&p&2m@4awei2@ zT&}-tdB=2rlmccoCF$zlw}O|kCVcy*WitYDag=(sy*YT~f8%^jaqY}<`-aOiWezui z{f{S^f3PbxCzM}y;2&J$jlqh;jp>Uci)-hZ^P`{tKi!>c=Y{j5okA+>YiGyXH~(+B zo>Qr3)>|%LJSJ|X4@gqSPM9Qb4@8D9_uUkninN84J+FWF9#lV6Oyo-5e+o|Ht#E4^ z9HpnRP09(YbEiAQm3ePTQSqjRCTuZTiMS==BtY;Db6(sDp~!2yWS?GPymIARWSV6S zz^WUbB#DW5$6o+ge;wkXL=&VGY(`TkQyG1wB7zP%@)>*fV+$5ZG<$w{qMmt1k@fPM z&Ad01gv>itsaTL#vIA9|%WxyP;MMT%QD|SNzii(qm zyZ3#ilx)8sPA01_Cgy9}Wi{n}Nv9p=Q~eHDw5~?juo>eSPgJ66;q0cyFVKRFAfi*O z>4(g_riDB>m2sF!>m&2-(M{@$J&1Q32UQKRwB%bX-IioqE)mC}%UN|8GkjrjwVYga zzCf-z&O`D}=mEybGcj4Tq$9zw6tEsmHj9_C+Wzjiq*SUuNz0>UevM8pZ4>NovoIw( z8ck;Y+x`rf@0>fTXxUWjRgvtat*dN^rTxa(uGsvm$Y!cpQ-E^#=p(*%*}`M@Qqr@x z<+KgSb)4yAR+%dLzZvs<1AXtW+8P4Zpga)R2wxStsTrmdA&u~$E-&ZKkWT-g`!O@||lLn#QtMNin)+ z5i+?F6Si+7IWw_7IW*yh9wwQ%;}|PU73c=jMGv zFM^smAiDl>=e^D?zZe7aR6F5p^Zhq&tsa1zJwDZW{;7!#jbhwPl2VO0tgY{T$FDr@ zv4y_M%924u7ntHBbyO*eti+bfQR1J>L%O?n-OEVrn|Ji?ud~bt4Nx~%#DwZUIKn~< z0$lb4wH$mt50p>VDrVjv!Q=f9XwLM01aH1gj1Y|;H#*MLr)>yKw|Y;YOqGBhAcWHc zdM1D#KokP>fF4t&MHMxgy_E3t^r&GhS5sXDQvedMqJArGOq5?*6bEgRa|o>-aY283 z+Frq>H}GxNvEG6U79vgwMLZ7pjz2xj;_;~Wo+!??_r_(Dev^v20``79PF<* zbGjP_e-@m8iWUv=*zj<&`#5_~M%E1c>; zA7VPWS#ofcr|G0Vq2&J37;qJOz#K<0;UWVT0w3tb7%vX}sMVx#U?8pi6$`c8$bGVL zrreYJvJuds2c_J`>WU`^(Qu5!bNW~~`vSQtTFa#l2PL==G1{kg_t~$ zq+imxRU-5zY!ROZ!Z{Mdi1b}7@`0Xjg8_O$mK}zsY{Jo(CT!+vBnNf@y=hdr{tS~s z?pb>9Y7w>q`GV;n89DcZEUt3Tn}#oA6+b+0H!ZAZTaJuc?l$c=DITq`iPZX4 zM8M&BTXJ{7sOz$NEQOboto?7 z7BhzoxW!7DY$p!6h8gdQQZ8A(2GSl=@!`IfSd>-stC~=ajcHo4N|yy28e#Wo<(?C3 zTKQWH$@kQ>o3e#Gk=frfIm*xh>t;4GKE}`8>ydeAQ!kq4J$m2i-f0@f+QjY&tD>0zQrpWS5NwYQ$xbo`gAB;+{w6rwa9 zYUUg=-s~{Co?pL8PiEE(eeZRavrkAr>Nvs?A|-%rb$j-~ZV?8tZ7_a6fu4$E#AvME zVr*H_sdREgF}i9f(RG=VCXhiz!u^dyh(iDE&# z!A4nNCk99RNR`oSf!Xwk=r<~>LM|Tjf$djn6i7ISup@N^bMXCTuImAqOTLyxudvc* z`mjfm59rv@%+bLly1*ND3D{MzY%2E>H$A7+12u!M?;1I9p6a@EE}y3c7YhQ37|kY3 zNcTcu{Mp8)at?;LJ3VDG=QHNflL`OUPaSAm4qKn_^a&?5sJfQ64b>D+9HRZR3{K0S z8Ij`^W52tND?!`eCokox*5$YpQ`4&3iFa$SS|G#Z9M)J<31Mj+nd_S7vS?0*4c&fjEvWSA-ku-YX4Fj)#QUL)S=NuA9?O%g zZ^%RrAPiK&H=j1Z%&vN-%1QIyk2VRH1<218fDj*(!Uudq^TVP{er(FT`r#@9ooLDq znHsC7X2wd)Q8TH^DK%{MxNTS7&gsx86($I4h|+R(!iNg_U)MuSg=`(jeoaZ=Y*2Gg z`ePm{^V+R{zcUS)t5WR$ z-ezh#IJS!tLD^ex7adR$-Pq#`O!DZm7*!nL6?kw#_dKpBTiiVctXs~$&FcQBxjx;z zKCL^P%{iLg=(0{7uudguap!JvxBBOZa5oSkTJ@jlK^BnlKXZW+P5-IT(EJ1=lGov+ zLZy~ggy)u)(l0W;)6`I5%ZFUHUQd)}bDIZC{gS}AlS zA(KF^a|fa66BzE};EZ`*hOErVIw@VZiCjCno=_(R^`kR5Zj;jgO2>l_>A~EpaHI`~?^O6((Z)(+MHTO_m-|Kh z=!SX=2JX7jH9cVt45ADUb(vv$iLKN^!h;Z$+`gfobD*>HwFRFCfq=(XdIwKy2aml> z@k5#7REJDkrwkPLA0tFWK!l}I;?%3!saF>IIZJ;oJ^h^0z+|uB&RF~AqiG1H172i+SdBa?pC5#@=CFx`h4buI)>^fCn!i&{K1pYVfP z2-4~kY7P;~pcw@7;Po3&`^m$U8AAmE(orV%0F+^p zn7vP)(nb(<@D!}KkaPe;U9WyBkv%^WswlTsi)FX4UnW_;9fk)*_=vFuB>$<>ys+;uUd%+KJ_4Yxzr2G|{y zM=t@*M(}9Y|L7Wml-5clx=BflGJo9x0vfK82D(okjP>Z7(37A3%q^ua?*zMYWu6zA2fk%+0=4>fiBPI+5INY=%H3>8OtgG$1 z$cCxThRL$4?Pph8BN0*s3DS`fX`dBoU!o0DgALOt=aexg(Sl5Bwu-PGniMC*pt?Bf zLzw@gi2~e#C-H3-K=bWuPyee?BboTQB$j^&L?tRxLvo}NxeRUXepJRQQpYKVP0-z< z-^;YWH41|M-q4S`)o6~ z2oV|(VWE^rNZmk4ZLJ@-^XIbAk82EsmIp(BQ~UKW{JDVVKNI~c+K8T~f#Zg#9N|-; z@}$;ozIpMfG`DHSZUonekVK&Cdr`D03O_+%FJ65@IDQ>Ss9(msKrFkjQ4Cd^p|M;0 z?TRgYq4Fp~`%wf3wy-0%@U$>irwEoIt{@(P;8*EK@>-AN*&&;@Gp}pQd%WlvP>Y91 zEcKZ(@ZDg27NI7-ENh=y17eu@0Q`$|G~U!Fi=zJ4&tYC_m5#agN{WJwnegPuXbfrm z*XPE-XK5Won|0#v$K8Y(opFa-=Vi+S!&rpHR%a5$>4dV_%<2MX(p1-N-y1)PO-43`X(ASo`2J=Lgf{CCECf8#edxabJf-V%3p9aGyZY6{%~g8`M=zE(=d0DruITs{5+Zq1N{tQ z-uwy$N+#d&B*o|b#GBuRT3`IPL3 z9!%DVo^Oes*Ap!l{PXss9or*h41fK-dyI;yhnPkGoSA0P7-cpI%0?+F4v+KbFE8jdT z5T*IY2h#n07N`+PG>v(z0jPZp(5)~>qZcFkMmZ7ultG`qy(i#!s zMbTpkHO%m$oD- zx|O{4izzb77dx|TD^);mqlh#XE21dwO64Pg6@9OiQo81AD~4VROHB9S>;VC#`@K&9 zwsyuZfp8*$y^`9`DV*dHK;EGx#`uK35X0PYK~RD*m^}QTUnNC_NGoBpEZfEZuiwxb?)1qEE9W^pb`1?I5ycP zV59T<^~gBd%3$T8dEe}n3d6cQoE!t#b`TN?N1H!(h$A$xvv}j!a6+VJ%+YXbAykl; zhnHQ6RNM*cxEq9DJ&3OpX^5_OQ*Sr7FIGPa<|n$w9?Iib(OAXxDcDwv5xp4=CsW;O z{I#I9?Knw%RPG8<6;h^k?6rppv+W`23NU-eV_L2bpedh6h7!dDFscB2)*%%f`I>aa z4B!3&9(dQfayL53#<42))Tv)v!HMh912Uymwo7S7{D2~=vqT-*d!N-;q)YI?*2|@v zi9VDa<(^m7f<=KHY~%T=l})lYQ8hJy`dvSHcYPAS?V9-Aza+EMoQ$AC>-TtgxNAVY z6R1rXMSDD5MRo$xY#QK>cRlA)gA>CKo%93%+tz3$9CzY=CzHbFC;hmXI4eRqzzulg z$CtDT8O4!c;}i3VsfBTp2CYYSER~jcuYc>X4-?)sj2i$)t)juy+2~hs!RMWR=7`$F zK~IbetK%pnzW3R|H}OeXplNV|BMsv0a|;(FWeWM|cklh|KC0~@WgIy*dQx(FE;hQ= z&i5j1;Tx4WB$1vn;uJA!0`u3d)!cy8AJrYmrt8n%&M_L@r}}w)aXn#CUq5Z{P_emX zH0l6JEVg0~E!n?H!YyVs63Qa#W>j{1rdULxT!n<9H1{EusP7xCRqY_!_Q^J~ja|y| z!uIcTZ|4y=p{*A#NwZ8*&XGwWV*7OH-p&f&p0)(Gy+K}7B}S}x;+APhIM&?m6${PH z;O!5D29`p&u?o5NN(az1)6b_fn$6LYYCa)#rkVAbmkGo4_EcKV!|0)5ryuCo6pPoI z(02L#t?Ob|v?Ohczj00~u>Wy?y|26dZpLn-D>|I?{v5rR%6EYLIdyUOWH*x<-e`G1 z>xxEtC!fA~MDdUUIhLGH;+=aE1zU-@aVvI|Le-MNjkw zLW?$;B=n~qwDDx3(Jk{PJjaIwd;s56tMX4-3lpaKR@YRDb#k!DZ+&bE7RxSUdwcw|ZbxUA4^ znU|Z+#ZeYI-QV)VG8=H_UiC0>R`?>TEVgoR{kzp**=Qu?X851aV;wO@AsgXCjnfRg4z3c1~sPZK6vt7_yH|pwVEfM%3 z?E4m8{IS+`K}%X9ABtaas$#SMb!}8~9fx@=o-}!D9%Fnte)vd=L+&?LnPL6?K_$@# z*(BdLv4rrcWU)s_4ho@^Oo8ZM2Sm{J`OYCeLZ#*(p+^DUW~Z%P+5&;FexK0o&ojX= zlmTA^_zCQFZTGA`XgRFPJggm+hlQF?=%_n2*c`d=wVH7evjji~^#c1U{Y|kRdd2(> zgg9r@xmoXDZzFYs6WE{VW$?3)<~$A|>`=MS_Y{JrUmzaA%dD_@B@Osf0d~kna#!L< zIQqZ7%aYfK#l9op$g>~*Hqt3gt8JfWZxi*7K$}*3E^9<2_MLx`8R6Fe-uHxKd=?nZ z=vL}9az3(vB^9XQV62N34WYOiX|#s5+CFGB;6PJOvRSyc2N|Av9=z62G;OhJ7!tG)4n<3*#v&nzV1M1RN9u96V^#T=vuY_$RFqlyXpW zWeDVw8Pd2p?vHOi$hSKpTk$!=%6#L3D~N{Jb`-_fyud@dcROKvY`jdBS20DEH_EF( z!~42Jm~DV`)E@zv@Ekdng$NO|w@zJvYrfuYiu3p$hWi`7#5Tb}3(fFBqqO0p#R-Zk zqDrsC9-sbu0r|^w?B01XwR1m>^e{@lbCB*CeZgeAl6VaOVWJ7N6Da_= zSl)tP^AI989N$I(J$j?MaxS&pfoXn)ltd)+icjqKK6>gaNYvn?b}A=#&kKz9#DUbt z&%F4O?h={tcW1HhM^f)LT0BL+-<`L(TdJ~Y-TW-JJNFT}ROGr$P-}oOiHG)HZEWv- z_Qb<{kT2L(6*fk|wn|9xL;kXdt?8+2B8!l6BmuFI!-<`Z#_tbzA#Rb)>#Qy|V=r>J zoSvTHHlUC4V)#|H6i1bO#c=&5=!Xz9B5SIiFOg9)uJ@5%DLK~ddoqLN=z>Sy{PMM$ z7tt8+iEL(F$AI{^T|L4WHH9H_>?j(Fvy+Aeg3QwnVTa>MqVTJs4ga{~mFYnuQ(ktb z8GY)agv($QIU?#5$`b+%xeVyYsT04`eKYI0T-znbXI}2LWv?yesSvL7c9U%^e;L2X z$i1-$Kus+f_+6$Ua7#=6;Z8h_k0?a|=%6jd8)ttz!TeHIDU&u)_br7$aeE+Z@$KR! zPx}pk@9`EPK2}4H?m|oPaq}@rjctc|}n9(kOR}ys#o4;1w)`h$h|$1hYIWk#9Xk z!^On&R%CX~TkOO^2S=a-&gag3&2}aw!2+Nk@)i>gk>qVEX3ak7<^Emnd&v{@;HKMx zcNZb^9_2wLfeUd!+o%rhIl2*}`-GYZnk5QQ)?oK_Fs9DG`cTx0rrgY?-J!&Bqj{2t zy{~-Lz;s~jz2x9UK3#D1xXM$iGF+fV=yvdK`{M3ya54Gtd{_5w&*SapYK;N|b3{YR zwkn;TxaS@5{bKotIzk@q&Y>?n>ChW*tMBT=7PS+JgVYxfx6j9`3LD78G#P03-8qiV zbdAOrPKdh5DPEdiefRgF?EJM&(VIZK&d!Q=zR<}c|FjM+2Vhga3rhf^6?ey~vBR8f zb1|A;Vda_kN6*j7;QD$W?^N_~bpUumRY1f9-CiYLN1fE!8B4E|NXNiA*TtNBg{9U?!@uDs3% zrFP)fASal5JjqfBj_QRdZEnlYvQ7KW;CZ-GwH=^*V}XU!9w<oKN4E@(iE(V^*#(P`TYpHv5+ao~5?Te`%0u5T8PD3fZ{qz-Gh@ zRCOR*#IAFg4pN|jTzTdYrcq#qL)Ztz+ny6uvH=15r`R!~g?VpDK8Sk@o4LQAz4Kdc za$jTeWN9wCzdC!nJ%3&;8e)A_vfov+4Q|Jo=Z@n}*Ozi`uDtlpkmmkH4;QS{86DAMmh%G<$ z5*u-Q*`_j$4%dp3FM)?z)%T2YfB&msq}p?({)EeW1eR_KRhS6=!FrLU`!I%wQDIW%<3qX&kmjIH}^I;5R390_Y5)!pM^vIr9yhwDYD@y2xTAUFlH z8BZvg9#g9Y1N|U#W;DsUs*Ca%Wkq7^KmaiMzT3EMCP@D9%MT4y?431C8UHQUy18ZD z_DSz}B`%X8_Bk6lF@Isw{b{Wa=&blJsI98_z2NYxg-|(S9Gil9(g*d*MoTFV5sUi7 ztEiO$hQdCsFDulg2GO3n4*)8p=AXe(Gzp$}Q@Up)+>#*KP!fNc6etdkL!--#HCcHp zMI$IGB1NMFPBm)eakCE}nZb71=iXCP>O~1C6r<<#&yS&8=AipxA`tfN*|1)cML*LA zRugl-2--OnTr1kpIeKQ(M7$z=BEN6$(eY@bDcg zp-g&GGDrkxK|(lE93~LdVS67#ke3R%|=L;%NR{l2F3y zLlNgbk}~*GTSllfnF{k(avuU^<98$d-{Hup#%79OW+3lkHz3S!wF2s+>AS$>fe=5j zAN_sa_dxolnpg;MR1!kVf1y#8ua!CyW6A<=uE%+8CC)Exu4ktrOMdpZXm|U&*+^{6 zPxLLkW4iJ8yt8hx?fmxbMWreZOBO*-$h%{GSox&I{fT>~K`F@7CA0YA2^l1@jbl%> zjpB1{Jq7I;a;)QX^*!4h3;lnv$VI#IGQOcB|HvSBlfKoUgkeL_r~KcY+ObwUiV3f6F}f(}fjf7mgw zbv}~~U=<=<`qhdzQ*|BtR5ckqi)WrRhCi{p%M-t}zxw{GV=^77=0 zoJ$(hW0>=xmt2Gwk}xtdN|t&PMt8S8$)i-`a~NZ!I?;SW?%H$%FajoWSWsg)s*?a=QHpdTUcftt8j4S$DSbDX+q5NUFL0Ta)BcA^soC$Z_;mlDx z9R-JICnwq*m1qJMypRvHdjsCVi|MYGT%;ipV}zL6nZ) z4I60TkDO%L+I+dGG3vbajH|?>WH9ICzPw#BW=sMdj$7sMG7GNpiYtHJf^P9UNg*$* zFLNx+4mm%+e*W}g?s|VYTj8UXdY$fQgzRjIUr}VSY*)L%T3yq|-ENWG$HJ#S$eT@& zU3AORO%}ZiZYS+z6n08B2hMC|Ze2X$?IQID88|Z;C{K1^kgZ`(%Q}fC1QZPK9289D zQPg!2qt^5ln72`_%iXGNpTz~d)p1V#@(?c!rv5-LM{e=7MMya zSm@}3@?F2??k?yIC1b}v*#5;hE~UUhYk5MePEYe5rbfNiGrNh)V*YwmqhD3w)k$oT zoCbGh9yYf8_h1<_NkAYD(E+5j8B%XAka~M^3<5+;Lerx)X4kfPeZbrtuS8Yz0s6b8 zk1c_sbnp-WxF#)Ai>*-#wgEv*!4^1BbK;(ZQS_g7ZH< zGI`h2P_D47X`c}td^VuA5-7)01H-(DB%PIV$^HSCkp5CD+|5F%8GmFu@R{^^+3l%d zJ=+iuioP0geuu-O)ur4}zXs%OdXt@tdv3`+W4>o}n=%}Oc6b;TnPZDiLYlbPo$fO! zY=wF3H>p~8H8*p)uW#t@W}dR1=0guk^-GBV4){D)dy75|RK6&_vNsmSMrt$uwvRF% zNjm&|+^5U?Dd_nq<3&x`q2(KnyBNL`nY<>+2FlOBqO7A=rq7~t%euD)dB4vv8wIGJ zCOG{dekMKa^!e9cxSVPdRlLhbeE_O)-qj+R+ZYtWPgRoCggalFAZ#45~s zc59-_w?kBTy(;GIFo2^p&3cmVHzj!$`Obhke{3|zzO9Qz3988q?AUA(TYfts_Sx}O zcO4k8aj5-+l|%UttX!L#jT#&)C$3od7b@3N{NQ~jFd?IpANBtSc!kNeg)<}{`zcJr zv!^BbS8jicuPo1&?KO5-ebP>D@8(knu4#D^bSww?oBCgAm05>o2ghM9EDnile{OAm zZgNi-`%*7)q6OoRLA+m6a$)OE2 z?DN(^7_p0y&JDN~ew;Y|b6YrCe#$nb{6U2@1V7Q^@}zK;2Gad(q-&;a_Nq~flTcOun8%AjRa}D~kB}Y zh7`TsPy_~7E=5Jp9sA3(w@Ad`uyRUO{6z|&)Z25fv*t3!v}U`a1DJ^LiwKPI*{7u+ z)mU|v?X5&Oc$#l0Jzi4*1^Z*53`Yl);i_Ds%=i_GO8uw*Sm}|;bO*;sA}@X{n$CpJ z(C!ejas=4F!DL&b5B^}vA4-5*<*CJA@UoQT&uZiBsvHkiDNQdpc$rlcMS&Rrg&u;v zZ^?Lt+7)Kw(7WGn3%X8NBo!K)k3`L{*9b8>8cuY9#_0B2_w$I`hGw3*k&um(1(G82 z!UgK-m9xerUloscO}`vezMe=9Nxdzp2spvlQom^Uh(HjZ`~GUl{}&1ami%10E|JQI z_@*Tp6NFg8yaR_P%&#NLHv^&luM$&$frX}l7w}1lCc~7j{X@86^`RLZFgj!Tq}6gj zO2=q^JTI=N-sVTswKABi`%=780MR#W3rvBCS4~Vr=$TH@^(D~i{{FGvuB-;WN+cG& z6q=(*r~VTg4A~lwyYr>XlM1fpPq~v*gfbF3B=*IOqQ%Ba?TjR5+9ZM+6N~iU>9iD1 zrmpHnrbthb2@DHXCAxyxnak>K-O5XgzX6!ELNYNTRoaT*$7DU+$(8l zzJUYVg|DCPHBaFzXj)uwTv+Sw)w?-WJnlwoo1G>nz?vT4-;3Hxb2XOr8tu;X6gkjb63rHKmd$Vv^@6u)&3$+8 zAywAO)`h>_%WT}dXL}pn!tj&tNB6wgh0vUs&0eO(AJ=M5%waF{e|P`p`X60T^52vm z-`y*7)^+a~v~KK^PM_W7kwfj>tm~&R-y~pUk$)WXbYE)CJXk?b-=5sn6#WQy@Bz|==x&elfv&jR2bi0p zUR0X{q%qLY!yQGVk7rQ3v(r8r6=vLMQr{jb7W4eqIb1fo@l6xcN^=+h*1y{W1a2u% zzp6}p-tonrAyEglgoYmaF0}BSt%E$(dJeDolMl;Jt=&LL8B28YC@w2IKejvq154m0t7HlM(gUGkbK z+2~H4XD7;wLC^%F<@1SO(?s2vY>9v*lQ+(2xNJIxy`KB|*yoewCfOGrUpC8L^9;yC z-#~!XYXC33-wFtzl%gROAt4{;#{nUR^LJvzy#Z|j1cwJEMocav@h5$92uKtpK!epN zB?h`{xgoGSTQp zjuTQFT-9=m0_(WGlL?k3`G%4t(J{4U>pO*9B{_OeeZsQHHCa-qs*GTl*h)=*fruhK zCjWp$B+~(c5*7=Mk+UB(Vx#91Cd8gh2^cM&+%4f0&mct7S4vzXhw~kJtfBTh5N1MY zilEM zB1WXR&cDdsU?i>Uwp~IJ-J5C2_-MCt%iqj8LafM9c=1F5`HlNYVGZl%Z-e#y`X9P< zshO+%2O&EACm|m0h+9o5zRpRPFZAdpKVFV-6)hz=+H^u+!Pf^zgZy)Co@K*z%tD;# z=8MzBZD7|c$Mdp0?;YCx^)Xw@&GCm;ZyrShvkghRD1yBDo7H~W3 z6V5ioeV2~0qREWAKzpA>>bkv_TnTV=HW(9+I>}h1lrDbWK7SE3L{m7#15l*y_z-&Z zOTWhx#Iu1!)%Pmvpi>F(f^>#(Jm)=|Yu-k;oTeI#O;mj|FT_~7jDjxlLxf3zEQUpx z5g^vJOh1W#XFA+A_;z2(@AFwV4!Y|mFpmOE6sZY)`q%?_agFZ@V`^8Z0L&{u2Mwn3 zX}F)sLZ0{`fRP9U`axyyJQ|O8AwwIHlbWkD{3-mLi0(>UZ8j_3pH!JG$nGypAhhuV z?WP3_WaBr0(fa@`>5wT3CQilSujIFBvjX&!GKfbpNN?f-^O2aRa6pT~xfs1on^qhW z>NjrJu~>mRA<9bFFbk6qy9HU?f(DV%cR$l2qh|Hsvb6{aCT@(k5k0SgQFg0!*+A}#pSliBKKoDL(uS1;#zF#m@Z^K;ZAbdYBH2oK7z8=6yE2zpkGZ@C+k-{dyYb3 z^I;-AFYIL-?Ls;*ffJT8>Vq0PtZj}}Kk=R}d6`)xPxVulf6tPJqA$h@Dg8%!W5_LZh8;e=}%RY@51Bz3CbNBO?x9pj%mU`GV8MHMI~cYF8=YXu?RIrGX6xhttr`B z>m4Ox{ym8oKrLh0hYAYB7Fc@D@kEuvkImzWkt<)Gq*oM8;}*U^FbG_-#^Mrh12y^@WL&O1ZX ztU|FvDmLci8r0FS&M9x;Fk+E9cOd~wTXThKz;}t>QO))t_|ATS>BI9)8us3MKBtz1 zxd-aZ7SVpPPqA~^_*(qh<}(?AYz$|&gK0B*c~!m>SoD+lg8&^veftz3d*OS;2g0+p=f`=Co@7$LI9}f|~;8#6D9aQr{DWw%j47Cn{5j^v(N9aHlZtyIo3-G=|*Z|*{d40(a zpn?frpMlx<&>3zVry0g%g1jYkMybm28~bxg`beB0qd`C8(HpH@A{NWHbG}2wjfTrrSyV0OQT&~gAf@*!j+#wV+05Ira0R$CAvbh4!Jp{g}fWjviWiw3b z&Ixu6gE_xa){GVHH)!7SHmx`Ef7LmXV!8W*H-A+9s(xcv(O!b8rwEhzReiFFS(oz_ z-h7YIgFWY2ym<*FsG>bhTclgi-g`BJ)C?Y?1^21BCh1-Se2BE|8cw_^3+7}>JT;;X z50g-|>~QCkWYx1*^;3|FY<3Y<*EmZgMI&*>JYXG_;cD?;w`)$3v&Qe_I;p??CpQ%B zQw8U;e#vCKs=qATcR^uD%_vCa8GKX|?uOI2vwI2Z3~7zSo3Ac51^+UNH_y&A4O+&U z?5E^sYK9SQ5|@46m!KbsIp)F3&nM!i){e#vAbzmYAe{S(~oepPS$%{bd* zD?ZOtIA{;|i+s@D(d;*PqHkb@jZ(a-VrEm8-0pE1w2lQm6!LK#lz^CNeDbP3D*XrW z`%ztjqDoKUe`0|E@5O49smfgGVK!R*rJ{V$Z8%~f%I=_#bAN-*)2YDV6Cv2D3XmN} zC?M*o0n}0Y51PdcILO~n(O!KGzPh$%{bB%Ee|6he^?Loz)hQsYd5pbgx#5fa(pU9E zbldr$jKYuOLpi^^@Jn1lUGx;44LYaQfx^vTNcPFmt9tr3Wgd$l+AQUsCj8Ht5>!$% zB=h-f!3{LS@=r6WO5n9tx_~!8I5A@ud14OUqM{{upNhvQ`3YKRy9Y6R<#esOeJSD; zHfPZA<+2QKo}+uu`rEJSEt?<)UUv!+g6p^o9;x9@^AkMnImX1))q42wB< zRbTp}LId6}W+#5K!@j4)$XR2jex_cu1#zb3bl`|wh-u8Fe*pEO!akuNZE6N;6Qmdn z)O2GNbkNe{zIx5nj9^PX&4HX>k!Hv3NQ(B0TIrWMLGUpfE*-Q7KAFK;_#m2e36LC4 zmco5UzM=qn>!*?e5#qw#>8pCV6<$?hw;+bG+Z6N1|HuA?BG^=c_bM{JgA6J`RjhNP z7PObR?SA($Wl>_-*P&hn-nfK}KP`>V{*@97nkl7dZ(}&bB0WqM4Nb_9q#_30rQ`}@ zy@=5O!m&x+p;dqOkvz0)VUp^?Gp^1 zYKkApXeBV_Un>3@b(4o^PUc+b7rDG+tg3}3tV=c-Pvt9vb`>Q5*jtD*wWTe{C~{KY zH6L-Ss$8SjPGFpi&zc@_#~yy9Q*I4Rm$)Y|zM;FBna;jwhj5t3!GkSFI-ns4x=fn% zSFDSWSyo*Y=22E%hKP9T0~!86G~(lLW@`hWeLjRw;2?DRB{F!QX(zf6d$gT=Jx@2UqQr4TBe%(Nk0i%?>Qr zBCa5qD+3*rFc{aCbJ0Fp6Y!^V`}q0^QqI&zcR&rClswGxs916?$`O%|rNFCK(?}1% zP!yazVp4GF(Ln>lJ@W;7l-BaJ_hQ0J$&_v;>3S-#%26Lg77-%m!;3&!ABVqQX7dTw zD^^{?ip!_QiR-BDEbva!{iQ3B$CmKcpuI|zF?K!pTmMvV&V|=5!^)^zS+WbdTLPoT zr;52=wLS4Igj5OPQMU`)nx_R~;PKBA%b)WLId_gJ?iBln-0RnSDsw{oj=%4E;3fj$ zEFg=Y+A~~Q8yCZNwJ9q6sVV%E4B!l1yIZo%ilkY)_HJZvJrvKU8(aeUwPPuKF9lxc zRJJ&+4T=T?itPnc!NpX~2kqps0Q;${uo5mAU|O?^>m`iOw1D+F@;nnqU(WnC*N3q3 zo+qKOR2L4HT`-&rz@uvifb%nn$LfOo{-~~T^ake~=Jb?ezV>^w-~IRU0E#_ zJuxGvBK#jJHfRQygQ}9V9dxb9VQjY_8bb*dPdj_h;~3>O$a7mvP&*uYBGHIh8;RGp$4lp!&A8wcNWqwzi{E*6Iqq&JZFsYEe{E7_FML5w zb*dMl;T50DPH$5eL&(;NYXOvkNClw)GGp9Ih*;GP9(c#JM(G12VVSTD;687mrYOCr zh&|mojJCUK*8TFZb+3V5Z(a83z0)ZZ@<(Bu821!2kZgGuGB6Xwnq68#Y68X7#9Y;> zWug4{LX=kTl~ROfHt7@jyFVrRVL(m4AcU4XUXuJZh2qgIa|=N|=FOY-?TuR(5E>6h zyk)+<|JhTD^t}81!@D?(mo<;U^XXPkR>ViPQZo*2OV;X&7p;pd@^$}qb{!Aw;ve2! zkhp2Bw|Z*hKX}%gHi0Fjj!1v+bG)Ix6~jRdu)}ju^T!I-Ap1f1G}3JhTQugiLG@?P zKED5px3_?bBk0ye6Ci_Ra6$$N8Z0m{gS!Wp1ec(LI{|`QkU(&ECpf_g!F90U8iKoq z5ZrGk|2h9T|GMYgch6gIy|rd_RrT(w?&+SXz4y1j+WqSbkmqOF{=57t57`BPCqDhR z4XBPGt;)ZRpq7m6qM}sw$B%a3DWYpQP%Lo$$$!-cj1oHV=q|CP)?DPtg^2$YVf&IY zM_s@e+fkYF^A;cPUN(_upJp2V!9~DM5uK-7TNtj3ZWCe=^}7en>WypH`ID zuss|@b(vr;No^_qMD6aczWuOezj`t-;{5CXl5V zUJly*R#rT|E!*sAmj6D{B$&<^NIsm3I0V8g4@x-z9@o}~v)Wv;!P*a8y_uLgayrML z!B?O73KK0YQ(Femtg#V6MzbhQ3@>=ou*lM|h70~=*BI1tHM4-vVgVQlbO6ApT8*UM zJ!<)8W3SmpQPi9?`s|L*>se6<^_$&3=|Tg3DykTfOxQx`y|I$VT%AUai9idWkuibT=_tf7+g}C)>lV9Ny8a0n^jbBk9>fQ ziH7|&*y9_OLw0p?=q~?;kL*jE;HUlZec(eJ%B8&8cY%vdbA_q+<$ir{4K3s)ySX+7 z8Kb{_sCvZ&+>l-600`XeWNaGz?}-Mm`MdLNRcbW=H~*{{+Hys7WHr&Ga}~dL#JF{J zi6pNw$Okpb+-^R9y+|-5LebWme1hFmbaZn%7}s6D?3Q0haX5rOa?Lz*-KWx+6*g>C z<{$lI;j{F>pO@4uwC`F3G;T5~p~`TMDD));6`fD1>pn_agBMxLF~8Xg0=X#K@RS^j z2Z-k328g{%Ly?&iTw&(_$`}-BB2e7ys#~TI`jL9Mc+ zHF|}SV|GdU;1x~;Nr*=prxSEt1Ye9V|7&VbJV^kXD5+ym(T*QdUQ&-%PkfCv_h;!R z)!8jnl`Mms2ESB!{>TAwwDXtxXO35snp=dCs=lbNa7DQ#WTYPf$%qQc2482>iW}jQ zUyy7^@qd3pJ-H1j05UmNeE4T#Mam!MJQjl2IwHOBpe<@L&E1isir$;Aw=*|6JUb&T zi9mA2lm3j~nL72FJ6uC|xFf2g|B+pBoXs^k>6uWW=-qAOdM+L_{%-0`Lvvsi0gz=& z`JSPgRx&$h3=2R05!*Qp(aIsP1T_&+n^GVhB z%Pp^6F;FgFvWf8EP^S8tcJ0%p<;V#{PMi;%+14!0!ae)d=I?*k`P{la-8WqScylw@ zWJXEmS_d`TXn6thz2i?l;^mbVkX4_)jAQPZzpF?o-7yi-mkJ-RuLhw=jT=WKh)-af z!RgCN-RYcuu-qm3mfPye_&Y@qd+vC)f0YWux2DTt35M>zgM?XDxNv}JFU}Zck*{L= zN?N$0&)vTq&^;Q)(JMZQL75hnSq$fzcG(g}u|}y*u6+CBOl7$_wI|zPZ*n3bNQ6&%Y_a&82Ubu4D6wV z=!D3uOqQn*OL&VDBVg=hj{;X1f%kW-+Vc4b{c@1COg2MZO5N`|qV#3|uIa2cxB6Q6 zDm-q$4Qe=q%iu9x94B{;kw)Di8w7{3GAO+W7i$~lD$ZvYcC@Xq^HA5T*pw_mK8is$ ze0V5%+?iad@+0)>1moLdL?=I+rGcxi4p@tXXu@#Rc=}l^aloQ^G7dIKr4Lp|3Vtp( z_bP)sJcf!GVHg6ukv(uK;=ySq9H#jk6R0yhh<$-*&&4+e>*SA_fjyK{yC5*%GeZ%*YiUq>BGwrb!r_Fso1Q5>@s23k zw~g+Jg!juLr(8vI{J``l7mpsyQ45I{4L(oTXv2U1E;_E4k!`qUu2NR_ec;4##*3$a z64i>Kf5n^Rqmhe$EY?X<{Mqyy7>!(^1s~0Jhg%R;EDOFZjn~XGjEm-flbd9KMshCO z6NTzNK|CY;e~ z>~}GZny6;?7l;xTipDAX)2E>*3O`D79(cdf!Wr+Jxsx20$6Bg$+kp&>$2V@0*WlH}p_QvA; zL1b}ffmKVc9(iyEwuq7FVe&qQ9WD1BYu@n>0U2r-vShOBpKTwwQwjl-fnLK#yb}+r zX@-Zs{EsF|J)4>tEh?qw))t>?>*5Wa3_rlA>N;f*&-vcXcdkyvYL``2lTVuvBRXSI zn{lg8#*8~-5mmU=#yi9lMl-z6Xa`1N4{1kL#{B|%vS(xio)V*C=A%@bC`>9wKH~_3 z8}(Nq>|&~tBI!@>V)OcVeac8OOzS?8pGUJr5H z?b-K}oV>o<2lf;j&o(QB3-#Y_6yNP?%AJ3|dI2Ot)6xzp4b+YQ*(%(Swo%nWGwc=a zrnhq{l(|HT;Fz^;Wpa988LnU0Ch~;?&ocb8;iX@4V@Dtz)|p!r5Y0)hG{G7{&$k2@ zlsQZAcN@+bH&VBl!47T%SPI9DUj#WzS0>Rq(~u@*JGCMvv8D% zV#kErd%fSf_(g9k?#Hmn+_wlDhafV8=Hq)vtsCgOjTN*O^n^Mh*pn+83Eke4nZlmEbI8Sb z4dQ(jC@LSlQv*36tqukrylCX0ajxA$KRxhBc9pp6`ex;&<2*#nJRl;|;lpp|*zE*q z6dQs)3q~3@LukUPkfci7yYD-IlO+B<34IO{qM+sNBOU>VoKUzR4f{}9;E>voY9653 zJ7!3QNlqQWHSJIx+5=n)b~Z7I=T6^d)bxW?zVW`4>5Cui<)IwqJ1q*>iEETyYQoRbezAIS&PMJ30DDOAdi5se=&-xz-%ybBWP9wGSX_kt@ z6R9?TM*~i_x^GHp?;VWR9~WiHKz6vMEP=<@@3XvvG*P0 z++SJWKgA=IFVjRm3jgi;Fa7>0I5;P|<#`H>{4e7Ft6_mF;`1f?$5~G<^DK^~kGiC{ zX()3Zdj+!oqW;=0y}jS&bA_>Rl*B9U`U}6Yi!w)G|338Fe`_Z%ZF8Ykgto8K`hXhS-`aNAoTTm~{ah}0 z3{W%pTl?3;yg8$5n{qG-6Q4J}&=;1Cc6c81Mwk9D{R=sJK^YBS zqe5AzrRU2t88j;g;!{Rl@`y>pFY9iJ+J% zzRvCiO{5XXMq$&leD@0Q_!hnRO~%6pW*RezU2qUXL8fr61T+&+EfYHm1l@1KDbixD zMAvJ})7Hvo9QzX!m*m@*$)@a)2ET9Hdf7B1q~zZVL$0zz!~}Hrm-3I^J>cef8L}Z^2{lXF<@u)?Q)`t?ab6Qq4bG>s8X93R0}D(PQ2jAH%qPg zBC@FklW@90ZPy|RONrQMG>_QuwkxW&@s6o-@Hhu|<<8_M)#6C{l}ygU5qxv0DW2PR zk@px-1=6L9c3Od4(`(7X)fvi%EXZ}IVjwY?nODG$^dp{NhzxJ>6Q<}U$Y!`b%+NIX z2pe=j_h;*^3|k`uuj3gZc_4k9b|$1^zoVAz25I&`t;s>z>C5I5DQ^=aE?JO%FMk_@ zuO5||1JJn6tq#U^L=P$RX`!?U@W4E#qlGF9=xwz|b7#!MFs;4NOGb#T%Ptj*g>@CQ zTFy&GKm@}z*)MI)OyDw`A)*4=y~F{+0TjC6MatR%W2(W1m`{{R7YXOT3*e1Q)h~~% zbvdER(P6PuOR*(mdpP#U?vH&R^DmjLq9BB631J90=-gj5EWBf3HLuY53-5`~+_VHM zSPJ)@aktL&knxM%|;uqV?x)dperZFFe5z%$XW+YS=DemG8W$`ztlSx+Wz!&KQ&m3 zZ%QOxVRzK(F~cMA>=9l%2zXJ%ZqcAHkcr0_KCLy9y97}U!(=8-S*<(_$J~=S*kMF{ z?;qZO}b% zx_Oi$)4qsX_Ngb97sc`TrS$pB-p9`;_>y9#)O8YCF4sG#%c|s(9`jRx2sM(z<_qu` z!eEAV@pFzG)`p`r5gdq7Pts?|L?W5p5I@e)E=V}I{!GD_VbF`_q%5bG>fAs24DfjO zh>|dtqN=7>@xz^7hQO@qIRZNJx0vR>$meezFF2^FOjxCU7$<3bh~6S!?0a~1tB6Kt zScy#Ke&`99B*6;5ZXp3a-klu^mtT03MZQY3Hi_^46smxcLtU_-(^|JIlio?StSk&N zKl7ey#`2j4liKNd=_K=HaTEx(S-aoNhj|FY4HJ$pO;Bq2+zlX~KLPwVfa@)E4lsWBM53dDR7Kz46MlCY8vKPMm(g%^7ablL>(t8+3I+NENhJEk+@v zU~O11sEgPLj9nlk?N0Naz%K?4kt5U&U+J^(Y_q{w0$*=cKv%$)g2)|Q-X_GXFX>l2 z@iZGFDI94<|IM|?5a`#dKwgrz+n%U#1HtAx>*d4F8Kw5uo;QqEv1U|aQH?rfRX<~r zM!RwWY#~bEk&v4e+z7kF_+w~QJ(0k330al^E)9`sIVf;R3&kCaWWZ1F*DC@LD)GLC ztPxn?gn7#F$_M#;TxD2vTQ*#lF;AY}DikXWvs9kX>iwK?sitEhtMY-2L|RT=9dG`7 zZ}w!CIO%H-n;*sp+R{;MG=qknyIJ~z?O1U7+g$|dsl%6^>~k?(S6$${4}$`TUz>wL zEz-9ffKPwgVMsG|B$P7^qhE=P!Ig|K-~U8Qwp(#HpwN3tcE;9oY;bQvzboLCPkPyh z8Lmf{d|;?xo+~%zgETpdJ`B+UP4ETlZ~}gcpt&-77%U^SWYQ3qlTZy+s57<@Na4EaIsy?4^RPd6`t&-di~^68 zJ^5RVtun479)7Tw^gJno%^R`Hhv+0ASz9Czdsr$S(r-9N^K-H~`HbRxQ_DuKBb@4B zC?ysVxuDG@?XQbakWTUZd0IkS1`jX(#8{qs?-KVZc{V*}XvPX=>g{m2k*9be&K@>C-QBM#^%L$z7kj6}NDOubO(6mbDg-Xo`o= zC);wErs#NcHQ5ejpVsOMl~jZT<7PhjhZD0q6)f`ho7`s6GiXk+3Y*iM>33 zt2uCZt#BFv{nWy%6|SYu8eD<$$>;ZNOIGfnwv(p~pYWH2&*?|uZDCL@!58s8v(5tb zeH|sINJte<`51E6T3BFlCpr8Sc)y4pU*%TCZi$dNte7O}NA9}VaLRstvYNu6Eyy!E zzHXSzh#fEIQA%=;7D7*Y0%jKjH_A}d{dykGrNLKyB4+9r0^^QlQHp)s|7ByHOqY~a z*0y6?zs|PJJz5a=R13T=$%2gDxQke;PyOF*SV~DY{}+? zXCmnV#>2iX{QK5AuLEUFva9|O5i?eA_xTH~*i zU#&HsN#QdpSyUn$2W=;avf=3i}1I5Oe^OWUzNp2ol*RmpOyHUJ6Vu{ zbgJ0rnQ)__FVi%sAnP(~xmSwtC9BG@5k@-9cA2kiZ)XH~}fWdkCMnAD5 zyNAZJsiDVT>@W+L=k3y?hfGA@O?y-z5E&w1=45+@X@)z)ep-oJM1{j5{ zM-m1LNf&;E$D{D0gy%@cB$UlRegBpcd7y(VUl6AAzQ}iMTI8@>(M2A-MIOvSz6_Fl zsfOG2{Ok5T(pKSSu7ZzCkF#GcpHdr@siUU$yvm%T=p58l4DqmsrAxK(HwqP$#TAqt ztEx_4{;a-_^1WKDzIUz}`uU+EppIVyf{Z8WT7O@E3%hDPuiW?Zqe2MV~YL`&jeKW`gXYyKIpNyRSaB9E4CRD;GSYK0%38p zBnNIQv=g(7_;nkkYccOLdvNTl3~4kv9c}`buq~$=H{pd<5*>;4M|1vXi{7V}$5RaF zQ-wpCS@W7%3z}I#g~!1Aoq_kF*XeifQ}^R3^Yf`KU@sv1-v$5HkK5q(L`_L6nD94= z@`l%lYUt8$HKncMbTZ`)=gfh+BQ@5o;x|C!?LQma#R_d`iTq+7evhAt8o~pGc#<#J zPn}tihQ;y+aM0jpSmJSD2f_ek%Q(f0Ok&)A{2oa2C|_CJ-N zWR8nU5ZOR%xO$hh-I`g^2{32!2y46()>ypI`hNNMbD`zFhA`biY(zvQWJD$WXYqe6 zNE_?m&)jDVVMi)6TrEM4IoxWw`p#q4v}Xv@|tC zeTeMOR)|OA)LG+nUTbPXd&&u+u57NZTwT%yLNiAlC1nRa><$-GM7CG6hrue#Qdl5H zhFfn18q#NnvB4f$KRPx#TPPvbj17vAdW;TR(aJ5Nd3x|C2lsm#iP=VJ!H^z^q!K0}&&zeB~*@m84&M?>7NGg);9|@_TL={oA(KZBi>B0MkPV z^x1!uS3<^!M-B2K3^%gA@P-Eq%^VWp$3N)N#XuFIw{8B4c9aOuEv?(>dH&1z?ftay zPEY=MbMg(8jh>GUkSDJg?7V~5_QANh zrLe~PRg8@Rb1J3#2p&4xafs&6g_k%01pb!DQtzTi1`!{<-hOx0iRs=!*8au_W?X#B znOqNYBB!23Btx--?W5RD6h4Kd>kh~-|5#uclm=b^AV-3k$d14*MJsNUWC2k35>_$Y zKnz4=L}V}!VfzRylE8R~Out>>sYl5(gt4nH}z|Iu^B z2?^Q1f`RG$kpMUhX6a~!(oBu$BppXri%a*JW7N(*(fiA{@U-!uc)>Lc+U<%BqnxJOm?mEWTAC>x`w!A}ZxKjOp0-fVsR zama$Qm52DGfa4IQ0RuqnUE!x+dS~UA52s!`EIN9BY*r!i4e@#W@b#)GE}m_;>tP0@hEpNx(n$aM-@g zz>Q@wwYmtvy5CeVBX#$aDd3)XZ~?b&8)J_*&>*|XUk!8JC$m2S`p_cKm*@+6w~~93 zDSFn3NhT29^Pb4fBhf@u8s7JeW82S3dGZJswolC0cxh?EX+Sm>=#~m#4TSM8QV!T? zkegcs38bnS2?ld*E?Rs$;QYt7z>c$kKcbi{qF4#BD}^YTNNyZU-u)}I<=lKn>ZBV% z9V*2z)vgChCO)i zuwiG3agnN@4;<8@{P3zrD{eRD2ap-V*L3Jvcxdao#FZalgsC%skq!wr!qen9ov25E zF#}?xn1IK%Ky9SaTiGK_FuzKgF*bt6;DaxQSxf46bBApi-uq?{gAo-!#zmIK+vWqD z9Dg5<==^BAGH5>nseTn3ZWPA*BHZZE(ThZasi@$F@ySf-t0A>FSd?--bzEI!kIR8| zYZZGY-HD#E58oQc?#TMT>z4^{UWllC>w?-LUmxP> z1*qXQ3QFWFtauSxFdZom!D-%cXh>&~->AxPR-;H!_#8^P)J7#`j;5one%IWcIbemI zYNs*e?BF4vA5d}agqXqz}Zv}pb%iC(?AWNTK}Md7zKW&}3VHHH^7of(2L#{xDF-g3|OC@23+^p!$+cf?U3 zaA@gVO_mWf%Iecu`EtHz1ag-7?uam0C0p+%Fh_DtD%ZzZ*wQI-M2{)A2H{YHfa!KJ z5(&QWQ*oF!G<3q@4^n{4l7m-B!7LAg9k4+yy?CEHqF-*60hZ26EjQJyQyU!TeaT(~ zo4q?1FBxwJ?75XHctX0J7#sBNS1Ii0OQZQcRik%ln_o_csD$!NyaHf`tA=Y>xT0 zUnq|jLdchDO5NcY9Z^x2609ALDQ~y2LQIlIX3IL^iPS;4jmD;ajtNZuUr4&CF=rdu zqo2ut`E;@mu7RZeV98vv{t*C>Or9M+{G8X}jH*z!%n!gZmjsuq)5OT=pfn9g7jcIR zC!j&zNCy<7SrB4UG4?majbsn;_xXO}{4ubu!4QeiRbdFy!8!Y7z>19T%7Dg; zCU@VG{mojN4aLE;YkTebRTkavTK~iCY}xAOD0EP}zPie$N2}hLMHik}Zo{JM_CozahoyA4Nk6F<|g*PTfK>lOq2iLkzdI@^ciBQnIMi-v2fwC7f9 zoqN8wem}Nk8$<>ZSLUF8pIt*aa})GL{0N&nQ~x+}jmgix7r`U^f%r&woc2BlM*eK_ z5z-;Pzk&wLB}I26QR)?|ekZD4)>}D9J$=4weA{K(Pw_q}`M|`eH5BaVj_es`Hv)!a*cE(iT#j}eR5trpJ-4|#6 zOTHIh3`#}i@S|oUXfd7Joq)xL`4+sn^qBR(U7OM^m_>lU(sd9{V*(}qt9&&5;11^> zg3p$@Ak}YmG$kN_>pRkJIP3Ha8yu_>LdKLlwJVj{9*qI3Gpls(&UP39qA?@_(GRHy z9%vK1hvppiLeAzWFtzjKb&gYHS6lK@PZ`XgUG-)fP6-a%#ZFO!_xpKiWzQr>6qP(< z`_rhywHh$}X%pq9 z0OT5AIb@%Q3gUN)5`;FBcIqo7$&?7(q9_N#$17;(EXLYJoe1I;xFOZtJ`QjHe%O5+ z<}Nz=FFP#P&>6PS3nM7g(<#&ccQK=4K#cxQA0+%_AcOJ!>&5LUTzUW}^Nffy5Fh0P7h<3Lr>$ zJW~Sq14IWrG{E-3c=#m1=&(bS3{01EN`xmCniwIerzR_d#I^I8wbR*v=u>*G zJfGtF*|i4)2)gJK^{YD@GH`HCrVP$^xNaGYCL(uj#2++l9QggUONG z-pBp6Ykp#l{P+qAlI^xAtnZAHfn#AC&z{JM!=}SBWlr`P@#+lAlJH{$pFIgSRG?A1 zr64l~;v4#$(K;pyT6%3o=i#TTkX4TuatNx%vI==F1JzjK053LR&%RJ1Xwy?3Zc6%$ zWp6n^c|Q{$<$LNv&lBatCaZVru>}#`1?{D^K41Na?gyrCBJiw|`ZF{ulT}PrtB`^- zRLx}t&<&rz3czt)yr! z+#^(K$UN!~2@WOC$8MJCoA?-?~PR7w)CPt@_YO0xRfcnf)c9o zuz=Nx(PbhowA;9B%d+P_6g?hdTqfPt^d`Co@{PUdF(~a=dc&0yV2{*|8206oguyJ} z%lo~M6X8(>Ifx9+Xqiph4*TaEYGTAh!R%i;iOQjM)(XP!!ny5`SeNB?Aie15x3y0j z-eYs{mYw0$X+*gKa*`nYgag2Vjd#u^LSUOb=%2zMgwtLKM!oLj%7XghOj5Ac%Jj?J zj_j9{&_AfJGZ>`O9>WblRlTGC232v0cu)5dlkBBrS+s!zPJgR@i9w|i;+Z@Uk&A~L zj%~y0b@Cjg&^#1L0lwb@PF#TRXNir5zC2l6-bbzQk#~p0Mt_Ph-~0(wo$(pJG=>2CjDv z#z#DNE-Ahl8p^FVd-fiC)b;8M$Fgl}rT51trnm!>drR{;oS znh_?FB>Zh0D9|UjQY@er)~gn6@bd=(a3Ge};sclugo)pl|1!T6_q~6fp-3ll0Sj*G z*9F(ZQb)}tyr#)tn*hN}Z+%)lm=b1dwk^{$X`^S5H#+#ZA9_IY?>KgM_O zpk7w2te1^hVogh_VfRyQmyH@7-%%~Ps`#XnNtIud?Q_w!lZ)n$su-k_4+hcXKegF4 zf;!}ar^7@}@kkn8gZ6^*yJJM!0g6@i-1pJP1B%oIP~psn>2J&xJnyL--e$lP#2Y%Q z;Ir|Y$3JA8Xj&kzJ<+?U_qn8E=V&A$-s~+MLF|xfQ6*59Ff}tapJkR=2%$?5oXLbI zGxD%Ex%xs|b#p+6e_d;Ib%XPQozx3jZt##!Qc5P`Gzkx*@L8I}T*pdnSlx`_0F67D zg6{JJuGxLQ9aieAvwTHRno9obrn1f77dOAV-$X6l`rfm=S+zBYa`tiUcU*bLeQ|%= z=6l{ldHT!uHnwTAl!uUF_>0+Z5#O(Lzp5^@=@aXyud1Td zZz`pwIN*!q?yo_H*y|{ieTEV0$|;PYKr~|E1NfXvgj6aJUsq%Gvc(t$;2t-7gsa1OT161uD17X} z(A5xFuu$)qDfrWaM$5{iiHxk>IIGGIhxpe#B)W5NJ3Z3zOxsi6<5}zkJ_+i`o?*B+ z2ccrN=U|8VbB6W+LFPI@zp?{t-cd*_Dxy!^ojuqih_(x|^CX-d;n{NKVx6#5t+8?u zB?8yfdq4tytxDCvCc$Q{=P3n)dM_j@u?Yi;s70>EiHL%KZjI zY2xAV1XKU;tb^cQ+TqB={qKtVlQ^wg_3lLSbD=VM53?@rZPcBy`OpMTL@O#L+|nc& z9tzH4U%=ZNt{x3E$41lU3-^IeOTJ^Vh4(h`6C(&rSrtWAUz-QI-zILqjb4^!E^W^J z1U%>y{y4bTelB*kznpd4aG-$x?c{%P{xKTKYn52>;2Kb)-BVOTT*=ik z%Gd?a*i2HJvvlWRyo3jqSsiMr-`=KrDVd}EaB}+1w`Jg!m=-tE%fGsh-gZO+D&9%bMs8KbNhok-r>_MVFstTzGPO7}n+X0Qaf}l2@fP=--^1 zG4h#r5wvayGm7|502HP<1wK?9Nj}zQtg2?L_naI_OdLrf2`PhZP>%Brrh?72v~O<~ zO*X3szilX*u{QmC!!#*nke(xnC*|utyOVod${_3iL&FJeQBA{~Lg-N_sX}<%pzKZK zqBl1(Q!iYo3F7$l4hTl8vw6dtWyB~#cQ4|Cqq-A`Jm%u|ih-X+&w_C9Y26k-IAxzM0E~a7 zdf>He3=$NEG|A{iP=xsR_X6}0b`XV{N8fhw$-SogD5P_?{Vmic1+P13qn{|t(%|~i& zPS;|_t)v=<4YtIfQi5;!hgMV7R>oI)@KWEGJ*F#GkL`oQ+JxOSqGqSK zE03*V5JCCQM*l1>*id(hIsVyO?+c?guQ1vg)i>OcnRQy+WSZRH8&<0wP2(b%S^vw1 zIss{n7Gc0)B^{^IFj7&$(?HixKz;YNTa6%}52RYm9tMX{TUBj+ik^z8?nhFW(*E~j z{WlWhYR4YawU2|y1V!%84K{DSoBV0SWRe1Alh9wY2~7Rs;ka->F=xsKr+YZcm#xiB z|6eup^DN(aQXgXaZ!Lc_gAMf^#X&s3p7~A|>V<2M@c6>DKDlZSdV}u@&-Fp!EwOVNlT}sX$IzFq=PdN?%j?nKc7?#=N z`nf$RbIdjPuw19;@mH=8$0_{*sznYH_CQDLS3!U=?`V8E9a0A@7)V4)0<$@(DL0pi zG2BQtCJNo|VFT4@vDN-rmr(Ch1bexE8q#|! zbgY@BiePJK^XYHv5!O9dA>LdXFWg+!(5lz;xiZ0_54N6`i%OP9TVd@OBn-*^^$fre@-Vmr1F=x zqk6%cvQN^PkD&a}NU5;3@tfivfMay!qZS5CaQgiOz>LyK`s9~r7~u28fBoZh8vkJ) z0ZkRr7WUa#`iRUiV-6)shi2RcTl>3R!k@ohY*pOZBaY`>?C%b4k|%DwB;M`~p%?Cy zKE?Z{xAR#$85Xc*T)CmifgX*7YvCze$C%;7DQWWDDcf4=K^{MYJ49CzZ{ZO#jBQZKghEK-HOU)0*ZnE1$TxJ5-r zL9a*E8Q@+s+5?oTq85;@kn{o_+9E|=zoGm`PAe&=p$o6RfXof{Ew+>F)2BbhfBHmS ze)(;Qdo>i%yL6JhMX|I4T^rU2Q_LE1c<_4ZwJRYgZ`j!nwph428>#oq5$cy%I$`*aTlr&Bm_@25*-fW8j-%=wz2#pvov z)1Os6-UvWpJls2>;0*ufb+sb|2)<8Dc#dZG90SZ}u>gHQ(TrJCkrd)is@akGel8ty z*^ya@vLn0it+1bxio6IHA7K>n47L$$><%#S{oxx#Ny(XVgLdO~|GOiec~P@zlB317 zWth_GV%$af(xOIP$93?{PStW$D}6&D(zp{#(UV{v9Z`k+s436M4&Xpou0MUz|FCI* zH0Az-MlhouMirE;9>WNi`2#YyyF37y^n21?%nqp4b}xS}P zV;Fz8F<6Qe;D3CY!*>S4n^somCPIhY^(kzDQeOjKy!~i>Gt9K)q8Zdfx<_$^QI`JM z?_8Lg4dv4%|S1?BkGK9RktiNDEdTUhk9nfJIv&S9DJq|mr0>&V!}SxdX^wSE2;bO)xme;xjl>oA7}xA zVG2jVI47+HBr?4I!a7I8p?Y$I^x>p_ve#{=d{KqwzwnB7 z@-sWO%2{hUKR&+@iFwqIE{acpNkX9^Hv_=?XjeYIi-jAV`dRki`oRr57C^)S#E&mL zK>>?Te?0@{3C0U*SbaXGD=~~FoMPGp$EboYc9xjBb6bq3VWQo*4~35ed>vSZ9~&%; z9q1ectla7l^$-E*^CPM3n$zRdBjdzug&j#_%hr~+lZN7?2rs^pHh@BK0;!z=0I7$n zllp+wv|$Ugzy~0P(ygMV!1f<`+$1)#_|gZ}se^0BDY1B(P2!hFANO9MA6@Txa#3#@ zM7p=!cLI>cP;*&H8*xXTRx!zJ1 zVqIyS_>eE_gSTg5|Hhtnf$kwuoQmX;Nk4u{TkyQS7dhLOUB!V-RFHH?|pM8 zeaY`dGOIGbV$8TrKPM+dtmmN{gr$29wKXjYU3mC-=e=WIy=?x$f1z_l68dboPx- zopK)yw~!V&&RIrXQ0>i@`jZxBjrgfnfOOIy4>yy_{AgV6EA7#!VB0My#@ zg8?Y%K9@l%|H!Mg@Rd$SZb+q0-LfO{oAF#`{4(8FWf@N;R9jdA3U*G1T5dOcawJZj z1q@=jePiDSQ#R|lYC2w%PMZ1F5a~5!i8maCz5PZyh#p<(93o>bMHAtUm&v&$$4gWX z^PYyd@IEuAaw8!3tkFW8GJVLD938B?&lA2pv)cVwc*ukAl~}!*QFBJ+uMc-{9B zSx$~Y!-y#*{h-s6VPl1@TEfWp0PVbyAj^Sh(s-b8nX#zHkT@tWn|NZ;z~N@Z_yy)9 zX;`sZs3{CWZBum?ilv20{oTM@?!mOE5-+)V6`bo$kaVA+Eqf{g1SKu2nqz9U&dfs%rkUc0RRG&fCf?!D7S1Q2^_8MWe60yk*^zr#*@-v|KY+7|$Vaa~?-sM?hA zfVg^Ha(zgN75qTxMP!c}K~W&FYB9l}VFe##GCLy`T@<%k^*Cei_CtWVSlCXSp%2Ha zwOZN%|16Wrq=WWW<+SOmZtx+unH5YCWR-6DN>m2qsWl-X3Mbh&N?5@H814~Vy_mY> zdIoayLr=VM!In;v?8)5Hlo3FHwF$~gs14R~c=O$OG?zk?8!rS4hep>%)?WtPLDi+b zk(Z^eL0$XUV(vF(kl`P<$%N>APrQrwZW%kv+}0D}r;FVjNh7z3nUC3irG)*uYg#sV z(ri_@3>Dmq!tq}0us%OhKGF2`FZJBqy}d2<>~u|6YbnaVNb~&I(olHe2^96_{Kb-_ zHID=>Y1&gihgB0-Bg%=le#ZiI`HfQj`K~@4w6!6jDgAklq+vJ5sGjLg^24a&v$@P& z`-9@M@yt_`hT2}^Is1m1!Z~Ko>88#nP-0ZsP8T*}&##W13G|gns|c+gp;8;<=Y}fg z1^iDr@Z?5UPHs?uk5K1Vk(%Jfot773DQM|^{EVd_R@AmCSuQ~f)P?_^xc3F{ zQ~UbASbGbwthS{ASR|yRM0^oYy1PR_Lb^fed*=H@Av%w^K53XSu<UfoutPFLNKUhzwy;W#GZS?{C1IN0uV+e#-rixWXmD9Aw;z~!^IU}+X_$j7phK@3 zi!{3Fon#wBe2$5w`(dvIQ*vBLJ(pSgbRPa*Up#1SyK{+g?QA?~ExuKSaqU(7LAjY& ztx~&zG^%Lvc>hd-rU{1qR&P<2-Kup(Kj9zf!J?*#UZ0wNmzr$asSaIj!XwzJ2Gc8< zqgQH-uOim-w$!5@1={63q@#4&(lyLJd;j748Ifw~l&IBlz}%Y$brteQbBu$|RycK| z6i$1xs1?;dOTl(EqI-3c{~%Pz9?c01I)l8OM}ZaUbT`QKZTU@{Iy+DY=b)x*T1B;} z)hxMFj`Y!(Y}$AT8dz^_W7xGmGszDjw`A_40LlTG!*DQ?t#X5-);#l#ZE8d5PkX0? z-z+U`IG(ZS5e>-jZ2+(p>4bbwx&MLSHS99HpVj%(!&OvhOQ`@0XzuiT%L1*`t)`zyjwo*BmI=XYpSR6~> zC)_386}=*gp4UeBF}cnG#0FSLUWz`^V8+;4NT}`LmDgu6^h^Y9NOzTz3^ZmnkY9gn zikIejns4=*#c-o!6X)C@8`VNB=S5C^)M&-mX3S%wbRPGu67@|pu-0;AOs@xCk?-Gx zjgA|1Dg|hwYpNWVK~8i4N{#rEHjqJGj80mR^g!fAV6Z@!z_0UJ8mcGmPGe!eST9!_ zU=8O?!&cWlK+mVdm_^_&n11~1d9b4U7Rpug{ui(7s|0?3+Ku^>tb>YQrWZTz@$=_E zeY->g!zt+~Nd8b~8wOG7&AT_>kaa;v=3ZgzIe@>3D!tF&(n~CsLp4HJj&!qE&Yism-~!m8Nt?1ua0WmR(8wIxZ!rV&kmAn zLkbGC(M)zRiH1;%QmPXr8q9Mal2nJ4g_^ZgkCp67=gV`e9N|5PPP}prrEm_^Z%{cQ zSvklZHQ?pC;$?*k(P0`FFg#RtuRby=L^h*W{5Zz6p=$zGs61?sL$p>= zBm+t4y1p>yKqm}boLu7Y_dR=h9K1kqhQ&8S>iORgL7B3^_iI_=R%0(cc{0bj z9Bk!61mg^rt&iA9n1qhJPcZL#>B*?Qg%Fs$2c9O8-m|?1=t%JzhUVy{BjK6)zgYHvnK2i1?Y;`MRZxVQ@KGfUBx5 zD_yV(!%2peMo@{4dzAcA4H_AkJXB^r6N{@Xbyy;fklSW(xepCKW9b-h?LA=NNz&yz z5#j%SA=8%Eg|@NT455DMBj2NUMt>%AAAHDzmQ#O zWJ)fGh^2pIWGc$^fn`6C)QJY>8S+qH(TF+Lm-ON(j0BCyvc2$y-88o2h5=jJ&jE;( zC#6Dj;~o@GpBW!GWOzP8;ulA7p$oqQ`U!K=U?N@)6|W^Z!bAch1^0*d|nmc8S;{uoE00s^0hGAm1(hqB3gKM#f71Y3+=&7H-UVTfK5*i*hr^JzML zz$i$DSb=jtA?md?-C&`50Mfr96xlb2sK_k7b@K=^dZlZc-({MCn=p>F-Dh3yc`UID z3U{j`Y330^bW|4K+@LbF{wYM09j~JI`p_M(lD~jW>NR?~+7oIu3%Nv+5iCP=5d3zD zf^U>K<>NU|HKO42x(|4Ae&`%sT@QWj2F;Ccg zH{C^A(PK7T1P6EKiVcqg_4o8$(2?GL8yI;`tw_!S{`D7)kYM(sS-meDx~@I*c6QBS z7e-E2Q7c#T^)NwS$CX_kdt`d@c@lX75Xb6RGrc6*v|J6^=Jv~BtT_$*9==%-3{)ew z8Z>V{%EZ}%g){ifsXC?ff88bOc$k+J9aaV$2NT+E!k=tT{<7S8R1|xZ)3Z8aP;wJe zih9bep9z5UIQBuqFxB zMA(IR$R2n7-S9_HR{Z0dAO1JS0auKYASzb#riQSR5loF)61-$-MNo9-kYW=VDy^2c zWGbzfMceqpD5rz#FEeHhTD`2oSYC(KW3;E99%X>dxgMTA@k{ff7x&btD7_B*YL&6o zem}y+RRY+pH~-iJ?tmXfynwxk6BEWqNAc!;qEVi+ww-7TCOv$_+E)iV^Bq>(l(h@; zhm~w;n+3XjGLD9h-!u~ze_hM#TKNk8_|B)>V@usJDrYW$yj{l$%ugmxe(EfIi2R=4 zTeaBLsb;}HRw(P#$`V^BW%>c{a7s;H0@_Oba+B3O7ueEi*bJjjZ=zs}g%$hZbB+S5 zx_d55whx=bic6I)lChZ-uS-dxH%O5p;6V8nJ3X!wF7vKD4Ft)L1iv# zuFP`I6{f7NEP+;gM$~nQgwh*j>y5~}n*<43y*BV0**|^^{6^*rnpEyO_@H1%8qP=z zg`g(ALzQ)WYOZB>)XV%`dnufov>C$R`UI$Ic5vVQ%qdN@0aF`(ohT2mQe#t-GfjC| zugzzLFIxb@PMLMyp#W|h{mRM7F`8Cjy|a@5UPMI^{Fb?#WU?P#TNAiueD63e1LiWj?3aihqV1j9Aq!}hsvHP;s0C?1)iyRbu-@6#jj(L3yB#_Eq{ zP#s`l&mO3hkfWy{vIT-zP8x3cZHQz+2QH`Xg@oPbtI z{X7D6O`nz!q?WlBYG6R=3GIxP!sYQ_=+rZVEmCLchR8&}Z(EBc6?i|Bp*7p*@Pt<@ z`t9-llIR7=(388*Ux_nH^nuWMpDbqGd245$21*7N@4JSsT&v0aJSOhBa(p&_vrGx7 z*99Im^`Y*eQ7gs3cSY`+n6AeN9NMfeY>aom1p;tY>P{MF& zJ@6}~2VG@s_N?Cjb%?q$WVpg454yeb?n0WYAv{4>aAkFzYxZ(W)6&fj!)Uhhe6;G^ z?)$3hKd$kh1l^qHj#`uL$dQNhnac> zGTYsJ%6>T{c-03cRN&>lo%P>Uf?oTThVF|)Y%=ARG>lk4P(i3R8B*A!SEaz!gSdW` z7{Mit8QN;hDL89hpIonw^HL2bDA_Vvm09>Ok4w7jt8^KSkj4?VFPUhMc(ZkB(JAcH z>KAf1R~qGc&2Aqn2BWW<-6MTUnNt}uXqFd@tNnC}B$9PbV6!fa@#Z|zTVw8>LQe(Z$dcBl zVjG1(TZNu>A%=fm1oz6g@0FPYGU3Soko$!g{z)*nrTr#zeg4e@qy*XkL5kG>#`)JG z{#`aG1R4NpfZWy&8UQYk{<~%zn>dX(+^2$DOC7SuYOl=RiW6rV6f#054EW*MyUn__ z!e5eHZ!{Ljwq*ppfp*ZboPUK6`<$lr;)>dg)(7?2kPb?{!f1dkW5OD6fb&O)cHW_{ zfQUZqsgp}oLwC~MoI0>%F;w&k2MTD?NDsXM8evJW#v`O?Zma@EFbgvZfgqNpit2#- z@*Sg(-&Qb1*N48x3t~DA!(EL3`WZ8?wt46{mN6!*cLEF=?W8;C+tZhgO|^9nIW8@K zO_?;p77>8&f=dW2P!HT!XJBYg!28t`0A@%79>w;;Q#H9rmZ&?uKYdckzl(*tseI#2 zT!J$~9$YzK+=L@Zb0awJ)LW_usED8u?TEdwB&Py{@PP-qI6Y>q_O7(@?nCG%Vt6aC zg)j1pyDJS=tgd&!5O_od9FIg6wVvzjx0mV=n>+RN3L%KgYYHQ9c)WV(gCboE=jIH2 zIl>LmHPfT+=v%F8|KdzUc&;pA@5@9(f@Ub2Zmza$b7HSPUClt5;Wj)<0W-sF4*ifk zc_9!q5XD7OM3m#w&(>pwq}9LYU_6Pa^@DODT)UCN>MrBIBdqtdTE{yf@qHWfr_W=G$X0IDnatE4gI|%W+xd8Z3K0I_Gp3cv0=m%A#wQ&S{Gm zuFcgXK%|$YEK zytQ^jFOxN8zK~GG3!p>YzR%D}ShKIo>#f)+E6v zKzET0X7(C9ea~mf!K;h8bp`g2jWd%A%U@h!12Qceb*5(*W9*4D?1`Mi)^CTc=VV$Y zWm_EC?wfGkU+ZH}oMlfmeZ2eB1%on8_KG!Dtn?`YmogU8uyAG&zE7ShiSh^mRxBQl zTrv1ZQHiy`GDG34$epj2=?kEwX=Nry z%7V6k@?bJH7J+hAz`X?8Tt(<;Swk!MFtxL3!Sdf)!~vDS;Cm z2Sw;MR*B>gSJnj1Iygqe+ zZ4zTSg#H!T4hb>(W%2qcSz`q=aAZZ^wIBu?(dt@dVSh!&5;9|hiN`yQB)}l`r^6i~ z6x@a{_pS(a7>gh+ioOa%BtqHimqTZg4ea%6G0|4%8H48;Hs52!)?zY)bmJ3s<2l_- z-@2Jjon>^LXLM0nrndd4^!{PL9>a>{CR*!O?aFnpcze+{n!na`^4Fzy&y&Xf_j&i3 znPdVVYNaxo3nw?J#}^i{H$L_(y%UXuRrmsq;XYI^U^$yFzu)BG zKhL@XIPUky`+_sc_IM-{S?`ieeW!44*}4omC$9*7J299GSdk8JHu<1f&LlL< zV)UWZP!J93{!KI}qxR=GaGz;mb%_{PDh13J!jSb*+Rjeo*E0L}Iw^f~Wm}nI{dJkK z8}vxs^dB6cF)lsg#BNylH>BysrB}e&2f$qEue=Gt6Itnci4@d-1z z>)x;DcAs60C2Fp@FY|!kU$65r=uUL1e$Yo|~EwR{ksL5sQYaZk2S09FI8ln$L zt7*6Eg(eM@(nT}Ry2ckR1vQ0!u;hkLkRnZjkro;n#1e_HZI5^xYT?7|Jt%p%@jJbN zEy$?B3A>q@GT*m@$GhsB`A+X|uer+2$*N7q?_W*UzODu3Bq!x0bN!zQpb#kf=W;;i zWaYnz#c*=Vpyz*^?{uA>b8XC!{ZsQ#)vUF@6imu-v(!2suG;(q3D23+4sogS*)Egp zmW2Ae5xdjqr9lOR`=MimSg&PPca@EUytTv6;ent-7q7#aS(xp2 z{}gMdhK7SGn@#yg zRSV7_y}(hGzXFBAx#uRHSbEw`o6`m|{!bwVrMbUBRpX)6|jQw1S9<{LUih z=+SxmwKahoLzz1fxy_1XG+eY0tn!z4mwNHen)tvAhM3s`g+cfzi}H`hlg?r0CA_ zX!OkrsFiBaTi44f@zCgLFfshvkeg1uCwuj%>0foEd9L9N(w`5QKHyN9Md6a==2@B3~FCXr4R8V{!4nlU+xFWlEp@5=>4{IDAQv00=Ql_uDpmFLlc2izfS$&FlGuP=iS@G}bl_|# zEsb1`pqt!OIKIDvk3K*5DAkY2N|M2nJR8a-uE#~&_}Izw#!BZKCZGNuegegwI)*>; z_f5Yfg=8@4cYI*6xZm*zdz<48DwG;-lv@;d5V{w|{<7kYg*22tS54};$~+2_KcJ zL6g2i2xr{%fMJKaJ)t;z4EgSQuroOR9*Ruuz6pM&&mS4n~cw2qdvj*4vt z18oO;+FvmBzhEMGRLA|O&itondDsv#3U2F4yoo=xm0)<_>eU}f6C z*c8}(m<<(0eVEO|3`l+S_gKC*f=v%d-umJX#!?uwWEux(8k)C1t=#jMX?Ylg3#_oK zUyRdG3WHcP0F+B8VmFtrePQ!YMIffU+u5^mB;NbueC|99(g2Mfc-{8{zfN7?Ge?if zk?}cfj2=WD=jZ`W6>rD~*85mb+#(T!=IB!f<5$?6N}hq?!=c0l$t-$c0hG&CryOH` z|IJxFFPsp0Fp5TUM9-koIZhhH7qGbpb_hZGp)>b053s^(n*1}~a)EHvZ@FSCQR^ls zr6Ykm;pMZS_hBM7uHBz1=iX7*ugGilr&J~i+VHq9O`Sc6IizBYKgA5#yi11=@JV-@ z4h!kgPbB-igLs0^YSn?pYV8Y;_2YB!HOH}sb3^=Dzd3;=? z1X&|XV&QL0){wWw5YQ~Tbd{@n~3#AFgw5X4cR$WhNZcJ_AcZ0f%w>{Nae=s&fQ zHZ=?ioV+WR363Jn)qJvF)KC1t&OABr8ny)b=Xjn0qDoB-mRv-Eq%6vdt3#6 zeYHGK$SU0CC3_xmAZhNRDdeg0P;d!z}K3g7fbt^SA1`} z^y1g`Ulm^AKjABV;h&FWyl2gEhkti)EMbh&;||%}<=#Q&D`ItH<}m3Hy`m%J;pnA% zWU_nOG(-bdeV%>^@F9JK4wXFn6TSZhA~9SSz&^`3^v;S@wjz*ymi=D8y~vPeql?i(1YZWdE zhAOsI?M`xdf4)q*`buyM6YwGLSGv(<`0TLYSUv;;W7Wm|KvJVNkwl}s5@43&q)mJ$mU%bj} z-_hacs!^4%a6X>d*5RMs9knfSK7LoDI?%T}I{e=eqtwhYF^tVx9e?IwcgEXiD~b6r zCk~j(lj`%P44zNSzHfQ z6^jjKJ|V&$iDb$de5w|7eWAv2QQvS}r*Y)|i_876ERb0IX=&;Y<|CE`*;HVM%ZS~A<+`C?t!WUS`d4T7e54UW_m_+!BjtN55oR}z4g0SkHdohGg< z=6m|_df-D3yv0+m=25+Q*-yg41z3^9vzJz>9~O)KOn|C?TjK72$R%F;+^lB=>aK;( zZcqB91_Z|_1&-C*{BM|`)F>l5?F)strcBVS*LWL@q}7T6@5c3*ATRH;k_e;E?2AIm z#Wa(VhjqN=URI9i*HLUzK&#ck2V54{`^(*2#Sfi7Y(uZcf>)Q{vuE}FA8#F?!1{ak zKW^fCV$CJ1I!hAy*!o)@3L{IUuttn!pI}aCtJjVkEN={_>e$mzz&ZA{ryhrKy#=by z-7d=u;BsL-L4Pi*JF!%&(q(ngJU4(Af0@Y?*)04#4JH)G&Iz1^O(XH)B~wrEf$n-e z%jrWftA46#jxU5PmBq%km!TSJImpycnVEO6l>4jw$PG;HKo@~4`N$KyK%o_ghhyiMB`-^fMNeLzLt(#3T zUbc^+PRu_C)su$rcoHiPR%hUOdnivLBz}|@8||aqLOppO{B^!iyXk!h)`;<;{Rgss zGK8{8iCsAl7CJS4Z4&CeExkZ~WFuF~?Q?W#$9c32=FnmkU%UqhbYqtCz~vn{$V#N9 z${L{Cp@Kue&O$~kU#FEFf)jzNf-OIS1sn?x>6EEEr*m~}?!U6Y_AI4iKi?4Qp!$QQ zmTnR<7e(!>8fV)Q5vI7^US2vm5j`_@x?EmQHK+CC(l^fvmdCJ#!c|X_7QKg0Cj*D$ zOaHM4J2OwHN=~?NTw#Au@9ZQYZx02>MdVFFkdLKkXoO?0a5)qY<_7z2(OWeWt8LlK z$YyNBX51EZbEMWA8`XDn#`Yf>^UXyALwuj3++ z?IPJZ$r>CU(;r3gS`>QUei{;Sw1vKS7=YY@N7Gu2wSB&NB;-Z(>U+>eB8q;YIF>q6 z0vh))h@r##btB8Unh{k+D+T=RA=36+A<{BF`ckQty7bobquGPoRNC_w^92a>lin`s zS)mYqVjF!Lg7zaGHQamH6Z0;EbIi?pCL?8&1n;Zr^v8{@CRR>-wG-;}V}9rS<2Ft3 z-U1LTttS4&YBV4MJiUk>PT?aV2k%mye$d{N{VtgOhP#Eh>4T70f_&&pr_S%qj)^SD zjM#wyXydk$pbPUq>irIk;&qurfLitjXlfmDlT6t1<=}b4HhSsM;@Uuu@7w>jVO-h7Y4mhu(qv={OYU+#G%NjAZ8E z*Wn4Lboo)Y-Gr9>K_5|VyZqgk9O;f05#i}l-9e8MEY!tj2V+xfy*dU;xo z)u+3|N6R%8<6YX%;R0HvRE)i_CIksso;E9de1n0-so4@9;{ROK;WI6=&*JnWlp-(n zDxMc;4q}I&oWK&j(Osj_{juNXAOwq*!Y7bp!C+h&UT)lDSeqaHY%wuv%>q7ul5)IO zJlKIwpPTLRS8*ri)reSj;x9C|=x!+?Wv6FqZUG^7i%|XrsP>r}+L_t|fw8*+V?H5e z9w8iyP=*Dl?7w7#yuO1Xy!|3dms~`bT$HC~a8J#eJ2VZ4$Xysvpg393Qn6i{9qxqWJU8b0r_v#VaV}>ytlST{^ zMzZhK(OB!y@0t~yP>+Kcny`}yo~pgs73Ci3@~;7TZ#?tf8063wzN9SgzN=~UcS#xZ zC>~8C&pg(mmv|Gj5B{9waK2WfjFBBlAJU4*O~+G-H&ZgrM})g8OWRjQJdrAyQH6)V z$eztU?nUfRDexMNwvQv5-9#vZCql{=aI%kN!nNCNa%zDUOJbBI3?uUi?FjWf2;*q)Fp1Sgp|@VOY`zoVx-B1#Hx^ks3VwU6&2^0@MWEd_J}`gKek z7^YpI!Cd|OU9!bvWke?u)oa)9V2@zsIoU(0AD$JDo)^D#nWU{ZzkdrW!&_tWJef4x z{sfb5(f*jcdQvjj@}Y`MxjyU&g z1ioA}0B_gJO11lyY7hS<8|2w(mph$^m_97UJ^9h zZ@k{rc1cmM^4O5s9Bd07FJr4_e^&BNT&A^Cc@sLXl@Tm;UsyHACx481uUd*IiuQbZ zbW|~0=!ruVFD*wWO+kG>u`~um|@a2Zzmh}UNB|=EdUTyo?W9lt{yca+Ad`$Q`=(88&L9o@U8ik`p*GRh3Oio2R1^ zWWy0;H;YL%ihV2$!zm0SHBUz{Pe&%8fg_;#*KCj%92DshgsV?Rp-1*&LIPz%0-ICm zE~k=D5bn7gBTaN3f^J1lL2y+_QckcVX$kLY_N(_a5fr5{VV0tx?gfasDM6 zr}~VPGUH($P?U9Ij@$vT&Ld+SM*t3(6>S66bcgRE!>7HWI^s_rd5LFMfthx zJm>5YXx%L#=%4K68-PB4r2es}YQr`VCKUHswl<&qSX3G7VI(;%HR7>ogiDpY5dYvZ z>7DSA2eL}buZOqkzxmulks344H|$&eeZz~)olH0E`+bvD5FyCOCuI`iw=_SXg^=0R znG9^@d219KM}%_-<=ITTNHrkZ^;jGpi!yxi3@&-wP!!Iowl%PB%C8t+Z7jSMU=X+l zyKqXIIMk%m`9dW%ICr89{&Y%Db+2-M9ouTy&Pof4I9RcIEjD6!6Rc@ke}CrlL3f%^ z8k7kyXL${Jy}Fhp{d8GTZp`a?%8*eF5bn?Mvp>X;U5 z@>N7q{{?@>CVx3$$AMOy-r#R?ukVLpe#1ch)G(Llz$*#&^Z3HZCWdk7wSbbaEY0)F zCw=EMmuW({r1dbEsduK`W(_X2-!~d@cfTs1W98qYRQfL|v!6E^{LKCah0;kkM=v%quH_oHW3mG&v z2O{O+XME_K-6wX|DT>HtS1QP5b&rIvRDWIQ)|J}DnQP;jYg1~*AZo^RjTtqK85P^9 z7yg&o#ddMi)$|k9GUbeD<%|I~9b;IYc|GfB$EK~a<&47Lh3C5N%E=ZBFr8XA@dyHL>iiaO^Sp8!GNcye-Cyq~TP}oJf}`l8jpdh~-jKMXHRA ztfGA~FBK}SZ#Tj%#3W=eOyQN;zGJ^uPU~uX#FlS{Vw#>e=hzT5nwo(xW`b}jmxxkxpgY>W>#|Z;LYAc z+Uvvl>!%>q*1 zN-Tw@$30$iiAhBgv!@$fT7Cy8SI6X6g-&h&0pH^g&948HUqqtXy6zx&x5wK4=-uj`Xm*tzkJ zKNgA5YD4dk?G}=}yjRnhHijWW)0trdwl9x2nYXV#ulcw%612RrnSdPI+IgUpayyq$ zh$_GrcEIjuQ&icD8%ixeNWB;q&6!Vp>yylc=Lp$--mONOZeeryDRF@@(H3{$A+l~e z3J-aCZKn$h_+Ud8W%aCAm3!gZw|$AcCmM);zL=aTc4UIq-ztuJ4xI{cov)r1*Xa^o z(MZ=F^}u^BjXvQ^XJj&qgY`rGMpkq~aiJocGxMLQOFDc-co<2^K!i_?O<|y(a`p<7ds=Jm~4E3soJdFQ}7ti%9H>#i*NiSs;j74?uS^ zK9N&`x%S3^&mj^h`B^=86<-dmyhMA9*!~=KJh~-$EcG2P**CLz{B}Kr)YO^|g)D`S zEV1}wh|LNG;9E|gbX<2(W{l=zp3?oa%&yfwxf*c)>3DSfVmh^c*Bi@-#N2X^zHLI5 zGF6A=()BVY$RhIVLq2nfpuCVb?%;mR*N`w zx1#X(sQ0fn4H!+b*nkNXH?DOyA!+paYN(yA0=M~7dFVxtyURK-` zi+;X|e@G@jFs@=h5n63bm>bzrsN0Z4P-MHjr^5K_^5W}CHkMmbg&acF^2>;sAIll4 zW!rpY0bxZcnAbcMZe?b3qa`s3q4IP z`XyVldVV@%f6`zyURzYTq37FPjK3rpmX_93v$n&nUw*-O6AwtaJP)n0A3^hSMPbnyf|veKk`FTNzhx!!KP z^+}5JQ*cO3ol!#>ynOX;mc!>^M76s;#_ zHaf4lVd=)K-YtbMkmIc(C)aUC!U79HF?zVGZVj68CCHq`cbnTi6US+USHp---$(c$0HW`rjd7PP{Dz_(8fA#dOJsea)w| z@h0(~&?UouZ7LKSi^^wAsEVVFsJjRk`uu63JO+UhnVhespEcy$y3&d-P^Spip$ zp&tEQsfXjk@Z+hTW(yp?ScD%MUz%RuiOBdkzFguFX^Th^jhI#$iV&`rQhS-AG zHyb^4O>`|UPL#D-`9%D7YuwN!R$sD@O0dP=P@w25A^c{vUbpMkAn!9Ty~yeufXqeG z%s||%?Qi{nbcPT+8HZ~l(SjfO#Um|yVSbBQgld$G`e}{)uj)HJ4_=e~IE6PAv#Y37 zHJv=IpUE5`u?*J|0_jWH1jX$FRV6=!ses{v7r>SO^DkU|;$%UKEcWQhIg4?>MD&!DGV(2fDtU zXw&H^2))P3nVzph+5t7+pBa`5A8E5)`x(^9zx$9>Wa%;7G64)umvfmB_6hd~G5A$3 ztHAn_Ma)+Yxe8s-E-B#MH*|<_vI3P(pY@evHJwupd@RJ?bGX1fIsqku$w6}*MSSW$ z*_}=yi+ysyj7;CPLw8|OV~U}Izn$E$&a3j)T-lkw!uYQ-G-!nj<>j@LDC4bhHBR~8 zLac|gQGD+7FdGE;zyX+e19^`q&@;TC&m*AEKa;&^EsO~2IaOqf$Y#9A55DIRMY)>;;CwPZ_Lz~IW(2V8;Fc=c^@J+?l#nY}r1 zONpqDSu@?CkbBcC$*_h%<8DgTlKj|h_FsrNAeovi6!Y> zzuM5fRTl1L3YvBgNo8kPQyATGA`7<+M^Hi1sgNu}+Gnub5bk9Hao1r)#^~aowiGM+ zpbPY;LXPJB=;eOM{rglO5cuXKOD`vqL@NXj-jly}>q`03tY)?#uW~^3<`#ZEdoX(b zfMcHuB>qe2HHmT=Kzl9v&^?XUJq@Wh|D&KHynEW8A;<@4Tgn&Dzk*4EinkZGk6ZuylCU-pV;XNm?1)}K za|m0Qz;TgfdRwmUBNCM}c7AAhIn_InRM7CFmKR-%Qkk@TDWLwZ%V&gba0_jIvF?Rw;>$=s|b zB)mr%I!#sN&{S2hND+GuMO}rl`6@ZQ?V2#Z|AuVYQFU^gD2Wuu*J}P{*2jY6MlibO zBCMrVu6|^A1Dt1Bcc+5=~vb&JYre7p*#riY3Hbcja_UE;> zZgy>?t1kIK4Z`Hl`A1##OyRD&UfVzQ zUI9RQD=4t1o=FJrUD)k=D=#K?!U((l?3y41 z=KXj~E6iW)6iS;FnN${EQ= zR1Q3u6N$d6ib491V&*&9k}TFz_@?{!k}bw#`pZialUEna`FbYbW85GXCOp&qyXJiN zOs3WQ%WbX8lUDvKgs4~UH!l$M-ej7G+o<<#d6IX@4*d->-Txce{$~|UlkcNTb_jph z_dkezdD8430{&432mmc90WJO?HqgdDBiDcYW)m&e{`@F^LO^NI0`Mp;lP8X}36I=q z1Hnwz%3(}vEd)uliNJ+gwooyz;E5rp%(zx)z%OzL5!y;on zki>iw!#Y?~32U)VyYGmjKy27CD`!qyF)no9g4nE2%lyD;E0@Ez==3yWLxb(KT|vrh zbq-R#Znm0?e4=%e4)z-Btz#in{2C_>>BkuDr9d}v`f*IBo7c$Yl`Is(+3otdzqmBJi}D(6;`cxlZ0f)Z>fKYu`m31=!>H0z1lj$`*( z2fOu)Vicp4v?AYpq3zu5q%6#2U|?&ds|FsSo^5%-Of0roKq3Rg!W;gPx0LdLopnR}@kbD}-d{Fus{r~I&^WWO-oAI!xL5T5-T zNVCrz{(pgz&tS{XV7LwtkoZrCka)i7c#7{YX|?h^8?8${qgXV1&6U(x=8=AgSrEky%lm@7Jx zt*?*DuLgxA3tD}x#UAT%<(tc_#m?Au{84LD{(hkRhuHQ(*Y(*B`0UY25O?_La1_^4 zZta)bRch0rSakJx(OM9_9jkC;br?nHkJ>QtsG_yZ^1ef{LS^|B53adGF8Rz+R6g?` z?X%TQ`25f_dRjY~38IPOUqSPa?!=jF(zi?))`G9jTGP$o%@9?b7oZv5C;~3*;BrR} z-(6K(1LNYW>N}4wYRlZT@8ngt7gQ>cgjbe5FmnQ1iA!%?ykNv@jJ*P;gKP3yLBv`? z$2qHnAJ+s415?F9xAwYCrt~eRq3E@EsL0y9r3&duzoO2SlK? zdp7pCU4VN#w!xy8cb`yLK#%h&hB&fhZ=;r9Uo>%R4A%BR%Lz&om##txGQsCcdeXLu z#L3AqxmrI;d7?L}TVFz8L`pxY{cn_KZ=RM#7m2*7V!sRSBz9XY>kL3`Q{4l07E?Vy zyI2;(LU?0R)c!?h3m`=zo26o3YW&dyv|CWO@>>M-UOnBR@FCAU0V^~3E4ztpj`fj4 zu6iC|RRqN?I1aw~&j?sV6~kQ3#blyeP*>pNSUm`F)XRqSMQD!?=)Q6J5h>Drw)O++MC3CrWZZ)Z61yr{qbFz1K;1@u z$NLUj$fuF?qbJ^YDWL>nVgvH_n8NnYkUrnhfBuBvGfy~~M7RD^TfD*-;HgZyk&Y5W zNmy_MUn2mO?Xb`fNI7Q`Lx}!>zA>TiXXd2mA&dTAkuBEm6&^ua)P3jp&^e5QTbUv} zY3kC~QlyaFxT@0YBheEncG&NAHL8budaF4`J`O0Dq<}YxK~MBHT%hl2jUI`94youy3yEdyeMmTE;Is6*&EeA%pXNfdYztBH;Z`l4G0rlMq zxGe(^idz})|F_2IZp>D38X}P6bKf(~4UZf2?u9YN;q!O)uK)jmxKpxymlAgix$pjR z*M@NBJ#tC|BN3C62&u$zM8?sj9ZI{LXD|O1ZioXHY*J?lTk?G_?!G_yGgAL<(d;4< z$_}#d@7Od8*pd#Ss1BkyPr~j#3G)$8_Yfyv{GaT%&qz^1B$~;T8p(iO=$6e#oVDe_i@tg@THJxZm7B$l^`SzcWA;aDUVi{)g11KbFq>$Qt)fzaFwQ z^83T>;=s>%LlziW8m~+YJPPWz>mUnEQfJ!EI6fW8I&ZTSG}}|@MZA_QFuaS=AzwC_ z!{bq2V0h!PrQ`B|uZWUlbm~_=fL6jo723UoU*UU)LnhK%QcK0&XTZUEvl7KAtgvfK{m$eS67+8B_c>#0EHp? z7*H-9H9fvU8LD><`1Am*sNan;pvTvXF;Rz+ei|J~Yq8GEFqdw=>q!ve(V~E=jDSy8 zw>0GE5vJ2s#?IX1spC5T3~##1LNMRheU|(B=RnSCRPw3)-eIcS(Qc|Hfq^3ca{G{Ce4XqFr{`N3HM=f|EyZe}DU zBKUMB{te8HT$2T)MZpstRv#jz_xTse zYiqCk!bYwpqp{2xgpi*Bab=d)zC=iQWdj96Lmv(wRo9-#sXex@Aq;VHU|KaUDprU%Rb#WCz zkc@;Tg9JgzNuor_h@g^F)8rr^0+JR;f8or#Z)Wb? z`@8?O-g|2u>eQ|}q3J%SYS-T1{&v*Namb_JY$BOi6iWKQy6e2q8JRvf1C{oi7^_)>TeLxcqN@xBdhn?3n!3>>-L72Hb&-Hyl^EHpB*bU|dAm+>f29Wn$UWA0Ls2qd{Rm>JBb7 z5K#}nQ0uDn-Lev>)6XLmK5&i0Y@#X(dah$prT4M~WaW+BE$R?vN=5;g?KCcWuK@hW zB|)g$o)H|ILIUoa0!50z@*fG_g?kF_oCZ8OI?zxVd(iRR+rgO}L4uaFTn>e8JJGVs za|a$%=)8r@c+J#cB0PVPqf5yfhvy>7`DbP^5oriE5nz>Cc?JkuSroYgtNh%$AVYkc zB+$08BKKFt)`2xOK4KELCs}sz{e52gUCcvOt;3G_C5)Kr;6Ko)VgT`5j^id zW2#xhGh|coIIPD*e28mYA|y_loJCk!l~N%Cc12ZBgP?oAwBbIuR@Dnw(gkLr@~M#8 zsao=T-H_u}geWgYlP!Xz7gEgr(HLifwRVF`>tM<~Xi2m~UJxf|Bp0yvbl?c#xQm6g;i0hpgC^op_ zIDM))S2g#j@1*R9p{PgieBk7(g(lXcMcZ^&r3SkdqjFJ~z17z0mNutu=DqE@+|i#g z4N;qzZr}y!|3#8~{eZ8GREX9+;o)JF)wsMoS>bX=qAi$oKX8XFqop& zHC-Z>SiT1X!|+{oC7L_NT)Rr-j=cDE5OSi~(WYCE)xb3iHBkqsIxtrraU9GhfIUCQ zL2^#d^Sfp}zz;Q0jR=gPKDbRGjTzqI3lJ!g6mlWYK9u7p1WZ!^o9u+g20u*tA$wMY zAjsHFY>@{17-OU$i8Am^EU^p>V1ViIBUp^{W@Gy=vBCDprNa^#eu>mN{-}?uo^dMa^|-J{U`Dd1P4kVn=uQd%|g-hbR%@R&|;`t`h%l-u;3{@vptdx?K02 zu-C&<)Zw@)kPC#t#bvnL?QkT6Zur#w}$BhTU-u2{)AD**C{?TiWiL zi>UazXZu(4d4$NKohJEVl(gN~3es7phAW5Xfx4rU6^aU5vJ;jQVzo-t6Ah(otL4&G zhEEHqdQFQVLX5|+Y9?0pdbC`Tu-1MM=m2~e!)9CDHV$bL?l|sgX@lc1!Mj|UcnCuD z0w*ncNa1Q4734WkADZZksqe%@>SuDIc=&0qTU_Gz?=k%V+S=p8Y1~+ZgjFJ~oG1o6 z$ANTc4_<+%h*n=lIKJUe2)v{6vlh3reAv$W0L-&@xi^zx8<7pU)b6|G(p&2EROmq& zN`<=O<%+bD8K9iy;d&N)38h~ zR3p7?2@l~l?U>L~>tV~P8p^(*8SO>=A{v9|iKbX6IhWqR9kH#~-02XGwbaOl91l99 zV&}$hv`$c*oW29@0oas|7hZ>GpxE$mR8o&UG2VwxnEl6Gp*O6MK{>KCZ3))yaL?_u z(L8=BJS-*e%Dxt72+~{d7M|Y)zT8(R3p(!#m(8z4s8sp-Csyz!-zaeelh+|%nqb1? z8$7e~3m4A1Gn+2j76!~3ocrgZh36l?dq!kU>^Y2opsMleQhW4f{LGQ++rmozF_9eq zQa=0ld}gW|bgCLGIoq3ZwjFB8_Ty`UR@j18_rhmxh0pkB)xOUX_;Z}fKQ#-i=2z*G z8TID(wffC+<2}}IeC#rtcH?=(j{2MmD-8GWB$@b|6^FLKVZ`MEF zGMD(X=>NW=>s=#pshj)ttPQi5W8UXoQ}Jzcm#6VZvu$jPm!_A;oL^nMr)%x5-dr4W z#@k(0dZXfZX4}qd@oMb0pQHX`H(lq{+gc)hY)f5fw;k!J8-$z{Hd&mY2S@zr%96J9 zux#@Zw^;ve>~(|l$?~~IdTy6@724PD-s?fDP2vNK7tpgcd{x+0DsAcF#nS#f?eX4a zx#vmH;TxKzqfWRk)|7BeE$8w)pK&7iE&;?Eb+^q@YLB z)maPYsVSv7{jovdR$l!054S*ysc4e;ZSptJNRjw$LMuO>6}#R!6BGIDDzoust4>%} ziSq5B&jm2owB4Uux z)YBppe_gp8tnVJCYi1gS!aeokjB?T#co@&t7HFgBq2^dNR0_alYaUS1#Ghs;V|Gmj zlx#Pw({ufDmir5)AODxdm&waQoH|D3ty_m+(tgJ4YMEi$*@|48{@(a>SC41_uwjJty%LuYkWN4 zgV41f5{{J?&#?INg4bds96$WMN<5xo{iLt@2I4ib-c|7Xuuo~c0yb}K?{`6vhT}A_ za&MAqefVH17AM*FeE8L9d3k#FdX7A^F20sP18@}OV#{-+aK}UDXG?le!Usr*|b6qL2Sqwc0Ul0$Tg75`By0 zK37kmMp(tVP~b5k;dgr3;{>j98PX}(J$D-)F@cJn|5P=O7@US-BP&A0YCqE?pLhZg zpb)P~*v)qG%Q4c&I$1mG6Bh1vVaM?k7Sa4OzWg&LVaG;c&e~aGT3PxN7Wfku@%%Gr zx^q=0D7Wt?PQOsXspte4!e673d5<@gA1o3Oj3mlFO5=*jbR_A>#r3U9T(>i#4&zJU zdgq=5?KaY{G1AW%7eZs#${Ja=RWdCR7i&XGW7}W=XUOg2JfDk(G+=)cu$`Xo|IE=* zJZDEWH6>t+6mv5v!$M5ci_zH(aqZB(|CHCt%C-J{QU zohqb&#!31w<##9c?@p=8;b&bCoeZ+(^?)^p(*{?)h2);299KOPns_4`vcw+#gq~Mx z4!CO$v95Z4u6m|4nrCSaLp`^wf9a)Sr#`=TTs&o}al`bs22!!*LSC2uEi zF%R8xqB%MwP!K<$%p3p*7g%MecF>0pyAELQ-(fBwY(z&WJ)nh zGJTmM$EAzQ7?R<_FJO82A3kI#Ko?aCy^gwyI;_EQW(P#xZ{)ACv$GSl+@X4$fjoe%E(=fE`8#ar&p zD()VZyQEXcxUCcEcFpLhPG-0{_AwQJ@)%>olw-Bdrqgy={}Qdej(CKF9+dS$>p$gO#P|LhreTJ10w#2ThEVEuLp!cnmL7 z9&95R(LKQ%=g{;0K~R_)wOO0v1}W4fUw4g^T7bXt#dmBNZ++q026A_;U+=sXjLX^$Diz;yd)F zKsdvuH%$e*;eePH*JPD1oZ7u?q&#;>c|rpQd;$iH=^c&etF(HlwEj(VUF5szz@FTI z&QJx>K!FCOpR)1q>uGLxI$;HGOG@bdt8ghLq4z*>+M9!JcaRcG$yH%5bi)(tur+ug zUg9sR>k@la8=iQFPeK72f0}i*8X~M2W=|Uy8NKU)>oi2gwmr=}oRU%^FjArN?6G z%^909g$4_6`x|jNe}f;It;}+dGnfPNTW)>x}hBaQSn=rrW;9!?z^w=_Kp~;S6Zt zq0|=yxYE0QUS|aw1~E12o7UMkxZA{2Jgp(s!J!ekD@9YAT^WOLE+{glt=RxemJwP8 zv=v}^F272}f%nL7adUyC3v}rn5>h5j3n%Ued_skW!s3OWw4bL}r>p+6q{Q zZLxio1AG0ghevbt&lZ5;=Hdw#5ipH3AjL4)pI`?^NiUBHXa&;vseN{End;&0wxXf} zxX@cPS|U}Q1~DzEZ-is+db|M3 z=T4WcW`6sqmUjOkjpJFaW<|5fGnIh?^tJKM6dj|#s7`!N(csSG=gNCEz^WJ)8gTba zlQn(eh8q+Qt)?tJ&5KF&V#aIZ=eAnMWBj&>_?Yq!L=zHNMJ!OVNnnMt zK(U-Zofgjuboj*fd^U(9uh`-)k0)`l?v}R-k4JoZO648|((lq}j;64WqzqaQRjqvI z{)8}+L%?1HweJS^t##ew4!=p!Weuy?Bc+vSO8YOqiQ`e*8GMtP?2 z!|f1^1Bk`5MA}(^#JxHEsN>+n&*tTK23YS52-C<)d6rz6zPmpCyR7NIPSSIr_!%4{ z3Q>uOG8hyT3yLzhNom41QDpeql)SF;+);Nr+0dXazP9qdt~S>HxWuciWYg9DQ<5vr zD>*36m$E1d;lyx#z0Y7HuOCJ27)5^zn?c&B8etT*`Z=-hyYgndX3&y4jzH`w$%(de zI|hFnrnnOK6!L@pFp*8l3oG2WUL>jB1J>mks<<9FP7-*z7z^@vRF`be4DbYEMIXoN zMy%nu;>g}dOssH?v-1_e+i{|vaRr`{Ko&%C%eo(*^GGDMhUuO*+}J#s^W%Itub0ux zk9ux!CfYxR>AB#N$nc&VKbahH!}8;O9JFlyL|wW^1@e2g?k(0b z_v4|*jX`@_y?11>QrLsqTO-u*{5-{%F8d(J4y=tj#HSN1n@`|@53WI!cLizP^A)>e zyu(EmP>Ng+p`Akt^T@IWc`By`vJ2zX_aaZ7ns8+9=knf!FOyIQB7KgHI_zmbU!-u) z-3g{7z(7ez^@MZB+-315>PzqSqyvWM_w+Y!Wkm*C5@IkUHHL4bw7WCgr%_|jl3bS} zmnr5_?}a|)ir{F;-<=y}++`^>3!>MQTtGnHko{VEX15eD?YUuqBJ-H!sgxr0P1>6?}`1>9Qs$0dF zCv|u>ROt{hmNIVooUd18RVqu81fJl zL4x_Yu1h8^g3!MzpW<{b<8%^1f+HTvhXpLc-!Ile6KK(&+g%__cd9&7? z!79Xi`XvzL0-xCb#yY6qW{b+$w~gR-EA!B;iHDEg@3z3YF7xkn=ZA7{`EYL;!`+PF zjgTxFNEXLGHG{TYxEelOjSM!91{?2!9DRm3am$Kv$?C(^PS){I@(sgt;|nme{jA-S z=v@mBSu_735dG$BQBOBB%pQDFSU7P!=DSfz=T=VF$QDe>7R-#DiH@E5#n+lZE^qzv^*yhOl8$IR&*> z*<(;+l-{uNLl{L^w?A7n$+P<}%E>6Z*Zg?9%Nv5n0pjVj7@=()3S)Y)|+twtHYWuh05s{D%p zPUDOJ?>?CU_~;2%qh1`}mIrkWw~xnawM}N(+ONxj_S!_#zbY$j&FXB|jAjQa|4nH| z`(cu?Bn#b=T4hXFCvsvG2nsrKj+WHy^4k_4Cq{j%!=t`Dq*Ln!=yk82;eYcc zsIIHKaDQU9P|Ghy%ZnwHR#jxg8&fYHqWI1oyJI%lzpjq1xkcfxg~wQSb{x$u=u0~) z9kaRw3k!_@B7kc+F72>m+Sw5;EcpIBX8!Mloq(Uth@Z}=hM&&voXzw5j{@5T>>xJS zIMVxnYR^n40$Ck6DWVCiv89Stq>6P3jOQ6U8`0?}{yDJeDvf8_A_Sels~%Cfl}^Hxz5k!UK&KR(VlKJNScMfCF**itGgQY!42 z{QOw_HFW=}1N03BB& zX(jB;e&3CDUo8{Kx7q~r?7>eSuUnrn&W-xo%SHd$9GAk6P3yCu^;s8s^;s=syB0e+ z3YI*q>TLroYW90 zf-SYHEVavq*}#j{P(}A+i1EjeZ=^_cqzH=V#}L<#Auo;}LkrjqRhMJSJlcAsY^BVh z{U#2Hx_Zo{svWItm?$1BR3#m&WYX8s{Ah+%@??2(WV@o+6m z7)XARBMjJ55z0~#$bb;FQB0ap8`*~S4c zj@v6@@hzT&z77)~I*Y1GS4fJBzd-zrM!qQl0Iu(L>F2gW)R|bJ@>uM1xTL2dcH!Hk zmz&j}6!R2fpZyzwuVBowR51_zm9R?TTb<`Q_sTGuWFrdS5(QRCzY@kmBwp?Z-<7sR zu1i@WTb?H!KV=ytzinA{Y1UGLucaT*t|=_eab1*v%I!^%d#Sz&@-TbF@FEe4%l zjhSAJ)L$miUq+jN$dri4R8l_X-*=c8Y;>ILQ|zRMr`_*K_@Pf# zhdG(Q-Eu}}uxGgW!us=gsrjAavYXpYZsc6@35lpMG=Z7-RR&pdfCLTsC&)_~p3F6# zpxTB-jFVX(=XlkydTv16wXeQ;#nhy$X8YyMqlb#~{dL~9lcW^EtLqrcEx!wo4t(kj zEN+GgI+@V7g>a1|qdzmF#&E0p`0YMC`{zTGj!#{$%3if{Z(Jy9^4-JuT5ndPV0|nQ zl7s0Ns{&}CHGJKMAzD-vSl#k>Wn)1)7_(rIntMx+ZP9z89~fE?#3DH$DBcb?vw)l`pba zE{_qU(4swBf006F zRgM?gx#sAc20KV?ZjCHc2UFXyjG+3T+qAFUW^l06y!p!@z^24UO}9N?U)Z0w6Y?5b zuSG6Bf1kC(9-AQ*upK|r`aOcujenv$R>m=!vX!yi6Sio_HtKz9>8!NS^v*z_6@GMe zGuX6gaS?zZ!%#9hHEzj#00@v1fszS-`&;(}gom%Phmk$X!oNn6_Bo%-DwJrp<-V+f zJ<%@guX=5QmAxi^Sk%Ro;~q{epfiUzzhUcrS@H^aHu<@H?*KCehop9 z9(K-V9~Pnp;8*GgE^@1dJFX(#&kK)Ng^FM}y2YsH$=1I*LBwe*RUm^;)(|B8;UF&5 z(Yd^(mMY61=Efix^jM&$+FV>@-m;_8TF;3GM4)f0*kgd`Yimk7U*28-yj|eM=j{dL zmpCBr!ZIjYdO#$P+^zM%3ZYCEKg=PVnH4@868Tj=o7m|4HuAAlbc|4vRx>;Z&pc_|3A>u7Wa$lK}>fE3n`t{VX6%x4^(>hO$lB6+ctHS%=}WL^w) z@}I!?U{@(j+sP(Or=tx}28V~PQDF~GgRpm9fs!6bfa?DbuZ z8FA3^s?Adv({wjp?uKqsQ)W;2FIJS%K1ls!VSU$jQ=_5h3uoL_b!Fno6ydYOW+cOr zu36>}7&La<==}0g7`m?P+tYLF^VuWZ%IxoprEOl`8Syc7D+7&(mqSuli&w+q-nB#h zOOw>9^CYVyxo=LLP>aJxZpam{7jZX#L<$PNYD;rhq0@qU!+M1KC%-OHQ)8(AF7}8(#kd{H z=X`oPx{RzA6i@QD=B5wotn$hw1(<7Xa$CRaeHbs#m~oH~ad-nTM~xc;ld@VUHAdEZ zx7BiUKFi}2(k9n4Tj|v8H+&Kqs2;h&Nv&xn0DjvstV2y6ZGKUOpypp~^?Y4id3&_C zO=hU(B5OEvc(?nU?~v+Qw|>$|#$B)I{Gc9JqlkEKT#S_41aCyw56P9J_k4hh;3t9R zgKO~TlZ$ZWJpdiI5_+h%i}{-m#QGu<@#$aOI)5Tn&U9!^jxfjqhQjb0Znf6~Q~D49 zg%vCvI0C4|XB(-#oytlsL@{|@j78}5(L_GhIvJbC#KjYqE-@ZH!dd|anIgOou>q@(q3KBp0rKSJ6_Gow#}xQU#=WzQV%D! z75yA=Q&`wZy_(o?!A(0=HQXbKhe_rXFtnwOZB|pC098mLe}1HWcZp9|@M7 z7*0-!Uf<7JIsJIZyZ^B1Jgqck|53~2)`E4wiO#%x$xj0yf!qDl&5r_0v%!z~YjH(0 zOTwCZ&iwqO?Q&iGN#ZZBOQMMp*I*5=%o`Tud00&XF^>}_$V?4vshnd>^=lu+7KFi4 zDTTgf2I`33pG>LuZhOBHxx#oCrOc*EL?O9CXOe;wTXXn!JxmBkxGHX@Ex*oCx*;PnytoX!EcInNxt;^l0MyDJh>!V@0mY=YN_2zM2t(u1*rsdHAaF_V<~ z*rBWOFfWhyG6%Ltd&F8Kmgo$R8s`lK@JC0x;VOtocTqU+xA~Riy$t{|$@U_7sgnk| zpjA)FD)zYiI{V=uK;$hg!Ti_y7fbz2>CrnCQDgQW=hWhVF)zY#*SAC|=vl#3ppaqY zD=Kj2M9qTiJa_m8vPR_D3X8&hUM`Zlr`+oP)YTdrFiVMf=y5_*xOW=R&hmcjoeg|L z0j}_&V(CNeQ;-AHD?eH6D(7QqcJ}r#>!y417qU7IJ?X|cy-OLiwh^P$t-2YiKu4nq zlhhz9I@kx*i7R=JtF9N~5xI4B;kj44v`_F^R3?9Yt`%)pibo5ezk}C=7LXEy05Ns% zYuF)4GE+VG#$MLCYHd{zK6fh(vrQdJLp-kDI(rE1awL#F@pj4 z%oc;{;omWhK2yR71_IUblB;k^!fuoXm?$4jK^aC|%72){zweQ=4GbG0@v;i%*53pg zXO27lkjN0NH0~=AdYb)ne#oyZ>8`&p;1(H*Opc)+Ppp_O6T)bNW)7i!tHT+;I>t}G z)0}?LcyIf`jn`D=&RTL0ZuxxAyB&~+#^Z}B9e%*#NRur+a_U4ZExGPL*ud#&MQ|VH zQMN+wfd@O$bJ`l+3xWzxE{i%Z5}i9-_Q!5CZnd!iHOEQFxvaXmh}zIYTmPV|3T0_B z0Zqlm&FU%bB_hozFs$vD-mG(lg2{tZHE$Swqe8kcY=*k&p(yqUa5wItD`)L-M_M`Z z?nA1l*2q|a$87c-c<<8L&1b&Mpwr97(zhE}ytWoI9 zXSkq8a#o`jdT+8yG-h?ZY%<(*iCn4O9Nq>605ws^t))eRBZEn zX)1CwUt>W#>mm@))|Z}+n>5|-5Vv|+U&XL$bcw=N)wiFbe>IySf_?kZI~(~N=S#dC zOv*ck--Z??0aKTPE`A(f>awat3?<9-Lvn;Q1Asm>f84fyhgUYY^IWtCvzAX>27qM6 z{BDW`GT7hw#_h|{aGRlF;8&}e5tf;$;(V15$UcNtZ5;U1wsv0lr)XXTVS9uA#L89H zKp30PICAt&8#|l`mepcCXWvPl+|mfcsH^3q+I=y5x_zlJeo(6`{ZZ)3K*l(cXhj75 zXd0Tu{_P{P&i>)X$qN=c-qA&#dqNj=oCBRgr;aP4@o2ln8bg-oiIm#63c5J2!lQ>F zY}wEH!^)?N%=d$cNUUtJ&Z_0pC*C9=s9obQ0mfNRgE}4)2Bx8uycW6P3Ll_=?7SR( z0>cmuROfxt4Vzj%AKK$aUYx7%a#Nx64ZxRzF#>PAT}s0O&c8UpnqI((K}K+tR?$N+ zA_yX)hD_xEdPs2z$puI~U1e{(hfyFP;h)DvWuD07sYa`pX*W2MF#F2x^6&z-uJQt) z&!t*>4q?$tFQ+lsgq8Q?J99VDIoMdfzN8M^JAUCnBhQCTIJnQAsU2c5Q5S5+lxb;5 zG$1 z$9Y8?AeUrfg6PFGr;uC7tf zSnNVy!{j&9UB1aGGt$f+*_ckT?uhX{%Fg{bNw%w<1Fk5?#Q26^hDwbCUB10q&3P_r z!O!jq#H|U1F#@eY_|+{P=^tz#L|+ztA2Y#;dndV!fGCJ{QW4tVl2OB8@SzZn{75*| zI(shfO|0BJjem^$z?T@ItM0HHgmg{;%*d`4=)eTxAh;+JkHKsH!M>hU9Wpkqim=)n%6dIC@%XH<=)Wg z{VGl~-(pdeWG7jDwAB?Ho0I8z@N!&%P#^?S*E_}Nmm8U!TNd-y}AN2T9W(0JIz zP68_w+O$4YD6E$A%P=$jc)}~NX}V}1VFu6cTQe;cJM-eP!h}U5D=v8hOQM|Sc_Lo8 zuVH3Gk{?w3E?#^rv^^Z%(&|=O#}JN8?PYXFElM4~w)rRq2!K9{+2BSgwC&Q|zvwV9 zlx}-C-2}K1P4;BU`I+1w3w$v!j782vYI9BO?1rPn;wVDhO)DogRgYiaj=L%}+3Ws% zyIAqKeDOhrqq@IJWe-@^*yWkvo9$&fe?s@4Gl_T`5}AywxP3nw34Ii-eD<_)tz4m4 zev(R7Jsc_4cr2>@g=S;sDbhlKDzN0@<@eFxL)+QJD)$Sgu8UHJ=#$g!v${tMy_w#q z0=>nWw9%@a7S2J#0NQEtps7+j5LYsqf!kW)`giR;SX3yhedd5a8emS~GuA(IhQPSc z*}(r>eZ7L7-}nio2l+8Xk-T$&E0F!!!WtM9_>^f7Y6*cIFh{;nxLM+gSf*zR4wRNF zek2J@;PNxJ{d`I^(yWk2LD076g$6KwloLZfC(vgv+=N>hKp5w6V~?kzm>aRY7`wpe z@>+Gh*gvc6VFOq|RmUwLbWqYkf@n!@7Z5E8YDh7Q3WPneL>ZNUTve~dR`Gdc#%l&` zduqb8i{{@yB2ZzOV9MBcEs*PVT&&I1}|u zpYoUp`&?DqZjr`$(IiiY08yB->~DW#AS=|Qw|?9KFRR5blSb+yjcYC;gHXE-@R8Zn zKv=_h>vupxH2}a1C*?ALuj*##I286$RYUpO{%|@$Xc1KrVQ%1k68cm3+s!~VNPlre z^-{&|WoAo)q{l@i9csJn>cV+vWsb9rMC9`7Qr!E<(u#fN`tgNpE> zxb)8|ghb&$xdEWCG7ZE8Ty=(K^%XrvjD6I#1DJ3YDrI*ZvmjtCOAMSKI8B&QGh6=J zYN)@$XP%n}>wFgn2<+l`JUl)c+sLycZbfo%f$%K{WCA_j0$9nrS?(yu9{^PNTcHSO zD6jqy2+SUT1o%q&K=TyjoAsJ`QUsWPoHq%!SU_OhSWhCydPRg#)#|e!P=7`>Sf_6C3M@UkY~z3K^0{8ROKAH4p$k zzW9vxK|k)fdItt$9A!6IzjlZ`6Q2pl34rw&ggc&KJ$~J7L`+2C)omp6HIt#RN?Ki{ ziDotq5>5rdi)rjRO{A6yoUWI}HxH`#T2Gbyx!K4+O76a`ss%Q~2vHa#Yy?K3(EWYK z5)MHnWRZq3DyAlj0iFsQ>~Z&QqtFb%o0V-LO72H$X$Fx#<1vRs;g6<%Wv>ygjX1A= zXx)dZ`6NR*EFDnEb()cJw$#f zUl>QHlU%3EZB8teSEV;-V?;=!>FNWloSL+`@mIAI6t{)YjR;uQUVGUJ*;KzfB06Ra zl5E?zTVE8fe$@7~sc*e{JCm=$uzSD4=!!aRLEv_f)t$bI6^ zT6JMq_WEH?{c`G}stQb8%wYpN4fjT2j7J35&)D%C*+vDo!Fq`cx#dHk%9z&;8IE%k zIw_0GP|qH({pu4xpS`N6>e)wkZ$1uK#uKF=+kIfemHCxP`75=w$v&qS-gPMD3nr(m z=UZ=zZ?PgzZCAmX`ise$m+%$MRb$!JugCR4;LSx%@IbH|li*RrKd*D0{%D2|8e}zv zVYZ41?*htX-|-!r+)bO;7vmo`ZFbGP#he9<$lGyHo(oRlA z;m|vlF||A9CQ4XQv<%Qu)ZPf{jE48$f^%_g^!gnfG> zH(tFB1B(H4ifI{!CJPf6ic<@5J*5r+TqdMAmDbWgEiR;cos_VBNlvDaGl${CffcH-0g3krUm09GqX1%>UB$P@F{cNdu zaURT`W*+6Px`ajm*bVa%1PxqhpBL({fVql3n;$-SPuNQiuwH!UZLwbQkFO*3I5cs$2H=4%q%5mW3l8jtp9AMhNv z@X8~je!uD-X>qsN3386mcj%jK>Pf#ca~b@a_X}0PNy&b2Cj)y0LK#p~OX&M$vX9VN zeg}gjj_T6$e0#SmWuG^-8!FvbIr~IZAp1-R@%;S&ln%Xr2mn&qM8QfAy5x!^_aW(z zpufcsQUPE`k*sizH1gekG}FRH%|%(JgqNgrs+JNKQS2F>STaLrqBDDB}cpQ9AD zNXZ|~I`-t&Yd~KNl(7q!1#74hhJ2#e`6m$hlCPy;t?YY*WGJPWXzgMty|)QSA6bPw}A(<)sWw|W%X^vR3XgOVv5h&C}r4%CKy5_)iG5axpn*b%8;Y}e@q zSMVdkinNC2Ft2C8`M3BwCLnf|!hIO1?klJ|SNUbIVh&;iMx4DY4B7JagWe7hTS*S? zO{ErNjAMQW7$ScZB#6b_u%?N zVpn$a*JOgR@%l^;(#mJO*kY{esOtt^2yax6=D%VdE?mivyY|qm55=mTT<=jPdO~nq z_qWs7dI4}BVn%Z}933_bX)r>NRm;Hvs=K-m-ku+4^!RpxwZI3OiIsQr6L>vo6;SC? zLPkodsdD=G*gTj+2Tfku+8x63r+gydDuZ}1RcpS-!4hSbDd1=N#BGiv8=VdD6T6{v z?uq(s@MiPu>FL0urF-E8Wj~(1p~6CoFHiY}@q;K%1Y^ko3!7S=0MNXe`Sz4Q6}<%o z8Bcpy{e7G`^&==1PkL~{P~XE{mZIBo+E2qP742hQ z{rDH5S53n~PMb-v@8O%6r&(Vhn6g2@1-@;`tO|Ol^EGgaWfUxvg zSl$A!0AAaee0Mg`FLO4++h3rqiQ#YRh=Wy*;QgW%F7!ROYeQODo$U2_M-$Jb0e8-p zn{_>52XxAvrSp2_MoCWv2s-Cg0XacNU_KzX{~25+oI0i6%8z%=cfCH7OG=JJ(YMQrEKI#y6JFkm4$ zl7lzj`iC)|H=cC__PdUH=*A`9eaulDc;D9_CxkaCI$e4SQ(sKHG%E%KO*F}`e5C;9 zriY}0k6J(ktL;kB0S~Cbvv;EyZ|nLsS``uV>s9l8>M7^PiCgoH42r>Z?^@}c!W3&w z3$NLNAk0A$Rp8emLG~68uYhHiKGQw54;4{(36Cdf?$iG4o^tFS`rxU~JO-k>McxC_ zfGHI!0g(5bh+goPpf`NULcIZ_!``f1tlK`$ftC%nZriWSNqO>{rdK)Twu$_27X}l? z2L?IM9XL&ax9VfU^O)$!s7{v_*52YEcDnwm1=8D#X%$gg`A@AhS=E~sx@>WyA%N;3 zJOmE1@M7okoMpBaX?1?n?pzOJ4T~=4PSPoU7j4`%sm$*9I=#(dmrOZ?3H;*>tTz`I zpe&|Z#(rEj6~>BeP)@6qQfAJ9Atn6W#oQNtpHpwhl>yd=@)MX@D5*6 zLIsIN`yOV#U>Yg7FC4%wpc&{s1jwT+3it)_f|aqTRAtJU02#4-nNb`$D%Rli!t4I= z_}Sr9q}6JQ+JG%^(PMcZPW8SgLTy$=q1OmZsg{)!uE*P){?r&$0AvS=Tc@k?7hjBOo-r34WpQ7+6 zY0b;{?`YNt0hQJ{Ih&*D*3t_r%?~F^b^FK%1f-on`*PvJ#5Tss5%zk|jHBzny+{?< zl3o?md#9N@;0}R6w|+x4!XD4uI;`Q4cYDej3<^2Je<(Dff8p4n+`;*mm27%*EQae-3zw-z)2?N4>e)O<&T1 zGYDRZOd5N{y04XXC14sHu9bC7DVKF6K;~K=Xc~ytZ5_ErO*+9C6o3IHA3r{3Z}LE- z**~-*V!P73^$7xIk42_%sw8lH5~U;{igALizIVYyDRMvF<{eIs5t_y2$FS44&4q)8 zmE7)kLtDX-Yq~2rrl48K_fp3;uF)LrT316|^;ipVFhuwEGV+jyz?JV`S540JnHi$L zXfg8e{H^J?%N3O@v-fcC)Ox$8vB?`FlS)V;6(o^Omidls?%YFBmp-`y?i*)~ns=m< z%btAq>YMsfBCA$^Ii0$9#n#tAp^x)aWZLR2cg$$lb6GNJ{xkio&%UG0&miD8Q%@+N z=V9CxbMt!U1b*KI+$VX$U_e}XEmh9{TVey`c!`bYWSAP;`#9vnG0|LRjmn~#jJ*0q zHXVL-OvY&@>^(I^uyc4$=;B#G*W$r+v7D*nT(_laeVWB#*X4NCbiP?Qb8+hV(8hbK z#7U|DRCW7UPTxEQx$Crxosl^n_PHyMMkK9f_hG7bAGGOZS(Ey#kDl95Fa4-9({|5d zM4I~_dJ?5q@8H=qK|5DjJGODJr=zyZ8`3k^@tlb-3*SSdRbIqARWq*3|B3dl9)TA` zLc`T*?m}{xr8xG{o=dCe?vv=<-xGr`K0jmJ$H&U~I}7ADlLbPfrY?B#R)5b4%dw5d>HUK!B|Ej<3Z9;%e%eX&b` z>VeT$IkFo+cqPstZlTdOJm%luN_C`hwT{9$aTQV}^g-+pFTw|G#tj$J$%+r%9m zQSGx(x;$ll&<1^fIZ1GJq|{bQyF+E0`^_4^ac%LWZ((yE)}nAqXa8Q@>rDFIu6i<> zVI7Xk7-0eP8^M=7nG`FM+CJ@P!KkOhRmM2$N58c%erJ1IZly%s5RWQo!rUZYX%e*S z<|sss_!dC6MLs=W_S%z-Q&x#_`EHWP?ZH3eZG|WycIbRWxyt+||x2L*^*uU4I5hCz{d!j(5k$s{d zaBg{&A7;I#B^chD`5ECXW6vmBZH{9eCN}}6zmrM4O#8Ebb38=8ODiwwj8fJFiCz>^ z)fS;_f1$;F{;cw+~?~wgk zc@%Ec(e{9T@YhHZcXkKjZMVIQXacxVM9?{${(+6pt&;zbw6_3@s@odJUj-3x5Re*D zDM5PZMrlMqx^pN2=}x7jI|S+O4r%F51%{T84gmq_|3>e<-+RCByYF}J@BcjetiAS% zefFH0v(H*btJpS`5SEpNiuBY~h z39hh!g>^5F(@;LeQAMxemcjn#7hNANxjK{fer}xzW!rhKMSWaunj!Ey@p+u%w6w0~ zu&|uA@<~J4XYLkUb^Pw#kN}5*;@Ru_`KS$fyM|=pJ!-m@Dfs+lLlb(*1vF61qFPS1 z+~;flZ5pn^_;)@^`+k-Dnn(mO!LTf8jX(--kVLgTu;zAo3iT@ev{=-akyuzKF0yh* z;nvW`QC^;UZId1Hx05mLy$zRIE^oa@dq_j;S%S#$>O*VG*RZVHa+7-86k4}n&>^ytEGVSz z4P6*)C9g93DgNqnEIj=(byLx<2^Y-alyVbk?1xx&AHLi7%aIsC@~s`9nwg8dl-`x% zf%*`hGAhi-_?{mvYVNrm;jj3S6 z#pCPvPd7~W+2DdDG3EV?0%!U0{|TMtl^gRme?dAOB@P`WhsHdB{QrS?%mqZu1-v>+ z0Qn7r2$Vw!lu4Nj0MZ#;&=p(|MljwHZ1!ikB*w8U#?c*Y29W)XL{LC;KVv$#DKF)v zYZd~eB{Q2-jfB!J?b@L?B(HiM>j_P-ht$TCw5F6N; z)qK)3t)#0uuSBn_)VS2^+;hI`AL?m)%C9^clCD2)8J8UNa=Cjm-+R5Kyk)1gkQ8oz z^gP1vN7B*8G^Nz_A?j+&!(l#M2`|nq+%kL<%cOMk_v$aJid7dNDA7FEEny$~ZFYfPuM4uayYwF%(ZaDJ8J?n>WxY#gvOzXBue`i`C#PpYry*)0f@Uj3m z#Y$UQaYGb;3*7o=_dIA0zRw%5lvX)=HMM$pZ4tuoOmsSjwq{)?Z}kQ8m!fMFDQY{& z6C5`+k1y0N0?rgOUrsxZD5${pMqu0_6=#{q z58Dc4`Ld1QkT>+?9v-}Ggq;EoPcN0RA7OpKNpPO{1PxhV^v-4w3~FOFj1!8#)ptDq zkuTp6yv;i8Ohz{?jrpbE+P#?CmDT?GxQXiV{Gj9R2%-5~2v;BNRl!{^$XXXYh;D3W z)QeIP({+_#OB7qGZ^6rh&A$AMt4UdAhYmD<8Sn4XldO*U0G|5!6ojn_%*y8Aq3^01 z^488*2?c2lRe~L1UcAY6xS(X|7o&NaWa}m;c{thknl^H*>qE=E);NH`-PNq|(D|B%sNmA6#b@;bZ9O((h%a3`SQK!lZ|CGdh&gB* z=MhxYG`8wV8%n?osrtGw3nzm)lJ@ z(1WPn#Y2LB91~K4B;bl%B^fx&eLdNGg7RIdq^v3;^)~p5+Q+d+$w*00!5w0g3hq9L_}=c6JSy`W@8(SZ(-V1F+T zKnfurpYFkLAB|HegAOI}i?l`DgMMQ1C!wZmvv!cgM{QT`nqu>RpxCmma+1Z<>YhEE zP+H|xGCKG};{g7CRi+fO+OJ1{6m$Hr+cvOD<19(VEgbX6yqGiV@mv1c2T?C;KzvGS z=T*EOEQV-I-4`3LLpLNnDJqT%Vw6H5-)4P{EI}znm6$BaF8#m2=UPS(@hm(8h;eF? zFIv&@&v7&kG&pl4^-=+iZk`n?<*DoRV>JjBjlMzvEX%e?`+<3U$22v3Ckhm`h8492 zstG2Z38uhm>9A^PgBzM*k@hb+iCM#t6?7GUSQLF#pzo>e(FYak4CTPoO1eFxg_(F2 zqpp$2aMlcg%{SMATGomr!FHjQR zivREtj^(2mdMX2m%Da`fuo%Yo4XrP0ruVF5aoXa9g@)Y<`8R|-lc&*61Zo@_+{w2h zUx0hvlaJjLs&rJnm-v8@dcs(OET)COrF1$(PdR>h@ya!2S`$@a?nHQa;b(}m zkYD`QXM#6!b}5oahlRB}>BODi=Ql5pzai>=mxNNC-uz;B<*+|`5B{ZV1UvSMn_O+>K3?4zHL z>eiaL6cFN2z@%E}85AjYD~SSmtQ=O{&t#(f3+;@+}@fjp~@ajb7>78fJwwEIY<|@OKUt#m( z<=Dj=djg z*=2o<)*y=Gul4C&0-;El_`A$4{nfe$qGD=ZD0W4(VLb>}hG>&vwcO2$H||eYJozu1 zGv59$Ce9yn%}56i60Sn@PuK56N@Rc1%s=+-$zK`Be&a`Se_S7d*&P2Q0v|F$e>)7nn0An(dkYZsQi@>hpjqT>bl+UU_h8d zaZ^p7DWGWK#T@g%oybmsZu>V3>vyn&-^!<9@7#$zZDt;x*TEvp@%j0QK(r(b``A06 zGa{&7`TaeL!@5h&K zUH|AHkDY8#3yTLYx$aS$WNNh*Ryp*l22&d=pYUNlkz9!TwN%3k%%#iOmK4mTZ% zvB!=r>xc7-s^7K<_7zA=m-%b+4%~TAcQw8>2#_>79zC+|XBOJ)5>Qgt;i&+g0JB1| zYBYxbf_HEc%9i=Whm^1M65xrq`*`sMa1@C41Qr8An!c(oZxe1QMHg;m0Gkj3p}#}nM;+DS!CqOeI$$yD z)%0NsL2S&q+tU1D%K2(1IUnH}Gv&O>cXg&_4EK^-zR;d+iJn4y7_``yVIy{{ObBgy zWem6Ctklm#)eNHp&V;SfaKdwAxB>lwHAU3adxX8oi7}8|9_X64_$67+1(XMkIgfLI z^3>nRNmGcKMe8wRP6(d<$2QK&a`6ue&zO|2FA-n2u8I*~&B>j7O1Dn-EubHUtYJza zj3>krB8GMQ{3cyGa-POk>n~ZwmE=D8poaNBXhYcAs9zDbkB+>JR^DPFwF!)ng}I!I zb_6guML8RmZNx=s;Z)3Oo*kix(DgB3)re<8?mQYf$c)T<0ljjy^+Ed(R`z|`EbHC* z3KLEZt0ccuS6VTe&0ApTCmXVmVC%TD14Cc+9c%^J*c>WSLV*YWC`^UvTO8X}N9q0G zaD1w#0j09ph-LXYK$mzx@LN4@-_HbxCyzzzZCj?4pJbv3`0BCcWZy;8FFZf+XU;??Foo%=OM5fwuEiy8 zVYBGO9+3OKy_H!G)pQ{e5kvHyeh&y)EES2Z*dchRf3!3qoU0K;7HLwL&VYUJKALV7 znRfT$k1+3^K7xoMLdX~S>@R})qTv0wfuZ7*)#7SvGO{W`5dTb%ymS3^X5K7#40m{o zWv)<+u-BsUL`3qnqs7BM1z!@^xTX4nBY*UZch?td%v-VtWV`1doVY>{xqfu@p*?ts z?>4C0$NokOSGDl7hxm3sAvpooY-l-#hILHJ`<)6)Da+$eeHX>BG|KUMH^; zL&O;z#s#4eW8KXH4;H9(I!WP!Ry|Cve*HT2u+F`PoWNJ8ZpGDEqtGp-OYI%IxWa>0 zJxRYt5DoBC%A7`D<-m#}=qmBR@0wiXB7)?7*EBltkQcDcQew%Fw1FvTk@co3yyy|z z-%h3OycxzI@$>Rl-f`^(e+3JcG~pC50?{X&&;hb+gx;q+G4wtHvsvS83KkO^NI~^n zKl+?BxVPQgci&BAd9;6E5IQMc+i4aMU^@+|usWY8_4g<{X;api+!F|O|Dl$;e}bTN z`ex$K(GV#dIL>1Cp?ZCgn75}JPoyHYxW zXKZ%=PyrR2_eJ|=x8!*EzTJ5EMiZMiZnN^``>Zn<&v>|0!NX|9ziPZVf=6w38v-#U zp~v~2FJynUSAI7XF5d&b?Qdr88BFT$!Z^v;v()#$Cv#rog>OxSghC3CaFXQ^0!IVuPFx7*7_$p^veN+0 zaCeHw;qH|5;qF(AE-Qh>{H%(wnu8v0A`1FSK9hh1?WCiZ=<IFxkJ63y5oG62OM z{^P{z@QB;ODd^Rvhdqy^P9BF<6lV@nF4G1)om2n9&pO08$PvTO+A}Tug6uT1daXZE z0!5ve2d;tPvuL`XNzIHVt+UIiXBFQ_#o$7?V7gcJ!0qbwm3(i1S}EfBHWD>+W%cL` zHS-2vQ^y4Mj)kLdcN4y*cHwbOQ^&EfTOc8w-6#GT(*1y%6{8xywkU$&;`_R42L|O+ zv}l+rgzC68@d(~e+PdR928G;ugD>3zi=*O?%wIE?s~44hbmUH|YU+T*K1oq4d<9se zRV&{t*DKK}jStB#(Bm7EZq>vzs#(;i)A{$sH4Z$M(qu)qvL-F^}jD-)K4la z`kaPgB0me#Zout^uxnu4P(#H{*sb9=^dRkHchWSgFn&H5^J1#+Y`n*9^yRsto)1O} zs^(6XvuKx2S%vO+Y>PRrpvDADNn86vSDXWjt!l$`#rZu&BD}s9v*48s_ar00BsrJ!`zBmU4-!CY!4g)QtP+Rrx%rJU~Xf`9# zaN(SC3-#`8QFnjk)!4i>x^UpDslL8G z+d8wF)MUOaO}l1%oyxnxH$A`k(BRTgUSh7qrx~$Jxp`Q7lsO$Ry`WdxdP@YUXUmI6 zBRF2w!RsVL4@}$POa_|o7Qu(UT&JjU$TBUL9ml*2*OcJIM=Gel4`)E3oN$0z!rpsm z11Th?FAnSm@HK6;-#*Xw<^`=#Oo~VE}zUV70WN>a6qMeKs6pHTp&t5-W#n13XPls zwVc9lHjvgU>ILf zFNikDk1_#c%YOc=B=So;{O0vmD*Y}%;y0VuC63M|ZWAc|)_XjxKs^kuMfQJZ{G&s_ zc>JpvA27%qFbuA!CxX&j$qq`i$t%#UR7y6_pM(Z~^Js?0sD{S`Jv>mt1Wc4?Jq%AB z@pRkhn)gG043)1PS{;TL{6rD!fDdCT?GHju8kF7BJ=YL++AGtZrY-5;$g8$_cV6&Jj4)%6x^lz@OCaK&-4Eg(i_02)viXQJ5E_bzr&R z{8wVzq;ihJSoM@@1A23QRW!^?=m+&9%DH1Jqj8#wusTyg6iT|D0Ja+O_E}yE?ycB# ziIqDoF$WjE#Xf>L52U6a=BuyWdQ;Rck-`SwdKB#?02jTJWC)Sy;LwMd$V$}vk`t`E zzb%XJ8N&RAcHfgwlU}PvJeM8knbcd=WN?!?vkxGMFy!K}TGc<3GwS!eUGGuCO$Z8m zAr|>WAfO9-Eod{HbI9}gJF*B$g2&##Rg>tb)(63g@DsGdZ<{9{E`FYh>?l7wffk!$ zR+~`I^MC4c6$~yGd7V?ik#mSjEU`@V*(EE)!3!UW694F>+xX=zgZtGca|>q`WM-xH zEiP|D@jSpR_ye%QnL5bKC_{^3Muwzj@Xp-MiFD3zBl8yzeMm?Z$#y`))cnO{>1UDG zYE5$!?^zFM%fLyWMLBB1`+9i4bjg40vL|~IU9?lv^8{F!3wglwyd2R(w97QyQYwIIc{AWcQD*&M#33G-UpMjlXe@GhonRrwnX zvBsm+O4ciUUZ(tKNR23Xj3{_up^RgpO!Eu*4bf@}Kv||xwTUbQEPx|xj)Q_$vpF<$ zEt$Ef>gaeSfgWmNr?%EIME}gyQCR77D3=M1d6kQd)Ud4e1e@WPN*wDe0)ru0OJs@9 zl{hhx+9ky55Q)s$bMQvV0cx-WSG~n`taFx73SLnFqG?TUuU?WWHZoJKWRz zUhvU(926V0!oNdhtu`~Qw#|>e0Qn8+nDjZA^c}R?0P^1;ko*hoZ~4be`Z)1rgz;s8 z(ufdgL$My<2cv^Ci)Q-*LQtx*a@4|Vt z-(CtYWx8Wb*=WE?(vN(&wLGpPz|=1tWs1k5$s4 zY{|wf8FVh{51$Y$Un9wJdUf$T|6!9AUEI;fraDn3VUXH02+CRg^>^_Ow0$=wy4QCEg9+a{mv!qR_jaRwpEHg!SFFETjo_?*F+~h*p7WM}2$^F~} zwh4!k%hER}k+QIBj1UkbsIR^|mFoeMXed-s-;K;u_p}(~Y;7J2q!UwsZe> zgxRAXjKF>2>j)q;n**26)fAUaseu@>8Y^F9%FO~#@ z6DaRU!Ey|?t2;2egtHWXIC~9Q0!ySm*x54GvQrC%vS6*2(mS&?7Tw1|p5z0jY8(uT zk#7q%XsTcH?e)mkaPL#Joc^4SFlgeEmLoW*g(4NWyEo(0cOg3{o#^gO7$lbvcq}_- z;%}YSo=P-NX30rD^lX9g`&3wBE@{?(+w*)GG`>q6Jgi+PZ)tqC+ z-kGR;R82o_ehPRY)>_h&6uAdAvb3e2jA9L7oN~NyUpHxAeq1z8dU6&8bTz0bbb$A* zD+kp2kw9M%)>kS#K^RJ5)DH$|ewSIBX+UF~64lGla~AYqq;r-(UbRO(Zd2*)?!{p+ zqp(P6e87x$3!TCAHnK#UI-7rGSYZ}g1i^&@oe;T2N+G^TCxY(q(wdY^8UkUWBXlu`<5?HdUQX{GzTO^6goF394q)FapRvc%d5N?8|0 zAZ;D1T;iGgjh}$A(TE3r(bB@1T-zJ4DwT4NW%ZG`YZMKyA8a(H5f=>7HR>)1_XtF> znFNHdd@ag-g?T_V+!4^n-)l$7J^fhj;Dv=iubrn_%1Qz>1V0aJEhi|g$Lh+26?!-+ zq!~dLN^YS%1jBMu)J?+GxTwHK6W(P~Eg#r`Fw0t)1fcg$e`8UQj#b zpt{XmgOX!AnCkhMHG5!PB90x${|P&^20OH53`&d*N>+a%zu{w;DjQ7I&Y%P!zhU(I z!i4vQuLj0zy2F!7-7P6Od`gpuwliGayN*~3LF6MMIeUn~QC>iB*)?NUFWJo1XDiUm z=N6tQ3@kJR;&4*%nwU}0i@&+|6ZeNPWmUA>gB^7cZ@4YKoVS0WnIRYKK!?-GT0uL( zq=zh_@p#aH1+IbJ^>xz6#0nNelLgiqe8mkRsstuhnZDF`so$?>nD?(gfb#Qt5R~7@ zFDP?yZG3UP`tuqfzv1I6FSaW$yNhdp{Dz9x*SOlt*Ws_R^+mj|TSQ4~tBEyS`p&`gx5HzT3XDYs}kM*BOaq zr-1?2R^`Ef5Tb>D@ix@yY( zK08ZWASvsWO|Ja$`48Ksc0rxbGh{~3dcm7U(+5!BlOBo>%5rlaWRj8O`?mF{O zGMkp>q@Ls*cUI^STK?GA&xxO%6(bD&z>4KV-R^~MM8?wJJS<;y@d1j~DM@S3EYIgG zGq@+roaa>d{@k*$#KIXj|~LHVGSrQEM#>*B$b zsOS`bxF>Ys_nm6Z};km=T|lCB&&F~UG$6RI|L1?zKQEsa*y z*THg?4$ND0p99W$&XcpOem|}F5>*En01$#PKNZxKU?#nwE!xg<|)SSaAhWMG202}AN$!>EzPMO;`A zV%5s+4#Ij=;C<$ybUUw!m7C$2LwiKT4ipBNk89Z3KrpK6;)2)Ag=BwK~^FcE5z!F9QQY6A=|eG!&d>26}Hf%jG|S0?)ib z{uP|2jcHE5#nmnT+8~qje}xm`<@{jYH_Ln}zBMazGeYn~zNxrd-4e??l_xMmnhXae z_n7A8+^Qmv%D1>Jh<8|himk9!F>1C+0m|ss4Z{6y-IS~~3|Jt6#z@z+8pB^H?FoiI zrS}8}2*j(*==B6@GYAzOx3_9HqGlwr-lAmnFKjZtUNmKCeEsTkZAR;(Q9bMb5Js4? zyfYSZg#)3kA%ym6dC*`ZQG9SPQ2r8bqTdPC@fKyqZ2@v!E;*_Q`vVJa_D_{hD&U*CfY`Sl=T>x3~s-B3EWmxF)j9=m>C>YrU>(Th9BhFV19j`qJqe`0=Z9w#aNfB5Wiq^%O}6DxfCi zp6RR|C5VX4*?8^zj`B2`ig?Xf!R>0bmX}je@<|{hyi=pZi>Rr_r^LD!pDnFQ`CPo}tnHEe6MF`6sIPE>Fne1B zy1fjJHd{-|lOkZzrQSg9$R|Y=^z1x6jWiH%Wh=i5nHL8EAy4okdy3^lMG9Z z0LY(U&k~K{GL2!k+zs-tp`@7~IAL&V1nIwk|JQJysnYHws>wvnQWluDa72>C(D@9* zD9F^vebU8z@L0h=D%JH1rpV6D%g}ggJD;vR;OeEelx4Ds)>>Nq)p#)8NeD;Xwv^w2qe|m1%fxBypIPt;Nd*7T@g6% znSljPL{hSet}kwBXwTU*KETngg`qEZWi|8q*AZ?C^??wDc0mo7IR{u_C_JecY${+8 zG5S;(S)!ev7%m{Bg1(*8D${0NHS89ae{JPCX?GDX%lUb zwpo#*;V1;TstRO$%q;y{nbHh`a87|-;~jd#@A?eK8Z9UnLHHA{qzOI5M1BYm?I2`)n*^{Z=7t%1YueB?ei3wB1m zK-;0F2ywQxY?Y!mfp6C=#zYIp+SN;skM>o~q>4KWbfm=!yc)@4qjcGs<4^vRMz(!b z;@IeBOqdE#lie_l{oNo|j5UTA5R;<^=0EoLD1AIZS9P0)OrYpnIo|U(U6aWQGw9z$ z;W@HXOhqFX92;SKA=RWV??E6$og|i`g3v< zPCxn+W3KAwSnmi5C^RC(R3gMlkQ-HN)~aW$Rjt1m|4Z-xbgG+%gCFP7<71ZPrn^%~ zpF6My#(fV}g(7d#lOzb-`R5DWHclhBIDUAY_bz@*iiRLjzN*4L+>&+VMCtflhrAu6~ zRx+XFq}EcCj^(%~iA`V~HHHy`B3alg6`(~e!+Ocj0UBYZE)cvJ(7&k;;wtPxo%jSf zgY7MRH17-(cXk)iHeuSy`VIGuOtdqg}n6w*zd{k{g?JQW*Bf1`Treq6?gd0Jd}`K* z7|Xgvl*da>TS+afz+PgM5;-gT9L(uCX>M6?1hjtD5^h&}R(GSl_n2rhWy$i^HU|N1Da;fUcTAS? z>CSDRqs*i89`=z@UVTZwyS2-CHiH|_zH5FHG=tc_@^9{hYSE$%agb)!hrjo++lEk1 zoTqVqs)qQqK683wP6!e^z817vi++sLXGszu`^@H_;(P;o=g2&iG!St<~oH3_3TzdaL zjf#5fpirHsAFg8MC)1EY3jg+jq;lEVjKy0N!M9xkQNw%-+n__!PXy6&eo}3>6l77f zXe&fED=S21XHiH?iN!?)EyXC|KFJ5pi&*4eHMV1v44>rp05PD$K#e!`yRGo|o@}1e z1pyL69CxmVot=Uoy?ZV*_JQ~9;BRCg%{3@93n?G!bNl{EZ7Z*`6Hnj*iktC$0(Gc5 zZoh{yaPW6?JFO@BW2>RIf1D1gtr^R`V8w&KY!jwrJ=Q2p5b`>7H_iB2^O$a7OjMp- z9#;le42N0*hk8`BcT`l0UEY>m{((#kiA;>>KauT!iIUz(i;aqonr6@@(gr;a$_UIb z%~&zbph~1wu$gS?$5%!aoIW5gqyIihynTBAATpx)ZnqV6s5o?cZQZnrmWYv;h%rb! zI7~cPJX37cbo3YPHz8v*BXcyvvJco3a$TZ9UDAkWIO<-<)5h2=v*5%f-j}^$yTO-$ti(@J;pP=Ni7|rP^p$nH%X#T@L=qTD-(rC;;=z!b%va4EOv&P z#)2LTQIa&cgUyGcWV6x$&&(iTY>kvIp%yG^A)_-@K>y~p)vI)YvV*?EFeT% z$;mfm@%yYn@@55x=R0G?FW+lEc3N0UP$J?=hG_=1Jv{SyPyVUUu>(M=odHO{=?rPEnuK% zJqin&usk`!z6qqNc#9i?`3LRo&CHlnb&CeLx)H`civej882**rAbFPORz2A1qzr;S zs;Ckm=tFEW41GSd*8lyuDN9-?rndC?^+x~wdox>?&c~_d4#tna{4=wWTkvncCXH+-re+(#(k;yq%K@3h`zJ+zzT-?E)Gk*Q+335oo)9>3Xh z<)I`0HKU|6z^ph8%rI)td|I~yQ$dPM>0?Wnqdd=+dk^o!lR7-G%O!Uc-}@#bvi^kF z#v7J#8(7GcOXx6^%3NR}bHC-A)?<#$e%LoTMvme$u2Ua?6+!gH9ZX##)hO+wPpya{ zCigi%>Gj;Pir-PG2?&B|k&;Bh)LtbDz#nk{n>zx%4!pDpX!5x#v zOZ%DBFsoBS^^1`0pZ^(T@D%6t6nDG`0mz>rwooQiD3jY$93cM+2Bb!I4)=tmMuw)! zcMQ`mnWSYsbn-w_;5igDoDWyNx_GjmN!~X@WN;nlPn$s=lt~_B8n9#Y-fT>T;<#u7cEX?T&W;uuLoTFZXnn|ImmTo;d4vCLC{GWCNKmClfoDw# z7Q6>7#0_KxDlohN*_NFGzKdpxvm)S5U>;~}x=ibubdV1ZD>T?EfbSI`8a5FPbjKyU z$0b2cg<(y9K}Ix~ZEHJhYvZ}8lDesO&MSA%E0;UTY-_U}m+%~y1U8w6HJKaiCBpX- z5e=(|hR1&=gERd)4N%8%o9qCJlqdz|t3Rl_>d8jO`3#T3Bsi5?ZjFxwkB`Pm>*RzL zhs_tKIlb%P9v)i3U7aKDFL%9BZ4iupH&rrnvr@sBq#r5w1`B#vf*PVd0nUB|< zi}wPH)HC4z!V}{&CIp}G&?Y-_3&ys^{TRfdeE*(oYccA_3_1FX4x~Kt&$Qx$r4*E z;iVEIo^&)OZLp~(@9OGTcJ%a~G%Nh_ZJfM~9A*{PME% zO?Sn4JJp=r@x#j}HAb^-(8$qE-?TwP#1DEAZ00fc!e1w&Em$Y&Xu0GWPzt5_3X@W1 zsw>A#`yCZXPllei^30$ zH46w?@Rk_nEVjahu zSJl2gm>XdX*hLT9MbBvP&TQ~rFZsG&@~EDkyPp1ElY!`{cI&A2GkaBQdsVDCJd!y) zQ75rc?a}p;_4N|kdV1b^`k>vQu-%}HhK$UHjDL{Ri6s}Th4qZYA5jc0x$t+fG}?LJ z%_7nlxP$4d|1^-_2qFy8c-R%N`2z}4e8dPX_b znGfW${9873BtLI%vlkDIRq^u46jlOE_VXqKz&=;;O8+J6M(CABa&peNdZLO+?kk75 zobf3@$o`G}J3YB{oaTfZ`d3C14n+|T$r^J@8*}p|EHWf4ZUgFHFoL5AnW6}pWQ{*c z8h_+YSfof;Tr%{}*5_6PYr@(|1J;oP);6r|2pyMgK6^H(CI;90AeeP_MGaXicCOCo z1SQW5ILx;qlU{FOkG%z%2>A(|`rK}2aABye0S{$>-lOm#RCwVIYHxn4%1q=yaUNI} zUGTY20omEy8<1BmLrT4UZqi|mn7LU`$0*;Ki}f?xJrAp0 z2t6&m{_(K+TQtd1G)b78ZGfCDw_q>1VDI8js&}9)|1|?BbA|g2Ecz)&*__WWad=DKZ&9rpH0r zaxp!}i+LA#14ntCqN{Whzz)Wd82^Ykjl#r6W7INCVKb-3iu-&cDJstdEVS0*nq7{DDtEO&tMzl zNm7FtkBwwoc%J_rrqcPBuII|s`L^6oDvj_o%@+&nM!pOSvUL8FYYp#`RyH098qR@>ZIE^~|f2z$gF#!o0pB1=(+i8D)=?su4YnA@qH2`x8qJdBuH>Fw+| zestC&GfxbTk4)}8X!PVV-fYaORarkBA1ZV=_gf9N743vJK9u-n*nIG8m9BQ2_mdlnjv%+(F8qqP)d#Q;gHO^?wm*yc1;mqoVGmfjC*WWwH!p(PQNckUh#R-X37G%K9womw_+@Kb&)_jM3dY^IF+2LHw z4MI*#L*VIdW~TE)0zBR43X;svI@&=#Fv=V<1cfpqh6rN3&@)rbSI^5=-xpT0KTiV{ zxOJOeG82X}_LR-U;&gD}PS=|t?mlSW!;<@OtDc1>IR=8429o|G@e&0dX1dw8!^qli z>sx?5!ww7gR;@r-i@WrATlr9fU9q>sln$195Wd8Dk?FJ-dF^uE#}lOgzG1Ck#pjcs z+RffWpXr;;QS~y!S|`;AF%GS0cwjR~8)i)GW@QAIy!L&2wpuXxIB57_pJbymqEs$S za#ldCZ!@K7Kt7_Ysxux(aWv%nW8a=%P`!5X10Qk-owP!@U8&8%7C4-B#^Ilhz=h@h zsKpQZvDIe;?zf*|aO?J>Mrr4b;qPQ z0tP5Fq#vkAKP1^(d@)P~a#4R0rP@HOWWl3B`NaqYeLVkl3fc|CdKNqrl<2U~sIY%9 z)U?yr*(KE(Cm#zdv5gX`RP4!Ki=k3LK(OEC@TCV6wX=9xeE<1AMHYKXx>Ps%c4|Z^H%dus0gMxC_=7{d4^{o&wMJ^V^$MlKVHSBpdi$_yj7f z)|Yd2&UaVt=G+GR;50Ac*Qya$`r8i0@`IO7Z&Wxz0>Fx*a|fzCL$L)56azh+0fnvf zJuMva@g?kA7c@sE*_Zs~Ao#C`_b0{YU!)IEgcl4TpA}@=u=46p#FojurC46vVV#J6 zRqLyKgXFsg8^zrLBQc7GLH9o?J%Y2GBPqTO0XJ3h%}vFAb5qg(fRB|cytTQ&2P!BV z4L(tpDlG$|_-6Ngo@GE>jLKxk9UhJTm>B(jZ)>)Wo4$)lu&VX$3NJi)Q?~2rs}PWf z(q{qk>!V^=Q7R=|N-HwgO1qNbWwG4UUJ$GopQ3anppr;KvwHO3`~#nglA*6qlhZn_ zr?VXU&#IrRg?g}8u#GK=WHJ}1Z7)7O+J13HQesLfE)B%BH5w*WsbS-k{ zZYSkrdUx;7Vi&BFQ`2L*=_@#2^<0Bf@739w*G0YQx&1+nBKRIQ**<8NP1E@ar3g`c zQ5_n;`GOzFR^TkY!^{-($ey_EFyun2WP7%AwYHmUU{|)aDcU1x4_2hl?1! z_^rnqH7%)^S6d60d%9?jE*0|25;0fLP1Cd#>tyk3&=VT&wuXF(T@AoHuswfqyZwU? zB$<6u{j96*=CwNUIPiQZU%srvc{k(18?epT|LL>lX#<*ZPGof31u!BeJOpjHB`nMP z9UTS=rLgA$7!Nmn8JG@I2|?an>_JdSD>^nF+`QQ4slSf2@OSx%I-5y4S>*0Q#hMAE zCOhr7xksnXmlIc~-5cSvU5;K((pR5#o2xkH{F*DiZqaqbs;NsdCyBbCGotM0_)et_}-DhAL5;&N?TMD zYFqu~n-S&=C*C7yYU-%q>EbF_Yz!q2qPcey7@JN}vlt{k%Y~wHzo~dt794HzNW&?I z6q#E(H>54SzKsjJ6Og$2`SWKD;nZxrvsSXU|AnW`z9d#H46xtl@O||CF;kT z`;XTdt9?twkq0|)>ECwcs5R*`LB@xNgGm)5^E zw3n)RU`T)d1m6q(`V;)q=l-`w`(H!)+XwlltMy$%f!itAZc*VMmu2wx#rWH0Niz4B z0jx%2?&BgYR{IhPI^}Z?!sZ>!Z#%WL*CZ{=jCd~ZD!BJv;{U%?J$x~pjUwtvPPD=Q zqgo;DGnh|j7~+c&`|D~2+p1r4CA4>`5f->m{~EX+HSWk{k>%g4l1Ol^UK#W|@nG-A zJ+8TU6nD2P;&~JGFZ0@28pmhq?or%hlqA$m#>Ese638Ya554G~;CvkEin*H2Qd4W3 zQLY0!;voKgQ27!61F)I6BD9JRQbYD5{U&wVHHAYMSv-@AlyJ+6NA)HNDy!_8f;e_& zhSTg^MRb8G!%Fr)gbzl!a7MXkGOPgd4+wIZ{+;9}pg8*GU#3QZl&Qe=4?yOx;b7hN zgL}94G`EL|$IuBaI;E!z-ABX6d+yZNttBetaz`zi2lZ5_! zS~VR~JXN_8z+@4|WRY?2!)6<1cg21s=3>!LF$48nQk_Ug=gwE}RI^BRY^E3S0G8zF zEC#T@U;&{Qd|;iwoqp_oDfiCzAb`p8HB$V>a{i5;x+#Zfl`ni(exgbJf@4vkVDaEj z1Sx~5^^OYpzl8O|@&?SWXe74aEF+CyszP8PhP@Ry{*eq~p}zW5!NWgU{b6(hL@%HU z8}P2QnUvD4_MSAF)PzmS{xZSg()r}~WZTyx3^C@9w(m^cJ$QiXma+Y_FtIak=XF1{ z*@%#@$bs`H!+%c{evBn$DgYC zrxN+ZCm;SK`ZtY#uE1C-sX$txD-x+u<&ZYjKM$)ho={WEsOb|zdLo1jdG718k-BMT zxnvf1*^NUJrR=!W{j%$Ca=H`pG1IOdgB40f?2YPVaKat?2;)?piF!MOvfzTCT0>yn zy9ZN`1M^^Y>igIqV9{O|$ukq|N6i3r@uJUcZRGp*%x)3O32B zP89rhb>@W*gPyl@qk-l-$Jp)UcyQ*CSrEubD{fn>^ddhwOK>W!zsh&d4iF~$#&(W- zVz;&MuIBu~iQRhP=%(Eu%gU6WF^_F}z16%fO--J?tH7$?jV10L%RT>t*d`qsC0=9&Hz2(7tTgzN|rGG`uky zy0-$|qw3=0>Ea9gC-V9)QQjNrFoVWS*t~p*6Yer;r?UiXz85wx72+hy27}dbPNBeRzGLk&Ds%It^~w@JFC>Fyr7mYA4{( zR(>_J8PYQsg6IE#oV^8Dl})!ltdhb81d$RD>4wb)q(eZuL%P|dbfC+Bmox(3@I3Ex&U?=Ho$vgw|8))b%&b{6_r2Noo>{ZjZ>@<3+gw_o*G1#kJ&l6m z2n|>WH%-(2tdq(!XJ*kF%fUp(@g=^-Cky(2n0n(^q8t|MP4RvUhrHu8p|!XB)KO+L zj~@%rqCB5)L2%y#O1kTsnHHM^#{nr*O{+Z}#%mDt>ti~ZRb+@s2A)?6_+PJ2` zBGFl#8ogW7yS;qjotd>~@-_Fle>O|0c)!Y|Hk3skTI(LSLvG=QRy~=mjlY_&7m~2Y z^hRY_v23TPrx8zgRr3NiUPQX)ZohOnIq4qvd&{q9WleFUxN?bUVG6^{PbVp#HgWfZ zPEuaN9n;yP@Bkvd*-vg|2u1^(bDuP(eP5cV>U_v-2hj2l@DlWq^vX3I*b4##`emAX%>X!Wz(dodSC?F*eljVh^-rZ~ zeby%m5W(RfumFTqTqd^TPc{N5ayZ(zB*Kzw#IBU?{QD3Y4jb(-OR)T3;s3jI@)vc%vTARegoV9B5qpn6 z(c@S0l6qeK z`d+mqh3QH^35@2Y=Rl^Dn>>dCp-#-ngP6|)*j*E-))9>)YK>3PaBaN#DdL+LW8gNx zkIqEeUn2keqA4iu9Kh7`#}-XlbEM>(t)RqEek2VLq$%I@g@%>gOPfH~=z5PSJBc^m zd{2N@=B3HyXbhOJJELd*7>_fa`AsrHQ%;#i75Kapdu(fNjj>I<2@;t)wdF^Hj=T=n|`6X@>ZWM@5kX8Xj>?Ccz0W2Y$) z-zbT;#T7pO7zWMxK~mIn-fxrxkYmHAos%yiJ`@9ZMqgRtlz}DWql>5np8n#!6BYm0 z8EMMB`Wzd3tb(&bZc^Pn;Y(|<`S((|#WT8KO1uY^iT{PP`YiSP`~ipuB6Vp}f&a(i z!&A#MjeGeI?H*z!I%9Gl(1#gDVE^dsL&rbyd}!m(H8fJawr1bPg^KJ|gR!J=&ZRZu zTJAQLFV(`U$e0HF#y>MkgjX%q(4vohX5<0{P?Gy!n*dXun1-!p9Ma$Y{~5{GHSW=o z8CrL?G8t*E5FZcH@+fYIINg&EN@S#IkB(?T{a+P46XECj+(^rc|IYkhD`50|F^y%TYUG2j-6%`V}`dGGKLK{Vrj?SmM2=#9Y3!|9-*whgtBcE9x; z!~kJ`n>|XPB`f5*4qPb;6Q-n|Lkfj>i6G*LvX;O;UsBT%{j?z{f+!YKA z-8yWY3ssuEqj{yKwm*fpONjX%cy@X;3oh0a`>yfB>Vox~x0v_gR=ynIy6_p9TBXH_ z7SvVE@HmAk*ug=BRkVk_w%sNgOaKQHK?v;sTpbUMRf)Pu=Zp zJtkV{%~`LEi8lT17$=FMT&0BOc;c=!AAmxe?skav~_A1|F-g+3AW@ zecw+;iXJ^uco=C`;RF{wURYo74S#sLRQu9+YPmbS&o;e%hJH2@MU8{?jf$;(FF{mD zzHJ0QOmO!TmU`QzC05I^ucy6QV?TX;6H#!Vk=3Nq(Ga1Q>T{ri4ME!xMeV}2b0YAc z9p`}|r?%f%0hjXcSgUBozaRLj>S_X13f_bpK&6xf7(v9lG`V`GO5T|encZ$AxHnnU zvx%uh{3`lBOJ-2f2~?Xxnjz?5#<7os65EwR$SLK)K^&LICzTCebKYgt+@2(LAN)&H zOA_nM!eYkZdhBjbb_c8~!lf4JL8z;k&&EJoqY)MZ;5%&qdG=Idn&@_kaii*d}N1tb8743f%;Zo(7DkvUbQsmMCjIwI%=T%%KmOQUk+&aaWF!r;w zYLt#6h_j;X?E4B3Y<8R3ynAF0+25^nWXk~8v%|Ii^NI%eUVBOC)p`9p>J=~nZ)A$# z%;`K(UcD@51Jg);!`@ktONgxhzlhrs?> zAwk!(PHe)i$y?7Q7lsYb=F-&qgUxkM_4!n+;*^i@c)bGak;!d1zz3MuYpcH6uyArH zD@t*w{lfira=1}*KDBszUAxqJBzXJpPLsoz6<-M5!cc8bD!y>PP>@v4ec`e$)0NG?T2Jy#AlO5Ny2q&#+D%8vOU#o(K zF-EzRVVZ%KrnYu? zbnya@XPm5RpZCBvb^usu7`jNTl{88gEB33ZY%EzctrS1i0W_{<_oMm@#yYqj(Ly)e z%08=R-u7Xmn?sa2SXt|Jv%mt&g7K5cA3ieU{^5ON`^;y*Y5 z4bp<}HgBW0B#ED|S956d{dO48*n#@duPo!<8^zldsU0}6NcFkPw*#NO10et+(zXuo zu{}HN^#!xkI1%euquX(_j!%~d8ko#pnqP1t0M&3EGR7isI6E!byyYwFLsjIPnl`ko zAt9Zvo=N7G)_vOFr<4|?*cV+#1PtvQc=v~X$t6iKY-^R!1`zvR*I&li?~ z!NZS|pZ}@^JCS6P$mnSf_qH{OwjmIcSxZ0@-@heY@3kd3vrLoctG=aZjGTYzY;4YR zEBl9yjkn$pZ8Gj!#kg0**A&Dw5L#O780Wly3{B&3aUNo15%9a;KP{ynJX{GqzES6N@EbmO*tI#zkhBKi@XxvTwsw`a4SeO-36Y%8R?Tw%hBro8OB* zTOoNX%%ADLWzG7x+r8u2A7jY*q1BRjOKgyv{VISa+4p+___$F|Ynanbm`9pc**xF0EfZ=W4vY=9Xy=T&6RVafr-Z z`cSD_rtVB{MOBgzwBB;Z%cx;ug(sm~-AT^h3(v~U+7fFdqs!)tH3jy$S`23W#up&U zSKG9vGb%9#vPwfIxCIvD2V)4_M*&J_P|TM^Ij^7g+xgX#dn*}L)ARL#aWF}n6#h3m znO?%}y!}I}AY-$*frToU8FKY?8l&QfIPQTNdTo;^HfP0J4?P@lLLfWR0@=|n4n+S1 zmnFob@XG1s%s$neZC;{25#vw&$3p<=o~I_l%nA_Wz-LiZlcYC2X`F}R7>AHZEKTHT z>DUw(mpD?z)Ej|2YnN6km4y(LW^Vp7W+{7ak@1~#*;kacp2$Mi{+?49joOv5Z`Z~( z_OmBFZ)&Qv{Pp6wi3gP?9t!vQR^H}T>U>Rlx%-)?aqig@9s=+#do{ITVj>%rpISOQ zvJz0x+p>ZKbI?Ik$L{_0A}XVl}YLu9;qN?VH2jLTx%*T;RG<92Dy+t5k5ULZk%H``1V6K=lgobv3XIKyf^bR zvx?ItJ|!aOcRvr*FAGOK2R^<fVTi78wigD>8t=eYq1GX`cM1?&TpZA zJSJWMT&u{B@BP64Saz?5o(BSOvsWid`T?}<{DzP>B9WdUZ_=wNw|GUbFvYJhN3AKY z?tx&R{GV>2*jk-vacD}Wqbf!ck5gmMYOaBU!G?u4r6Zj1x56?*kw213E-<6t@br=` zbk{;>zO+m3fa%0W*+ouxW!%9sxIUTrh*52_Hh*oP9rlLxvcIbw;0jquY z9BmS^|6*{AIqT9hsl1L5i^hxh(Zub6n!Wn#v`xtWF_{Re&+#+#KMc@IMEIJ~ipOTO z$jJTv@3g%7APl5f1lkw`S~<*23Cv6`?)T)}@Bh8ws~jeoG$tAE-<_C6IRELryZWH& zpWgq4=|6i@qbN}Rl|+ScuQ4ACf7P!jt!_nlVEO7!XUgS)5at!hDTrx=*)BS@=aOdt ziPHj9+nS?r!1NyWVx4}6_rX&U`o8ZbP{&!QqXtCB8KP5n@E}@+(8_M26+sABnP-jU z0r9)CO%}_>-`0j_@|RjnE@3r4>Bj8yB?i;x%^Rzw2h(0NxxDdDP(^5)Ge zr#H%jHu5>UPAwXB#ioiWcCaRiEcYo=Kkk->iqepy6!{*m2-MHvQk8|uX+QmcUtg9H zwgrF210IgYD#%>~p)#BX1fM?kQ$r~D*gr=Y)HLvpk`t_c%y*2^9YPS~BPF+G19~RN zkRXWt84>jHf40@{{!-qBZeM?c`~l0*<-y%4i_cw#x9!D**BNS`l*^U1j{9P;0>ZG+ zA$@(v)ypXzdf@zinw{jKh(jWX4P5@kI`WYrXT-mkL=XZVAQAI{V-tUg+4y&qP>}Fq zBNIQ+C4ZEP7)JlI470x2)+0v;=)wlItzz$%idd(xIFawR^!y)ERG-| zB5vYWal|)a3S_3NiB35PWS#F3T%q$o-fLd9u1F}Ev?bpN^V{i8n~I-9+26$1!zsdz zLz}vox&_EZ;h6_FJdI&ScK5bF>GeyWmNF+)&?rV9kZaLyZGO$iUYv{L`&~pk$Z90? z>10nab^3xwsn+UT?|D*>gf)?Ykl2?yvsVXHTWJCrCjwwDhE)Sd=ot;q|eL(i&iDzP^{1P_J}0C{|st>gm;CBnnyzhhJpzRliHS>CfErU@xnnyT&5 z7hNsv&_DaC?EjD^S`XQS3DaF0si^Y6R@6UD#iZ=X(7hr3Qzcj8Q)C4C)grK7+^g_+ z?P@X3a5S!vS`Zd}JZU}iwNBkU%&LS1?it`i^(-{!7q4Et%dYO@_f4VJb7J%Pj^OJgzx zQIw(f0gUu!0vsTwev(8uMmc}*30A4T=TCBx$hi;8FTdg`gwsYG^m;HC6I27n;dO^7Q^74;*GIO)5!mD^}xa+Evgi` zU%ZOasg~SeK#S$X-0cM1#naXDpRwQTgBn(QfS7*W0U{3W3*CPMubu-hj^qygcGcyU z&CiWQ3jmUqfJKb;QEt@1itjI+&&$7XK3+Lr{}l<_AKN^a(0?{|g7wVSclG|Tk299T zr;FaWd*Ax%|8!G&8{!B;Lo*Ud1fk*o+m-k)&cCnS@-n^hGGlr?l0I9zCkeWLQqzh; z!=RfjsfBIq2H*R*vwivqT+;||czZM396yhY2E2Uc7{Chb7yuK{vHR4S4tS(|JXCc6 zUPI<@fwM988BYO-l`q?nzJi=Ab^+$~eC}#lJu*mx> zSB0x2sx1y})!Lb8$cO6J>LXrN4xtEzZ-(hquW+X1gZCMXlhVD(c9{*KHmW$s3Y6{< zk3giM(Q3Saj*_Q3=D;sKIyJVOvUU=z{MuiEwB%pR1N;^{YG17Scf1cX2GAVrx4l4h z@VEBV^OnN&eLpvX%sPa%pHM#r*1nqhkQ&rS{{Jd$pbubkKu%1BwNw5*fc-xejgbe{ z+z3NpfOuH@Zt6q$|5*9gea6Q5Hy{dyRbaR^2rIAYdrA58RG3gMc}sei&U0qd_m^+A zJqdMWKGZiQ_S!f>`E$azemVZ%WiNGPu*Q2%Y`-Lu=7n$F*R~AZx&F#DbHmL!bYzOh zdupWc$G07!rFr4zpP2Jhza*;V|5cjYGQ4MU0-eVws(An}V3NZhr~fa##(HZ0ZsJd6 z&I<<5`M+deUI*(gAdkba0dDLk4@*_hWC>1i z|H>45tjx*rxMCRzO7Qp2(0IFKJN0W;evck`-I@B-hn&5*`>l5ej?2FD-bgy;AAqer zJ~)raFZ8t_BUSawA2<;A%3e6=umM0xClc(=gkFka8CW_|5DNxk;ee~BhvKklAccUz zFi>B+#GZx7tAk&@&f|n|*hK&b&`pzm6+;yFN0G${f(7>}VgSL004Fr&;2XG+!e8|}*0#~z_k@qA_B06C;{^yBY>3x?mnku7;#0EJ!i?{};O*jIg8 z5crFl>MqjE>aLq&1m{qFNCT1cT2a{(#l5Pirs}Asl9ic}hvh45oRPX503lakt7~G_ zcv^aVNa(>dGn>$u^mPaa87+|uoH7vgt?38V(_{4H%@!~7bNTD~ zo_q$SiR!J^R#N}1KG8+Cne9H&9aB~_cf3LlA_MvG+7SMfC%$U$8t8#)!U98l21cZt zK#5571<689oWS;d1DywTgaz2DL4KT#g18pwLdm>F@(jYu_x`yl8RUKx2G3P{Z+(6& zn9SDw199thT5m>tNfK-N3qlb3%U|hL5IVjJCw}YbCr_G z%I4N?(p5ysXhh*BmXW2M5RUiXcA+BgKI6iy2pK;n)v!*HJU+t>$-cH?v2vS3_qd71 zuOncwvTLsBaWx2E31sR;*CJ-Ig6hsJgZfb?ULU$v1b!Wt?u;Q|0d>F<^;Z|b^7(Jl z+F!l?gKN^&p!m2`4RnmeujA33DQd2`Cmr3BES0XSabYKwykI;pPcRS>i8x2#@jF6FVe) zZV2|QK&G>bi1Fb~YXX))Cz0~oX z$BERK6t1J>`6eW4Gwj0%c11?@*bj zJd-cxNpQ_PZl-a^!QI1g6qCYnrs_p$4~4#Irt0w${Xtsfq%~4!USuW;#OjHycyp{d zwlK-PLKEDlvY87nicFHtlhStPnYnDg7$X}bV$If&fBduhhXfwI9Iwfe(;p@2{!RkU z)I-@Hpgn;*OtiQ=#(h*k!`fw|xbA9r$VucCK07|~>tJdyzQG|=(uaH8-zy*eYEawJ zF-`N8)7Qbe6U;A4kg<^%Tv+0M(=c-s)qZZNY&*KwMKnu8Vx^A#<-3HRE5X|Kvkj|N zD={#6`AS@vI;CkJRYIgRGcR+a6=<^SH(7}pjFM)4o}lgg59?s>Un~rNku(}ttNxt} z%G~%_+rG!H|Jh0mLzHwGZGtu+8U8zo;98eBLAws~MIY7M2d|h`IV=AdNscY0x%uWHr&+tTH?Dir%_aQqQAv9I`>dXpF)(dj%7jD=IQ#9Y` zZn7-4URzyGZtTU7g>GCEAODhZd7DAVtN_QBkt?5J;=sTL=h5U^3z|PzVhTD@`0*)QWjI#S_-o!@LYFz59+H*sF+-HiYgmW)xD%ME1*8B`3Jl|4Bt zj**s}8m%;5R)cfq9E;t=^hXn{GrR{!%g`~o<9(EoSdO3> z&SWP@Nlw%3A~1c_mhM4PnY9C@s=|et=`bE7BNOG6aodg6<2L0^&1Bm^pDPuMYLHqu zOAl*#n0c@x(7Ec1z-Y1K9g~Ik?2PGA5OiOmi_$5$u^*xT8!t%rSod`?9zUexm&-ph8VZWIu);^(nO^j5t zFoDMtnYAvmJ+&OM&p6=LBeWQp%bIPw-6{9EEdNkFQL;lj@S7N!*^p4} zudyo?w`H|Nfkk)P?4?qW)xr+5RIHueBoY@sqmxwP5ZIJnu_^8Wup37%f($oDL@98%!89p1QWw+wcjZ!&28;+yqNl>Crujc`!?%!&_)}QRUunkIp zHPv;&aM>;V?@rL8X0e`sMgSEs+`qVAA2o+AUpePc^p}|FMr-EqK-(s}{}>ua89vDl z{m30A+#(>zwsC~j0y~?N{}ud3os)tw1v`Eim0<*x0Uq$oG~gMmGYlj`gC39~DwZQE z4Bx2Ry-|l!F!KJzn|9AW2oEsf=&T|%n2o5gx*w&4i=reEJ18m@_F3f*PaQgTh=1Q0 zuD^tN%-FZFG*nCa4}_w&MuG~vqJBm!WRGy>NHBdw=#t3WLose5c0Ndjcgsm$qDT4U ziMckv!`{Zy2neo3#WKPs?IqWa5^IPMYakA{B@DOa#{0#F_sgEK(}b~eO+8=`q)OBT zz}iR77a``#h4;&Vv9n7(Ah_yZz3-_6|MdHHvWDKf`K8*QB8hM)|HHR~->0>4cRKxd zUq6lb+>DpMuztQRWzLeYh57hOGUP$R)_Rv)Dvv5G+Rr9ZArOVSpT9dc21opfLQe7f zWXUS`t9V1Qz*;>lB%u~gVc9qySo;2rSktR;Zl8Xy4yTXjN6y7}XTR^RM#L7JN{^xy+{j_n65p^v(cLT z7^nS^+`}*q810eo+ma;Vy%(T?&}{j>;;Z(e$6~VXyMGzmH%7Fk+;5At4lImWPMeDt zdfZ-%x(2OFXul^%7BjA8+E<7MJ5KhGcg}tOboCt|4v7aT$NaqAY2cJxxPIo2z{X2} zQr!q^$~{wrNrBlYZ{tFYY>=<|B?kg23|?Y-7N-{rz2=9-@E}XVXrTrR-f)HM0GkJ_ z2(s_xKE8h={JA{dHvva&>ty>8)UBS3>e>&XZVAoAn9lGRj+pROM)#@f@E)}04tMi|ewl69bqLB-}F zb2bQf$j`MH53iqi$B)J!U3u+W-Gt4}kN`g?H51Slr=qWOmU6L4m=%8+ed%{& z=ffe6e$e*k(5`3#8f$IF3@tSGR5$j}pw+BWCH?hA{bvie8 zdka2us>t*{k))$G3XxLTjllA35y?7*EL%-hS4>3YydGnF*vsIA^yb=5s8klNp!TQJ z;AWrl%5Fb$T-I-oYovnnH=!h55Xle1DMhRuvtTFoE*_pPo@4`(R0EQs3e1rTOt?CV zsX7XOi9g9y2V`dnvZEj5WE)ibjwJ5Kyj;#1z^7WYs-|(44SqC;!me`OxR`yss~+BWajP#m-Q6<4wWl_79mRq1V-NMc>TQjzc4r!oSxiVibPtJW~|`2aO@~SNJ#SK?~mA?OyIPA}{hI++0j;XlQFYH~wM- zryD_#O`!xsKv5jtk%{l$!Tg`3Lwh{`1PzGph~Xhyc*h_pxkFuNdzMT9xtgGP$nc(_ z&Pl=Ig7eX~SL$1QYm%PTm#!9R7Mi996OXKi68od*mwF?f?0#ZC$z=u8yEc35F&Ql9 zwSt2Lw2;v3p;mtK)a(zD7KXF9_(M&UoGAe!X%(6HX>~W?ohHsfiyUq{QD8`mRGLi? z7lKimIKfZg^Wr4&CcN^T?u^~*`ga%lg23Q4oi~m6MZ~mZ43>M(0Ob%7zu`7cz>A@o zR2jm~(EeY1=@iXs#0+N;tj4A*92~c$msILWbexzzrk9jGR&ku!r#Pgxu-KtlSAqQ5 zLbpy4TT1eW#meOBI3*6xx-`d+UO^`wkoY`({zJRmMJdS6uzte5DP79=EN&{`htH2+ zr!6`C=Z|}u7(xVZPL7g>T!lO@&c9ubQ!f!r>|WkYe4M6=jRU{wLDV((SR-0?56R)C z1|;Ghw0X9jf(OJs$@5CTlbmfa=@Hq+RG;~cwM&*HO*~KSM)O4mMMATu+8>koWwkI0 z3+4`ijCxpW-*ULyx|TlN6dxK+^+x0 zB)z`G#Dij^_&398#~i-v=7v*U{lT2iHJ#4}{oGH{^y277CK_bKI7`m5D%n>LCBYj% zZi4idg(KF|&J~D*ZVyOU6fJ|4*ermMX!5CuaEg@lP7T8G@IxU2AyL}%KM^0QV9(#8 zZXgS;ARG*>etxN&Gqp=s>R$GO_2pyN;y_XBC#32~2#QzaQvoBZ2_gs0DPj{oq0{Y-Q+Cd)2XSVw(@Anh67 zw?<_j1;AoT2Y!oRiVH;H@|Tk;_dm?YhXlM~S-27AJr$xASYZZx9aFSH$?eX@L7HN8 z@R`@@m@Wn#gu6w<$SdoM6}7;o|Bys1W+34U|NayE zK!w%c-Ah=ZL(sAD*v9AP^xLBMEM+go=}Rk17(*Cv#_1{(5m~>=~P0< zo3Tf*)O^M4q0H{V*6oneYMXpRX_!Z{Kmh*x!&eI*f~)GH`8G~dM?Y^5V6wWQCbAbL zrjjl~-Eq9ytt9pq9*&|6DTFPEQU*~OMm&O*@L!}p6OsMeLrHo3-R?8G-Oy_CTYF}I zs%A)?4Sv_pei^wvy%vhYXSI?NkEZ3jGugiw&P+ybD@$*?&7U-%hO=DLvd%J;RWNBD322kTqV1WhsTzmQ zuFe<_imRZ3Cw15KH__Mhgy*XEKi~E@l*g>JO7(huB0g7T+b>=Pbvr$(KCe#^*Yw=` z#m0aI)B#J>UtIu8&VM8QJxZ0Gp2=G40N{FluIl{r?QBDN)k^CC7?|W-m2R{m2Gxd>=Eo@u?IZ>@OA{6~#6ZflTwpLInquJ5>-0EmWRLm3wcNmj4nM8q| zH*6-Oab5UyNS{~su+>50D7LirLx z+2o_O@~b9qBEco5pXQjYwU_2IAtfAQY_Y+Hn-iZB)!HL7#95nRxGa``rYysEJmDQC zyCT4jlK)sj^bsDdXl9PS*IkNmssHJX4Kfkx)H%yr{it=n(;K7fchhO(Y_zpk$njrg zMz9;shSFg#^T!ZkyvCk<5=hFztwXO?CaPt@Dd0H8g=aq8b{b00llPHKJZ>^w7R-Nv zraVIZq>jsApeKM1pGKxJk#%hult}WltrG2_fc#jQnOcUM*I-~afX1U=+H(T(V=%&B4EIZC@B$vmim(#zQuS`_-s9JSkZ;NJi#*AfC$)Q969@ZoK2d@b zg|VtBz(+<;&$M)8s4Dj2Fg&v_H}Bylu?m zWY6Qomd3-K_M=YD-WoA{!KH+!4s86UQ&}^^2p7tc>3R;mIdo0o$mhkf1#yT=1N`P@ zBs~gf{s&3IZUmn4sFs*u;kC%(svn{%@{VH`t*?uCJZL&m(hv)pz~vGWd%n6s=Isr8KWeEx$=^ zT&EbM{jy2tX^StGPG2lJ=4-j;YZLc1Q};DxT$tosn7%aO&FO@1mGyw+IKAxnc8*7E z4ZY{*)drD5ZK1fZMT*u}xpG2Jbk!mP?U(eN^lq?3fiPGo9!RD*Ozt>L&NxhP9HtRU z%^^z7RLPo6$(k9-nncMOu2?f@teGA4s9{-NR-EAskE?GaVciM7T=v1b&qd>(Xq}=d z1zzVu!lnu0riln0izpq7AIuz<3CWex!ywJ%ZqMXe>?%3!Dsea$@;Db-G^v#86!kKz z_A#p(B@`JYSna5orqH>U%k8L)^OzF#T!#$lzh`e&?0_Jn)_pK!6{_&U6`^Cr4a+*} z+4($V92rq$is&wsMvuIn!?P}$9v59!fz8Qn^B*6zq@;$T5ncr<9DTEmD(_LMnMO+PnFYrD}y;evCGI2JD!57 zB@G1aZFAQQKSHTrvX9{kp(RgDhMoK!1Odxy^9q5R0n=ZZ-J`g{Yn z61SWCygB!^%t)kqSQ4Lk?-x_f94o#3JeGrGC3L6=9bcb85Y?C)9<+qN?gx| zHRZ17nvVGcrGSk?#NgTm`i?sERZ%ni+~nYTgEC&pO6T$0HpOb*;i{WRAO*VYG2FZv zRzS1%Uq>oz_4XXZ``7xt1oB(|751e0Z3^LCBL2dL%oB5pPeW>_U}_cA8nP?OM?Y{w>!im_`7WzM6@)Ef^}QZt9`RQrrl4}5 zd;)*5;ICym!S0WFS;dY?^ABm7g9Xj2gS)+HEdQmTttOf~6j@v&ZrdZ#hr1(aY%f~K z>3XaSliDanJlo*sw(}U6aOp~|?cBYcTNnLD&nODV(>VNbenkbr6+9YTGJz`N=W~Nc zM9)IuH4DV0FA8Cn2C0ut#ynwdcxr$6`o$xDI@W^_oJZ#QItea<~mp1GXy4uZ$_Lo-077@ ze;Zlsn+E6bUv@dQv1?*pYEyV!cjzs8pL1Q-x80mB3f7HId-j&A5R5Cws$NZh9TR5@ zXP+RdKFU3kA-dOluP-#STgl#UuI+XShtiKNiO$&IlvGoiP}<7n3w`9;a;~X=gbOk| zL5{D?@ufOpIIYEM zf7ispl!e#jtg5j(A$vsAPcIp$`{BBrZwkd?hBf`xhJRP2T1~~II)cA{<&5WhYB4vA zE@#KhQprcR8HV=0>Dy&95fIyOj zpUijca2=4|zV*KGnHUKZHrG2AP`*G3`qt*_^Hu{{On!y92j+l|-MlJgXo zshsPo^Q$H4oF2YcpmT=h1ic*k_y@z+vd66Dz3a8?VH$gnv(mIjW*5_w z9w9V`aC&5_a;(%2fjnu^C3 zD$reTg7Kc+zNW>i$&(1M1=$xRAi~)GF_LU-qw#)XVMg3zkX)REOiC%tH=E{12wA1n zoS^a3vGoE3QHL5uDemnDibTa>!fw>g78E6ok)3|M+-);&9EDfn_}x#L(4%KD)68r% zgxs2BSMURZtutI*7iqk2qUHGrGF%-!mq#zUvsa#e=%pbg$#7*CINAjDqfqfa8q!F4 zJ|2N1GtcFYqfnHUr&v*cbzv1a$^@i~ZvVfzBINnF1djBy^suwu(1o^tkEBuF>d?y`Z2n7+9iO`Hq$UM4UqM1`YGSJ9Ak=%Ro)4QadB5096U`WVchvjkc z<))Tqm}b+KKxJE$k`s%Um<3Z?rRZ>cE`#>6XIrLk>-LHLXg)!UvDyXJV>)N)`42;; z7B7aa3+M5kxM$C_oVDDLxsd2^Ym; zjqFVX&+PuCP~hQk8`kZpQx>RLT_grwY`tPuLrJrs2ar?jX^JWBqwgC|a z)_Ok%At0V0YrsTg^%Y)KYZbGkEYeHUIhTjqeDhetdFCNC=-t6T?NRFe0=32K+v%L( z-AUTT^_EGjmTUQN7nf?+b1xu27Bcj*eH)kgL%e0{5k$m;2L7yA-!b@PPD|H{CPKxf< zsIWlJ?J%zZ`WG&ZDM-!xZ|@ku#4RVH5k^?U_Nm~&I^M0&PZPO6dgdJ_(-2secD|=b zNYR0R*EJ1z!>-+5dA0DaRjZXYBlY2dAy2M$unbj5A4!icPa?lS$F6Qm%-oN*u^n zkjJ-$xn`5nS#O?66)~ezC^>!5W+z2^ex$y$U z3-@4>aAHYD!?dTCqxc^S194-En}Nx=f6V|OE`o4Z zUu(0)k=Wg&L9<`s&_1p$B$!A}7d1jABIAepi+)MxRJ3SC5}{&?wHyGdVR^|5X?J~O zC7|a~Ag_gh8`ZJ_?T$!X3};GrZ948raaol<8#fM;%e7X9>9Sji=+{HkCCh^H1u~EE zam2m&^IWLS3u9LKQCN0$rBIfJJ+5Rx` z8~1yfiYH^MX$wk^<X^~s=HXQcV8|r1K&?LdbX<1lj}B(d?9G^&6>8w>EQ}eP^B^9#}O}l!U?5DjeJBJX=_Pe zeYSzl$vl~RDw7$@5KmVjHOFT=>${Fi5}EudS@(o z!9<}L@1ow(NJG2udU4LpzDra7nWB;BJ-V7W^oJ>Tj|0v7)2stx_1-}&j zsdCdr?q&U_@6TtJes+)-&fbnzidGg(kGvKw?zL)TJ-d3&*(edz?Folcdwz=`km@i~ zlacbLqQNfV(DZ>9JT#>aWsPMV)`{YDD$b15sis>9BC5?9MG{Td@iIrWLV*$d9<5|0 ztA#(BXfR}5&o;Wu`B3iuIZ})q$L#c@z9g3qYnSGVOgDr>Xyl1u6^RKQ+~aq#v@!Ay zRl!YuAmQr5MheS85aFL&tTs=YA$`&-WA5hW4_^;T%ExSiEIvs1 zK{shwYuC)y&JYEQT3Rzl zX~~um@M@j;eu+5sYJ0%ciHY(a2YoWG%_OG)Xw8mKS$H41^B(WzAB(V{z5V^!mgDct zK^ND0UF??>iqLNzndoGOSGRaD?w=j%M8ivazk*mE!gy^6UhzG6P2ML+aQB%|s-yC~ zVLp3^gCV)N62V#yP-@roLl#u2>EMTDY@fbDbouhWfIft=n9(7kT_mENGs2e^!6eUN zB^)j7G7ydjD2!oQoQh$Dbr7J^(e-NU*wNfa990}QgP33MTXPAdW=jwh%0)8$ONrT+M>GnJ z50%j*zmNhEKgh^2C_Ap+8sYP`1dQ-@NlY2lSTqaGz}(*UGvO35B*CyC&~eO<;-iY_ z=IaTF3`PS5N9<3b%^wrHkN4by7_eia4ay1Pk?};KCENrQJqqYtqtIAlzK;G51f?e7 z(S`LsuXpI+Y!A3JK}z;{MTGIF%4vq`*MpSS zK^;!sr)y@f%Z98m3^m`uS*Hy`!`?|}G@gOk-o@h6K(YcPSEv|FlmOmnu$Pv^(+CS62%WkmE%I82ra$%+v3orD2Uky!k(*=LezkOtVN*mgL5yeX zcr8*%Q?sFA_T=Sb4Kv!HTwy&$$aZ9J$`gzBRxC9B2A-SdWbcJk@7oZHMllIls?C{I zX=e5Ma~5?$?@d1^7=B5LL&ujS*zme`h4s3hlLNE*D?s1|^hW*pRP?D~Kp#Qcfdl%+ zfAarL-~RvR-v{Ho#V=_BG}LG)PEys3;wR5|ZCW@4fH)-v9l- z-}8LWbLO1A*Is*_Gc)__SnIde?hcDj)awDxH#uLdili{}ZchridAAx%wz|+P29qLG zb1P?37Qh-v|Ae03B6x@_jVoC$GZSKL{tUlyik2bI^hjzXcRc}9n{yoLI{~nvH?a09 z>ZK?wJwUepF8s>Ocn+By>0_FQmPSRp$32AnGo1Hr@AUkxa{uyvt;4f zZ%Rc@VY2C&?5q+PY>kn$TN+g_keX&>`)Z-PC_^0aVbKa^4Dk%d!oB85`97s2a&K}i zkd(&x&k4yVl}A||^5K6-{g?Ka|<9@#TJgkpqYbz}4D7TetAA8rhJYTJ46Z4qc{(fLwj&in_1`w!y+T zlZHOEH5s4yiF~2T%O+uH@IIN6_SmL5Y81m`E63^phIk@wf!`uIgF;(!^yx(0^QD=C z=BP@_+GFORknyndqOoSrDn$&Xz#D46}6ARU*(E=#$O4q?*1_A&(rNv zx;#1X^TV^<3^a$I~IC-oPsMD||-@{V@cO?9vKAb6Y&$HBzUU}9X`y>NV(&Q zLJPTjl0ce3Jf6W7h>)qZ9~OHsZX^?LI3aRra;rzw`C3aSPVJ(qGFMOkL+#!>X~}58 z!h(ZX>c}#TXsKsK)7#%ZGcxqw;ie))rk3~XZH0ZN>=Y>_k(KJ7#P6J}HX3tk<;82| zom?cK#EJP8y!|WK#-qEw8`6tu2L*GHOA~+;FzJ*d7#6$VUkp>t) z>tDE1Lxw;K{_~{(NZC<6vHbZmWu*H%NCDQgzm))k&3|M-hyTQ#T7Sf!8e+nW(>ebX zrN$k7bp28Ch{Q{fx1$OGdBE}yF@Ns;hdF=tf86w6Y!a$}CD~EsvQM?~PpDxS3sFjcBkTc>pWa+5FSw8`_ej&5?CfH!fQ!Nb z^X;XAv_oLC5M|eUsX_FZqc{p;=&ic&n*qd|r%M?%+8udV-Nouofb~>685D(w;`J zHk3vp%H-MmG}SwK@^gq2{Blg-$(Vjc%C|TPhcPw&9yl5$sMbE&A3%!0uofXf26P)yp(0L;O#kBs`6uCsCF5RRQ#1_kLYEZr zXYZpKK-8mO=?5o_vNPSgkY8JNKCYV^a_f`h<^U$fD4_X#FsoS(ds@k{a32z~%^;8< zgi!ovTGK5~!X=)fSP1aQ|KTZ*lZbr+7Ih1y@)2nwfm=DDzppkY4U(*lQX>wUpLF~j z?cF16b_-FvB(OdYqusxn_+=nHFX!+~eNrFS;(c1aCC%4p{VM(9nf~7=3F2Ik;0DD( z+?Kq3L)N4>=CYBLPOUUZa#i{^`|ZY(*kXi0wH5@;HrC)w2oT8v$TTXZ-prHDA@yCW zyKbkFVyRQE!^$O9;oM#BQt9aqiaKyp`lmDjIqtqo&ir9BB!>!E*_|7 z9Uq;;J8n+f)XsJsZcZ9Hu74-t#8Vo07w_XsG|BoE2|QiLAugKrr5r`}Kw?D!Mj+_8Ed#xgi3d;og0V>-&!V2# zi;t@+V`Z18TQt7b7njxbi|DmI04*HXYhvxHp!9qLRU-}FpsDLErkDtq*yiy{7=C$b zrjoM%temJupM{+*^wG4PESALS_WOUp1Jc?prP|sEC&6S)egsFRiQ#QuT!?FovxUnN@tN0%*M zy%ZGO>0#8%W)JiBA!Mfq5syQp8$s%O413tT=MBvAEGxMU1aD|2sF_t7HgW^0u=yS3 zVIw|H&t;HefL92CRL`ypBfKItxosb$0Bic+N`QgoKQK_%Jf{+bS3KnhAG={A_s!(C zqe@QC?^$LiAG`Z@--SfaKgJ>d!}K;US?;k$*w3@}e+a)VqO7@Rv6n2|KE%gr!pTP$ z;RLNNOpWbbiez1fo4>M`CI$q4KyM<|P5Vn99T|ZAr31$KCeoCVk%8T%$YH>LU7Z^H zeYwP1^k%Ez=F0cx)7z(7I-d{oq$Vsf0$JoPOMiwv0r1z>Z)@p4;>O5_6f+W8FxYvx z2t?iGHeXl(m9mpDKx@PUJ3S{FKyrVLCAn3J6Hj7tBYl$Nlqgy&q7TRu7uCYFjdp-Q;|sRs1E6TVeM29*afWZb zKepomaL(N#+4d7?*=jU;VgdMtN^l*rdQ51kK)vH6o!t#=n-f5KaK2m&WR@t&ZjU-G z%i7@8;()td#4*eoak?Koe|~YP0krWYyD%G@#03}( z*^-C=WJJP75WhLn9ys+lo>-&NXDbs0F61Z^AuGkyCkr=RmrAR_q6Jx^=Gl&QUIg+0 z>;z0zP|Oh`nsP~buIf+uW){#g)_(XbFFGk!6jK}@JwW`^7}DN`5+Cc zk<62q7n0C1lubemD@}-YE~Aw z*qq`Q3Im!x@)Qa*p^It+QvpS2B8pOgrL}$4wu+FS!G+ z$j`olb~yG9rjt#fWfNT2KR$rTQ}sMsF_^aiHOR{Y(K+`v`c0_Gqjmm!Hw7S${%I#Z zK^*^M-;uG20UJ4JtzPXU9h%;U>mrifQn_2>k;U(e(wtImyMg0D-fw!Z3Lj>?82)iz zu&%HhX7EzE8akfqN?8{YS)$z9*5-Do-{I!^Nv00br*iLVz5VLy5~8A*Dd$ zl?4C0#H+KZ;JFxtse+e{1n^HoK(1Ku<6_8}fXUrNf;$kgCRYl}MBRQr3=j@X1YqW+ zeT_t}zP;z^aS`2lbGAYsDA<6Go7;wAoXH3}Ml{Hgg%^xY9%dIvSAa(YDB?=rxRH9` zOI>@oV2vVSV>bt^{-Bv(zS;w0fwSvFT2G354EUvE#Z zOUL=-z#0;Ars1^<%C?z=U=m_a-gH~v+e+#oe<)_yt6x&He794QMNUHkpcdm`V*##l z6%I_UHql9Zp?+vTp1px(D%ps_$GBLfF!fSyf$J;&&-u^Itbf(6GU$ADtjwCK(<9_= z&dW90*fIY6j0A(}s0BO7L3HEo`(gvPMT?*F@KEW#7kS|A{D`G|+t0Q#zFjl~vuctX zfzDvbszZaLR_6C664^jgA1ukqipL89dO1^&xA|%(8;Ti)-7vl5FduU}N5|aStX2gx z@Q6wbz$Kig^>aQ3=(s>3TAsxmIP=JyMuVT+nTQ$K8T$xtf!_J}8?mA%?NXciVBX_m zo&2ZIEU5)k;21<3vY`&>yyqv!-sH`(O+T;bz5W0+-*17--}sw6V3`8N{tQ-%P~@^W zZxisgfVZj{cg(xPPKX7(Z&HpF762QrS^{JU@0%w8tNF+el3V8w_(2|iitzX68n^$` zi=5m#A_?Zogj?&33lT7y+dd9PWV%BB8#w%hPM*(#%OJ(QkDlw0g2~qimgyU<^xL+9{|BgiXk7HE2zM*VhHj!Sx`U|HU!^Oa{ZAwYVz zOlIQZo$IIg#f+WRaY`8&4bhXwsKP)z;q9Ft{-q#x_jW zD$EG>!Z}b0J5_D*4JYZ6qRqoiri73Y$lSI=yUxOtAC3j1H%G_g-?fSRbG=&kd2 z@_|@%XBP_e1j0>xLC!)KF^xe?Zd?jF>Tr2qppDQ*@>jdZ3R;gIB_)_DFuE1W;|z<1 z{~Eki;+X;^o;Do#mxL;%r|btnmr;3^QNbA;mdy{~%@0PM9}YV|Oxt>ry!C{C(vV}) z@MV6BNq);`MK^J!e;l2l=HJTytQG-pj<9Kt0F_6aAEs|TL2Nw{oHXQ~G<42yvC41R zRCF5$$G@rx#R^QOzYG5t`&`&?%6=)K2+iCDPhuxvJ$#(sLRz79F3Poh^}WIOdekdP znpMR=K_Fgp7(ScS8*At4ZA1%ahMVoDd80Y4Frz_tksJJm`)1&y3JEKLDY8WJ2c3%Y ztdtE7!?`D@HKoi92&Og?rA+MtG~HW6Y7RodoR|0g&yW1m8Q?{m{UlC+2qU!7f-=YI zho($r=%<2J9DSPg1Bg<}Pm8Qt=HWgH8|R97os?wPDMf98ytO5;)Q)N@QssICXF_#) z57VI?^#-~g{!;)y7}yN~YRzNbw3}q%PwQ=}WK8gCY!=E5QFvv($G~Ms5X9u#46Wpe zd~mO#jS=%ZRn<0!8`!?LxNbv@y*Gb}djevIkWmX?-ep67`qwue4GsB(ts`cJJgnNI z@TF&e7D;`Ideri0gUJmW#eM`Bc=18PQ@r8ApV+fpwIg$B1hBz3@wi=BZ107?V9VtF ze!#e?eSJ~id-SHh!`(avGfaz*q$6BTo&wQ8D8X#t4(-P8jAIVw>7SArmUhg{!ZV?(`H&!+26F#(#Y5{Z}#NMRrsDwBxu znBJKNDF)0;L68c%tI3F&iQw?t1}VUr{V~QkV8m4zfFp#Z)1Obo=y2}kD zq;UAHgA@VUSQ>{Pyz34E$QpU%(+y3Wgtz-*QUyH&W^Adf5#Wift zY+ENXbksgGKo?=}ed-c>bG_9$JLh=a%3EO&|Fd2y zmI0F;T59mI=iUrb-pOw+3;Q(v%OTdWCzk%MDX0tIL8?ZqB@K1Kg4ikvq)hzleQ?-1 zLbC|%8i~4lso1K+z8u97&-c763XiaZH}0~HRzEa|9r~hf_aJME@{`#2N3j&?22P;E zKK7kLf*Q=^NZ)!6U_+T=^$?^}86H?QIjGydVEyUfTRc?1loa?=G=bEWu!Otz}FgRH=IOj$JuS##~m>5@MvQhM7kLm#NYq z%kQQ`s_P?E3HL|)@i7(*>8;NCGHg;<63wNAdsukaiEG!nKXdyeGeDcn^vH~|(IH%=Prv77``b8BQlv~$D(2bhh;bpkyNU9%XBU`v z7$m;dMUokdtUm**A^f>b0HwA(ngMYcX5YXI);}|tAd%fMq`j*Y(4+j4-FSX$tSVPT zK8~1qO8SzXPKr%kvE^8yPOn8f?o1fhti{fKJdPacGt^!OO6r8xyZNc=0 z%qL|O=rX|xc-(=Vv~1b))uB^8)|RvqIZjz&Wu}RsE(kbF$z*$y#r0G+k$VYFhfnU(-6ZAbxgCYL?MH>p?bl>M`4y4Q#l3O{;DVF+F zI5!El?{)h#$_0kJuTxw~e|~v?wEx|I)u)+?nq5Wv%p``{ZZ8X2F}X%p3?3^xNl|r} z_x-Ur7zeowL?{e0Imp$WFz{Z5b?q{DFnq;-Ln_w^P6;G0!@4kSsI9id0Ip9^>K05c zJby3cNzz-!&$-Qlwj2sbQ_Zk7{hg%Ds(BYAGw3}|xw05+;5-f>PWMii^UWcllyrC& zA-yQu&Rvtn$l9l^RJUsti2z}hD$cVc6%3CI@T$AVWNt8{1*0JS0RNB;S>Jy!rELy?@c7!D1uP0_KgfY?$HQ zt;?^xNT1`$nqZ}lk8uPVN=a*UW4bb7oFW51J6EQjOi9^CS)@}UU$&#>$Deg+(cq84 z|I9e!YPe8~q>jGJ4YIf)0=_8{%as|+p<$4`K6e>5u|T|R_z0%;-T6T+T5u-%cy@eN ztc$xq?Xr)VLWyN=phkCBDGn2A^-gl#2IYkxFJGqvC42oQX4i zfTzRuZ2->kB?Uv=B7LIQ?aWmR_A2IcuHl`Matr2Y8qjxrcKav+6TdAFP#isd8F78g z8DmmcFuvuxAu-h$r`HPkFC8lbHN>Evpj!*UfZ+j(QO_<4Z#<9BzAIxTsa#6B*uDp- zvizsYDFMC*pju^brGJ2Ajsqc0AjQx~;8(9(;xo|z};_z5! z-BV8_na$|WVs6Zwa}A6JwcD?w9;O%t21OeI-&G!r^uA%)`^31znLVKqWFVqKsJ#== zWQ;N24vWL-Z8~*(qYb?LQNH@Q@tT&ET+#V0oNJ%6S|0if^4aJe z={dRyawZ8Y=Vx;rXpH=fpqS{KvC7PZ*n7bt3fsC&-+klsQdE>>H_IhwsJ*MLYS^$z zfl{3%T8rxh3W$5sUQV*Vr$S91aNz*}ewlAVuhHOh4Z!?x{=QHYx4WI5M<(Fv{!xWb z;$Fs=D+hKt(ROtr>Yuty?mACI)&mvAXQbbfP6u#Bd{!(2izG9GKXAS(;xh`ovpH{f0Bpyf@Nn{o|EI4TIGhQItK*IsT1KeCrpmV1I8+5SZ#0 z?rO&Z^|E&zaw#3qFP9W#-MackO7><-zP_&kbeB(k3Q4VysMM#x+h@xM;xm9{5zm^P z*y-%PEx?#8t3$&Xy?O;J*BvX^x)vXD`!<)q-6bBPLdTv-xZSHEMJ0>`R>tqr zRg^!85XF>8Qx6j*?wngas5c4^lkhwDgkE{G4eQ!8WVxch3>)rwgo|)N59-7AAFGw1 z;oigbYp*00R?Vr~%<-tO5S4$ke575x)%#>xpHr7heS|2`BfZ(TS)A>Fe5xOZbP9%P z^9s|36RnOPt&Sv6k0MYnQk*SXoUJdDxIdHFOf>==oQ1vMAes6~a?1{Qnf?P~31^`e z>C`sKlnthh3#JVZTAd(T9a*3rWuRV^cv-Y~Szo61f0H=PSvdVqiGLs9U+nMx@S3TX zMA!LMYopwmmgPK*iJ2jaZ+imp>*f^-S1O$I2kH*bG4t$7QEzRNFf+CfKD!8Fa@)K0 zRyW(X+42`yx7jw+2I;CQV#qsoEh_xv?C_|%4~UA8U*IanRvK11r}JQHlYw^zNq=ME z>Qj#%FipOR20TVkAE)Um;<<}P2j5;0X8l09>*jLu)RkwaPA@sgl5db3gMeJ))9yE5 zI##sFmrEv5bWLF}g>k%sBZ9)R2$i~eDkVY6bk7=}AsFE&M}p33%LS+=T|=O1{94;X zf4St8CA!9LVS;7aP-A0DTJN$2v0GA2W1!q?r7(Vs12@<2 zs-{X^6I_zt&;#{!I0dlX@j_kT!RjQByD$}c8sl>>&WjlPDj~x5Gk6$L;=SKK2ju}( zeAPYe%+oTnI2gm>Rk2Ix7yK~n(op~Q`; z_4}E4h-G!Dbz|!mBJzi2v`d{Jj^w?mlG{W|kRIK%|Ne_c%Pr%f6(je)T>euZrgH;gR&U#)P zIemIlrZ-nHq=})YWyz*{gZcOpN}I^5FJbF5B@-C^IAGZDWRRKxo|%1Ou`a_ed%Dse z(?I;)%0tDiQ}zw;0ufHEESzIVy~JRmSitvY0rgX*OI@w~*Zm|u?sk4<~USQaImH?b~u=BctJ9OlT| z>~O}j_?!#)oF~caDMwFm({tgr=ANO^^yPc%^&y)&7s54j63$aSJ`T%N+1)zJ#wzEy zS31FyZ+9OF){oYYpWsrKPH)M8OP;m@#4}X+%Ji7dJM;;UDDVDHobglQs^=2joNY=_rUBee?zr?X{;pn0RF*f>}x)mugf5{F54;u zQh;^iPo-N{!T&FVA$3@PhzR~$oZF8lgo^rH_NmBj>}#)0y2G#N|Z-_EI3tC3xe?3q6+a915b_8NhfS5Dc%i{(?{8hAo7-&896HOTiD3kgW8I-m?!v|3muXH%$)L&^^K21aQYjZ})aWLe_KGDJ? zM!ix9P+EN9`?V!0+UNX=`sT->WM#06Ib8})=dw;D2i`D)TrD3hZ~2S2B~5q-<)z-T zk(J${JN;j<1Cw_-&h&@B={3jEGAKJgiA`yIZr1a7f7tv5GnQfe%IF?ZIJqLXHbRDwmj}!z4?-KP>Rw&Ov0|4 zR5riPvRz!u(d0a$4u(V`b^{Eq_&Uwjm-GX6mUNL1%aHv;QOfT6o5(dHnFrVP5zzqX zcIh4eU6-k4t6C%o!+yo!7O^GP1AXm(umqvBX@3AQS z#}0DYWoV})ep(phC4)SRL)lTLFY5;SF;DM@T*Fb6S46`rTsP?gSQ16HZ|^h3&U5ZQ zRH7AwxP6WIO!!Rkh(o>2T5%&R!oy9{+nQZUk;#@yT_;Em0y8*8`-if5+mYwg^1tD^g zi4)SZwv*ZJBnX_vWtV9sJ>mLfcGdTy;s8aY^hKyLH+i~b&7o<7OYi*5mSoMi95=aA z_WULU5ydcW=Zrrh9dE@e7zWP)big7{~3nK}{gw zmhnGG9Qt0jd{0?LR{>qQOI~q;UWyUp@yX{sex_(>XQ`h6)?-c%!?e(MB!Yx5!8nCc z*ysRYm#O*pFSO=gytT)MS-l=KeFofetPR8bwb}cn%<$1<7)?3k_fYAMF<_3{&)RVM4--{V__(q&gElUXaMTlQb3X@yTJ@1hw}0xozMK9 z#;5R?MB*LTXGQ~_3~Ie&Z-N=|hfOSBlUgp-dmlAm*xg-h=?Vx=SPY@J=SQkdb(8dJ>${5u)28R1^tQ^J~k=F#SYWEYiJAYbYk z4)XA2iM4HyZ-;CkZQQsocTnN!b209s!nKp}t<*D4_Y?wdHQdZ7u#;gvBRmN5HT!bl z=~7%&96=tifIN7*v_Dkk0g`<-n5x9{+;uT3gyI?=?V249pW4H{6Jb8{ za1i8c+GR)2y9@-wM)L?NEjFH~9!sh3MEr}{^vOmHn+B#f&U`6*wVpBm!(fxrw0B@P zlmWQ+7X$NfKu%Lo8xuX%qZQhTu$y@}uWM`$N{y@98&_9Czh6!FcKB|z4f3uP!2H=( z^@_*u;&3iKoxi9UhqQ*h;Z5mz86kD=Y0u}zyfILckc~_QECiH4aJv`Q65o;9AYs4u zD}Ax|^8Rfpzbo@-SQW&=##1disWaoM_HAu3IjeDQ84D9T^O# zIKEQOC`)(_761l-Rb+BNi&eg!Q zd5bdvVwT=Xr@b5174ITcEm_4wzW8eTqayf4(&V#|>%J?OX4d9w`t?)Q zwhVM>jq&@Tb1QREE5VOxU`)M>9T2l)uX!Wupp}O0fh4*&17(=i65&xafg}l-_~;Uu z)yd){NYe`n4|=~#6}vakX;l4w^89mk=x2unv}#Jr+fVUM;1kQoV;&PE6ya?Uu*pgc+T_p;$-y0W*xO+z4i0- z@B^a}_s)`(hph-u4rPDMvw>Ox2j!!np!Ks>`oq>@P%ikVVv<}yMu|sf3F2X^V%PpI zWOtOo)Xf#&)iSEjBW zc#-W6#g$&qXCijsYE|)CtNGnC%$4cl+Cw@JkAN3Xty~a%X82MbpE1nNmc55Q|KU`Q z(1RvFUa~UoSU5KZD~tWu#z!Lzd4d2_WkeFV;M**>caS=@6QXFt$52NezcBK16KBKy zSBN{+)t9GluQ@*Dh^B3fQ?CQk&!^|zfb=p$#y1&|MggapdJ8x>i0PfNcToL^EI9liQyx6k-+!^e>m&_QwG#bED}$`iovK6mf# zh~FNsExCMI{}>hTTga5BsO@p3J0xr6BCIrIgbu@H6~|FnMCh$~TN2Vu!YVfGvdPPw z(kP!MzlHI=YSKbi&XPAu7aSmlV2$qu%>go^IJhN5;$;-jhCC%pc+@Bj4CEr%??3{Y z5Qmow4ASkcz#z?aXdR50TSE+vZN9c)y5(<}n(kWezpe0yCqwKbu0hVchTbvCEXSiT zGZ~)12^t`wP#~xoAcXuXNk@Wt`zKXddvB2ttQ89nx4m!UB`&L1q_|z$Bmm8J-SbqV z;#=g;2{!uCB+zJPpxq4w-qqg6db>CvV&er9z*hu30+DGXO!9!DjcgJ`do-s89;~TY zMfQ3v_ZFW4D1miO$`B6n za2~a^X-UqKu&*EwSS)$ehHbL>OTuoe0FvInk^V?21huAZvIR@RY_ticb(J)05QRW6 zVp`G#13j1!dyFq~-J? zt8Ui|My=Nl?x#kV9hcYL=1T6HyDMS#4`LR*SnED$Nt^0hhJ2tG0l^ODn;~`ZMaOdQ zcG+fO+=)0v`>@|sRp#5Wrh0&#&9l(JjDt& zDJckP9mzGKZ2i(D1;kK!^28R6%&+7f!k@QjFIjx3lCWyBOOqA~-yS>8b-@pF1DfkX$M zbEQIG{1+RX#^uY8@a}H`Q(WP{*m{FCNmqlh{mr?@-#JX;RXzqypz1AqM7K6HrWw** z2Uq?2Mj~Ur==YmGWDG06Up>3bh@Z6>>Yhxd?!zw&VYhf&PJt@oWPd_y;3*+n)(t|7 z?hWr+gP_;NB_~JZi*q_v?V!Cck9+eqALL`T*mN(?T0k@Be_F6mwYa5?_yOg;nJSc3 zjTadqH}Z;0Jb-MD{4Rq;yzON-O2r(T)^m0V383kZ>ecjzz<{cfM}>?NgdgHX0^x`5 zGJ?2GPTMZiznYIDie@><7bp%oz%~a~rc8%CcXz40a!=0AE^FoTE(86ER(<5DM}#ci zNPpCYGke*K_(+XgZspaw1Vr2pqwTbJ%^^0x#6*FVmI07D+K@YuQXr~8Up7FXMM{CL zpfXYwAkg~h;Ht1Sy6h*#%i@j_B;XoSCEHV+5j8TcAx^CqeAX9duG8w;1R(aCv-VV zrM(QJpWzfSqZ)+Wjw|*Qj zKj68)!WT)*bWlh%aZvb(>ExqS*+6emj|8(<_$a^abyeL!Ka}U7@HMRrL@=6Ypg&z= z7hGSAtHz#}xoXrCxVQ6gHPJkZE_N~Q4L)}=xhVT2Tm2;{ZZ@oVSaw1 zemFb)j(kNa@d}{FPlnvedyID|@5o_#mGR+!wa&GDT8f1K^Sh9$XsmvA*=&nyFu=XA zuXknwKxFfpIdZ-V!%@O&jENYNv^p0Jp-`Two;j`R4tk(C=hz)9yutD8%hQ(@=g)Lh z@=XLxg_G!BR9zD}o6yQ2=?4sGw99i%{G!>ZJeyi~yIDkmE_Qu2}B;-_@7V1*dgh7gI=pYQJdi5%2^JMYWS>tnBv8+|r6;Zmd@HDN>t%aP-Y; zs<&7otAqzRV?+equBL>|Fko0Ac~edz7pC?cOtBhaz|KJOyp+TW$vGT_`#!#t$7}1W z%jWvU``o>Xg4b^j+d!XRmD655+Gg#h3<1WKg{)%T!tl3Gd8ZfQd5X z>h=jK>)MU-X*l<;LVQ?3YO^H!j##KPTwrxLB5E&cc>AQ|%K0kPK^}Xrp87t{9Ug+W zm~Ljtp1+6HPE=cdve7(uWq# z9?w5I{29Yr(BN%wyaruxe-aa)Ha@Bm;&nv6N1&IW3t4npO8Y|LhDr_oc#MXDm_?bI zSJ5q9US9~MssOxrz%iFpZqOR|Zqk0UoB%jkjvqn5rgQs$vEX~ZNuU>ap?}voX2%9i zLu_bQ^^0N_R?oLuKE|i7(kzFV>bkRD{6La_b#N8{c3u6vZhZY~Z%yPqvm(oT4(M)y z3o2<>BZv5F_C1yKuqlQN%{O-vh#cRr4Pq{|EQo=C2N?Hz>+MLAJr+XElLtv53Y?3U zF%&)d;7#-2drdro=|O^$5B!`9+2A^~8B$QN!m;LtP6b6uLnLy9S_wyUK)+3t8?rGD z8lo-bA`^#J4dpeRY0(+q<#YZpX{0>Ory+1Op7Ph&BbYwI$BJta2QInAF*7p^rGnSnBAYR#|Xl zJT;p-)^`Jnu&5tB=fg8&(GlE~o2{)xXEjGc2M4KS^}(^-rfw}0XTAj!uD_ADlxo267q z8ngGa`|P^Hzw|st!w24V7Y}_x<~eeI#-Z1*94D{K9$^_b4eN!Adnn=MD@SDZ;l!^# z-_UxnixK{%VayB6WuV*{9l(aP{ljhNdjfwsm1gKt^9@6kGHv`~^OzrL2N8Myslv|d zrH^(&+NJIO>cjBe>1_?%6yVn|vUT6H62^4=dw)LR2)(s!``E{5>ur8|!g(lvo3ciJ z?WfpDGC1=d6H4s7q)#!J)rl-iGlR=hw;tICw?W)X7VyXv!siHlltlWJz%~Si5>Qr7 z%C&sb8IknzEK-|iptIodfH>;$+_y!&q%HZiQ<~4ylK1tz8dC?<4=;bj4BD-AI%VOsX{P}4e?%x|UWj}swl zwv!MQVK)d|og$p&_TlwF%c|VP;Xt}NoZHfpJBd^jOFbkrVe5HstuklF4=-k)_D?0N zKAXM+iazXD*UhsooleM3q)cYK}fuHH8v0aP~ zhVI8a02dI43owfW=BO6Ibt*AL3IF_#tlt;7{PGMIk*oEAar>1wsdNayrtChuogCXd z;rfFxH=vElyIrCfqbI6^QCYccP@5%Kor4y%{!SQ6aNWHK@THJ;L41hmuU2)T`y-8G znEK=j<|jc$NW?#@F5cJDz5UFbCsT)8ILo6VAhwO0z;(6bsJF@0ap?6x&+|;rs-4P* zVmnYWxaVA%znvHS#5&3y1BZ3i!RnR}{LYCV4V>|M1vMy^M?uE-AM@V2gyDfP2H>P$ zH9iHGMb|=s8vWujs>fuvjy`g?jz0Hq9evoLQ%Ju|fEoV)v#3&LYkc|<6ORg-nhimv z4S12K`7%$yCExbVx5UO>bS=9nuNxRs6zR3dm}g_UbS(jT4d1hh`)5xP6onSFF}v}P>;ed^E$g@K4t1!u!Qg2?HRlEwGPzau>gw%ks5 z9z(zBoER80pee6MH+!6<2|kgg|EZJ{wh_tl4+$69AoDM7=~PR!ymhak zRao$08sS}OyZ$gOd(mEHO}%Hffx!JS$aIPYAQ<{ExPU$(NqOBYdl%I1237hxFL`=k%{(5xx~9946@;LzFqs*bG3?Ib}U$J-CElTYmg1Fl6P_YYwn2G@iuM>GSnjrN|JP zyUmgT^ropCfqEJ{T*z2479cK}_eRSVM$SxFI&ttT8ea+aFcQm8n0dRAh9LCs@Sh`% zv?ZBzky^>4@5$(Y5nA}cUWZUu&f7EZ4n9UAWY0h79B*{1=hEj_6Q1m`hiLxktf=qB z_qU>i)B5a`0h-_w#1(-;9-tYBEe_rQ{2q-!Eb(IT5SnQdi5Q!)N3uL~xVdD`=;~O! zC`D+uH309Qnt!iBw}`ej=ht{PZTx!gv+y%N?PPGu1rLOhQ$pFT4Z!Q&dP#KTZo?bk z(1#u3Ttp&kTF(H`F$22Aq*K>jXXe*& z11D(n>Fzx(bAKB2fI9>h<8V^l4MPR=~Y;4_!?xfx~Q!%;qZ-;`K?K^Dvy=!G}|h$_&ai zug-~ zvYUz8%hA=wd)~gR&S6RPj%2i3P72@N`u_fOb5h}Z-Hn44i=PyGnA(;aPEho40;|Gv z;R)E_&dt*S$HxhC(u=tw)rRKRlfLtoQPW3clFH$n)1L^|$KdbLcQkW04(4M%=F;xg zwSSLnEqv4AU?N+0B8VyOxwAAOHue*`oxQj8(|?(Q=61(wNyMw%8D#Ma2L-2jG!fEL zji|8Gne*d(+TC0-jr#X#(NTGwg46W(gtcL*nnCGpqB$yI4CVB`(T4^rSNtK%*-Jz(f@y8|Ukk7HR z?51$rZ2}uEn_?s3kRXwL%kRt-rXURzj%mv(#KKY4ll6Im$cp#VFl(Z<=b9-Bju!Lb za#gSEB>u)|mcEUMA5aPK3qBhpsg=1j$2?aCr!!ni5!MXlYxk$9#Y2}pC%9XL-K?D% zP*-)VC}{%|%)*%WDB_;nT#?IH`JB?<=#QMnBXwJyUD|0E<#INxX8WBTw0{}Of3Iu& z=G2~E=oq$fy60fK_SHjqi#3ox_JB_e_L^+_cSwhiiC?5n)dkloKD&x6CUn9Q0g;8` z{qP_yWk)=>d#J`)pE$!;eOU^A9O(po9nKfTo}II$Iw}!(M5Rw7O(U#~IfC1at1^fs zlvy)q11Jm$U1(4BpHNda@O(Wtv15rM?ocQymZX%xqV zSC!j)l=gdFB-;eJ6nC}|4VhOdPrnG`tA3h?CQ;m~Y>XMqw*Fm%hvdXZ>WLnvz^k|1 zk8GI>Uh&Que0)4q0JbLmWFZ_8w!Co{&2!mIZ$jG40RPHmg!x$|QF1z3H&wSHABm6f zM+&p_+2`hu)Hs84BG@u6LM1inu47hu=j7_%#`d^z&J?Y%xvrEZGlSiiA0J`!#B|26 zRCtDidzU9ajW9iU1>fVUK|mE!hbIQbb77!a#r6`D?nGSMUqs6mG-g|cJpfypIwn+2 zlSO0E&e_J1#HuA`nFbB(@>p86ZyY1~-EE>$RZgA8-ILVa*;cp^=|rb5w9~mSek8P7 zN89EtcC2bR_P(clo5ON*Ii&WEXRh!gSz6)8ZfPz2X-al$vy-PRR)_7xYB?;iHbzy2 zYVQcTA5-uYJHj6K9Y)D&e(#|%I(nJSf)iH!6jYM{DaPWX7$5);AjSW^Xw7Jg;-t9k zPV1?3DrY0!V}3pus>tM9co2zx-4~n8pRcVMmW)kzJ-=VilYjAWig8&7-mnQ zthq*XYvne7O{o%=6=;uKzX(RlyMNZ~ z04zd>m)C2`Z$AVFzPQA6?`%>S$q2MYkA87U_}?<0DU9w3v|{N3 z$b2+|{CJJv{A^SBQHwGwQ>s9WOnMqKF2$Vz*Up;d#_$nsS|D;wjv>M!z8L| z9VDJ*4Rl&Fy5pZd7Ya+-hi_6i^g0VjR803f3$^L#CZ0o2I0}^u%tOLz8$ShF0DglOx+p5{+H|T8mdQS{Y@P zr%KB$({m7Cn^FvAHIU~X$&O|cW7JB|oWjD5zW0${>-VdC1iH^^&pP^kyaq==WScfB z>Q_cH#_6=RIV#YFehfh?K4@vG-?c;wB-&O>4mcWYM3U7w zvX8&zk#)3d$}c^9G51S8F9u$$=RNcA;Aay=ORbcczC*UK=>Frn-l^@@!jr?dVvViN zhN)XWWVE7T1l!811A$}T!W?l#R&wve;`ofq-e3RJt(r~^Q)H}d74l{1HVjSRK%i(X z8|I^F!M`%Goi-XThwC4t>k^<#M&{UmBvutc^}U(tP-!$*knt}lR!%KUN0`JEV>jzz zie3+ppC1k%9b<#}wC&2C^0+e6f3R|NVQrQFBMmq7B~qCz@!R^pQ^1dK)8^GHUQG?uJE5q%z^=D>%f>E$> z52<5sf?h}O4%%^JCT=`*@kLJmLo_5C)pbo@^g!z6%Gi8rBxMi&0s*m~7R1s7q@>;} zB@EWh>Su4VaL9wZyI^1iy{S{S7TFZb=uyFyR+u5( zbq+dhWH}5Tq?L@4r?0%3zuO$$(B!3boHZSM?Jst8Y-eyT=XJf!k}?nx)=Q(YGW~^W zDC1|Dd*^*$oAR_0kNB<}7buGI%WXySL zrhYuLNRhW^MOjaNpn?ke^p+pg3I`tzKINwTB#e^o!#&~qgWHc))SncumqtRxkUP}# z3U9cdJrdKk6gyIU67&f9*(1c>2R8ag;L8)yaln6`&^U(~lvc#nrLBgMXckj`bQ!l3 zS6*sjoG2)b&US&mQ5@hws#7NhGYjLQGt3m4A-#<;WjJi8xfa)*amHH3h?3&1VNo&p zh{FtT~`D|$c>?lko94ipN`PgD|3DpwD8~-bs zQx;>#U;TQwH>L?3yAD}h%-qsDAX)nU%)U4LaiC*X7bUke=^)4g3JhfbTj35UZ2JDJ zg6}WM-xaJ$1nby@POa7kiHy;*05~@KBb%Ao!6cA8fTqsR@N5315}K2Juur*)beax#q|_R0Q<<0SY{oC`Sr@M zlTF;#dJ6qnvDp z(?&+R6gUocRzGci&xa!jDUt@mFD>vs@^GXk=LgtiI_+6%IlXYGIV$b!wg2TY{KZ)) z6*b9e`}{Kw1iW6|6&DOgPfI8(2S+#iF(wL_aqstZ>zAF-!VoCb^!0@Ap=LNaWBS8x zaZY}7+IMV97e2o{zxvjGM&j^sKZV2JDP)BEV-uKM;KjnPNH7Xs96>O&?lYON?(mq2 z`3*o&M@zo+?n-g%Cp}f1CM~d{ia(?G*~OVCSnInR^9ABgA)VXqt@bPtg}Shy8;z1j zeP&pH*fB;2p-W}#C3o`nfxPT^C%{xW-KlmFHedt9M(#V7E9?$_p!*NuV9T9&fX z&0ES>U5sFjnXpyC)(J8?J8Ldba6;dsNM7onwuQX(r9Ya$y;*pjF%^fU;IUV_iZ`i) zr}o*dGm14dTXUM5pp!t&KcriANNop&y4PpJZjrn#*^!)^aQv(E=Tk7SQ?rq~4T6W3 ze&#VDM3jEz8GMQ=0t<95Qd96%MG41sWUg-!OQ&?6pj(h(?PD+9P{QASgr-!sX4*2I z=2{p?_p}_VV-)CP5o2g+PbU&+3FsZDhdn_xDaNKwjfLNqg)xVwDznqUyJNw3(?MnG z38AZ)dMM$zX2K?dcNPPOT3L(tt7EuDC{BuPtr2E@gHANOQ|W(7kFfLq)cql!{Ptng zew*E%=9{gtl}oSlIj`%3LiVszJ`%f9R{YAlgeH@@#7@?QcPDcD?Ia6%w|eJ^hV!Uf zO@-RE#f{8~zWP*5jj9Lqt*ExVH}ia0-2-m7mig`p6P!-P#nB%h{|i_(Zh4}l41s0i zpcq2cl3^DK_W3{Br!+9#N5fmJWeA-Il-ueJA6Uz1D<L`|R`b>L{{9nAZfEZ?^(AZ({JI_Ty6nV8VhUlB zbf6x-_ z2*efDKv2*6VTDEn)$@Dmt9QD0EMMC@?h?)_#Ukb0fDHA0A;X6tH*V`MPfzgcO&p z7alQxI@I*{TtH53`$b%Gm~(vNbv60xM9=do((8OIayh?0wY%P_%&1HggG2U3urYX2 zHDd4Pq(g^asXxt~;$P)vt5k`$@FNvZiOp}K7dX>IQy3`TyLz~dpVaAIU)8v8j~x?h z4vq5Iacgmdt}8IH>*41^whx6Ybg1ybw^!v-XP?xkQz1XPU+oRl-eHe&U+|j_q#W1y z5`*r8%n26A(-#DO^H~_g7%(3;GuB1=@JDbDe~Az5iqKO{>Q_v^l~s4)jGXo;^owrD z>BEBD;(5&qTS?4kzEPhg6K_*w`f}qdJ@k%F_BC;0 zo$kwNp;MaN6{1YL7#+E&h`3#EyS||KwJ!PL4FR8xBjc`&m06}CM)7bsqjksU%x=r~ z7$_4^T}C6;%zIbF#Ux)w@DbS`bA^7$~HlEz>bhHB+eR z5xnF1tKr~xVM>BBPq`s-F~>0|AV~CJF*-~YjsYKbBR2j$j8zNWDRiq{qL&0+znCzW zs(7EuBy^Ysph>vWp%AV%~RJgO;*V{A-5Bds@5K{xaMyLr=;n-ye-Jwi7J zdV*D=F;kR@jA2Vig%ce=^HteiCKC26?nOW|GF`%JQ1jO;#M~;kx6(1T+aV0u(madF zr3=#)^hW|o`)BuGvGby9ag7_-u7GhoS5saM63_1?NXo~B1Rti&Oo$VCW6 zi)i9cGMQzPUuO)>?pP5(l#5HTvqMhFP0HJW-ZM`Br0BaPqUA%otAk;}dYGGuUkM%p z9WqFAXKU!rD!^>?2P54oH`h zW{c$N7~RVxq<1SM(9_Mb&)mW#q-8iqyC(RJ=n)esX|_E);Y_-XPdLY=aJ3ZACB&#@ zFbb3b&{7WP01F3;>|jc|Vu{8mPQryYacUW>fo*OoVspb+lFc|HK;-i2t}3+-nnI97 z5~RAe2*6`^H6XdzQTm&U8j25R?Q622fvkRtmLdp{051TU3Do468kM5i?->Y=|L8V= z>q-bOnz(nCR-y9B`>k!c%A5~kM88P!{>$+8DCzB&pNl`*+A25svTk@}8NKQ7#tlc; zz(vufoez~r%32D4q=@-^gdx46TdBx#0Vi>sE@tT-+>%p2f-OK<8QYK5%)ah~`Ej_@ z{<_V!PDWQ)*<8&$vNT2isY|KR=2yO(rfVnKtDMW2=W)6+&lmhWsDI)R@D*6FICm?9 zL9is1bDUrd!t?x8ca46(-@jZvZwq;7zSmj|OsXfE3Pc1*p_JpA8H^}QwW}iKkmFV+ zLf>v1=Fckz_v;^w4TI6RNEUxAT8`aLLFT@siNXNEvWoxL=FsxkEykX1jv%LyD7i7r zwm~pNK?L;r+6_|+;~baoZM7Rpamt`dlygQQ`56o)+^d)x@bPH4gxr;Vj0tC@|EBq6 zBy8@*h7N9MXd&TM);nWX_en)dpoHZdH%RPL?a+FhC*L?|lE!$BX&+Iv?Pq?3Pd-i8 z-+=)09;xVW`&+4oObJ+A=os%uZMki8#q~Ioc)GbOmVc6J51>LO3Bj{^Ci_=T=@0D( zAb?WXzbyhxAAK>Updr~Mafi{hdaMV{YF^#ZiHS5$K6eea(Y-|qPO>&gX_zC!o@W9( zl8$ZOeRnXVMA5(gY-)vn=0#nEUIm6~jn+`bHJpa$umjRZX2GL_V6D&2{V?V;5fYaC zQEv+~@J}qgxKJq$;pXWCZQm#5!@)&7laVOj&JZ*;=@P=GNAe8yoG2njY^SN zoup+W^kW~=c=>0^+)Ta9sJF-99nL!TMz5LbB_B}v&>|W0IZ;Jp^@}l3|1HmcUbA1^C5ny6C6l zi;eX_5cc|K`_g?1(#P(0uy)iykOPfSf*h{9ozy=T{b&1sD=Mwoi0<3Gp==S_<2k$8 zj7j(aBex7bj{X1>dqo=Y{|GZ_v9f5fBwvw&%zwbg97Hr`Y#%eeEZ&zvd60x5J8Ts7 zKO_Iq9N>ou#|l^9pIZ?WrBOSVB%(}oqUJSVkn-0uvYHe9tlUwCbK1$oR@)I`Mv8gr z`$Qhyg(@t6)WrNTGV~J-$qq8_#8{IZQ`APH?Zf!sygKTexzlflm-gS*oqx8?g{p+S z6Y{Kjgz;qR4O)-c(tfR(<@kF*qg=TM7nsUKt!9-3##nSdN({~HXcG)qImOPY0pu7VF*5j3C({V1I$3IsVbc*zS{$ zuGK-|@}wl6{{KM8AMq}UXA$A@|2qu(!(d1qm#$C!ebz6JtHX91b=Hq&)^EiMJ7${P z=+8xiS8T9%Z``>B))rhbI8mxy_qv#Fhii!qlk$l^tBJCDn9o5X>xTqrwupiWhURMy zCNv~m&XN1n81*kmjjhD!&knN8jEC)) zcOzQAX0S-gkmEw{0MoOH&t|aWI~CFgERv zH}0?D(JGax)t(0Ue<3ATtVCa`Bbaa+PVQDA-d*izvda;pqJ91XS7FXx<|-< z7W(yu%CQaR*w*`};HLB%hLF!-vI_e2hTBw8RD*KAZu;Y5EtkR*cigGmAEt!YRUS3OK7IHrA}D zog7C2$;#hGmiRB>G^%@~GF)ZI4Z38dYDQVg^>hqx~t4zWpgZQj6WgKtEDaDcwS&1xJ+3_h{K1RBCsq zzJ|*}g!`vLGQb+2cU7tP6Mr--v01yZW>+g_S2Qz%JTrp9 zwQ>=)a{o-`{lgmZn>)+w%BC3Zv)C3h0t5tETac;|$YxU*qNDf(o@L2I>qY+qgmOa`w!dzuWjn`M;Al(2Of}nVBktBW6h1zba5$ zjYB@!b3oKp#JV9HFpFp?h$-z;pvGX7Oo0RQV-Y86vnKMeZd z>BFb(27ONhpC>zhtW4~TqY8X3j-|x4{zNNbw2g??7fuJHR;7P-W9 zA$?DrHNwD)Zq_#RqaxNe7^?pZPFceZnK0oyrj!&M26lUjDY*Gmbn@LiKJ*UvRQ?Z$ zFO)QI5qxnpL13@447a*4zv@4nnz_oCmSr~F#jiLGQFj}!I4%5lu=@|^Ur@Zb#jv=A zYC;!BE0cFZ7vHk%UqKk)u>>z|{Z}w8Lf-yO#P|ei1`gX)d?*vO5o`G}y@r{;kFiQY z#2o{DcKqYlK>zzlI|!*(e>R%}dJUy5mBHs=k$Evbkyt-4Wq+334wtVOzpfWYd}eD~>)uaf}9g!y5uGvEZK{#E1ZM#XWP!axLkV;;Z66a9my3;OD zfnBxNOoX{xvqM*;YJ|++uOG#v;;Iqs9;R2WG>Xgx+>uX=dm%a*c#OnVl`%h9S z@$lGqxZ|Hz|D#|Stg>cV81t^g;&+;!DrPF;2aVQS2ri-#VOYBr7a<@s%L^Vps;-gx zdrcX6gppl(c8gSu&jD{pjIy<=$koKM(bPGFjM(Jaw@ zO4Mv;`n4?NReyQ@*ujv>mFoQ{|3Yb=mStJ3YayL6OysEOo>W^N}%`QaQdY(q9Ja z@O zS%XfJMzKLw4q{xvy-)u+yYXXV>$ z6@4(Q77|Vduho^}mP(UVYPB+*x`DoQw2zV_P`oTi$o~;io365BQo-1g;v-82aBhMn zevDPMP~^213*=ntl65PKLGdM__zJJP6<&+uDcR#G;dCpL{w7;T7|#5!a1@8CaPuLd zDd|C4h1Rh-9*)m*F^;fyr|b!cUx7~kD78VxQ*;$qn!gml30or#eT+A6lItSNDkFbs zOtSIU!fr2@f8I9Xc~wucWn@-OHHLYEO>IJw43X-oMw+|$ncW$9Uf(QQaGrIk(N=EzrsF}L`W_7ceOw`vH@(3QNAXJwMAi`!Z6m zrB0S`3ADB}^!)kS^w$xK$k3-AQCZ_Ol0`f`ngc6lyD?ccuOd{(klwft^`4r$evVK^ znoe~sZ;EsMe&X$6_}1Eoyj%{WjQIQ%>69nzWRo=)JD{SERn-(yM1*+SIb{SX()6{Z z*Al5KpoLX5F&b2Tcv8#t_)C(euM}s@C`hJer0gs$9vBYgNfpw?HRAFil z7N*xac}!SD!+hJ!G25f|{r2?S_&}>Jf8Kcn3%|{w{Cr%$y9|ZFb9ESrz!)HL3G$$ zwfjMzau}0GAFvVN-3M0W9Op#bFk#F_5Lwe_Aa^*KniQu0&V`f=K|uKPRyOpL6(v6W zE#}=XNCwZeiq?ZNv~P;t1RbyDFX?Qvu0m3+E#0_Z*VNVuc7`D*`Rtetv!j^&+WUAT z=XlW;)`&blK!YJM8 z=9q9qifIE)Zb~tIN_QssJ4N6*8nV0au!vR33TI|zNoH z1|C%Pmq5(XJAUQwNF}MhF~C!iiWyPWr+Gg2Is7A189Oj2%hi8Z_02!F1`r@d%PR)0 z`L=L+cx_Sr>495`2l`1Gw7e(!48HOZ5K#QeI8XXlmO6!-idDQRh< z*V#87fB%sN&H!>VfH_8CNj}iZ5*@vR7QuF!0*(8)uebkWLak-}EbE$8pW0>;y=71G z-B4ZW$bn~^%dDGUlk_~|b(yHYOT79{cB2(}vk;BV)tljDS#lFm$C6Sh&PNuJ6|q~R zU2nNVx_MP5iQe*sbZ6+fWXLgoqF51eTdge5|Luj$T$FERw^RWfieGgCB|Ein$oF2m zIKlSK7JK1~Rn$=7eM-^+L#Y~*w(j!ooR^Hi0ax>jVef+|G{02kXVGwXb%|FSj?r51 zof-+}D-CA_@}a4=>yEh8h)}Bc3L$tB&lMp#u)lJ$264Y>N{}2WgSa36wg@m8qGvr3 z=j`JM)QL04dVl}KS|^09X3D`EkA5KfW#Sq{EKzpyjv}o>E`b(Fv&br6rb2Gj6Q`v^ zGKs|b8~P#|XJ{Wsj}Gu`lr5z1`({X|f@^F6HtRvQMKAL;6G}_Z4^|XWdMAnRrpb8 z0P2OX}4{@X_hJIEQPqd9K8|fy6qQwcWk1vpQ?mj%(Jj?`Bip%^J<` z4K~ji|A7O4GHkXkB#D|dy^S#8331=zP+TLO|BASKMV-WTtP>brN}(4?88~N`hv0EZ zq9^|?t19`M>X=;~{D&Id@ljr!B{6dd1OrTT!!rn>UU_yKHV!%+$`Kl6ZW{N~50hhZ+_bs4{ z_kZHyEIyMD6QH!SIL9R3Re8Wy5FoTXu?SqBI%CE64KUt3=5RG`D<|#yZu_k$f$$Lb z9!6TDEpT}bpg@I$iB@1@HJbVu!?5aTKiFo&e1^nDlA^cjVGoog;|xC&Y@@a>H%8z~ z1@}5Cu%R!R3d&;~Sovj!l?X*7Z0PG3^OS)vcp%O4A!`B+h02#22k?J8PEE%|}U z^w$+ZQ5{54&FeW#?>W46E?fkzKgA{e*t{)PXVL=EBDYl|y47hFmC|V%#csb48nb7F z5+vKx2Q7qo3!4mq*$1RC2*;^$tA~wA*6k=lV3*u-VAePHz^Wa5gvZ|2>Vr>)Ilopp z_zZKTF-Z{*E&U}0Gw1)C{6~&7(b2b9$uuI@98=OT17JgBC9XLxP(FbPqGoD4oP z%hSFd?$v}+E-L%<|87miC7jw`6sCN{wC^}fOFp~` zxyU{$FDL`6ju^FGFnYr*2X%m|%0a>S$a3S;C`4kVmBrVeXkT`SX5Q2E>;Gg1e`){d{Jr-sk83+* z6GJKp&E%!esjYTL>d)9%B6!m6s=*%2_f_DTKI$3Cx+AcAh9)Yj{IaKOB#pEgKg z|C7LfZ(?4q-oGI=Jz-F(IFMnX?Pii_DQ7GrZF!8;y4x1WU8TvX!}zm=FL zb%oPuE8P%nTVvF5tBSPR&~xhIb(<&jYn8?8JdlEz!#J!n$$S6Q6Zhf!EA<^2=MTe$ zs11xvr@6e#7`~s_rd~QnYz{IJ@5sc@By;B#;eMb4YXGeq8Q#tW{Q`DSERkV_R|`CJ zd}*ijhpCv?QGmafxjyUS{X%TNyK45r9FVX_ibNO^Bw8F(mMbY|0W(@>ruWfV6h zXR`h!7vM%>L!?*LsZTe~wA}y6lr=-dZvnI}UEQ8>2Ae@~3ubhlXGvv;DeO;2EIkjq zi{DxV1-C@4GdlRYk`q|5R7>5|OO=H_p~_<7o$MqwtV#<|iw5vn3j(0^yc@r#bocMm$* zI%_vAM^2~sqEG*0@~4s7@&n>jtpL{8 zP*%Ot)(gf$LBY@vid1nGp3p)E0+m(9LLO5EnZe8?M}qN{{|(_aRZtnsEOh)M)2E@# z`4>(w=?sKZ#5va)3%_V6JN|b>1(X1Oz*d+d{x1+G{~(LL*ER1Eg-X@M(g%#hJx`Zm z(lR4xP?U8n4v{e7wiy@G7RnBZC*TR7%e)p>(zeHlzJ@ZzTpdT8p^+&cjjtLq2EZa+S5-u@zQ88%Q$Ssuw-RU~77mT#3aJaE~)v|X7$Nmo_R{BX*zrFr#^ z{JCdBP6zO5-uC2Ea3&$$x125-%k1s2Uh%$F_S)a*Co{B+;B;APfSw#}@lNoRu%o_QNlom2U> z6d=AZDG-66(5!nA1fc`!?^8*cKxdDZO`&rZ zJhHV03Ln3eVBS~W!Agky(i1Rn`ky-i6;446vzBy{W)FprX#aJ{)7VU&*vv24Q?{RU zTDYg=9n4K-At1`L2t^dfA8hrkQ^E3HsL0-TBlT7;vA(&JDWNcOaHVqGd*DutbgFlI ze${qM;dMC|dBpFAvW{bovaZYN=UB$&ceJSOp8Nc#$>3GO%pG$41<{n8g;I+9z*p_4=o3AN%Cc~HM85-~2co8} zSgq`*rwBUdm(AD%QtFE=AC2emrlW;@+9LYN!{K8!_iv02LJhzfbINNKTI+UIBs4^{?fynq05vOY)j z|FsB)JQ#`xV*y1#>US>p^SkJK>*DAsgwO4Cvp>IcMo&@uD+kEk|3pi@x2^(8^FF_e zzqgK$o)Rfizb#SA7P9(d*Cy=b-um*~lnWxGm|Fn~Ubg~NfsF4k>5BFYCmsNUw$_lS zhKZ%i+cTnI;p}jAwp-J=mWz7z6+O>SCYwp4nKOm?we*E0JcT8}7M2kfmYFk2Su;ub zwQKpcPydNrnDv`=>koH`MUg~}ih{L@vKIchs(1~jWDV!vF|z+83@BL3C~G+?YvGPh zI7#>K-uZU6&G@(gMa07t`1LKn@G#wJt?+h@Ks(-?9r_k%VK$^9dM?Gup@1o)EQ&i` z&R1wa_;27a>G-f1Cx_(UgxCu|1zVV95+~&cJS{YEQSM~^J0a^gZB7BR>7SuTL4XA< z3s;_+jH~kzG82Bs=QQCj>=1Pbp9a0Z&+hQg5C|g5g2x9aja-cr@4-%Mr=<=ANtWX` zvPl0@3^zDGo5{>S$|B$0D!L-au3|sP+v61om>p+xhyy;;BL;uGqIULpzF<&&z8fP5 zwpwRBno_UhSAJc$&HuVR^GY}hX?Gpa+r4%cgh?k7Ii4VWNToww=y-0nbH6kjEuC2~UZPl#w8A_oLT`7;MeTAgu#)SY zpr^np&&l->k*0l!j!;LHX=lPQIz!IEHf0+-Q~kw~Z?bvyVdn^a_2P%X1s${E{?3ZQ zV<*o`4kGo7Pd+sxW3x&}VQ+QQ4pR_XT(&2HZbQ}-b-E{NRy~&|3aD5+p3*~aBDJz= z&rGc+nJr4(H1vLz=A3L(zb7~2ks6A7^mmYamuE*2e#`B}Cn{qh(DbSNU!v(tJ47g9GiBf|q*@F5ikMIJLp zH!srk+61{d&*m*!)0v0Dy5}`h*XCc-<3y^pF6o;9!vBqxaAI^CLr1GZfZKn4@Cy@I@6^#2C@vqPz!tu@!>DWR%?GJ zD+S~hbT*rWCSE_AJ`1uQT5-2wLW~_44B?(!!^7Rb>9HI3D7+}s*}rsWf?89oD$F|T zX?qUn$<>Hbnm@;0SI^>^Ag`6-8bk8(c;e;Zy4KU2oiln}dewQtH+)If`poiVn%GrC zpswf{KYw1(26DHH$Fb9Bp3vDs1P*oovf~1DriOb+sMdky<(w3|HTJ5z=Go>aXQ8xQ zjl+g*eSVqRPVj!HUZd5uK-E2gSAA6)Y%@>i4jYgbHyn8|(<1c_KABJ@Z?-@0@zI2O z$S}lI^N(95ntbt7DtWOUwV9~o*h_40?bcfpKCz#774y1C*t3sQ6I0Noku=zd$wPdC zt1?<>vLIo+DmwM@q5*F(bX`F5l70PPdaQmgm1lBDwLR2x>w|!xw$DTJ+?(mKjRe=| zvsG$ancTd(KsiZQUI91a2L6WAxOKe~P3@{e>-x*O-NaRcG+FF)S+f)OTMmZ{@#Qmx zSr0BmdriOEW1)3Tz0IEERxacoc8y?o_0UpMo8bEN@!K%HC8C~v$oro^H&?1t(>z>A z(pwgU1e0bx>NEqnTHQNN;MUFfpyRt;ZfyzZ4)I2iw7HR-A8%5B9!s|sW`T2IMd+;= zTMc2f@Ilr-6&b(IA36PKMNvm4+R<{RF>*SRur9b*wY@rW+}z4H+TiA1eZn=i632dUvgUeYHULKJ@bNII0=6{e!aaK0Wo`K`0(Kps0wZ{zJ6fyG8NZyHZFahemw z5LRP9v~WVFhu-|g_2==%>3NO7woA9CP+XbskS9MwX;h-ykt6?iUQSn6uTv*c?5l&X z?GXa0dnrR&f*a4wcIX7pXuCy(^G^)w)jsK09RA!Kc<1W2*3&p$EAV~0GAO}R;Q>sF z{l}*8Y;>CJP+IGC%~)p|x$9KV7+(C(i(u)NbG%?nIk?M)*y_=yKw56S@2&xdL~fPO zbuT}!2c>9gM_J_Ss-W5NW4k8T6aMJHo1^g4a~t0A^J_6cH@Gib;5+BJ3qiaf2b{g%0_RSexeB2_BrdtOO1u1ZAn z&5EYUEgX0w7)X1n9FaL9_oj8yQw`=2#vUfx5nx`p{G?`La~Qch{WQJi;{2o` z(WPNzPXPYzjmgVs%}%R}6zi)13eR2CG?eT*ywItM2ehPS*^FmZc7Hk z?cuh(;F^n2{0Ba76F07QsME@anxA$&Xw{_r?u{|K2QMlVGAEP?mX>@D)G&?tPu-$v zkKP*}FWoibx3i2LO{nEsv0bQT864T3TyJke&DrxeWq1FIF;RG;@o|Q1g&+;N!9e~y z>3)wKA$ocLQ2iSrvdOX`T4xEgurwiSKBZag$jt$lgg|TV*T=3IgxJU09Oow^W1DI( zA0o%>VRu3$EoUcZS~)gSjc&{7U$n^jQ@H(K6^gB)!!XKwAD8toOCfMi2en zyr+z~@2mq)YYe3q->=BBmBa;}y`BVz?<4{`Z66*TRDZ;x6XP%G(nr6jW~piURY)ar z5*jfu7yLM1uh?^>HLgR^Q)ZKPnLtMGmGoQfIzOx2vT>#Q?J?4}LcxK9qOCDfY$cvI z{J9;}Q7qqvVC1>wGn(TuIwk1`Tm|$gG8Lb{`DGSfMQ?59TFAJkhTRywq7U%m_X!}aC$TU7S zR4}kQwe9K6wa1O~=b?2dgylYd`^vU)rmsM_%|ZHG*;Sd}X+kTTsVi8!^dHxzcwWa>9r(Gu(eF9c+nNlV z%!?~o{h563@*HtiB-kpr>UFi$B5lmKUn;0Kqq#Fl%t$lI6>_Pylg(===+y()X8$ae z*L%W!Me;7$d-)J2)Va{ZZLBSN{x*ZESduKQ?i+hN*qNN#ugfI>%93<*tMSR4erlm! znv6CpM3Hl2^4;#K@J*lX9_`pw4DN__TeAH3)LpY+-jUu+W1{L(oY+ z?sRQY5Vr0?w$DRGR=~JAP!J_f|7+9b*QVDm$IGk6_ieutyxjeS;zl0Vp4vR=@#vk` z9qc!M%0?5%nr#tUXtmT_xh8XRtJTOe65WhQtdNbD8&n7dd#Ixo)1Dw^c=c%Ou}yRZ zvqsPJVB73?`}W9g-f?o?%5HwqvJ&=(Qh58p5m)iBcjo2e#9xU;$|qTWVFZx&VxihRujg5}$IYLgL417+ zjw-6xLpx5bh^3D_5M^c!Q0`R#5tyVT;2<#ApD<Gh8NK1SHHkB=;5;8t1MaeZy{tR<*|iN*^wS>;w983R$+r1j@ZA4 zX;LoBDd`X%0wSB8Lfgy5qh$O_bp7IRD_coT9#ZzU@7S@j2x1T7;v1w|SfHBy-nZAC z`?LT8$tiJq?*g|;b@AwAU?z#c0Pf@wZ1AZoT85w}g!1zcVBFSIZrZ)D--k||kr_5n zIJWq@kez`r^BJcW-g%MtExf1IS|MVNn`RcAkYc6h*uQ_XFLTBM;CYpKWnSVAOcR~x z_pD7>v+oI*uv+1q`lEEt`_-7UuH66nz>iX`s&kyvmoI!1LP4}{`5K(Y#M`Y6oy6dP zC{mU|It7^ui511STLM_m*1(BDK@x6^**wbD3Np_djS+?|-Ot7AzN%wf)$9xsuf5h` zN@K4{^aMv>1q^CG1|j%`Nghz@)E}COHe_8=d90^*sY4AnF(gzOUe&Sr3h1yILa(=I ztqDZ2OalEZulM8c6#(a(JFoEv6Zvc(1oAiz zg&ht;6hAey2QXy<(4wd4hvslM$CjHMk72e|?UuJI#f4To=jr4Pp5EyX+jaZBEAdF# z54RWTqqbfvbqj+7<5wixTyi`Fm4iIsB#a!-3VtQR))O}c%|RrULmSoY4WG4W zDdR*z?U9#rQAvbAc6Shc+zB&y>W{u>B@wV-xam5OkD5YPL>>g%Ep>o{kH!&A1Pc$t zyTnzDoI==nCENH(FPx7i?v*`t!z&gm``1@y{6&`usCj9 zfol+&XJjqLpoC(oQD=G{+8 zgKfXWWD-oqk2gP5S-2X$5(ZYrSJN#xS-C7m&j-$I6~=J2(Cax=#&D_WLB5kfMMe(8 ztrW2KA_JmmL}8LdDMJAOk)xYY2EibPRGt9RNpOU- zw-3i~`(-lx?EoBImB9!O$B@C2barYr7#ZlPNN-J3iWksu>WoyIvkZ4@JJw}^^Ygmc zkIQhL?&|@E!z{&J%Uzj+?@94wle!4k&=V(zRvdLVB0o<+pPQe}+14rQUOO&&*)|`Z zPF>T(^cBjbp4WHb>Xs6r0VZDdubVq+sU-X$E8v7h&a{xCk5O>S7P-d+g058~#@#wH z&h|G`>-Wj-GFLb57p?o>m3&E_)Y}Pp@cYce!pVHa(6E*O+IpoSoK3s~)`du^x`&aL zv@c|T_e=V)xss7{s(S)YUm9Svre#M|B=lC7u}d_JZ4nBcIQ@ULeFa!m+qSSsNK5Lb zly2!pkZur3m2Lzi1*9A4?rx+@x@!Yc(%neI1`&|-pXj^qKj+@_{&U~|z4v`%&o#%I zqt@DM%@}jeu|nu$TGgwS7YU0b$v3Oe9`7dn{I+y6ci-U0BI+gaY{UK=F@ffi95mk3 z%b43hH=2RD16x70oYnSY&FeO7Z0QWiE#|sXvEi;gNo=RywVNeF$GE`rZ!(}E5cy8h z;kI>d$)tmwc%=j_9V+AE-Z8gZ=W)=B4uLfy%HH1bk_d z@Hw|1XZyiNPHZ!(q$6Hz;?zk4U#E9W=#uw7qWfpt z)k+|LLven~vd(MWrYnUAs+F4ep&ez)X!S10=5q?0TkvCRJ(F971Y|c_zsrx*E#%}o-X{uSHz?YS7Y`9=#ab6y z+&@c4w*B@EkClcQT@tYX(uu8ILgpRi?PiRgi`kk6{!9+p!xtMk>=BKN1QcIp=WMNN zs=b7V&pg?^SeD!7XthSWAl7!_r12r`yDBAoy2Dc+2 zTpWy(UODc51Uxj(mHA1Hd$t9PG&&^HHQgm}u=mU_)0G0>fOZM&;iFy4aDx**hnAUu z8vaUj=`GdL+iRw!w{BaFPg<{aZq6OS?D-7Iwc@WZeWBopGA*n zb{(&UxO*avP{A;jP`|7cS0qjt+Ex+dwnY7WZtw%|{Z-o^mN1N7tB>78UbnLe&D?w} z_nGLS3WX~XT6}>Kpo+P}*LNE%kZz082YX^v8maSv@G6GCz`a0jh%MYF5bGgZNHs5J zu~Kt&3tlP?bgo$zF)7}bE5z3B@`BK(dsB3^i5eU)^9gOy4&H+|=<@O=nY#eA4-S2w+GUBUYySpGkem6g?}$lmZN z?!96?+@8yD4^LAn+`FnCX6mEgKirClVtI<5BP!Ezs2hn%heAQWeAnQMz8frT-)pn& zV&rwOR=VQh1HCWgir0hkPMPvf=cV#aP7QQF&)k%68lQ8273cceJrnZ~fQhoU;V&4- zYvM`%MxOj_)#7t7fGRrXN;>ADyr$m2C|F<`%A3!$<@y&vDe_K{@=iAY0|g4rk7fA| zixdQz!4e6JxQ5r2gGGzh0eArx@CdE<;rj?gwe?7O4Sshs;t&%`LiEaPYS>P3q%So_YYQZ15AfF> zwYHEjY(Z!8J}o6*%5!G4w-Jckh7E~kHct+b%7--EUEl%Tu?n^fq7STDcez1?FY*Rd zNM{^g3;W&Bp86c^+l+EPz@gF3+=pr~I3+imz9&H|S9|@(pcGiYO2Z z<+11Nx>&EUfNrX97Vd}Nb0->N>X@KHTIZ$OWS;5iA}{gGcBFOa`IBi zATp6zAmmP~dKf#fwmPuZPof;+f<#yNIf|WJwRPVaw}EQo>B~}Im)y2c0lkHh>`6_0 zbIS&wgwLh06K(qpacINbmaR!f2+^JcQ)US6D4l1!KFdLrF={c^h^yhObsiFL92=#?yN|xlZb0A1n}G> zAncH3gUA6(N81~%&W#Ea%lr=~1an}Iga&>QTXqca4-xLuXN7(5~QGYH1* zbQfDVjO#;o6oafv$cj|iBo^G`CtDjjR%C*V{pD_kWS075mSKK%fqpNE(JBJ|oNoR2 zR%ueRW;*6f7!Y0Xayzq*wH8Bdj8nC2&JcIFS&wp?FHXW=W z;sVfPIo)MBP28x5+o(s;xbiJlC|F=T*x3(x8lAxHX~+VsZ|jK&f_E?5MJfcoRnDPF z`Bjth%#nf-fFAzXZvI#jn92i~%I|{PviJFwYpI`CQ$L3}(#tNOWa(RkqEF=AL6H3M zP(V4*qUF05myWaP@kDqKM;s-Wj;%$@f2KIe%mm5Iyj(gU^Y^Ja6E9b~sJIbsnLV|z zR+)LNj0&hlcMVNKG0Al8mbW127GVhHmF;aCq%G|JD!Oh2AV}n8x2_{esaPBqX)j!5 z0W$n0Bkw7JQs@h73jtG`>43fpBK6_?=0sv54lfKote!M^-QloW{+gqx^~U_L)Mkmz z8?zqc=l#a%#FUrm<(zz!7@o_tV`;uDF_50&7)mlGX%WpR-@0|mE~=xg9N4GEQTE0n zcSA?2ipD5|+qBZa(deJjwKA^<8jJk+i2b;eQwJ39&=S3mQAGJYcQ~+X_U`QQlk482 z{8R{n&V2HPG-}8y?(W=RY?=iY<8&$mc8l9!mwqV{_|p3+oQ+cfCn87NIPsB-k-A z-F~faUP)9kt~tJnJ1Q_bhdrxg%zha+Qt^M&7mWR;jQv|L<3Q%`Qwu1d0dLAH2_WKk z3dH?c;vc2o=6@;sx1|3U76AExoi@(s-EB%`qbHUn-nSE=pe7kp9JXa>FZN#}yn$gu z((mxX4c}Gr5!3e+5mOaW@Vz2=QOTDD_|{az{v;%70$W~95|G{&(iBn1`~$f&QMMG? zWzraVI$}xr*^-j4F_N}1a(z!C3P2T+bS07WkR@fGB_;2X-Y6IJE~3qT#&7N||mVO`9hLc-Mv>EMyRExVY$=VwXO^9-sJEO0r8I zWRL&Hbfke$P3g|_nXmk4>-H3Tr}=&iS@*Br=ym_V@E|-1WXSQ*)JwihI-gZS|5gJt9YiIYNu*V@w&mgTH)>k*eS2yiPm-hhl zz#ezO9uv2EVEqCX_n*r@u6+R5jY&r&E11ebD4aUR;5uBLE;L*!_uB+!PIie-4d;&D zJwJWXb>J{9FypY5W}$D+-2p-lrVbLZx>TaQ;?Fs=nu(UT*srM(Ju?K3B!Hl6j0??K1SjH6u zO}H66_B=+4{MzmIGfrwfuw(&#kjSTm1R)&VKq{ACImYkYAyh04a;i-cN#n2iManS7 zQL@Nr#LO5>v&6qEyrOB$S&P;hz05U^=fIx-Njfpl&D)qm+L*Jv7v&A0N*1+J7WI?t zj@skX-5~dsMfRG#RPMW{#0I;Vb+v}fE|?KUKFuDmnQ2{Ijnny8LOoC$IrI`ilQL}G zGFHZ?CQCTFOKjkHA8(nfTJMDSvVipFVtH>c!fbkJNbvsaroHzw%p${^+HEtAcONnt z@^e5<^{cAStg1{Bd(qbKN%~iGdjW+!2DHjj-P42w6llJgngwseo7368_p7<&K5e|v za&kC%lcse+%a$jTre*(auW)@vm1ejUAhAyy%>W*4qE0nj%ID;u`nQPBE!iV{qc10% z_s{KTxOyGM;sg|6tkadF2|*P`N5ba5ZK@|cpOJiIw`^iCBviJ`Io8%)S_t-up_8G| z0V`;EHKoZ<(tDkGnb=$qP3LsZgDhhrN1H`n-8Cj^e-%Q<7geE+A78C8nbp`l{lp-U=2#OtEava>vwfZK$#-w;*+0~`RxZT*Jwfc*d zF&jjW9leSBE3ki0#;a==sIAS_j)tV=P ztup;B!VSo>L?;aG5nD`5-c^PSegCodDKErvrZ_3ExR`KjqBmpZ6av2lEuE5UE*Ric zwhD|l&&%j08o5nv5^b${E)cqneWQidnRpGS3r|fw}K+ zDwF1(J>0!LaG!loDyaH_qQidj2&LZGOn+B|g7A$`NkbzDj%?qDQ*ByquQ%41Z#y{N zHTm_ql~`$F(sYy9&J);1Qb8aiDhPBRHsD&M&CbcXSACnVdo~;I#0@8#53b}i8-G@u znXwMfYc@8k=E@Z*OY9i=${Rc-=cM91h^HhkR+cD2|Jyy1GbIN%@zJ$^pCFan9a#1w zZRLFNB#ADkp)|(%O!HQ2VKvx|UD8l8fC!z;eV)S&93kL>beZM@ZuMHcC`-J%Z+oUv zmgrsY?g4D^TU#sULehv0sP8sAnGvXT9vn~ptjW$9X|o+28^~oZphYVFvW(Ux6}|YC zRBxf2Y}FUwMe4;amPXR3wwA6z_g8@tu-g{{uS>D%0N5nY3q~Ap420~zeAFweOrwfV z`K(c<$LYV28|~0Nq7!4J^H{nO>?Zfv_>i&0 zIr{U*Ta-a8JZ{r;N}(({qc8IUg@gIK#j%l^msXx)Vl8(l*t`ZV?L?Sw3E%X=)?SS> zW^FGdgk`|Gy-aIuHzFFh{e_-IYDi=!GS*W9iYZ*ZUm^FK#qvD1kj>VS z&6d6*n>{BHJ`;CH=k-qF@lIMpHd{qD3#0fL_zMUm!8cZg<%xv{Z4fvC^k9#7VUH6_ zD&qb{LH8K3WQ6EfAmu*=IfG}O2VO4e5wwk4f#&&GXF{Mbi`?yjEcOvp4m46As|Pz_ zG1kXo8~e_J^!}NT9-w}&q9CMP^?_9mjPO^I1VZXJhp(RiVaJ;r!9dp4s{r1RdaNi! z-jGDd>FfIjy8Z;WJ{WG^eD&niWUQvyy%LJAX6%r7qLHFO&$YQho%&hy0&1PT3FEW6 z5ZP{1P4pV3C)qZvkQaRu#u-xwwYw9>Z6}TC&ea6g*Ejmjv*;UFg96}Syj-^tWDMLm z*W=%Tz)qX$y)Mi>sc4Y8l8MlT!nS&*Yckg;=NvqNKu*|@YoqpC zu8Ijem+Bd@2>4U8Dh)xaQqB+2Aa3d)0lrH$L5+VeT8>B=SEtPyZ?Jl@lggRk&I_70ujYbC>ukCo=lyR}EOwKk-j%mNGdEGdL z3~taOd+Cp?N%Wdhu58sw-CYspEVk(e;t+{$-Q6tK*Z8+DE-(YrQVhu4-FF99hs3^n zPyi0Li^Tksy32-^;JRO61WptUS{n~Wg2Rx1Gisj2bfs7!*d%exZcZpNh)p zoxpBsG0;ac*s95~P4~_0w>j#M%)Q1NK0p%mDEGXRC(gQ>Fy!IFpUyX!N}GjNzsZjw)#8v zq^b)~zA$0rsAx5=!xQR!8Rz4zHY2nObD;}6DKIN!FYw_Bh+a;TAE0LT zUQ*SnU)5*zQBPUcU6iFI3eSnd z$nF{GTmqbJzZe+pdsqU#1R<+#w z@p^}g(V9z3tq+ybd?tKegi0$KoKPmGoK3H}%C&*-bqTv1PZ}r4Jq(XWLLkRLSJy((rNDSq9c*^^B6# zdXL?po+C4Dh>TXO8Kb=(&nmvvS8QhVDc9v8EdO1>v}zHADPqby?I+MkNauvBBYm;n zATxUIhN%Q>?lRVFpG&*66$&F_eiHA9p~buCeh|Mj^7Cxz=k?joA6FYYvtxCIY!dZv z3g1yQmtHmdxwf_Pp5{N3mz|r_wSeKA&e^yARFyt*R6w9Qef>BpsN(*QuWm#P*%9U7 z%cV6{*82SEYt#fN{JGy49i|`POHWI`d^E>$n_rHB5F%67O~bu9C#4f*1DxB!J7 zSEE7>Fr^UD9q&n?LrNbG2O;9KSVpr$<&hwXSJhR zm@|rpzMCeO&Te?TBD2PkA0smvxVLWtnt*efN+?^E%Nc~V@_krveAmC`|m?7#42=}3zx@)@}x!)UxdV`8o;k3Tan>c+7d*uym0*%zfB}?Z$1_4&73iDZYXZ<3BcW-Ww zw7G7%!ggsoQ!S&ooipY-+kq=GT`i--m&e80+zj;1Yp=N*DXIsaoW^Qr%kTeaZyAND zAM*>3e9O%+jn6RU79L>|9bX9GiugIv2WfLx~&wQhOU34W+NXjhDRJnM~)i-*tSx zPa(x1vkc|Ro$WvaH^sPcW7j4;)a-~2+KDq6Hn{5sdploRCM zVV30CnZ^!fPJrBhQ1g9I8{_>Xv-!o0??ckUdEZdxiOZ($^(gQ3IPw1V`;(lu?Vej5$1!os5dC!7pl`=LxV^jmU!HY?sf$ zPbb{aJlCfD*LGfj=_*(WJl7z#Tcj^yh2%lLnxK>{i3Ek@1w>rw8u&R!%2wcM&`` z?a2c+v>-AV+36Hpn`9UD`kr?F-?^|H@SE2e9FQxdp$Y{pl8Fk~v!`N;dK^&2^rSQ` z2I?zP^QNU<;|ueOuG8~#=87(3{XlvBz`-t@WB`FwTmW!oEpUDTS=_W7V}&$hMdC~S z=wE>L2ZnU~_gT94ZSir+$Ng6LI5q7$tW!yuS#>w*$89AL$$D6I>rk;?piQ5+^)oeqilGPksq(A2Pr782yEHWd z>bYz z>|H79je7+o(@d9`YMtU3+=*%O5d@{W@4sUwYYtlt?l{>^l$z{0v#XzsoQ?Lk&nh5u zSlxg1J?An84O;ki;Q9DdiIK`3+- zgI0rkyUgA z56lY}g3g)jQ#OAFui5_T`oc4paBxgybbUj%#YFxk8^xYm_i=eq_$iJEj;ss3f3_Z2 z&OKQyoS)Wu)c1m!fM4Ba7VG14-<5;Xim-y#ic7p}90QZWrZjwD{>J6;I~tL7nSs_% zNR7Cxr#9SOOHS4B5=#9pD3Gv#g$zh%qJgM0)EZyN5#FC6NQtWS(nk^gr|CY2-31q~ zo5^$Ps|H}Hd!_7W?y~3|9T{KRDC8G%c2KU^_n` z?YmairmVV293}d5HuUE>{xk&sG$@_XzAMsRE7JZe(jAACorjcq@1p>)Jndt7Dh)|9 zgrxlwIr=Y-za>AQCh+f(`mNzNOUGeO+n+Q5@p%812C1Ezs;Q=L$4|f9OJ-fR#G!hN z<6z5uEZ~JrAWF9fzTOrliYpiA7Qm+*=i`1D!%AZ8$htG}TAY(5lL9}KG}TC#h%WXIjI$<(rG={l_CI=ld=|A_&5HsAek zG0+1Igmk~P{AL1tK7ZB%6g~QPwWKX$E++4&K4?x#o3(7JC9%a~dX$_4@tJcn6@zBr zS@%?mK-(N=D#RjLY1exk`9NJL2m8SM@|GK&`1VIPkDEM=aIwT&G=V8fHlP zu02Qi((%I`t_*#=u3gfWkEour1kxmg&?I!>%0Y4ER#B+eP^ga(q5-`3?tAYo{j}Zi zX**?_E?b%|&U0(-v}LBWWy`1ST2FY5z5 zt(%%GLZ*uw>Fnoo$5{zHP$%79S3tRa1X9$BkB)^N=NXy1LMbca4X>WZu<ftqW z->K34Ya&NbP<1-^SxI!?Jh`^f9zXXu_Q)-(qm}P481(H)0)P_E`3tJC9+^`=ouqs^ zDfhlu`h9WY;mhd5m+UJQ)GHOn9R`13aOFff9G@cdxpGHwH& zB*NAw-3nSy-sG3Y>E|=uJb|j|(1D=?LGe>^gQBSk^SsdlbN!-xC|aa~7OsXs-Rn_6 z4LF)kLskN2K^c$B{x~PAX5e&zIcRj7E!8+q&GZVW8w4FW9d=%Wkwe?3p3Ndj_28D4 z9ouT!y(6Eki7b}v%e(SGjn)^GVQWUro9Xg@*KSv5KNZua7R^6v3a|3ucI<$`p>_cSC6tpI`?WR1R2U^07Z+@g`l zqU$PigfdpPZHh8w54sl;Z{>9)yAHDhK~_h{pN`B%B%h_L?iy3AO=Jnvw_prg zLW{(wOv9g0s0XjM9PtO!Whu~WKM7)+T5_(Y{hUDZ=E7o%c_lY#c*;cUd4WzBS8FS4 zL1b=P8Pu*iwSuzYCC-`A2Mp0UxW)#?c^X9R7t+%*ZtM2CpvT6Et}KMzp19TxR-@u+ z*Y->kVwh@*SWmu3q%^3z8*@ca66Js$$=E%u+QYn5;px^EwiBDkT(CLYVk?ND&3nG3 zSeJmaNH3y*;>O>YqUt7yA_GFoqI8X5)m!S(ABmw&H?2kvv5N?ilUyy031=^>ehf+F zuKH-d)ZGC4q54Ch)<*VCYxtZMPgpuP%hI>)XSD+sT}Pz-WzVE`s{1x=b)P0yM4QuI ztJuZpTd?9hp`#eVVa3T`eepDrCBZGIxT`}EbuAbC<#^2QSSh@=dLb+Hid@Wk!Py3M zP-+bVNy9yZeY1-kfk}M(1=^j4Yn5^POUv;S^CyDbfen__I}Os~_UtdF=)$5?V~^L8vnw%aqSl z2mu_IFKx^TBUu9mI|g75`{h2(`zFLes{y`x9I^UQzIv`9tI4;iey*>uYsfo)(2x`O zB^%<63bG?reV7nIQ1entWqtW#Rv0QcsbG?b-d=vg)`_aY1sf*lM8PziLbejXSv!@m{ha>qmQk{lvYeD^4=<{s}*|gwm>` z9J-_mAmgzEI2g@>LTm8}SliOh@l-cB5T40Rz!Ijv(P{_$Ai))-yQQKiTw-NSX}%!A z)o||_=lagC2+y!h>5QjPNK~-pSdM4V?=2}Hj*$NR8gqQCo@vK1BTZ21ke|u-o$ysy z;7aiXOi12X{xbG-Fjl!0esUW#a?A|L0&!W76NQ&bAt+XjT{r)y?J&x|3p6fX^wvMt zaUl$~7At;i2wrVz!_gDZ6?#f((K}CCL{Gpwd~XjStQXX|=Ey^76=O@uFs5Pz16scu z#jvGBi z&N(H7%hIca$HVo#cCQU`w*HZ#FVNd7p31h1TW|JfyW zfq-K7qmR%>A0=JkA0u>jW~mSE$&GC$xBBVrVlR!I4dNdO>>ux*HQ`?`d=2J^iKxQ9 zavRcT39C?+d7jFYui#Pa4hgTo7t7-&*JTfogTa%r2c&fJvHB~q3Mnk@UDmE>ks_F2 zSc$Y*BdU=;_%(jAMSK@3KHg26eBwN)W}z$YWdbcDr)b-f^M>GkEsT+{ct z*q@lp%^rdAi@x!T=*-RH+83O3E4ef^kXN8}t7v2vd%qp{B<_bFGP6Jh=cOwxvjA(1 zlD4xxkN$ctGlEHJ)AufG{FwN(>DAm*flBRfhh&s`a?)S-Lq&_xRc4;TmW` zEW(8;%7sZr^Z`IbsAw+~yBDh03nepLV=-LAsu+D|5*bN@AWqtfHesJGY0+Ra{r(9=fu|8roPy5g5_L4a&4vw<@t2| zsC4I8AU+T6@WdVKZR>~2=}?7tBY~FRi-O&?3RsFk6zi^sar)@F4Us{rN{rnQtY(Uu zC5@%zO>?IGvqKm5De*a2`;-e==Jn$7iS{KpsVKQgTz&m$ht_WmX>jzy4W`YiSCEmLfgClX7+TbDkhPD8+}U9t-g zdd?Trpyyn!A5vjof&{}d*gPS=SU{a_=<@l_0LI%@yWbGSr0$v>LOMsv)52L(cr_XC zBA0qjI&QCi#s5omLIiggaO%scK|69iHPiZlcG3VgVl@@*`=&0ezTKdeEmwe2v^WBWgry zZu%vYU(;q%u3i0mQ-W7Z=KfJ;`W>HF!_>Po3ZD}VvCiMqADDK-JNUDYi=s`_c41cs^HTOX{s(h&`x~5QV=}>ew7L)++>bi3FDv zM5OLAW$U6#b_PEIu7FNN0?%bsQ!N_Te!@%hv)2-j4`v2AlYUGN{+N_^-8F8RkZ+kN zk;Dv$2*=OJRwaytHxmVM6(aF8f3mJR{s6nPVzGHMOwv9&3OI8Q<7OkB^}-1h-BZ%4 ztF^4FWm@_!=!n&c8X|1!4;tpS{)`M9z5Ma-F_|^dtjNR54}qTtQ6~XR!5sRbfVSQT zteciuo@I9^H6v=8@=d`h&)bXrUw3m)m@->Y+_`@z`(U!bDGx1)MgL~Fq z2-FcT=H0eBRj8Vs{cHinpN-rd34Da8hMwv^FUOtojG%%umqrfr71o4WPgX2CZ?7UZ z{J4bseAIl$=t9QxTPoZT+~+{+LxsCBod~oa0H7#h0>I56#>pV27UHE8;+6BXmGZPr zxbrpQ7a+rZ*1H=c`d19TiNn^Ecr<%3PpVkzJC&awzQl!Slx)5Xs!&boSYxe0)!JaJ z_=0F6=uAa?ddcv{0O#&N;DA@D#psG@fK#i9V(n7q4=Qb;OMMe8$3F#Afn zv+W*+dSS-blF1Ufl9#W_dSiXjBBs;LXyn=T^paRPzK4EV3b}rg)mqNram~&XcI@Ju zD5Jw2B?vqE#+Sc{WIDUlvs3*Tw?4$5e=4-RRz=>b_6eAceJ2eXO{?e(!~!(_+|P6M zE@UQUQ5r$z%7v{YO%K`9j_x?ge)^7CcQ`=6Z(rNwn3N0Q&xK}GOfKac!x{$?DwKD$ zIbEHhiaTrpFK=gW`$<=^nJoq{W@Q)a&my(oc=Q}w1T2?oO(Zv&Ka^0)7sks`KtDTW z3wUqEjDF^jfrRCM*=QVwe6SxSWLSa~=7U_yF_geM|6AzJ<1R3@Nuf5)4V@e)bGzcG zEm5}A_*pPtbF(mC(@0};QMNBz|5nR(uA%SkZq(eQyro9`d<|3mTY>c&`{=nzs@js| zU-$yR0SR?&iL9jt_rJ1O0ulcvOEu7v9Le@a89>d4`I9tu3t3Kk06>jaZV2`Ias=_ zykoRsKIYFnw93xf9WT$*`cDLLJ7WW;E{kFE>`NuoOC|NV3wvQPyl3y5hY8<)lxJrj zY%ol+|I87bugRLP8L4b({PSHjwy%=S2Gu}QU1GRtXl&->%Qh+0iztv6Xbqduq1ycdbGcW>BZMw$_b;QKGraiR+(>tJpmeYxU+)-i3$q0H`a^r=gN5Ar#$;O< z)7Qm?7#BxCk~iNdTkpvIby4e|SeO#c1pvt(SpbO(?Qa&cTNa7W|0=^Ke(spl*}dPD zY%W#y4ut|j?)oK*o%Cc7j;+j>AGLBRV~idLv->GI8$r;hE#B829O$b*L)@Oa)om9& zV2N_J#ldPHVSyL12!~#XI{UfpyGt7kgyKN@IKn8QV@&}kJn5%V~`ll|2#&GW!>PM1bI z$XrEPTZ-}2rjw`4IihTO@S{a5y-4I4J*PgWA;&&DDDj{b|||BGDDQ$zPp(t#ytzm2i1B|w^% z`fbo3G^{ZV?=oIld_miF2 zYAmPMRdvyGt;NAKR7EC@f%!(pw(l~kP%0g1UDQG`W%-%&5I65;`hqXLvQUOX)r;8e zlFMK#_dW6DqYXm8*LBp@)}%+%3`MF`q>4{I9HT%Em);X1S}Y_;$7!}H2EBaIVJ%j; zN(o*p{LGOCkF>->Srm<;L_^NZxRXV=D6+j`y`~Z@;?Rox(a4QZpN*8vz{l4<7c*|M+VB z<16)*EhxryuP1P?M`@i$Z=LtmvGmum>|o|ziPu<;PhN>n{tI#fV|VqCcFeTucc1zL z?$pXL41uKspBw{(VjsPMGf>QxR0@G(P*`I{;oAa;Jr^3&79VLU-wATUOYMUf9db`>v|@ z-3bK5!e3y9jH-f*_Ynv0BQ>oe6|JJYVup-jMpA}QY=#i$zcQepssQ+VhOHZ*N8IbCgEYdgqmdAW%*d(VDN?;tjGQ(^-&&CIg6pu>F51>h zZpBk}DUuc|5$RPUGu##J9V#Q{GN(ij7?v3%M1jYtR$_qG4d1_;=h|j1MtKS5I+Z)+ zJFM~1$uztoa#J_)V~Iju29-zGG@s!~8lp!vKNkM3cgu}ANk-~+D(#;D% z(?)a=Czp2Jk+mhE%iG|i$ncVdgp#N^2P7Y%bUxTt>ZL(;X9KQsBq}u6Y3}6E72h-6 zn;IMKmDHpvjxd(J&#wAwDxHmj>fyxiI;VpC9`6 zw)Qx^b=~2C4Sz6zy43EvRPp&Ca!V5wOA}U06YSb5NG%8*_$p-XRo6Zvbf0n6;lbKJ zlk5ND_*-(G7$9BZ^Dhm*S%7$iKWkWZh+F<|YxrNc{2%w_k5)%iy)V1`49{kw99i|I zA?AG=!d^^6q5R=f6tI?U5Dh$Z?}OnDcwCyWy+MEBvx6}34ruIXF>`z48PHCIj@z~m z)|T|vzU8|%zmL+s*-2c5*GfLzpd0ooNGHT+o7^MF(h+IXSRYe@TFbh(c zg{=C*w~*GWkk-GD_Akg$6V4Z8@f;3I8L~hG5Y7H?Z+b@hXZqLozNXureydGw&R?6j zp(To){fn*dAU~9$i$vcbj?d_NuAHqN#;ZTZcItGCQ; zw8m|OETcU$M%g|6W_$M$(q2pwe}(NdJd(DkKjW#V+W;0rnx8KtN^z+OSW?0)*JeF= z2v(bxwtYM0T5L3#Xm}-kNC+d@GFGQVM$e`atbSCIagpmF^YqeY^K65JLG^&fCwYFO zN+cD@z}iuhi+jtcUYNNb!}+|xWMqs>SFym`N(+n&U^5h;%UbvdYQ14CgM_XQ$506A z#22TlC@KUnt$K3K)!D#)8ppP@OTV=1ym>Yj5F)&Q+0uQxCd3899(?d#>9`0D95e9_ zuz=@jQi~P%#2OfYPb@Bt+?!!`Fo?+wwo$V6P3`*@1NJw#S+5h?;fJY(8H6y~=(GC7 zGbgoE&vCJ>mYR>ZUh3#g7k4ycRo|!fJ{ArZnob}x=kD1vPbz`@YiWs?H zepRfF&NZWvoluA?OeHRKs4ST6Q>u=ZpBTD`d$PV=>xUfXe_aFdN$#*6)mYO_eSC?Q zlLqTfIe!oFJ#iJ~cmqMx9~gThEwC9I`MTCk;3@q4*KRN|kRE?Xg$x-oFWrLKMW4IO zum8Z|e&w6Y=%38kA#dC%Z@fZX1;9Fb#ya{{U7tl=ALG0s*Sz5aOEw})HZ<-;zvKb0 zS6AXQ!bpoxBCsEFKA8{ckIHIlz9sQ$RHH&y-9pVv%ea7Tc3 zR+-Nx804(T(Z8F?YQD0k$Y6CE-~1NknHeKp&`*}j^dY8!SZSvcAW=&3@-kX+F%1>~ z&lxw0R*I*}Ws;TA!lbjG0l0KQcC2B@ca&3K)=?U{CW?XGF=h(FKyt$EeDIsY4sFbx zRi_}7CMWC@@QhZu)afGOp_!}g~O|8Fw{n*O>| zYxL6Eak1V_jK>--u8!2nX`cM|5ZH1L-(o_n4}WmoLx2B6>|Dh+6VGTF8@PiFeOXfk zBwj%}RWl&idUaWS#UBqaWm2!Sqh5-#Rrpg@_#5hDNb6(tbrDAW0;K>>N?da(9CIn^ z$q35H2)SZ1>0+|Pm!f~o5Xeah;Qx~WC?Wf^2A~A>w@F#Zz@(6vf06ImIKQb;(ZH2h zrW0SL!>!ZGq|@q@k0{NG6p2O{S#h=p!?gbhyY)VsbO~C4T4(AlX5H;ldreg^;kS1T z1%6)sW>$X&xU-l$zp5RPE~)32O!;o{V=W)XZbmReMq^wM)nYbAMm8O>oX91l$t76g zH=Cg!QGxFmKi)GE%d3&r6dKa5h1WMP9=XgE6!_GJO3a!ggn<=lp=;|2@NNe?dZy@v z(v*IGuZN|MlkRlLy&WdFa9NX+mdc^TP#VK*j+iAAkb-l}_#pwufG0mam@XIA9TUdf z9aGsAQyEBS6i7#ft1N?~EJK|aLzx#NCr2kON0*qO6qTUF#+pmXnro;pBmE2Lx?-XL z^^cQL1h@IU;E)h+^J(AB@4L2iJskjrSmhcf0@?KnkvH^)bJrWl;*GIK3 z(lCi6M{zxkvLjlJQE%t|0lGo?U6kyHA(;x9cn0O{#b8secA^yWfcwsu^d6qsFxIf$ zvc~~7O++fLG|(ffZA_c>pE5cqHlGCid1gz=!UCVzrsqO5yu2Uch!8)lyo*`ZO4Y?$ zf!}1>=+6VDm~mDUAYmT?-O2!G7b~kKA_mx2tghnourFVT9Al>O@HI?f8CsYS>0FA>IX)8E=Jd$?Q(GLf z@0-E*$s#hIoH;WW1iquFp6uJxYJ1=nSQOZs6{q7NYVBDOo!63{z!XYq5joSO2AZ@y zNp^pV(OhibmA#`;WUyjj3&*&_T09yI?Njlh=7(Wljy0^jk4{n%zg5q}2FR&tynR^= ztP1*@_35BP4ET9BWegN|c&RKGI%CYClN0FQClNw&mOlegVwCX&orzdC%xvze)qyEMeQ45Fl?TV1{sHv7kCD+n>nzJQ`JWqzF8J>8I(yu=6<)HSg=9Zo!rD1DnI z_rBWdQ_AJ1?qxF_A%(zbDZ>&y3$5V+rH~S|Oq)+WOUXCyiQdO@g7@8N^^5qVr)QTa zRavVI-ncCE!NLH4|1{zDJ?D(LC%M;LMJ+3pKl_?{9&V1FCInv-B05b@bu^cMI_Pw( z3bZP)f6PQ)^kgIIhtVlDE75s=LTHl*w+p*l$!0SP;S3AmD@dpXB$P2k;(-LkJqZf% zbfRTPVq{19jr97D^mdpUcA6UMaa02M4|0sShOrF?=~<4ZdpDCLj~3-eH<+Z88>MIG zsovOegB<1C1L`++@i~JFAQ$ziJ~>BT?``FZLrTn_Xh6w_KhO+nMR7R-0@2Y8%ip>G zr(jf#ILGKu^|Jq#X?9IoCdH z_FggPT$K${jeAqO>aff~kBbfuIIN6api$Dp_kPo8910;3n?`ML2yYllI}(}U%tOu1 zg@|v3xIKpGpKw^sP6*7>vg;P?55u+UrXQg&Z5r0G&?<3NOvJmcR8U2p9=Z2^3dr-$WS6n4ksYJ zXO_BG)bwFGSiU|$zMdf61t;BwO>B=!Y|kKgRVH|~2cUmpX#X(X`adzm0u4m8KeYT{ z0(`H2)&dma{U5Y|p8X((Upfaa=$ESj7le9sHJ8vUQtuCKPqd4imgZcMsd-}gK5>_7 zDuZ)C#wF6CKXHYs{t4$w4`|Lv+%*8r{kZ0AnqN7xoyMd&jmhV> zSYlTs^pxDu%Qa>IdsQZ0CkfeQ>&c_6ebXI1#pau>1`5xQF@V2=?!@Koq@*g(OOwNV`3j(KKn$`I^I+xiY># zU52pR{C%)-O2gaW&E(R2(mYCto#|nmg2~$VNiKeQeq!mU(XE5; zo^A@JUJ52lpC6#OW#R4}?Cu?%?j6#lBj%+etQ|MVj+?);K(MnwE8o5LU*RoR9RD3& z2c(BYU1|8i0>q>ItO1B``r8^n=dXm4$1VS{E1=sqe(t$$${X#=3%yK#TB%%_Z6CA=76n? za^xX!kC!3ix@n~jNg0URJ9;q7EW3a7_+-_q#hT;?=@mv_w)3CmYqh%DWQ7!9ytJ;n zl-ATWD*4)}2b)QQ+KiwNShwl(HDXoIx$A0oV$?Hw=rW(AC10cRg^t@g0z<2{DOXZ1 z+jRs!FxFeA<|}Rs2ls0b`7$=ab?@`|Y7B+}4Is=%a**Yd1I|i?6YM*<6y(GxcK6iC(w{b4vXc zFe#wf96X6)QaFgvU1P8Z=dHiAyEhVhM{ikdZFA&WCfR<>c;!x;FE+V@e#g13Xc zHku`{uTL?2pbvg2*0dx32eX4CwnMd;OS=PPElxB6COntq*IC>RTA2dN1&fv2Y~iNj z-_JSB`aK9xEVGBFJ+<0DQhI-ma7sizus#g7Co$w2e!ZnK^0m=p#hpT*5H1wcTHLeN zr&S`6tdeoA@Bn(j81$^+S==tP@-vxz3z@x6cnzQ;2~CxZyDAwLDjAFqpqvk&_;}ew zc-g2ygXlqnuSHjVMOT}Df=^v>{8#v_ACMj#b*1443lNX_vj!mk<=@uu@!h1_Ufsdi zx9O?6MfPcvX`5oX!=)Q*W?if>t}veKPW&;;(l@$nwevTi#A>--2=~5v_HS;&c23~2 zr{|0wo}3;RSdEQAFqk(C^Y%dGYw3bBr}O6sY*AHb-Jy56^!hgKYP3+oaGhL9olJ3c zX4oBDNbF`YY5-XwPgx;rR(V@gc{5Hv=A3+tzws8;@ym6`FX)b6Uh{tQ<^5Ld{^*ayupFie5JED>vY}YE++UEdo)v{{KaPD#mVNywlkzgi&3G= zev)ccg0mkkuz7lY;re+`u^_Ot?8-NyB$*$dKPr5F?|h4w`qgdMe7MKsW`UX5gY~g^ zujG0QIsB^ns0HgU*Bm4l9eWp1jpgq1Xd5c{=j^NM2QR-W91Su6K{{BKOah;47j<2UK>6(zR6)oAzMhvI2u#}V*pP9`%*&AQ$P=&K~c5QE*@rek&$xJ;!TQR@CrqL1A=g#x| zc&9ECmn)~-wwJv!X0Jz6-hKk-cqGU>SFssku)ec%K~|#XDN*Wyt%_64 z`z2LG365zz411^uf0Te-LgDogessR*_s}&g*XC8`F`H6>VPd9-qAUo=kPIbHm9tTG zsJx@Iynb!Z%wmYBJE?eQddY@kYW2||;@V)BO+^XWrOyaUvy*=ky+`2&Z^~X-DjC`V z;-zD0O`diPh+2;Qxzj5@9`nm}5i7*@(UzUYa0S%@2NPb{ioD5~ixs*zEdGEkYK%Clh0v%pcRBv7innLB~@C-@b|e}|6%>4daDG+eO& z@pwOL0OGIxZ4IFFzxVt~?)|-!2c{=?yT%(^OE`2?6LnN;i}GYthVfK}sm2uDtv_rQ z8G42ROEg8rAhzR0W3`os`GWQd!cU=!h>Sl0vyrK1vF=)iNo{5+c6PQKk(= z`3yh3D*IlQ6#?XrEC9m(gN5=_<0Z>B{72QC<9wYaf!#)f=8=8f{Ca0)Z$2t#ihJus z^i5tSi>Kx}?_}&#LKb$KR37rUIq2E-k@JkgoZXH_LoO0N&4&qk98@L8$Q^V3)>%bYOR{%x_EKfJ`41nb+Jc^J(p#6GURVct*mE=~rEl(F$-E46Te&$WKJ zH$X&8jZ{r2Bh@Cq+PiI}Mi)vxJw^tK*87grPX?gY8n2OG;_9P`Wz-YAlRuEY@@@PO z7u^C}G`^&+;x243Be~#OD19Bqjr1O#jHRPODa|L`g-tnqF&hE#Q_n`imw1RDZ zQIf_(m@Q0pX$wiTr5p0mi zcVvk^6s6k^%5G(v%ftt1+U>?T$y2iE!?tI0m*w}j8zm?`{)K`DXh~CggiD_40xkei z2(WZO&XjFEh+`l2-L@!RzLQt41Tbng7#+nB)MLRCwT+(-4#t27VQyMiPC{MgR*eb+?&ctpQ))lY; zHz49dQCzuNBGZ~6cK6MBLetfY{)am;cFs~RwFk(VkQ1kZz_x83_4Kpt;;mPz%>}2! z*nI`sI#x&C!ile zKU6iGnq!^sS>L|56KXALqLDjTHT-m5O*+Ihns9`Uy?}kNN=ac}EwjBm;i^0Y%GuWW z@7v2ILrhuN3jj+{wlxFviY1(IL>H6?tMEWoT6=lipIO-13lxDCAOf(+Txt0S3(%5r z#X`nj(Dp+F&?0fA1+e_21wbePIRXZa>m);&s{>w|d@$ZvFN1r`hr?la^tPs&v9J58>Gig- zpNLQRejOlnF=@cWZJ0SI9KcUvPCCnJIfLU#aMPQ)N?MA4>%#lIY;M~5z|cJq;T}a_ zgoM!a?NTo+NvlgM&o+O!BNjL1#yc^ZW^{<;P|2N07Gm^3&7i1OJOvEZ4C0b*8wr|x zw9%u$>C6lou!oG>WhzBe$WU5@YuF2Rls6Fyt^pS_`c6n_l><^ZJG{K{J)*f7+sQfn zpl2;Xj;(h0v|_qJ&%pEj(&p1H`-C@kN4MDpsn2aC#n$xBc)5t4Ll4vi@*|gFO#wtK zQJtT<8v;j>PhA+eK+s2Os1{0u)L}* z?Tz=J-SB$$(aJI9D@v37D^)O@Csw+DIh-c|!+E1UNA;57-Pjtb!S$WOYxm6Hn`cKE z;%f}-n88DuWV)aShclL!;X;td&Igah(K5etn1}e!`ol{}Sdz|8581xQ#EEN;#k^;B z@F3Vs$GCjF6?iWYn4Y<>e&ut}z8tdJ#?F8MPP7_Nz=p{rE(lj)?U`C|&oNiy(Kus` z4OqyZLSC4Yx1?>B&924#ym}YAxbj)Y#E{%Ir688U=;D3+Fk8>AL{5>LB372x6z#)w zvq{)ZL+x3HXpR`lHlHOi#$VpwTk9N%U05l(UCh3N#N&lh@aQ^;Hkz_cyajd($z$4f zD@SXQ_NNL~8^LMAvvkb+q&#P+Y=t_{El32TV%4>@{OND+)OSx_3<%bny^XQk_fp$V zf1P(I-#QJA9cqFG*JpOFSQb=~kY}|z59>@af?uX;j*s2~pC!U&&H=jxTN%`G?ci1h zK?<4!KZ}C6F`1#R&rPS8q!Kf0)?_(r2lO3%$C62FZ9i`i>o{VR^7^gy>NqTiO>uW` z5SQ@!jU@{^EHhs=qm}XsJ7mE(CZ|#J6q8+U&=Z0`eVe=vhFE3DbU(<_kca?N#q4Tki3EE0qx>wh%6UrgOsO&NBmFS1Ud~?GMD~8xBw$na{D<^y;?&Yq)$BJU@?Xic*NO?h#e(OGMF=TD zv+TsX4V?!daG5LOmp~n~yf=Y(*dUrty^RsDrGXk{v-py~8~OzHw;3eB4g7%K?kt3U ztVa?_Dn|derew`|AI_XrqP-Kaa$18oxJyY?5P&`sj6Txpo7?W2tJ|We*P>WFn*(Un zYG~9-(X7hEtcs39gPlVIm#Bb{s2~tsIRss~?Gihr{a0|}ZZ`*)IPS1=m^(>|w4|79 z09*jA9~@CcFXzG~vc{0fPfX?@`2^F?-~EB>9Z(gVaaWyLmziv38f&Vy_ICd?GZOi5 zp9|LXupv3T^eky_tija%d?z-qk80SEZP*Y8UP=Hj4cHrNJvM7PHfujN({<<2bLS`) zNCc#k+M|*xlh?R4G2Lu5pSfT@A7L` z$-dnLAsy^Q;_Zs=@|Ejgx2UFP_Kx})jTJt$ESQWqcAq$Kt@k%Qk652*+h1?rU)Me1 z&^zHMc25K}+R!uFAY%qIFoRK5Sh7`E;!IQ$OjHJ}PXw<|wC z-R*?!Yr`150@fgCMp4y6GCl3cN-bEOii*qnZn>d~UF8;m{CE0x}Y}^0>j{yKD(e&(hgH0jCNz z+sl7#^YW=3$*%(MVXGy)Q){xZS5Gr*$5lK>zc2+MM{4bRTMvv}&8!{qN*t)QxyaP; z)F+b-Q;by2{_)7mN}Q_B;j+qqe>aW&6R@f5bZeV1g(ufmZ*;lmX;d*C6u)vIJ7IZ^ zP2l>Z{Af^+;OuPLy}I13!-Y_nA6<_hy*Q-?kc!-tikxO%mPK9`~;?xlL-{<~N9YFRkE^`A6=3!65*& zfI6tMTig}YFPr`@^a>4HbXEfa1Ezv9Uq*qGgyuGiecMl9(BcNF0Sq&nUf>@ZKn|dR zG@uTkLCf9uSKL4;=*zzp{Mrr_V*j!I*RK7wwx6%(-}E}&v*eZ>`?`1BW{U!>)WcK} z0sO0-S46#G-a+M6POCGN7%Bsk9iF~b&W(2$rqM#Fu^pjLukn^aX>=`V^X~+X(o#kX zfgw-^sGun~(I@jI7ed(dj}|h0FBku9Mly}{5}K7Fk@*z7-E!_UH_DxbNv8QHL!q>H zfbm3haI_G7#9CQ5^Gg!t#-?r178^Zdu|al4+01iwn(G*l4dQb=y$^_1BUWI6!Xll- zhG?Cca{{A!%pp$Q#&0r$ccEem+hT&mMsE{^b04F6&(TNS)32J}>GOJ1%cKb30@WOH zQ}hd9q5-y=drnJv9pLBZKACoGmiNe$*`u`1$RUX6V67FQ7z)e!K zLa(M)`_h-v)q211ezsSA=KlJws&y+EFlUKAF2w}s5?O6@6mCj8N)WnOiH)L(Wq7Q$W5|HFm`q7uLM+m^+8hj{zL_D6nF7T)ZKBHC@SH%Ng4 zCG_JXN9$pP>mkj{n2 zraoMs3Q2Z#)Npo#)BAp|reE(o)Y#-AE1CwYvoIkA2jwPp(|wWxwcO(^ zvxsQr`Ve!1Hx-k8rxyFw4f#GzChwko+{!0h$|rLNH$8APBeU!& ze4JC(UE@riXMf)wE~iS4gw}w}-ZBXcYrx%BIDs+Y?A^Ps>6znh!L6}xIld|TTOaIc z?B#G;U%nwsk(>lr!j#D#Rf3VLtkl8lJP!n5k@k%r3#`f>LvElMUf2oWUtq9SZ87#s zxrM;Hw@~H}f(aYLB0z&8~HW#LhV-J`VZjyF>-t$2RFMFt=0`vctW; zr~S0}devO!@I_QnG;yC$Oayf{zg}$MmlK?s=r!Y7M1j@hc=MAEn&S<_Zb-xY;cjr+ zGd5UIdBo00;?e%Yqfqu85_Mj_)FXvA+nw|TQOc|yjDD_eY=s%_`GPHB#2Y#`RelRr zn2{~~6n?G}7zZfalP#d!F?*Nls?5wiDGVT>9MQrrjdAcROC0eA=`Sn*g8jd;h-LH6 zA(+}bK1;_%hb&kX;)2ZsC*^`!7vMKIxzkj`-98Ofrg$|>3nE=r1HTXdxZ0l4Po3oi z;2To#o53)%L%|XOJ+Rag(8jkC0WU09nDsuGbW~!@N;3OG<2d_(?UAa?cXKC$K;BkJ z!Hp$rHs9PpXz(n?tbg#V#rzt9a8H`#7oteC6CKtyKRZH#_XD3%_TtGwjCY8!2nUQ)lO}A&=9fArH3^lM6N28{xeD7L|2BK*i&j zSM)}^{9e0>U@Hi)IOO3!77|CK?n{GRU541njx-(vWo0VuhD zrRwi#``g|7iNS1Gm}ivuy>}0GjumEhGk=D6j}E9v^X?%fF~eBVwvEH!@Utu8mPSte z2k^3fplK-#)PrUTW%tBMwv~At`I3Zfw=-=_& zy#wC1NkC)UoFK3nEZ7WlLlbF3la8CWu$ykI!DWfCcT$n$!5BI2d_8)GsR)rGP>&L#~KAI9xynoT~Ud)uWAO0iED= z-5Df(%j0$9CmOfxScPe_^e|5SJ5&{JmqC?}7MUoRZ{e4mo{YenX{61p5uFvpbaW{w zgPVaN4uJWxDT8v=txlRtyHWx~jf1WYy==zXfu0S!v1AhxcL?e!_wr~+Bjw-jQM_<=D`dw8O1kFL?QcOOY15fm zh~)`h2QYZRW{VF+R^fu~NC{739%_3Yqly&es-oisC&(jovn>)%P^ zwa?F{D2Cu@Bv8(J3#UaL#?(_W1aY?pv1Xc?wXB#KNsaonr>k*&+NY4#?@M5Bn0mCM1EGK~J!{yt5u%@Zv*io_=G0UA2wiX!M#pn!8^g zS3|4eTC?GrZ{H|+>h|}H*L`si8}d#=X)|XSlgMFk0E1i#*yPUp4VVuh7jbnO&a5#h zky!!&T4of$hC+^lQJdoFQ!lN27ul$M>2utWo=owkxgmveIpXqF(c)}K&1^%2Q}2D! zTidnS$U^8t#o9g4>XqAyq4G_s+b3+9stuniy2e{{ZKQD1S7-F4PE}mMR1xlpF8v1e zH2O~Qrz&PC7Np>A3kU&Zd%@a4$3c6ElcZdU|lH=}14=tuLI=0P5k4DxVclaO^JsV7ELSjnMz(Xx> zx;@$|>7cX-zIPDanS8+46XBmW=+G_9t$S~4q7cUluNAC*uUfXOyv|s$69pzGW@mK4 zZk^#^rd(b*wxvcMhp#u{n{aUr_1tIHk~@3fsOP?q zI50X%Of<6X+j-ULpT==ez2Wng>VSR6>m}84L4uoJ)rKZJLFQ5;cz>g@AH{Q%eo!d7 zhR||mDi)Mzv^^f*c&=k+I5u|P)3q_Ne6L-Va(7ojKXl zowzTglr=g6>rZsh&4sVQU&Kt8;gHj=$nb*yP}c!jVAfY}Obr5?U8eLo^~TmymU#Lj z(`7V6SC0qqe~<0Bys>nvR`~Pk9jRKJB1|W|bs5y!Qmhq_?wr=_zkfaDyp#<%e>^q7 z!kw*n5->CI{~}v_y;;dpO+#MfKGI{b7HrkV+lGnaI)_yT^?z`4K^40xoW|l2_~Q@L z*6m`=BY{|^3L;+2+S5(10%=~sur%E^j-DlQ9VbZH8lf4Q<*|HiR@0ecBCvJ;>UwNt0w|Gd4fPL=3 zdFD)=&uZe_2f4v1w5%#~aN0KcbDJ+S7-UY_+6o~Bvdoa<*gOqJxEIfS;0p?lb5S>i zX5VF>>W$N8v4?W>sh*(lx{Z>a`wX{78&MRiS4%%Y-R0%go91H}CXSWa9=KF?(FV|Ql@KIVc->V?%d|;`%?QZgY zuBb=->tcQtfvF2U1 z$y5l`F*?J^2BNJn_dGQY)4A3J?0n~9u&;V;9^>e} z^CPAgBww&yIr~O!$p|i&!oJD9nUw+|7i8rmv=1+LywE~!6o`NcTlMYBus{^IKv*E@ zcV79pzu~{c(s&Y8-Sd7o?%hQ4hp3Nv=%ZtK3#aDHVK=!GQ^0zv zC5V0NMh@0D&&-}SV1s#pB%Xve7ZR|y2hqW?n-GB9yPcfZDwK4LmyU^)OA@0sj;9vT zjb_o~YOC5GFI+D}nD%lv86Ri!5H~z|>|u$2jcwdAOZy2wiX@`Uc#p^0>{tryWwK1{ zxnM%_w9GM%srtuhXeIp{IsBpnCr3$ANkniph8W~XV7<6NB z2Y5hS#Vfb}$1Pyz4;dXy$y(E0eP#k3O5gPf2=adI*?5p$o!e*R|b_7BBMOPr;aj(`sG(J!LW!8i-D@ zAQ&}>-XXxVvvxxgOrM7MgZ)0!KF&H|z>(YKW4NV(2qpFNGEfj<0esgv2#giG63o8*kyDf7e7j`Zv zcb|b$;;H1@3cZh3l+pn$E{+>}>AC@g6oYV7$Oda~o#@5PW9eHif#$U;IpU~`lCR_@ zE8xyj@H8dGkN1oDj3*e2a&nw6a_T%W-!Wi4#l+YX19!kkg{JlaOpbWMVYa}ooa)*> z>B%k|Y4C16me?^Agz~VckG|KJKFuV(iN&!_AU9 zl)XfiE(sephpDH<2 zaBqQE0?5s-0Tq{baQ7Z(!3$3Mn|9T82>f*jw)i7l{%&3z_tON}&A+yuhW4I3ZFa3q zJaA}CMh#2&<1>Mj?8t_c4J$uZKAxO}*QyTradx6bk5Hw7aHT<-dMThU`D0)5Rlg0J zeH(sYXNp(DjyjcqK9%s=qR00Nn5J&~_TK{ckw%l zVJ2auW)6gl#XPAI_N&$V>WCM*{o$%7`L8^!9cA?SK@gTQ7@f)Vsr`E8t>I}l1@IL! zf7U%|xp$PnpF0e8jZAnQ$HGS~aX;OJ_2j^MVAS?I2NF}Kl+a3)Y<0A3b)P{7-$4eU zRXpKUJW~50Kw&jwVKu4}Q)UuV4>Bz9pq$81P9i8LYIY)ecH-;79^b(pp;ZInRRdD{ z(y$utpWz%=9DfDB;wZb#iFotaLm_Nei5aQ7@!<($X(|Qd6KvmBM(hthq{UMF^f!e& zhj&dn`g18wKgkRx9l?ujm-|0*ORfJFn824>Mc`7~hVg)+4YKeO_@^U`opztbQrM8* zu0GX(^?vUepExdWNEWTD(5u{F;BChDSw zH!x5lA=jk~ax2EF(sEs7{0u=LmyxB<0&=@$58h|#-xM&!PA!#yN`k78s3$aZ{R1U& zDKVGq04fBP{}(j;sygP&?|`4=dz579AEorEG%Tcu~5~Ar-S*RXpR7qPwpbfzdGo zD;Z*lG|reX88GkIu)tGWwo%e!>l2_p#If^B&ta{#Imq<?6W8JFa=kq-~q4 z^meZkL9Y{c(l*Hajr0tI$yE@sP-kl2L#1PZR$0oo8f{_H&WXa~vpVZ`%&9xS_jhII zu4NY_{S|_!~LLTE;RYMdLx$*QM}zxtyAu!bZbht>bV! z^3gxE-mv&!N|>70-JW%KNY#HJom%)!%AQ>oOb%(f#9(z7qtj;jk;5_8qS0nBI6A`W zwSO&^gA(C#gXF8y-JuRyt>g#p_9X+#)KXf>r^$Z(J0osiPieKv{F&5<|9zT3qV+I{ z=^tqueos4oPbgCY$ox5-3o@7wGWdkw12X?}8bOt?7HEAVdH)94j9fc|~M)>@XIEHwDB(krnSYuA;AX}1_| zzhRQ)Ymj(pX?@Erh#OH+`lTgH@!{N^Lp;zZ`_eM}8tg9$EQk%FfCnJ6K8~nHZ!SO= zO*L}d0;LpZp+mD!#j0oaRnKr46-gKsUrQoZ%!fnY61Z0&x zXO&H+k;A2tgAz-J5lh#6S*QC?9Pt&@PYU;E_CHt89cypGml(!zgPYDI%fKWNmg0b@ z_r_Ar^&0lpdXTN-9U~obm-L6@EiZiC(szrq?T>T5h4dRG!ejC3#?HG@(MUM`@b zw$-9GI&Hqa^fci2h`TZ)DHn`af|km(W$Ke!8F8|5PpOetYzUhg_`o70W_Ks!_(^=UhwJ@=#@= z%U){kOXImA&QH0Pzo*-)iGb#ZIRDS7(_RlC!2bVpnyqCFZR}4vj;7k9@A;1f-^hov z6f!o90A-z#<)FtUuAX%nmh4Y)*YxkPbfzY@!S z^-*}}r|@w0PS5NenX5Xqf8ts$p-WbQR6n!-T76&UfyHlqbSE&K_LoJ}v0n^^P#12G zXM3ww-zc#MrYH%0toy-YuBg~??Ca2WubW>(4bfQHri_~=G7&8u)wUc!E*Y;*6XdcG zEo1TOGUVH}MbwDewfD<9gI`j8K8BoN5gli0>YP6@vs3*_|2<&nwO8B2<v0Ax*`Lcl%5V}4nWJ(om2-({Rzxs z`#$mV&2Fy8Iu!2|DBgK$DXL$XP|VpXnrr5a*C-4PXXfPd{wO>vw+H3qoC-!o^QmkT zIQ0Kk00I+pDmH*LAVXGBJ@!8oIMkBcY#%GXk+~KLjv;}cJR#O`!kXEh$`*k`1BR0& z%NV1L{+GgCb2GmTh^tAr5ueEYgs;gwWsLTKQ5X*O8~#x^ka zVj~$r=y6VjEn8jOLJRY^>I@-)UAWL@O+pUOr)Hxxx%3^m^zmiKUMo1X(AEO7>fEsE zWK1gIO)5dnTEoa%Yrd?r_$Q9!3hJleFdOwp_Ftq;~ z1lt)G>t83M+lxIpcnK5?&{8}Y{ z&L#~Rm+&Ym8mB}V zP04@+$0_fw_Y0robZT&662Y@ZF5j+YazIIF)iXdSlXdU=AG&r9xUX%Ws|QALI)p;& z*vUjYiFh9~3`eSLcpHU(-zyRA++>QrLu-jNU zJ)+txi5dCaLxj0IEn9zZLCi`K;wqNDCwjv`I;I(N>QchfF3|ekbF~rayH?A=QQ>Uv zIXvqYXW{*GQ>)XF-&3p$cE|-g*SRUk{NGal3&P+FLgEW{kojNIR!{VWMx<>m@592T ztMu{di1z8o%wZwO{76CXn1AXf{gd^fa{Wk4TH3_P(fL?JR}lj730}!AD*2pH#S;BU zuKo%vhD7k-a(vuH#p!U1tnjUVB#|&JL!wCXW|ryL0*E`U#!+3Ij>wqEhgVsyL?T02 zfR&Ty&yd(^-AzOdCt5zJU)W-2;&$tl3Z0`A|8$x9k&S4Wqn)O_eZm)|CNaoC%QYvd z*rxpEeSTZ}N8!N1YpfS7E$7|Hy;e&8)LOl@6e~h#~MXLP(Hh;128r!~W z(ueyQ3B-W2zjx|Sk6Xk@M!goZI#Q1blW@WChM47vRyDd(=oeXMALYJ*A&?WANi0sV zy&of5i*X_9i)%^0F68TD(yvWnZ%=P)PiR~~@n$d20&SgrmlsFgbl4{?iGa(}p@y=pc*XX7wF9KH4ENYnrbI~k(SW}niIb?Lp zo?80zE97g0g13FV*5@RUu1~b75}`e~<=NPzz0UZ_gQ-|cBO1A@glGm&VrFm~}C|L64shBs5%^NtKJj$cXTOcA;z4mm3|#DAFxN0rm)(gybQ z3fulT!r9KmewpAjrd}?JH(bS-aVsQWgAMj^YBLM$Hd{IS_b0IlZtqQhr9k-1ux+rj zqxDfDIE`a72K|kv2t;!IYtkO^d#Hoy#*y-gd*hz{Nj|0KnMaTN`2MA_;t75Vd}w)t zp0;vN)3xpOWSzOut1!$%PPUff7wtra8ap3Y`IZCsxvxJC=-5y-02|{ATQ2+6O%~ZJ zp3w)D+hdqWM9`Hby2W0HwR-)clY_l;LR&5<9uc&q@FO+@M}`oQEmvvfC<8le`om4| zdKso6=Ni{Q93J934RJ|DOWhLyp3n^QtWcbmp?L9S=hlGP)$a72I-bjNxYF}vP$s4g znq5u8X7D}cDXoO8o*6ak(%6@o0JH7_BvX4aW^F%)7Xqd_@MeNAwQ#|hgwZe;&%Um} zQwD-ZzO9E9F}MoqtUgVfT^}6V+lefl=xOwei~C!4ny?v=DiCceA)~ZLO}aGf<)5JH z>B}qF%6`mA?p|jt5R+>#i{Lb+Hg>ZrK`|Nhqca!A|+zEnBwZ&vTe!J&yZ&TJ#K;8csOuaBRFrbn@NXnpw$iqrkb*b^(}eX1=PWl)^s z@a1iO|U;<&y`9iQczwu3<6a1FgS&cp9OP`RI_}&;E zwMbiLNL!vZfRD-h1BITt0-E^gZ!cWZ0Y$EaL|hTo08z5EWt6m~9c#TjYyH=bT`@q^ z14NYnW5XrW?*e7tb4tGF^W@O;1QEN3!@r+fLS=2ilw6You-r zY-h4)ansU38! z(>pK06`YqSoR=0B;v*O0$rt07kD86t2Z{!HU@>cm5$7RDjPx&0VPI>oQPLOf^+Hdkg zkLz6p;TWV>Lp{U{t^6%u^CcWoBL8quUKv?I_&9qtPd0jJrFYe?mM51RIS+$0Ya2N~ zv`Jv5(kK^OCD~izGF@I7H@Ts-9G_J|*mMO}Od zur^jA;UXlPk zW$q5}h0Ud$vfDfz@|f*aM(mxm!DC(O`YjZ3jYf`@#V1@l_8Sk3)qO+KqByuFAfZM? znKIx=FZ2-(U$rkw=0w6FtFP-R*oT{*j|Qc$51kIZu2-gNq`YW=-4-I4VDjv+JQ`@c zI7&UAW%5Lym23Pg-N(6B9eVzFCRw{EJTiGxBSP0~L4P@sTyRrI$hY-#S^b4TmJB*a zhS>SydSFsyE_fw&f?9iz=@KMiT5Ufh;Gb((&}6l5)jt9Zc4yp?ghRx{(`$HW%H#UJ zy1JP&+@tmGu?4HhBer6M6@)%Y(DNUz=g zc+`FFo)Q=gj=N%E6=>fYA1E}{JX;Y?|f2=&M}=kYtK@6bH69M zF?oh;M$2v2leragxfPxMq?bKm#d4@aj!WIEQ)#>ft9yS zm05-P;&hGT^Q866<~q9IG(6&l6p#hM3JOA>(*=|F;+DtWn(wYuJUxca=3=EYz&;w8 z63HNU#zNLF4lYi+QZE(@FE;O&3o=15;fQJ<$Fxv*Ucs;1XWVU#C;s~>wJ$+GC#_5=;W!qNo{Yc(Y{ItX?Ejg*yKSfjH%!%I)~OY1g`n`uOf-&+rG4&LNgP&+rQz(|Sqd~+Ql(QnRx#+-5ir%uz@*XPvOV=; z^;P>QN3Qolp2wJ5@Y&m3Y-bAhf?%uHeak{twT0hoqIa%4RUcEr-MHayD?Cifn1wW) zkfe)NcrUu|PQ(MdMN~@0hJBBe_}}6~o{8U*ePDs!LLXOb+k@CMscooaI8C3ZNtvjb zY~~Pc=3rkkrdTqz$D2;1RclmVAy*%oSQnbO!xIxUT)1lW-K6leCg(Y&qv8N<{&?;J z$2GK)6-686$SP6Wgh9>*$qkeKwT7|Wg@UDrJ(l})+`~9}A3r4ybT@)JCvftp?3W}d zq=b6O&~k9LSBIj_hp&6PIm#Wgt9kKC^^zFM&S$Hj7~U3!e%4>GKv$icz=k#S{9zGx zQQYe8NpAmUAy0UL37O`QNKH;9oKgYH|djcEUqdqg&^z=BU3w^&RXQy^9Vtj;?93vI?|=x&-$e}HlS(!a_zHBxF*Auz>VwaqT|@x!lh#Z9pp*YW^gnts{(YGZ`H#?aY@?>v>jEqm!={*#Dj)(j? z3`L*gkgG=sjUzNCcuvuXN9eQv)Gp$n-e5R&_+ra2xBFUx_ z)b@f;!OyMHwbR1Uwe@~-^6iD2|k_p(|9w`JbgkO$#Hs zXdSSGM>X~GKfA1|o^bsD4%V`b#4#SyURI*%&jGL5UnKk!XEWmZ;j$ZFZxNWmgxhlc zKUez&im{C%=h5}n1>?DV$StvVGwae`4y7HY(Gy6+`0ilt zTfP5uQ2K%HUP?j!<1ld4Tn@RtL;ElrFfZHq&AXC_^zdY6-GF%zw{8ke3|g!nKRSk| zodwQVZ%^(V^-~#tlV@qVLDXq-Fr|NFT6wcF6{g9tdB3YqG2Zfp25sUjk1|+f+mRgO z{4TQcfFr|K{pn1Cc2M{r4u9DwLGj1vG(6r?Caa?lZCgw1b*Ul6>U3K68lrws@cI=f z+;71qAmVIBKL`b;qG7u%<;3Fj0JM=HwKZY0o8_zHBRIyT zkg*Y*^3u`bBsBbdHMC6GhhKpwcBmxlD>lKeGC^s0ful+u1tbFF|Btt~0IOW``zb0 z&tR@G-w|`n$&5FDFQdK&b%hEdNUoxK-a{N=0Lw>!-w)rQlX&?M14Gr~uHZArWfG@! ztLTNAuh0A%>WG&6cSBsIA9az>JVbIz7q~(B`V3`Vf*^y)h;8Pf_izZi)T6F>`*g@q z2s;lTfSUZHF5Q}E^(4&e5@sb7G&2u@2$(^X*=9w*EnxPeD$a+-Ds=ZtmYXhvfJsTRrKomKnVMURdhd=w^j6A zl)C$Q!~P{QWD?UMkr5OWU!;5M!VuA zH`dy!n0HzSunf@VJc1X@TLMtTx|>q!f=TWm3u6DIsJu!fX}bB0^?Ccj_4v*nLCZ1J z(PY5JwS~x1s%~*@l|X)eMz?Atrf86WGl{&u$!|mo2TI>;l@o6@4{kFhDu<>rAf-y* zya-Br?n)8_vY$Pr8?gq$?-V+vsN$U{ni70kKSCP%W*dh-(|~z~rF?>mTbsg|Knuy; zs-&x$C(AU6rzd7kEgh_d<0#u(4$*)Z7@QP@mI5S=aKagNTLJNQegg;Rws;Qi4B|v{ zedXSg99r&*)X=<^iTJaZO9zjxUCVLX6{A4Nav{dVee&wBh6+Acohiqmxuv@+Z_6ce zk;{fD>MYp4JB1f=Kz9VC#t9%NPx;kvAy>G72)Gwb5m0`{AUg?UW@KRdHGP%+jW)ru z98qFJZO7Hs*0IUXq%*-JV&;(AAXP^rN$ZT-k*rQ6azeM75`IW4+j`a~^{j1Y^|+v{ zUMT&zc+GjC9uXlqOSswfg1!Pq^^bPvIZ5WpEO}guB$OlqD#k1>d8b37>R1sN1GqM2Y{b2Lo!c}?;_8}cyNO<~XQO+k;i{8^ zA^G9pjcl?@{*!`Rysn+p!ugn}3!B?DpqGyT$We#b(@TPt{+;0y9LUoK5Z4HOkHR$qA~S*;qwwnpeb zci%e#*DqYY#?DW*R>nz$@{8{`%%wyi;oXNQ>}#}sy}qHn@^ zsFKT4S}%q3rjXJ$r8F06CKMdfGT~NGY;;@iA>N>OaC%{p^mO1#d4cKYS5NGwR5;Bv z29qlB1~mGDs`RpimOfWFuZn0TK)g z&W1NZ%>e{^=cBVhZF^3PioVtWXNRXxI79r=I1$p~IZ&z^!||csDipvhs)(WL4q3$AHK=@M==qm?CtuK!!X}kC3zA>qAyO=`g-a9Zqc~LPdPqQCf)PR$%Wo#)` zuwk9=4Sqw^=0;0CCxD9(6GHbr#*huDZ>z(h+3@rs#tM18P}5I(n0y9g`mz}>`s+V_h=-N3W(OHV zn6mUjxIom!(G& zp{@~>s^;Ab<1IMp7<`3?)n40*g zPaqVsh|s&$6Bs2zk#FN7G@X6ysqDK?*}ZUCXKrNj@KbjM(qVUNA6e^{q>r+DK^Y%vApKJT zbZvT!9IW64Li_GDQ7n-?x|IW6^{AXp9)D8-OH;uPa@AK*?tkC7_p8uWk0#HnJo?pU z^-e?USD68WevhO1)f_vo;`HA){BzFVGt1lL$^I&d|Iz4wJ>JakrT%lZzbE{g(*OrHFzU01^&>ETxS=XhSRZtPcmF-@b@G*4gIYTAV?5E#dy8xGXa%xp1^g7{R$``S znH1%hrQmM}TityU{F$LKH&}u{PM;4t+~AK;w40Sk)Br?y6?;k=ZXuI8gTQHW6gamT ziA`wkfV!51tsaZ5?j&leC2Bf@p5_1wozKc-tlz*_pMkIU!68LA|9MCjigHk_{?K!b z#%C<~4^zNr`P*?jfC`GXoOjKlRo%CXI}vs-uy&uf%LhpVkfZXvANx@L0#=vD9F9|_ zKi!RkGg1_j+CEOwt_PNc4U5(Bza4EVREaCS`D)K;?U%mjrauw{we+bvstYC-q9bA<%0yxh?sv3w;qvw{c#UCiRqJ1Y8u z8EBuH*)~vnF@mbOk{R=c>5%%^4{DBLhP$82@iRXwSK(0SKm4Wi5}@BA!cng&k+ayn z#XLL}GM~g$;9G*f@QHw63!AT)Rul_HZNWZ45pSq~!tZm0Me$O-1{SRZHpI`sF>H=y zEFSr(D}wzIQ+rNxGiF&>B|1r%eIx@X!w|*yt357a(q?S{^w@Q z>b;k}Pc6chOXO0uPtoUyHoqg(;XoW84!xx$m4A%wXgZ{2bdZ$K4V6jj18F^NufX^B zK0TeKvT)@?aV#?Eb$=TJo5EwTJ9$q!XrCzv4(+&T4+3w0<)*EurI>+V3-U8qEJANk>`N*}d*vY`4u zN2M5Tq8JsCa(I# z#WzE;OuzM>g~+)teeQ6*zuB%Rv-`CXZ%U!c$v7!ywrr&4(SZIjD~r7If|}0DV+E&o z*vAMW*u)VfV#obG9#V@)5vO~v##3fK|9o=qCdZ=xb@6ygouK}gV@re8X4Xjdi7x_M zA#oP{w69qx+-{i1Q(kRpnmVR>mVjDt#*e8oV7+kNo&*`);9JEzzqaYF+XNdMLUgU? zNk#?5+;=~Xr=*PtJg&g09e`veIn>)Hue!uNqWm?P+woYbrduT}Y24OI`R#&fV(HLs z%~le(w2;aZz@@p0vMT^{oPt`!3xchsFA3W$H~d(Sz^8EpK;z-i;{nY8#}3L;PM!K##|Qa^EKn zh1>_Z)4#;HmULIp#7=NlA|L_9O_20)P>G!&Y2ozl{jxnSeaE+fakp)gyv2InVVBKg zmyx$J@wGBxz=E(~L83jM#Ckqqm#tx!J^W9|@BT0ePb(7|><$*}U`zJ>OKg37Vtss{ zxZD15w<(O9D2zn{;4}!l!yVC{e;o&C|Er(PudaU@F5INu(D^mjt%`KqBhqUiG7S}p z*NKkoJz1o;)DQA&ciFV5%~R|))(G#Lv#iILEjrhy);H%J<2Og={tcHEH?>)c8fS&4 znxg|BhKbWCzXQoEKhlK0-704pOR}1{Sy#-QF9nAsfo&o@!L|=ARs{;l?DL-hvb?Pr zlV6!X<0FV)f@i%b(Aq~8i&qCX>58311&UWsfXc>aT%5uH@*hYlM_)|eVMp>jP=Rg* zBdh9QzvFOc86ZdYaCst2VY%kYrv;)c3U>%zIer&ToJJFR541&JY(N&n@Pr*o5L(Av zvbeF2O&^4rW2E6P^%5{?I{tY8aysn=fSgY8_Q!9TOce{p7h|4h`OU>|Z0I(;kCA(P zQMMc7uk~G(`$`~>|HEio>G|w9&*Ip)(5wepSnt8GP4>e4L5_p&B@^pGes2BxHLU9CZ!JM~UQ!F6 zg#heq7?7-Mj9`xCHcSVXAqn}Rv}sa`j>260x7n8OpySNfqw}Jd0 zT3-~+sU8|J(erHL^jN#4crU9CUn>B#KWmAHC*L7nVjBa_`&XpG6ShErr0?sXx$#rNg)b_r^lo3$=rLWdm1tJr$Fi6fs2I zfk}$O*%TyN`XC8()WWhRzoe=Zt*E0b{bDVdZ%Y*H=ib|b$Q(e#2S4h%zka}4GHo2- z`IIvO+m}Ad2Cj$Y?&TE)cOA>!*}0!r!R1#~&Jr?=#c#I+c&*L7XOtL`J&eUW!_|an zE?Izi=ZZ#ql6WGSic)YDsBO%ufl%MU)Pz;Pid0I(R)L)sjh@&cVl=xs`%)sRE~<{TJya&d3s zTg9rql1En>Gq?PMUBO#Q!A%4|IP8GI?wFXOt$RYsgQeb|UK@CYD%HZ_VHS;mWDE8c z@5I#_!TsUdr@IqlhbGtCSQmVbjFX%T$BLKej&SLXOvZWnFBrS8yA&)Tk&eMlyoQHA zASlw(VC$!3A2{VMo06q`FP2TpMgq8PL9DhthmPDYbILbBI50uNV9Nz7#1{?sWXS5_&m9fA zSLt>ryj=u8)-vYPlSD%M2!1>?3IAU-KzRMD)wGXXauxDAnxk`&*BgRgTcKL6NtoHr zH}4!Uk8$(!()JS-%KAwdv>f~AIoq4%fF`n=SH!T>O+Nj-j#M&Oz>75gAY-B@Rdo8}B#p#T0#peius4PHl|Fv#^f{#OJUFY>pBwEw=;a^I`A1 zq2{;3@Yzs0aPN_VRD+@P)K6J}(urx1gu9Mw z%X1;1+Q!nR7QppH%h>Enaq(d^Y`{b&h8mnE@yz_$Py0|> zff|C)J8ayM6PxSyb@+PW5EJ?XL1KFQgUm80_1b-hSsF{~vvKQIDvaRdzM4~(Vi3EH z0Z=j*ac+Y*fqmKoz3le}Spgp<^3tF2_{?tw($*}xhzX=q{6rY@lEkY#64B9{s>!=3 zp6PFz?AL2R-+H3LMB|8;6odZrBE@9E*7t~oPkQUBZS=WQAUB1)660YCbxd;7bH~VE zg*|8MM@DHBC{bPVkzMlg4E)jz{Jc3^)c;L`v=QC!LKl<#&k0{EO-d_Ge)~%UIEEph z{_QcqVc>0l83ESz_f!238sH22+a>(t{6QO7#eaV`zpvZ>-GzWl9{)c%v}SQJmJckbsA?>Btf$)uC0|eI<5$L!RL1!-8~ZaG>jh0NP`{kecl_Vv!nq!; z{C+Fb^Gw*p%LoQW{Tj6;9zPmmqxTJ|YzjKEaj^vTRm#^=w z#8UUL(&@SXpX~_nq90JBAN-&OGxz*+=I>>!a&dIhaddQgDb#u?Nj@%-J}%%;(!a{L zKlB7^`12H??Qh3@15|iJ4us!Qd$s){yu_}JXjiPA+)EbJ>A?05j?_GD)(!W>xrE|w za555t$x+|jz;U3ZC+zgdP$5_(lAl-FDqNj0JjsVQxcV-))Z$gV%d|>1`kT`uB3mpZ zY97e^gz|m2sWSU5ba;f-Y9mtZLFMt<9b^QB}~bJ z)~wIRkqJ}XfbiGu)McWHAG2b#X|zHK`@5evN4CD!X3fc|P3r3I*8Y4dF`pm^%%9M^ zYl0Nv_+N?_!%J-Imh7)t^LY#*nYzBf$wptvA85dHx=Z*(6_H%msqYQ=qN8c<^M zs%*A5G1=iH5(H9DFI|67iAJuKg`i+9rk@hF3^!1RUjzcB>y9E=7({Q#%4BPaT2LA| z%I1bfXV9?H;b16j${lUh1M3L)_m<$al)bmWVBcnCq;JB{BjVznOpyMB;wJ_EF;(6) zVapwXCHJbwo0Yk1tY}rcBa<&9P@8=44XAoe8!&u?l@8uzFq4N9x8cE{)atATN1B%R7pC*#3}$55}_?1UzxPy=L&G^(_PY6I%QCZZ=72%=gZPOCL9UM zYT-q^t@*feCE4SY_ZR7Sv1;DS8_@z43TQBOV?OOm06#VaKwI}vwNoBrxsa88hiEX zg15M|tZutxD=)jjq&T89;XUo81B!QLHIQAtdy7%Aa}ViooQC0B6^9MiKVbf@%OCS0 zgcK@9KazcV-9KE?#sXwm%W?2UUZm#35eebj4q%mvD~!qR+YmNb+HMg7Uy^bm3I2w! zSD&|tf>>7Z(`D~PnJ|e8$=4VX_kwfVHhpRiEd&FVuEq?fiZQJkKp(LyRU$KnY^hHSm_*YyR9x<#8FdiEtPY& zp8(T~_B87C74q0o1+vQ3bHvR^b-lY19YOAVg5_9zB0s~`hHtJ4ZwTW%>S2r>PHK$}qpVEFrvTG8De*W>cEa1S# z&V3wk&Ui-enmPdq(*lnzzZ)toq81B8Wh8)R*#pb(o*2C4=YS(3r?2KTjhnjHe_78l zfp%-^#F%}zmxSGrpgBdC8Zg$#TpY-C!2M~=+WS!+unOk~wQCu%MZbFi1J+5yVekNx z7oza1^DK-VJTfokOYr$vFoW>zl&qgY^azPu@rf5d`72p|i*~o@Ts>m?uX(SwozW&Y zsxH|-*z<1MPR>=PiY@e9wev0r{iKms41e)v6v`~a)$uafvt$MK!}%XjXR z=;ubD(5=PNti>jO)cNp#wE@h=Ez+=sd{qj{{lo5mE`eX9f$1*|a}N%?b?%&3DBK3U zjsDoXh)1M>Qlw!K`6>{U`-hD84jJVKilheqvxYxT#rn?!g9q&2oh`T$as&Un=U>+j zuHb)h`N&@OV9a{8Mc3^(yT=u;8nV6Qr?g>@JH`Y|yIXjP3FlOAKx6)TT_e>KbTv!>uE ztwJ7mrNB|llW%rRM|BOfi)?luj=;T>1|IZ-EE-zWfOimmEaSbfmA0GOqt4mg#%X4sk{npD^d)&GSXijDEOjg?Z1nO?wuKC0DEv0yoQmD=*tg6Cvb|}7^@GnsX)Ry+?5d1*m!vL!@j>OG&P~V7C0t8-eQ33r zWv0jbz6URWSw2}d!aRccx3(Oae_>*x*sS4X62*1dwr+5uqnhzV(-shZ*I>+xfy0!AQbE;=&8LUlAIY;PI{^&fr;XE%VI?$M z|M%WMi0~iz;bKZBalF;g>_7j&d%l)@9>M=9&`vVCjA712^7&c9!OgkLPaC>1`D(Tg zO)w0a$7n6Bgqd7uL`sbIm}Z#5Y&nil&o(wKzgN$|e|95db_3nHC`*+tL{(w$4Gmvz z)V=)hdr1w|eCutl@6H(=o+m%2kt&GEmY=#Wp^09wk)fz}%*dsZY|mt>PiG2i?Ss<3 zO6Jt|;+!p|0y;l7v!Euu_JK-pmQSY^1A^1u^5(F_T~*S^NOxk4ez{{xLO>+4S$7@R zOaT#{E)NYBiAx%bfIVGMobqLhevNqW1o6Q4-KCFS0!HL*W1UB(zD)_QqR0b@WHH< zRnW0imC(FKlf~ff?MJLTQQdBSrUo6@Tgw!3#fqu)Bvwtjig=87p4MeZ0#Ft?F_*se8D9c2KiV9`OVP07HHm| z$$<7}!mob&%w=avF)BTyW^I~sj4KQUae2g@`F$n5=ISt2K+D9lbNF3LR_S>GYFT)8 z|LFdwrqOc!!q0eiTwuIic?F@PHfRO?;3I|uzqp$)uAFme6AHD-=Isq_>Ll9gzOgF) zu_}L> zWy3`mG(T5RqF(hhXg@X}I>$KYfBS5iQTxQ8=0yH$4Pu4ba`GVvx^xj`uGqZp>A>x> zN7eZHSbMi&So#Kh8H?N_@q*trmg$^jyaZ~*BO&xdiNz%}0?X%|byjw6R143`VceT3 zJFzz!l5DK)EAN6m{NW)P2XhMn;OB}PC!r36*UXbRQ`RoORMjP}#$v@kU z+U8C{5wj@N*!B5HGtOb>?M0K7`=OR?#O;NwM9$T&4JNvnth=#1+7zy7qi(f(Tgf7& z${UpxYY*6Gq1obLvy0jqe2;zOBo4^IFoxs3&p{H7rNtC@J2!y~*TF(#;u9aM3T8aA zl-q*f&ddU5S7BAi2?BlS*X>lUCh)lgx$AIjeZk|E8Y6*R;fY_y<;pKy%XixzytnO}xTt}@XzsZd6T&{q!aZr zF}_ioy=XdybsR$6Y$q|pFK9yk5QZer#8GnB`L(m)$dv99)^|tc=GVQ7>tE?(iz#Au zl5Zrs#27HSzrHyfk3*6o0FnQ;JqQw<6X6)IdJv4QiwECk%+aj(;a>}5Ea;thd zaCui`pAg9F*C{|UjUPsVXwf{5Fc%O!3W6xpp8g7=>=~de>T9)e0FJ2Dd~AS|&|!1) zPMa0E4}A0n09Vucg)o1VfW@1nGJLemSf8;6C?Wg=;lY$$`&TCp#|#u=pXSOKH(Bav z#Gse;!LBBjwfkLy2Ed|STXH_e9Ae5=%$!uy)F}V#4vJV#j^GDZ&T|35#SLt~vb)6| zP%*jr=@8gRZrOo|c@avmX>r*Qo0BNuDkt=f$8n<*u87pk^-ap-g&PNH*{RJ*8`9Ia zaky)EGShU*9olv0g0X+L@jK{=t>%QjxJB>X239T)&IYto+LJ$N|yLUOk6OzE# zq}0D5py1&VvVCHs$K&>Tg|e!IArM<7cC zLXZJOGU*u#>Hd3xd>2xos}>}k5Ue*9);xPh*F0}4xUY*m&SNjC#~)u`R*&fdgE?$Pwq;w^@ zP#Lb(?B`MGO1*&a<9CfX#=P%Y4y|Nng5C4nZ_jd80O9rXTwXxrjtw*c=a#-eEs~=UOyw!VovqyjYt`U!z_lXqHqE;l>Ddv3>DcB(% z*?6s($B$a^71Tfz3#fsn?*FoB1xy1*@q%goI^o|pjXOqNoA%Nht}m3FWrc{a*KML8 zs+tPidwjUge|C69^yd7qYxraR%IM9-_UO&RgD1oXB$La0z4BMnSz!Zd-4~*YxpEEo z8QhD~B5946?UA?!HQguBeO!!Nh`xw3$2&q3d+u2}V}ms&mX4>SyM3Xv0G7)aYtGPq(H2iEfKQ_46Q*Pdp<_VgslggAXSylj|3Ti~eg^p{}s2%_tFx!}yCW2%( zrg8wb2^g$suHL9N-Ts*$VtYP3{&D z-2&0t>Q{4gNL!8QbavaV=vDYwbQvf2Wf7*QEB%tfi&xD%u~a36Ji>1HC!b0Rbwcek zc3V-=spx-r1Gj-rR094o3GM*2YuCkhK54Yi%<=e$NtUm)Ke3!0_uBGWZ(Zi^9pvK? z0SrE?oEH)kIoTe9n`TX=E^7V~%+m_H5zx@HS;Mp0=ThF;Cy}gM7*(TcVh5EN!G)pc2VT6 zI{u?!S_t0~_?I@yd~MOvZ5>7SGzLMd1mwx!B_>a|fF*}AHk?Vde_%CHX3s~|!f$+| zpC$V`_85Ws#gCas<6i0zfXP>Daz$mOqTSiswR!So5>FOJ5>tiMOzS1FY(R z3gI$OU;3DXM`H4}ubQ&3SK{H0pnmizlgaI}{Q1DpmMLry&qqvg9@yDoN7SQHqbSrp zv3KNmBTo1TDx>#22`B{87lYpl2rX*ZVdDX(2~ScA1F^&rbF+!dv&@N}77%adg zTfe(q2>_9mjj9RUdl9DK%}^~0ycF0(`=I7{nZRD#j6={e+CB-=v5DPYxKsA!&MaPV z@)#V#r!Q;1kJlshY4e4(*{8~qsEGNxmWc(bd^+GxmYAK!yp?5fzanH|tK$7sdnk(K zeXjDOf{Ha$CDmmj-l40~8w^Q?)CH+sf5OmmoF7sTE8;z0XM~W19#-CIiJ*NK{DgO? zJ^bpSP+a0rTpy~xB{NAfur5mxiK%=hHZ$nli>6w@ zcx9Xo>ZTf95mM&1&}Au}#Y!5my?7zQ^> zMKfv9X~0?bFjcsi?4o(($KmwbbhKOzLb~tiz_Iz_9>KBs+9`y88IC#;kxCl(-ZHVh ziMf5fugt`Tb_arW2hxn_-Gb;{+(^#E2E~UG<3oLJqxj!O(erB3^Ab_hwNcZ>{u3F{ z{!IAY56}YOqyBElznSTOK*qwgG~fg-j--zFw_JEN*CniaC1-UELmpIc< z%nlJ`wb!TZ?WQMm%Z!?3?tvC43kgjN2_n_THr2-1g#D`s zW~BV%-Zzb~xz&P>BG=8EQ+jK`z$#LbKWy7H!rC>$LaRtY<-gu87D(=or7!)<)_F6c z_UPfPE3UO5-~WqkGAE3YS}}Kbj;eyUShXtkK?OHz#Z*;FCbx;f!^{fa>d={z_bl9l zBo`a{IxS%#&0L>;NPQYxaaNLdXPlZM1}@Fdzs$R#;9R~^PxRhRD7atH>t)?2^7K`o zcqdi{H|n-s|1%4_0ins?EX15zqMgS;eKX!vR<$T@AvQ@NwlAZ&Kcn~p#+Bi`2o~}4U+IGy21)%_I^{T4O2`(*78HaTXUAeK)K3OPr3ceW63B(JClDLizm9(P zI(qQiGYL@WBnfCF36hD8qJI@m-mbMD57R->_VG=4V~INE11qKLF}$}jJ$#o6@}3g1 zPDBZTZHEnxQ$jpWpZMsx%6%K_h|V~I5%J?uf){lmr^}7p5$s@Bx!~uu#)bj6BZJNk zk>jhkJ?&C5VAqez98~-A0YPGK+yc}UqtwZe_|%VJ`QO^aA`8MOaz;@RQkV0!K2&HN z!HQXYgo6=P+jSdh?zcSvDME1H$5E3@BDz2+dG5900S((h&&yBMhi~+*@N(;0v1vID8hDX5s)HBzR^r}S-L4FW( zWlgVQ1FmfUmrF9mfC7S`iUi9{QV07*xL}gV}<=zY5^Fp01RE>DVD<1 z=KE25t%lC}ubuVprNj}Z#QD%^V=2`B|Jl;|)6p)x|F-a6`cKpRD>-Rc=bLtV3%@t) zhf*~Mls`6Ou}>J;1$PJ19!|lVwG&zJRo_h)B2i1cf>K%W=6koEmu}YP10AgNTIw4| z-YwkB%D0TezBs5+UwmLKJr9V!60cyYPiTJU#YyOqZlun~v^1Z-3%u<*`v=Deek1Uu zMloaiIW89y0c~Ph>i!1>_b;~7pnd1Wb0tu7-TO!qiczD3hJxqXjEc!GcmZfozbU}$e?d$*q>g@a+_rjn zRiw)a7{vtZ5aN^Q2#v+MP6N(pz~_#-x$P&gajzVH9p@2gVGUa78AODN<%mI;02W`Q z9= z5GW2p&YIjQ4W;r4yfJ|{vF;26_^Zu@0$V0^H301|wo)uVr~K9p|cTlt)QBsk@9>ArI}iXPi3 z$9v}u9{Xu2tSPSCr>8i0I*27RHzDjqIib%h$mRpdfSpNMW!+nHB7U@wqhZc=eRh^d z-C^aqk^G6p?$?M_D&NlVxX&;4soJ2m&I|7>gPLS(7wG~3Je15EO>7`SURtvGm38!^ z07mfK68o2PdKmLo3^{%C{E{e~Z(E@S7K!6G@1*VqG|~ld!gGRbz+LnlB#=$ytT6Sm zy$oCe-UP@MsZOZJkQ@l1)&Cq1LdoDpv?^#yQB}*li$lKmet8hhO=tyVe{dH}JbDDZ zt}MIm3$|KuDpa#a@S0_#m{1N2oy3nBtPU zeTVKSGv->8NEdidA5ITr@x9#Y$$cElSxeC5d@uMx8AqO_3EnD0ZA7`ZGPrlCEMMzv z)kc+q1s$6om3DvwwK7_a3OPawp zdL%yiVsI;WZL9BL4F?~;V3&N@0U^#9Es(buTcDxND$ocHyGmTZ%}#6ph}Fbvxv*1L zw`SGT#m$qu9`7l}Jg#c?PWFp#_WKuBXZLH1mcBB6`aH);>3FbvhS@(Zhgw)Hw{V3= zrg0j$N~dlqu>K1FLZ`QApXh0$#Cq4+w-_D;SQ|RqStfv=sIxAVdd*Y;p4p*h zZUPC#Pa&rC-A^GBcecMMwCS@Q45UnZGNGuW9er_V)BpG^@@v(bthv~#P!UydpPLb7 zIJH~RcMyJ6Yq?0oSs~UH2UlC06{yp#aw<8URZwvvorSpZy$4MlphW7n`rOE$o*S(k^k_C)i-xzd)@|Q3Ow?3%vuotEQ+^Q1+3n?@i;)X7LHbOwqU71&X2`Lu_ zLO-XB(%YMQ$vYfTy@W<^mvTb5>2%#`FLHOjqCWL>mIL^sm-(Nh0B42)bc+~R^gC8h zpwZ;_i|YX@(8<^d(mXu~r|oRGkEet!8KGr9*7lbQK1Z^h7ruko1oyg07v5U3MT-i; z{Ok~OYMIaCm9biEJ~ zzfQx08}tl&~ZVLn*W5sTZAf zmUa85&>jha925D4z7>a*s3yhS>+6O7NBzWJ^P3jEj2@&eYu)^AeC;#z{e12lybG1p z_?=I8@bX)Sn~ILM)9SfSiuu}&&mDJ4l&1|~anGFF$maB?mMmJQv)&dpboFmtC|Aif zB(H69-6PdeJbQd|rtmndc5d9D;j!0##p1UE*R7Lu^Edj#!4V^j0wrecLdRtmvPI1M zmx9;3)%ilBQ;yAD`cx8cM&rr5w$mO2hd3YLnTT>|(J{zPs0D-@n;%Mn8`E{V^^^oC zll`8P!W;pvfmMzRI{%tyI7J$Ox{}tK>Cz;TRA-bnNFLQ1uWN|dssvfW|6df`-eoOT@= z@(vfTA$i2t-%s4hB4*K<#Qj>UQ7`0nLyOGW!gGu6pRqxMRdoF2py0W=XZ5~nBA_$F zC6rS5yI)ECPh!;jF9VBlkO&_oAAs*8l1{@{5@UU~@OL;KKZrhu*6VG|f#0GTyiWYb<6-03p&2%1CxP2PxpXq!us?&k+J(Py;eK01fjY&x=_!1_Iql37>`!q{)f*qsG z+$$9aT?*d{+xoWdYZ@8=RE^sm_$@e2@u*Zh2g)q^un|Pw6(5q=1fV2h;Q|u4bWvui zrm-Dar9k;lcat}F3u>vJQSD!F>7VW1oQPbL1A6gj#ESZsq(X}ag6wos$#ktGZAH*i*0N-JJT+1dVq)l<7oU;^s0kCXeLQLF4 zRbE0Wut}JSL#(!+NTy3NoWzfJz|kfQ=8iaG<6>{k#n_xNW0(URg)TTbuJxm&8 z6HG@dwvk&~1l}Rfhf7I79_nIGqXHgE1G3^zJSSDGT#S!WO}I>A67zpv^{#Za%sDSF zV;hUF5HWt7l}M%>QEptxKTJqqK+ORNR~weOu1|73^^v(HUDs1SS&WOdu-a8I*)YA$s&KMsc$!^|>hX`xodHH|N_j*?SlV)b3|pZf7@AHvoNi`69<@Jk<8s zEdPabn*;F)Y_saA+mVA%#@%@I*1g)NyIb}R3L|Zjw0%^LCh-tX!`bK)A?Qy_EF{eM z&6om+s50$t%d6!G1k~208INL7AN5+g-%#9H- z?eeke5M5h(DI9k&x1)2cZSpkRqu|rpFUn>L@}5+ zyzDZ1g~e!}M1axJwvZiZ2}0AZL)*?>-X!ynVFy%&KZEUWo!+eT#+j2t=>+K&kec2M zN9l86Us?^3iGF+>X=cDQVC$0X`;y&(3A6Jlc^+h~x_&2i>WG+tbAXs}&e2s66$KOd z;EVff&As8&A14}zeFSwR^(Tn#Q_(sNr9b$T+zPhv-nv=dEq2EfRsLM)I+#x4^Z99i z9^r8b#OJePbLY<^8x`C%db^NXHIJ>+TYERM1(!G0x7w>`=DG}?qOBwhJE+{FIPK-F z?bF0ToYBgy*<7Ra6&t{(+Mw#N*UC zMt(w~l&~FdPgKG8h@H`I$q7x>t&k2MY9qqLWYP*-jii*r^@Hh9^4BX1DkC)Oa z)`ICMyWJdR^RTW-%6u~tn|S-Q2#5mOd7hBNS8Pz`Hyt7g>gUEG*8t zcg9LLCNYkQ0K+w-;cs;7%SA-~M*Gi0Uy2_e;;u;NJW_>Zzymc5#W;Kh!U7Xe-(i$j zvO{G=E?9ue)d21wa3@_8H((nxFUN)Zyv>kBhNyYQ@NwGsz!+b{8V9H{^h zSN1lQ9uI4@`t*a93LLBQfQdEg@)Y@yb2K;<;@Cxm>sDt3U%_c>U`@&5wc7P?{@sYl z2-EFUgEp`Lj%kf-Ei%0_k!hY##cB~E{fEACYamFeDG<}IY}H*RAPv8`8^=I0@mYCJ z-cJ&n9{}hs4n^|KCHd`N)I5|0~n<# z!_wP;<2LI>fSZPw-af@Q04PF^Y%hc1QI;IQUSCWEuI)!RAeQTqV1lS%Ja#z1awgDc zg%es!lSY7ev&lnDuUekY=NI?l&-;%LkaE3c9C#kO+|0=H#6-;4rOkA{ybGc^&jii! zLY3ILv8?6whu6>;^_HsFG9bSKg0GQ7I>A3whdepLh#0erBytv^`8%EHU_$3B_$#TowtoUw8n$Fay%Y7n<>eAhEBSEP4A}Y@rP3e4{7@Au$$8-gKsg`x@%{@8@XyJ#+R2+ zaoym(VAWbn4B5ymt{*kY1W!(dg?s$|%ixgQTy6h*aL^>YECqj{c1S=N;l3tG`VIoO zySkD1B>4fm;z!!pK>0(;^N}Q4=;6)qF-uJ)(-+5yqUSo;;ro4-A2!iGkfTey(2Ch% zg*KOu6l?fhZFdDf=pg?xu3a|vd^g{=+C-c^u1SQ}8^JcH*=9^}Gm~wkvCxYGnQM|* zuIs!I&$KVMk-qXv0y`lkYcWRl_b}5qzuMzz zK2>7yKbL7r`~<>9J`wr?Ezm2V1rja?YhjRq`7w%*fzVcN5ZYQoI3gxERlN8rjVq*D zhKVGCrDXPvnn`OrCZmGyt z66U)Qu;X@miG)POgARSUt(xHF|Qin!b;J@*A-}kxi z|9kThAviNrtBC#1?j(DVjwiQ zVn z-m`@c4j-zs(tbb^;kVS&To-*QpXSH$*!9{;q|X@8lgF((Uc35U9lx$jnP`o=_i8Z^ zR$sm4=--)@=Xkz#i|UY*E>MbU(?8XhYW_SWwo{8iSK?`t=Gi50?VzHck*J~xi3!nY z+*7dpvltbUG!yzs`pfPwG6d7q4t6;-sk=^=kxh4IyEEHFalar`<53qLDWW*TyO<3; zK*>g2NuC2*@2>;n@FW)TaJLm_i#XQ@uk9&vKIQ*WfT{?BQ$u>x+b`$Lxg zlsDb&5(`I;I$de?(`oY8zX-%MS<00P554fk$XGB779QA8gFsjAiWmkk=#{&okRj}S z=nQmF9%5b3TQ-jRX3jST*aC*MnXA~y^fhbkL%qfb6Qh71()L@pO7w>mMo zRvjmMFUp(cj`i(h*WXCSuGh^`jS%#ZCRcg$q!@>~W2*7kO~mB*9@gj%(BDa6(L$aEelBgS0M6^uL zbRyhJE;DIb@gg`$2})dk@H_OGe|9m=LV??@pDpA1(Ht$P8Df9P|GZ3+~;n|GgX1@_G`{^ zv~8D45o;}*frs17{xfS^F8N4i4IXekq@p$YIc&s4FRhnz;c0B&eIHGXeEVu=1o2~j z?{>$;b0BZeuLO=SiWS1!sTW%#g>VxVVC!q1nn3R}3mI%ZV)AY@c56y;Y5ir~F4m^q zKLcD6*Nvjx-nmloK1Li8oyteAn?E3b$5PH8u=x-lL_K}V`-Ka9W8-{CtD`Ku=y;6? zR^>&ENV6$v@vH5Yw-tT@qQU4JOtO?C>*@?Ma!b!>0kfa=-3pF{ZmJBo_fU zCMLR=Mpiu@Y$47J58H7t5}gA7zz3Ly)^3Il7}aF@ycZ@!x06k=@h-4FDA5-@ya!9I z|AR`)!Mn||4wJQUPTgHfW9;_U*TBbE8W+71lIqj_Q+i$6-KTr3IY>Q`u@s&M&YHEF z!*D`bQTt?A18TWXGFrYh3TcuwwVJnuProXXE%Ac<94O*G=`LX6R^nv5&T=LhPyPL- z9d9zt8zyjNE~LqUS(vw_+yDQIQqL^K8;NJ#PJLE@Pv>ICcZYMwTXlI-&9 z!Osny`czTImp6g;k`T)Mg`nU0NX3L|@I+fLOw#zMO8c}X6O(m{ba+c*v6)kMP zjMV3{1?#QMWa#OeE$+*{mX#w5)|Vclj}Ah0O3|33YuKN-&jN;%?E@r0CMHZ5%p+zn zt?DXBd1lliGiES1L_|?L+$xElvGkemGa0Jui6@Kh5)?v$>%LiYf|cHhe{(^UG&y(C1X%iALYbdsAE#QOCg!wI%ml^M9;*9iox-L;>5EfZYj;(D~IR^j)mpKUC2 z|FMy<@mB82E`G(YdK!$$);* zT7EoWMmSS=X)H~g4^0)F#{?1|_Z~=;e6tm%Wh~+Ypav_x+UQtk&RP6LUj#9)jcaRn z351v2P7IL^yzIuV49}0CuS8{i)^%p`r{O$%ewC{)Z2ovhS#~q?;#u3})_|NFmQ8m* zt90eUrzqlJ|0cl4Zu4qC>)yniQg;5AL*3~3Db+=5Ou3{KNjR6C7ZIeZSWbm2EM2B# z+>i1^^d*ztK%6bSP{NkBz_E|2WPI;j_Egs{8mvx27c)cd_GH0c)6<5fVdGE8c!*93 z_Sog&u~Rkv3Nx}Wqf(FhVttmVg3n}kB!dMDrd7voVjPJGr?n9*R%>TBAM~A^Z3m?f zk4&?SBhyG77+P+IpEu<0(@Vrg{E%;=jarFvb|dX64);)malnN;8koG!2*b)A?I(A4 zYDC6~fSd{fY|&j2&p!G@ckR4w!j2ANJn++nSSJJL8KOKq(%|W$dG3!DVZQ?-{?QE>#fT?(#o9ZJl>QofZ7J5M_Ab>lKhx_`vV`D9rOO-6 zV`!OorB|v(rYIT^c?+m=XjcR&<_oYvVpc_VOFSYq77h)P9VAnQW4ST_^8%+^5I5AQ z)MTUwxE0AG+q?#A=|}nrRyg%Dw$ETpfKZUb*-yebzuwoQrsaTttM7`GJ$t95>a&f( zSMQBU89enlQsB!8Vz!dh!~Ly4r&KX)tRpSpx|wA+X@Kd=#$)~(_LH0_zV_C{avn;D zB+LBhiC6VCct2FZ$hWW0t$F%v9Q9`Lw(1QZ&uPNOJ^w<^goQr}Kywqaq#{Rd_YT*S zKZb8j;d!Fa0&YcaQVgGB-FV-14(q}k+LoaldEvaZG~DQm3^^DMyVm)cavdWWeZpt9 zlH1`ma$kK=qmr1F1PvhwU{>-?f=Um(M#ZT|d;<5x&)<^z&v$6=yXBjhi$ zSeKkKQn||}hZT=eWvS4I$a!W8F&)3^rEs-M!l@rnsluVOo=V_rcOBbe*55OJ0e}Cz zHf&Na_!kygFiD#1RO^bDCeK}~dj;sA!OsV%(Lsb2aqC!Dvo;O9j&s}$L*uQIe1bI+ z>sSjP`%m+{g6%n&3%z>U*ujjg86jVr?f8ksy&>k+bBUN0F;!Gvs=m1tbVM8TNb~lq zzwmo=S8!-M$%va-HnMXzUqDnk8p;cs?kkFZK}m~8RH^H0Ti(hfrKg#Hcs{9@lD^s% zQ(7(d^Bgs(P9t>~Av+Tz)H2xR`6kCxo|L`dq77*y+cMi*cWZIr^2FjKyRoVDV6f-*pNI7Odw(ISlu)_32c_S<(d zp@4z%yda}zy<3m0#=qgozf*@%YyaX-0)!naQxOkpU6sZ}CFfVE&;vu5VaC_MtoWzn zZ$pzXVO0$YJjAp{c^#k6Fd4q;0+)qsNRMFd)OS{xDglilNT0_FyAcw}>6X9{SB#ZaI$Od5Q`TCOy0Wk-w9hREl(6M+@~gw=kG z!XX9M)4QHA)Pa4Xlx0X8aa^~{5kvSn!~Cb?;n3SETQa1koq67o2-H#bX`XKLr1T)} zb3V{f+&_RfXtUO{+wg$1Tj3@#Px0usQ`h%rlOSCH69u4?O%pH@^`(Wfaecj~=z(uD zs3|I9V${57AXT8mGoo;}!24wWU8H1Hp=97^qBbysFQIvi2bRq*wFIFjn3pe(1|HX$*2zFKePZj*mB6x3)Lr33}8$ zNPIkNCihh8aI^6yEoowL6^W2xpG;!8W1q3lgH~;tlI%-hw}H@bP3Z=f0P@jlaUke@ z^HlxgDHb?wuU$`S)IixVAPd>UILGgU{68GKO`IJo)K13)v3B1;=ju5_x^;?TDCJbCR0-Kt;hM)g}T&`;* zx@M31lF?Z0`x4|EUHq{8<$Gl#@BWd1)`S+yizVi*#+h{%RhR4L0@&IEJXa%*cd2Z& z=eDb1Lwd`~JKI)ump)|)|Ecvm}oPn`K1R;;J@c6O9@rzh`__c`c?N@}kOL!Ny=_{!$&WUsoQJil8q1sWMVt&tyUbZac$@lm;IkW8Spz<~_5(Gq1^nJj*b{4~; z;}3l^)if*+H`Q(axtMoYb%$BmGUxqdSf9)zbU1n_y8~mCBH9+d=z|aDXg<3QYvZ76 z;E3*lXE5f_W;0A+yty%zJZ!i0_StDSkiR)z6<-AUMD#_fS@dpv z{A1AzX6@BA9-EF{3Ylo-*GM3t>Dzh=IIlN^TQ6W-wE8vO=BMVp7zFHbMlAL=iMnd~ zH3r3x9m3f?kWfg_g&INFbi1amXV(09fYAk#@+zwR@gQGb-n`SqUf zr`b6=-a#q_(7CAd`G8U$1c^j8jL{JN?>Gyh8j=+5jpJ_@pdT5!RWK`Zp31A#hJSny z7F!L9yQf4Ok+TER#kREzP@(^4>JwR49u_-A??VqhH(3ikkSi+b@C5|k`*=C!@p-lF zN}%HjMqAGM(*DoADCn)2=`+yni+edJ-+V;D0^8jF6KUZ&c*Ui9ay%6_Fo4)T1KPTz zeL>`o1Te%N%LZiISq7gz4q%0Ur&~K{F}#m{-r=$MwpU`G66VJ*tTfA{2)ZJy$Xcdvjk4XU*((~1 zoa%4X6bkU8qW-`ZQaCk{^Q}3iYzP%4S@68vN)j20%I97cQl#iUYhJS6x5L0Fsn4_! z#v@~FM)`aqt74`^MZe?CSL;UvI9$td5&o8Kyhnyg7|Rufu3n9@tsue1N!th4V6;UR zo|&`Q5)zi0e?3Hnv$y^(&$WF7oY zIqVzxcj`R>Q!oCkg3Dy7sY84ADr0n>>N&j0BY5i5at-g5t3LW%3fKj= zYSyl43Y5w{RF@uu8F=#fDmV!I)LOn8%}~&jL=#{Vek=sEc4Z78!I~y8P@;d5v4XkR zr4b^kVRxt4MSw&2JoikYkfD?)SlKBiZ}VkIQCJKp`%bOvNAJu+%^)aPfxl>uxcEfP zXEGYhM=dItr2)IM%o7JzgHlUb?U4_sOb>45zyMAo_SOJzl3n;dOAkgJ>QmlrDr5c# z;~Tf!k|dglsJ=Nbv0#Jj%!pn}ZKeW@Ltlw39uR)ZzP=Cdbjl!jDM!4^x%n9WXXEGX zwPxocw}N)jnrwsKgMy2Gp6acSJ-fZx|$+vqy0op@WhJy%>x>Inu46~6qz=ryIGwMTO4zy_jgd**m+(3j53u^arHCUGQ!6?Ox`7TS;FD_sk!6wI2Wy!Y>0&QHfNVkw9i; z`Oa)?z$;9 zqeaeQ0*MV4NoWCU9(nq{I;H%+65gr&$PP*LfL_WMSMhmnxdNpSB~fueA`EZEGu{c! ziS8zKdqii{pavwkn5hiDV_|=(gl+UjdREPO^O7et*~PB$KxqvN9a61?-z8XgfhhjKYMO zD-f4#^3(M0_iUF;HiN6RiRrv)0f1sZKL4P7%0xkoDmM=MA-W+*mhqFn7Z zzpwXk92*#F7OJ?@7fIUEvg@&=`DNxHJD+0oR6s1Npxu!>lI_8hB+gKIa!Tjpqj9wAK$;K zt+k%KEg?kfLBGJ%WZCCTK4&k|03~cqmar17@Di;atx^Cm)@cCH2H@`A+bZQTO&addrJC*M^mD>yG@KLa_#UxY4BxCh| z!S4SO2Db=@TlCb_0svV54blBCt}w-rKIQks)p>cu@uZ|X{*U?Uyq$vdy7@(J*|G|g zM{oZT_uqVu`2al-GUbkVwabw)@n;rCFCao6}#6) z1CNs!PMtEx6k$`sL(S$*d`O4+=SBPJbvK|1He8oE%E3nl&laGiZ$#=!({uqY>{1PX zXr&jt%|-4UBwhmtiJ-=1?%P5VwYA>K;#N;@{!dpN0k_8P{AoKcU20nfJrmc?FU?y$ z@5<&LiGzEL$%`tg|Go__B`&Hkwq#`PyyTi+T>A%wsU<_%J*A*IK($Ytsb8FFHAkWJ zRwm*iw>xFn8hCCp=lhYOkB7?A|9vX^JJqT7 zddlv4%6v~4HMK;1Up7~M6!{~Qsn#nPkwcNqouzOw5d#=s+|74RulN_JDJBz*k7jXV zQTh>Hov%X9yz7gq>LeNp{iiPC@&gJ*DG+JL4B_KS)MslClNu~A;O?zIn2RG95;=nyKjZrQrLa4^*lW44e|5!NHsh* zu|;h?@gtAhj@kK~dkw>L0*bTsN!@)kdmCA=YXGfk=U~oD$QR?qWQ-*xL2LZycJ*0) zCo6Ng_(goSEZ#$^Cl<=G-TCj&43FxogEp3kAKp<845xjB4?Xz+wS#zLmP82i`)q-J z5CZ7h62EF5plc062V&g7TxLwU`ycZ`;2J1XXfES1*xYv>dy_MvJFcxR1c9z3CSn=b!4h7vp*VZH;rPW4n*Y{e8A^c|73HFOCjDvw0kG z(II{kOR8{h<5&j_j6`W$7LiKI*zH2-i)4z4=J-|#v@y^ANiV5xbJT6{LJC7~T6KruW4cv!?*@BV^*=jbAs~ zWP!{yX)}jG@H7_e^kcu*njNlBxqJOETWK6jeLb*{t=++ja9fmrx-ZLc_{qilws^5qcGw`pM`epP01F0|RJR67 z4_?3GL4=Rnx(Gq$`Lp}Haij0EZza9edLfq>5%+10X4&0#R|TS0B7Z~ymZ9c!X88O! zh#F~|00<`CP_PG9asaoEnj{myNF^lk-ok@iHD|Tq1KIZ{9JiNlIZ@}nJ_<3ykBO{5k)0{V>kCJ0MMb8<5$8zH*otdt-{B( z$qNY?A2V6YgpSY->=kcmL11M~KhjvTIOw7ZeoSJ(0srQL)z{oEdron1j0aB7{km3e zI`PF$f-H7o9sP|jMs5T;zDl3k&#976dKvw_0f}?C5ri|%%H0?tI&@n{f zpkqpZGJ%fK{uA<0B!U!uG^%w7}pK4!8?h}ZO1KCVgKEZ<~PryN)iEl1ySDy#h%swrG-AQ2) zg)f1MqwH%@>77%Pgt)gPnvE2Y0-RARtC)r#1$k;X;YbDa11++)rSomu5Y-ycRc*N5 zbJI#C?EFmdAs>jrDvdhy7P^7s`#1*BOsLD{CtHLR_2Cs&y1m=faXSM z6{KS`6k{_{R(3H~c71ic{dK&n^XUK%?MDE3eq8tbi|(t#?el@n;%`OG>U2}Y!`xmYqC&nIKRpQb6S$MXqLOFfgf-;wtdZ;CVQ_bB@`yqe- ze{L4 z<<>}OJ!JedN1F>x)t{hBy+-(cxZX12?Mc<`3W5z`t7a%6mm!&{q{X|yTyukEti;7% ziR?B^aPgVJ-j2`F zu~jP6g%NT)>+$!6ethEmfy2U@_L31@@gm$rRqKn|TvMCXi%sgnKM{d645p+QiM3ur zon_FZFHR4E=!F)F61p-RM9%!z0pNr6^f;sZZ%k-(QMKFW_lLtk=6SgggpG!W{*{47 z0N+I%Bo?}qA22qmO&_zz-=~}w32>c(weFzjt$VpAwqnD6sI8MK95K1Pbh$`Wwhx0Q zB)A~oX$3C`;Lt1O7g5l;p9h&G-JMDsrk@mNtnOA_B%jWCHq2BsTPYYlgMl^>U$GTH zQ~hWer6AU{cx5zzU42u(Jx>C~>lhN|F}kj898hR` zHmY_)EV#VGew4C*N*rH*v;9733mz)jfvJoICVTYFy(iC7wd5xvFc7@#`O6GNKxacK z1dXl)5`{}AGeu)y*QS6A6fJS;&w)6DqP|<5U_uQEZ_3)0$JV>M|>{XnAl8+*bi;;C?zl12^vO z7cURmfcvWdqyGO|5!5gJAN4`|CI6$DyT<@*|AOT~@sk?FrA|vC0hyiKvXq--p!_bb zAN_Mk{Zhi1aELtnuvLFrp)$=f_N)p1`Jg8>VKHwh}8@kd!t*jLP7wI_X#P}pC5 zbSD+V7!_WWSA=O;J)>h)X05V0#YnV$G7$pQWa1dCn6e^4P!^y(0H6EoXLLdpn6=@n zL^N2nlsN$iFW9w16n1(-6o0kHmX2_{VR_Nue)Q{>J8!T%)}>o2XZbAs2MNui{uBh4ksQL6r=pgu1AN6T%shW`}9{crA1sOs(?S7`(5{6tGxQmFOGwv~PW$ z14ln6m3%KR3LAsaKrZF=d)o51-OWixtM)UVBWxk(lPRKEu|{Lt!1^1={;?t)w?2Q( z&B)=|`n8obaa^x-G+GWsX2r0_0`l7RzVLMO@(vftvl5_!-sXsjVgQohmRGSH{IGMW zyh>8Kk_tN+C!Q%@c&$P;F{lsNroU^3D~Y=6A4G4oJ{v<&ufI2D~(*i$D>G5N>G)RfcgMsrU)#ED5$_%MoQY% z(%z1sRDyI1zwRIsD7h+1V z)BJe1z-L}){@M0P6YHbOZ`&yk2#(~3`Q>&};-1#LEF(f_18oltqd^szqbC(m2wsIQ z%xB%YC8TpQpO?kn4o|njcIem1#r&ymC7)_>TLaR~?hu?>ZS1G2_s?wC=wE`d7<-jr zJeS51!!q~=xL^p5sQScGCjq6?iM@lOnGMh^FTFaxG2D#rHa?<92M2k@&0s)c)++!} zME}j#0^kd0o5VTAteB;QW2iel?!)Wdp>mQ7<>BZ%XgnYVyvQy^KYge?3lQAva^JD# zR!`yBAj~3%r)}o7O}%%_bVSo*5YACz1lUu_9y|gXF1han5EK=e??e!k3J@o$S_+V9 z)eF$8oE^@5BgZBeuVPZ`mnQpMbw~)!0Iu3cZQNiE^i9GlpALdkSqj7RKMP=Mb6{VFJ9>znk#$D+TZrK4&EI7f?v10`04Dh zJ06&{?*;#Ub$F#2Iy7;${A`Izwpr$^lApH37f2F}dwe+_CAC0-N_HtYxl+)wJSF+N zu=#V~-h`iQ6$bQW`7*z}GC;P+6a3_tOyv*zBudTF`l@HhgBgX5_<0qPFsSoa=HbEH z9%u-5+dhIsLilRwB46K_%XlUAYWm_$J+IUt61**`Fkqu~S&Z4lG^)Uz^ggY?LJ-M) z0=@-g(H7Q<>Tc8e<)pKKstt+efL*m;OVUf*f(Is5-0zluk0G##6djEOY@hvDa}Q0Q)nS0Q2BlfPsn|c*WG+^s)Ngq z3^-J!ll2CE+6q!oJ(quySx_+_sEv$zr$Fvt3`F{-iV2`X-AeFtItm+@Y2H7ddflzQ z9Wmh_UL(5j;vqyu$8rQ}WX6txf>q#b5X}RvX_q|VW4hB}H^;yz!RN2$67T>G{MKW$ zkp${q_g=^Xa(Oi1W9q*|1u+ho5%ZPltxg;ECuKgz32_@S`DCarJ3;{7bajnfu;6uz%%fe>l5QL>n0X3QKa zY!_xsUQ$YxZ6e9EVLRelymmU%>AY4W>H2k+Gvy_`cBARMb(SN4 z&(e79Y)8_;S-HO3OnEwQ*=V|Un`OO}_|W?3@j&CzQnT;wkk+1vquv?iFSqQea;HSE zw)KZs4BR(i!t117{ub5A25U3Cz!V|vcYI<4SuiP)js4zPh{%mr<)AX?i}2nB_jTdCIfzNymv4Z^rv`ZYe}EBbgtUCBlI z7;-rNy)As#Faf$g@56u&?p=RufujC7y6N>N&(#1?fM2h?Pamc>>-~@`n+WLEvVp$-(5-oiKWaV5UP*EJ!arhHe{K&uX(2b8xmK zb^X18F9Po%2(DJvJxA`&zl4>KR83f$<<>vv$zRAUeyU1QpcHxnr*>sn5h(6HXf(BY zKG#IPGmb&APG9htaO*l=Kdi<-d|?Vbrf&{B$!Zj+g?lYwzn6>prVp4AHk*u|dvewjYj(A%%& zX=kBwvebm-lW3B4JhkGxGxBKcM;WyTMp`FdTV8xUkOAa96EhJb-BQb&`e}7QG?r9F z@|*=l5btRx*L7kLL??(c?=p5ZS+1MlESR>+; z=>e?&0wJDT9-iA*);BiRH(Ou-OajQgC>{0rD49y-1M+ywvg07|3k zKPccnXqo75%Vg;R|Bp67ooD~qGN=!p^6!`X|246{^Rks(7A0(b(x>_iQ_yFT{Bhz_ zBEF};ve>HY6$XmZ=g32G-={YTvtWK;+*1XLVEXSMTZeoTDLDyA20w7jL86_!+*9(bRUr~5gcGi1$)~{x>;x@G=+21f3MGz z6}*pyg2-Br(f=#HA6@#n7xS?(e@FnS|hH&wl zNu`CtB0_VA3of{-p2?`?O|_*$3K?A%)F+h2=0=vP8Bfw7hxWs+ z%Ik&pXX+WbQX@1UMsTOrai@yVY&GvUu)JQNc)frr>3}Wi5cZxg{5_wvdIkW1_1_S= z?>CU%A#RsP{&Vkdb=L?fa6|CF?ky1h-x{$*vvozY{imIO5F`IS>hD9qtNe5G-);Tt zg>FXo`dVN-rf95f4`G!V>SH2SZ|)~^QseIukVHOhyjdE7(D)5i@UPBf0B}YWug<6e z=>tH_Bl6puC(AFqf#PKN$;|GimF8thAE+zkX{Ztq@-(m#WkBOjKchDz$-RoSWo z>lcm+AZLCamH-r{TuFgHhFPQ)Ahtmf_%Ml=K#@kx4+t<0{pr(bzYk+<@hs9Q;&Hi3 z{Jb3jn<~h^b8aVRM}qtPvvUu(r4H&b;B7WmXRp9k@A!Q_n?(t(cG1GWvm1DnmGnY~W>u$bIwYvnYj9~d@!KdEA zY9Zeb8^5QAF+aMNAZih$@yI5IkaP6#$=8Flnx(j!C{NsX! zjZ}Sq?iG#pp5a=BCUd5h1eI2Wc& z^E798@5lyR;RRPLJWMqWeLnA@B_`)qjRk@%jPK?d%-kP01+y;Wt#ZHeKDLdxz1(ka zC#9WT-RbzIRZ7FOAcl@0hezSeE417S)8KzS+J}DR>vfHd)!#I95bnslBvO0Sr7V1- zQ_l@dXF=lWK#>5-MQOqQ7ak<%K3D!Xb~HKjVaqLwEK`mSJ%jc=gY#jD^I>&$SXKb6 z$VV;7M@RWGNBA;r$f-Ddv03v~jx|!v>s^b?FuF|*2eeWp=az`MEZUHQ9FX=Q8X$yJ z-@qIdyQK5bjbZmxrgb7MX13V4yBx$mYj9pj(za5<v_ zXJ=0I7sG!X`i&TzbY0)YAcD2^)pnZ3?>`gM^jS*)%L>hgYHB(3E`U}Nhhr;63}Zt6 zo5xN(G{3u6TRd>DFZexhe@$>KjXd9b!4ixsNB<{E@&I={7K+-10<_JNY#>s>{R+Gu zqCV>32-P_V2?%a`a`j9l&2kCXdEmB7l zqzieVgT#w{9V{Os+?vjE5~}_I)$Us&j3@h>-m%%b)d%NMQS8q!F)X}&wD=v ziR0}@Dx{@QeV;hGX+B(_rd-@f!cB-f6~pKm|9~70@{dg&-g`}E&G+HqRpyfvkONaY zOlx91QDgjI;#|R)YkUe2T3 z%MNMdFOY)>y#T}Z3>2@^1Hmz>rQbUNSCv*cKdi#1QRhhB^tQdZ*FBzz- zD#3?EU57=IUX!M+Hqa#=fh8V@BN@fNQ2H`Z`~PD73$e>{@`vXguG9a54iJy-TKqR6 zXq5RsTm0MBO5zev>xRmh9gbYF(-@1jXI)QEVwDGKH# zMtivlq`d^j`?NNhiQv~^A3{IMy-i|6zHdp%f{=l@1e(@yb8hZn0uo&in=GDlAmuKo z#`i}yL7WN5(Ojr>6AMt(x?&L0ga;1_h_s=(ua3JIbsQlNIrbTx=NB6WdbGb_yH~ro&%tIk7PmkR?^dT0CKnI0jQts zsGrz>@OJ;;&8H5Du0M=nG(>^-@CKafnz{qE z%s05r_>x(BX<8qk?Vq3gjN3q7^ZFX~6Za6g_^h9RBz%e_k^YHlV4wz^A(FtpGa^fw zn4rFMn2Cm6^#H{nRo-39+3LiGoBU^HXde4jS27G!e3_2L9Mb^R`q*eH%hX#N6+;*e7$t?*9EbHbV?ZO8tA_O@!N> zd8hX{fVIe{$pI#iC;B~%xY@E4r}0f;71PJ?ZwC&7{oiuA_LysQ6d%7#jGyra?Fp>n zVINF_kk+S8L4CJrYSY6-dtk&$bmP+f$GeTaki`zfI8JT#K#vlLsY)p$gpYLhp(J!|ZzNcAyUk z={Z5*eb)W702rO@SOJ3NA_Oi5>_X*AljzWp4u9&}_<)YT640<1pm5-Gp5_?LM{_!%f@9Maq@X zu91-@nt&-XPY#4UFNHg%$78}k%y_jE^P>urvO#svHr|Bcr^m2AwdL8}1uMc8+1)y; zo(7~+GRPwAK#SDsh0&wmlTi%y_5Kz}wbc4IiuTOQy8r;xyt~M9=H*JE&U5HiV{^7% zW8M-4N>kwMWDsoY%}~btl}p;!b+Sq@OkQf@@PVPZBbgQ?X!u{P_VZH75c}I`lw0oeN|l82$SL-Hdxz90!-;wytK|<1 z=0?NJ{>B=j&EJUA_pNVMm~R&Lg%H+-5ap2@*^!&j8iHpH@oHe!W?*(Ju4)nhjY%;8 z>2_7=_H+O=CL;jiKm?i31zkkir;U{tyHo{?E~sn_25swS`kTo`oCO$8Y02GRM*`+$ z>N9N6H#L;b_QFhMe z?7<3$k)NSynzI{~yhDMYMy(O0pItNFTqSS~@v~z^YGmsJ`8pSeqjCDnM$1dnrf1%+ zN!^S0mF${)h>`eR8a?R|jq(wML7guInv@rX28;uL$pC>FTqg)fszLGZ0`mM5128uF zeiuCbi}=BJJpC9p*1H%E6Vnb^F0>#Hz+D|Y7B;EWVG0LSys3L@GWS6Aikk?-r~6rt z-y90R{3NscPO1LCAM_(Rori$@_a{BLPHH9o?X@i8ozfIQLA(PBH&k%)_2sAge-CK| zMRmdRXV%HTB_d>s3Z93$^#idf5OpVb{5XUpQlM@b!4hz2+SsBBWcGp1`}sZwLJP+8 z%{&?(l`d>KK(B20Y+A{?$V-Mw=3e=+jzFtF* z5BpA395dTjqstEarU7`aZhNlUwmQ4DI`b|y2`x2|IliEDd=brG8N*-MN3PpXt_yJg zJNkJ{ArEK3EgYbI7$yha|Y*NPo(I{^y+%7?^%k}R*>{E z1_sKsp5OMrl?{ruz(9DDl0KQCf^lj5F)i9^V=IJT%usoziXJ$fcJ}N9h1Wf#vcwU| z6g`0Y`~dbV;qxdsRyZQVRGtDpKVSd_oG02eXDUxxaH*t#l5){jo~V;(zEgSUER37> zYFSjQTDi}?4`V|G&Aj}nd3%&(-*)XENa2k3^t;Ea5r_%9p;+hjD`bbBSduV}caMh} zCXNv4kE_Z`EZ%`LqS>R*?;iJHIPPj^pvaW8V{3Jwpj961H#z4bA0L$T%l-d`LmfgZp>?921&MBj(7AFvN!(m2xullF0G z@sr3=?#ty3Ltp}S!A`NY;4luC@*RZna=0V*i{yHdi`Qb{mt zG;<1jv_y$p3aj5Z4d$6^sRF(7sVi&Fz5A!Gclr_xQ8Ks>PdVayfKphIlN&Sa;CF#S zU*|?v%os|^C* z%A4Sm3QeESg=m27)sg)-+uJh!crLo#znuK~gQH&+$+h^+%~=(Tl=vkzR&sHEvHKE6 z@E3XeQ4vbC`eS3aH=OAQg#LEZ6tajpbz1=$Tk1-vbJLW>3)qme=9Lp52;}hrLEtAw zhiRq4^BM#+)FNb4P6(b7cmb2T_rbZTTgM=1A&lVY^>osLu8cggxF#MW9gO!WtpNO7 z{sEepV4)ydB2E;ToaN^)89{PS47!PW25(ECX-WVkGvu=y(g0a*Z_nnSEsWp-*P=8~u3**q!R^XzM!q+>H zfyBr%sD%uccNM8NO~J$&78u|f<$W3;`%`6&zw!7VW4bF(|FS&6w8-@}EgmM#@yyJ~ zb#vDAL{L(G=IR1UCDy8{&s@2PMg~EtC{Iujl(cx{it_~1BiA=UNpqZnJvwCBpy6}; z2RztSpnB4JeU!ul7pc4vkTdub0oF#*xkdkhs8>yERq>&P(yE_Ew?k-8CyBnlay7>k?=iYPf zz32O$|9SrBS?oP)&6+jC-uvBqX4RZGBafwvSFAlVY@{1r1dSep5g$!`4yy$^c8o8Hxb{OF#1U6c>v$CsW^3H@4;TJ!S3x$MInYIKMs@{oA-CiE_)h&4lpo8idKnK;`6Pb&kdSy%A z5>)@InIOndr6bJ(_)*0`99Ii>AcP~vWh!sW*o`kNOKeUqkiR*b<4GozM>tVB^yta8 zU49PjI8T#(A6kU`rAssNYV2pLryV^{7^0p|O4~o}w8F))BuQa5Y>q7~i&)mm^7LgU z$C^|?$un-Oe;&h<53iT}$&1GqQbq~l|CGGmePSr1-kf`X+)=05NaH4rRa6yT1MsJB=xa zfyJ67Nkw}I$iJLV)E3D3nq~d=tvou6`U}FJC$KO(D}dW1f1WfCGdCP3FKVRp(15W} z^@n56=aS$Lb%6#ss--5Qc{zWY!17e(O-B=0Ord|6z?e)&hySpYZQR+-5?OK`dyG75 zBqa}KrnXI%N*ZPgqC)5(t8h#pW(j@82~qVm(6Vxf0PPW8wyLAJ+`%`uSDFbTPmQz! zxH&QH{5gRed%)+BhuuoRX0ok@PL`UY2`1~aqf$UqiZ+YlX)9G={{U!=%ELj#^pQ6Q zaIZR=ML9eK>zDpCppsHS^{m&i-RyZ^b}#C6#X(D!KI^{o?&s6F1Qu0V^4MfeY~&p4 zl;IEMljsj9LHzMKOPn3q#+U%+@Hm;opb1gfsJ7BkJ_>M_7!;HOleHj0j|HCy-3j2j z%Ha}{+-$-FNn|2~H+lF*T!-3Co*0Bh=Ek|I4=GZd~VAcm((&5_4el6t3N zYtoXFjgTw-PDQa?XJpt*QSpw<0uVsXV9n$FP0nP^+c*fheQ!Ng^t%5!_oAbw=zNy- zI=;Y*8UaP}B}vTH!}Lkf4+_)YvD|;60A)`{y0{olGr@?w4n7F6ZfNEy0Qq2DG<4V( zXTLvbirv&yybV>Mtf1mLtP=GGQM6)=w=qm8T8sxDUO!TLe|(&3tAv@6W%jtuQ)jTr zPF4ex4EGk{A557<^n1&MU2J>_T=homWIb%PnDT4f7qwQ8S^@enpMG0$iXU0&eZFA% zs<$jvUL+eXnq_OOcutM`*X}yW~e zg~}q%(t7M<1#|V{ufqz_7O9uzozv^SD{oYuibI^!F&pIIwJ8y`DZL8OR$IgeD5=hA zJ^9Hq9~g+bx!us%tRxj}^FB-Cg1djC>T~woOK#ZOjR6ZmormZF`hsT-!E-Med?{b_ zyv{|mwgoJq=ndfUfB%LO@rF_(M}(6=kOUrs`diydA!kCtz07zfo70rMPFFQ=(-#YI z64tHiPg)|2(;f96yKwu8!F-M);ymTRr{4WlyhqEUgn*xmh@a~fjP4zb-tyfKz=B>I zfW6|yy<*){70Xiv-5H*XSvzYSP=JI-_k7te+11oKSv{tuj}MIQY43tA8I%I}RWiY`9+^^P7bId> z59gi;`M58+<79u9cA8(G6H}kV?xYBDQnWkHF+0xL+ESbVFp*mVpj6oafMH=Lw6L>R zE3)SfMzkUm>#5@FskodJS^wUQ@t~e5dq}X&CXRYVLC%u12Ytx6fc?>fTY_{kKO}L* zPrgc4GP8OSjUNrw{YB=G2B4J;8Pml}TTiSrM@wZ^TWE|ctjRl_4QC$j&t@L;ewmvz z2%q>9O1sDaczF+>7g-A5B8ny-tdfYRVM9X|GEVttG9hm%GTJ;FoOW8V!KHUyabjWwQIFQ zT}?$CVtg1>RqNL7A(uU$Ix+{U&(;#Lwvvw4JMc9K_cfSdyT$H`;iz3?0LY`HsG_9E z?v6FY#70&(RCpC2r_K{%t!8JZa}Err18cMM7Z_b${s!Lu1}$x202XvR0K6~$`My}c zO2w*5g;9fsLxTp3E((V(3LZnk%b&FEpBUjANa$Cd!&?`*z`ikA5f9&Hf}^ggQnu~u5OpN##kg;4R4drhzUOnAfM4)P@AU8o6Ua#U z6-}>-=-7=b|3*X1yZqahx0G{OV4Zyx%d$mrsQ$R9)wn35840Hu$xBugTvikWY+pod zU#~zx??Ay8;jaL6hz9@^g&K{ED*Q9!nY4M3O4OS3jC2jxNkhI3+|I&%khJ7(T;W zQw)S-w*2~m2{zv!*nf@OZPwl2GftW%3MLkVJ=(d4vEw0Oaz6=o5hpgI*Q;=JG({GC zk3P6ywG8l_a`QBf5p#`La9p*pU$t1Cd)u9#l}PhyPp*R)a|eNX+w-$QfR3)ON^o5{ zt7d+o-#$DrNOWx|8|sS&yt;t0d3cZxD0z1j@Zn{5!3%{LS=+>BZ8BU5B4tvoF(~qT z56K)dXzw6pepySQx`%j+Nd?h4aXzEbA(rlnlPJ%tR``8Nh+>I0R<-7!HKiLWXD<9}316NkGt()V7HIpvG#XKB|#n5IAJ|O8Z>&quqJbs>BIuk2I2vM&wazR9RDP zL%HFFZ`iQLlPMWA5gh8A3*W`=XhrALFBFPSt1{s4B&YsnAOB`%tPDCUK~GxeAMlo= zsCmBqY;l?$$zWlqrx;BqkpuxlnwW9aLT=ackXxSPCWoQQqMq-mh7LWUwTjnmeobb2 zU|WfT0;BcgPLKxHLxbQmoJkqSOZ3;(N?$p0^^C|tg5PI(?&52!r9x8V*>8D!K4Oie z`=|BL8e~zT`_RAZ%-j=m&l0RVLLFk)O^MyNP|aQuD7AVP=B_@8|HuI|}1tqCFR#o)>3j=GnvulPY zdV;ZunxW^XRGLQmoi2sfiM)!h6X&Q?uDd>-2hUk)PE-|aRTY?NDBEc$vldWu6;NZ3 zMdFS{25xo+ZFaWX8hp1kSgI)jV5vC);P32!H}c<%u`lg$5Rl(%#x}?XOT7Yh@3v#f zg`^yXVPVoj7%v@$X(TV0_Kli-%n=J@cOH*e5~ZKCDytG@u)Bp9FUM6 z-}|E!z_wsFiDo#I!Or#0uhdvR0SKQcqwJNA*X=7Xi(kz9T^SFkpi(M+aqFjZN#~h< z<9 zr#3Hf_BvU1UuykW)+tyGt$w^Am65WKIms(8Bb&+O$vPQiA=NGlk;EMWEcf~Z=V;aPnfZ2JW`Q9dll1$K`;sHZQi+b7gNT;$w_ zdurv`d}mH~S2mYa?Us0fn>D*3Sj?zI`{mzIvulHNI8@!LpOb)IUv8K&y-{S}h65*2 zZy*n3pb5%`>8}F5B^z50;?-`w5NQIz`lLt}1Y=bu0(}IzSEZ1S=H}A&y??oWyl)4- zc6M2YB7!8c-nV)EUIx_bSS%$n$RNIW&B~%OMdT<&3dJ+_07&>PL6YPiJ6T6zT^+( zz>5&efJMg!T*5z+sf%RQe%KKN~HW>2+26&4`$Ebx? z>;ivv`Nks0r!bU84h0ZQWVK9Fkf3N3PHLP5R_&YpYmhGF=K{z-E}`^U7#MH3!Q>32 z3e;`KNPE=I_jHcdb9*4!mXnylR1K@052n9gQ_o1Aq75?-e(Hgakutk|dw+o|{*%0l z-EF;ha|g(C<`>uBwHpf>lFAUyH_bgLp6M*kg8XDcWXal)%d5+|=@|;!+q6s@1;LIV z!5VU8aH{k*W-?e0G4KKHyqq#fk}T?uiHbn*Zl*l`9`}9VY!|RV zQY7w_H;dPEPd9B$dQpyA$B8t>GXh`K?c30@&fu$oDQnr}ww_Ds>N`0DI7p46>UEh?#j?J{HYw~V)s=L{ zG);i~@;-5VSy+856iEAe6lauTnlWkWcWcnqLY<4UuEXs#Om0=9+-5OIE1w-TT@3Kv zUCK0sPtO|@lqvv&KviCj|Lsz`&2q-=gF3Z)cBnl3KpQw^iPqQi8u9Bat{dIF0g3H& zU_rc7`ky*SFTz-;3H>scRwa5Y*$(AI%jz=*S}jHw3Cj0RlRnxyl9%i5$e#chuAWDv_W zi~S<-ozVA+uf?=DV8;;GLK=`fy$omgFWXiJY&}Dr^Z=>qmpqQ+$9R7h29J)o^fVK- zVDmG+lDWr5*s|GqpRmQ}FwJs{yjkdL{sk&2C0ksJyb03tl;QD3P2hO0N7+sy)V>5E zqhvIbErf`L>f}0s{CnoaAa18vcMy`jNpHR#_=3NR4p?tCqa!oW)fX#+%UkjJML#gX zRX3n}pb6Ofqk5nLAcv!*`V*v|%Sk?$OCU&#AxLBA7lrVPT7C}E{T#CRkgyHFlh=Mv zU;BY008|h5+&{<<356aJwh_Aj!E#rL2D$)}$>1peA2NS8{;TBQ<$n$C?;?KM|Gw#{ z9{o?Czh(#Lc|V_@iyqoX(R(f5eO-#|-HVZYa4?qGpUh{J zOzZW0_%mt_TH-hT>?~S`LXRh3j*Y@!VvxhTs}|1mK3V63dDisp69i}A$rHcly(}^s zSnaaUL@lud0&kZfjQY; z(&XDG;S@2txl)c*{P{2hvd2{3+4WF0_V5`JeZ*&nM(p6)S46q&c5VN%ePoDj@i}2} z9BvfKNTwKc8=~;?A}zsy5WMldXqTSgfFHZgoI~7ge*9CiS0BHEIU1ETc(vp$>H`mb z#e@K`@kLNL9ziNPvgK8LqSeZ;SxBhOc{lY2@XPu`&K^MSW`0;$!jcFsQB z3lcG@BJtYg5Mq;k|0j09eeK>Knjpv4Pk!Jb^&=ZIJXYY{@-?=KtKDKc{I5Yr zF3ChX@qF8`VxWx6{NY!mwadQv*zr#fZ_xo@m{XZ?WW%8q#QhXD>=^uso^!V=K%dWq zuqX6$XWu5y$$*;@*3>V=9R-j11Uv0SU77{svI4_2hWQNLCm#2@ezc-Ifr$$BwM2oX zA(kJEW{9vFbel-K&y5)TyHGz&iac4YaT+V1-W2f52`f+5}9V%o&}!L9d$y6ncR z3Cz^eai78q;;qv5t)|!9eUEEyhwidX~XFD{c`XOt{2DD8%uyzA%3Gb3>I;Pha#|}bKb#c{^`d7(W1pf z!EHTzlzfN9ZSnrSdjs4^q~ z$dg3Ll0>Cng-X5({j-@zDd%qjpeeix#eqiurSeuLkd}5Q@E>JTC5hg_d+|((-wgmO z0MP882637=l5gKK@cEyHmVNh6f&WYF738df5=tu#F@?0{wSiJbOgTF!p_RVKj46-N z9o_fU9sRg5n>pRkh8(`&)3M+)@xE1`T~`hV!e8-bhP&(_5KEOv&#L#4;h_W`vad*M z-!Gq2x?rEVMdSg8oV7h4BL_hn2SMgnL@)7>(Sv;ugMGY21ieKBTZq2`SU?^Cu;=qv zM$S84T)c(6px+#OK3PDB-QmAP{mF4F5J>w6Q3|h!sQ#`rs{%3E<2*H0|w|^KE z5QcEq-vV;i3c+gWmP84U+g6@z+HR}cx08W2XUm`rcGfuhu{Y@7g;$?BFt-&CoW=m{phS?ZiW<5z=QSmi) zy_6iJ(H#*QWSKu1W5c?Bf|9&dY(iLH*i~Le=_E8^ zja=jXe5V!TnHuOp#opmF;Q1natlvAblw&s4l*gpgzyBj%}4?D4#@9NKml~=EbhFbV9>i4cJCE2__LmSmeA@%{^KLo~Tdn#%AwWk$_FB`*h(&NRq0N6FByH zz_-yx(htO;**X)zD|??uB70GT#120{SxqfiS8wl`n{&BPSK>+@GqYE3zqOib>Uj4! zdu)4!bFP?ayZ3p%B;bFs zcK+GHf3(I0tw4v#R#W`T74CqG91QDUV*q{pC+YV{?}l}}n`d5+9rWVg{C}yu9rLZo z-=vT!Jk^PQ8>JWEvzBEFKRo}L;fEy%u?$&46g+}+@3tNKbapaa44LQvRN029T-~GNSz1|>g6!7e{fN47JZbM#`e>}y60SvuT zmE6|A`=P>c2P{r!nCyYm$`q45aBI9fzS)SCz$`#K>L!I(Ry#d^Rrq27n;+q=kh~wm zx|b92X`mZJcj6%1gJuRKi8(kp_XP9gI-Xn4U|08!OV~~#RM^tT?+72L!9|Ra!85#a03NmOD8!2Lj7a~_R_{?KG zIY$x31Svpq^+48UZDISzLiXQRzt%3ztkHd>uHllre9t~Gqw}@4#dil0bq1HLhD*W5 z{%<5{8~d2Go%eu6(BAtuOTyX?!@$e}z(FhW8f&HRe{22f;;*$_l6L}Aehav3@CWJN z-GV-{)^=nEW^TED%lh3d5cHphpd@B0d5JTyjTFZF9RZZGrOdR zB|CDv4pBf0zyXW2hh+@h(tCjaW`P78r|ZDUw+s7C z_~sa`MXTaj#_x&EN+3=7tX>bcstLpEJ2gGCg?>I`g@s8ivxSF?N#7HPB{kj-$tg`0 zoo4La83e1tfCY;;xq8h?I$c+6uG;qmtu|;{Vi>gW0wGoCJ61bEwTEMmCUZf+{qg35 zExC)a?J-ZF8`>UwRMdYp3}`AN!`cezuStsYP@No~d*xm>(Ld{Zc8$0zGq-rR8- za)H!yabWP_rukrPv^Dme0VpO+ozj8g@^rg> zEGVwBHgx?_wEY`Z;=nZuY;F2|-xbF)KWFdy!_FaGO%G%;pqa!;f;x+#N_)G+MM%~J z+~qWg6W44JGTC3a=>hwrzb24V8yE>1? zaP5E})bv;T32|B+%eRlj*$ggq^NK_^0+6tOoJ!qPnP}sl>EZ-M99e(>{-<_=K-u&QZf{cn>@b3U;kbZd z2UbVU+#mhfNCipeS=GSSd~0|hxf{!=y=Mw+GIn-z4Qp465Ys3%1@IHTF3{O_Z%#U@ zJmCU zl{KSapbo2i5pcWTOi-{=7l@h75K10l1iKO7y3YXDT%%gBasu8#3tIz@SOQYXM~tK8 zoO8EMLwMQ6*eTm!KP~fT{${lxu~&}yCaDKWt0THs>Zhw~naNq`SXd%QUmbc6Hjn5v zllSTm6RZa`SmqL+y%9e2yE!$%s^MWBm)kR*o-`omX*wmU&E<{C7l(HfV8JuG^S9aM zr^w{bovw`2$NIkb?jS-59k0oy+4b+%wO*pvhTp_qNmD|X&PbVEq+>HqwSgdZAHM>g1W-A!RcEd z_uG2PvV7gIyAY_XA6CW8&VA9MtCV&>UxmDVeFvvD*{96em{D-~U&pcQdnXm!v@&)t z!?Yt!dEJob{Dr!-s}=i*zH$Xozg;8jadHoVC+m4r7j8!R`n@-li1hvq@&h4eQ#rkf zpC?|8fZ%vZ;dI)p+@4_V{y5zc|Aqa%?!PcFrrW_F z{yu@h{Lxc(%eS51{rt}p@20(r874x^Emy!uLiZ2vaIA^{zfWH{Vj)4qjjISZG&8AY z(@?lC_|ZX5P69-t%RA}%CkJQ&u@7PojwpE-XBbvaX?YhJnMQ5*i0PI@aK19W5nt(+ zI75!!CoXWux5VOIjcon{zh?;9KI};bTp~ zRS|zh{#Ns!2Cb!P4dT6MCSCA@j2a$it^IsJLTA zWx2ELKOIaeZ|#2q&GIz6cmXSgw2dp57SnG+^#%g=C8$_#e)x&aln-pSO#Hb!OWSfo z;O%f>cR6!^r?=}-W{)1i*vP0H&rf^j>6^=CWZ#$0IUkpoSa>c2=R(e}DUZ#&_#5e5 z7aP|Z&nX8gk)U{VAvq}-tTShkuN%E0;_a%+7AKhlRCAlv->qCW-qZ|aI97&fRE_Npkd$9x8^DUqijx{m_v=REJ@C^f zTOK*?86j67DOJp69;s5O-`Q~W5tR(a`Pg+6M6Mec%aZL_4o4KFdptRV)cKkSCH-ut z)K0)lCA_9kf_Y^$+NcL)_~AmK*;X@U>`9{zYVxM-f)^LaUK6g=k_%5xLNk>sotvg6 z;x8pb*E={lRqf{6=$h)waTYW(7Mk+N^v}!PRL9{3WY1OpNTy)11B1-3oiu_yCK92yz44sY@m| z<0zXS`>Bl>fk7Y}{@4#IDrUeDrDbyUFm>m681dcY5oNrc&<(lSWOQxkk?Oe3)SFc} zjfcYPM>Mtu3bWRA+LSixDtvyb;Z!`K-CsVQ8KOiz^8VQM;cAnfP2Z%ZR%WjX?TV{M zpoVR;Yw~I^PYl5VVQ}08biAuasVkLZ^D#BZ2bA5BNc1SYqoMv;>92Im-!w&+`?!J{}y!rHF zaXQp3Uf#O7m8VWZMobeZwk|BX4@eQFL`mN{ahUpyN`O5*)Lyso#gnwbt9gm*!{$qt z8^UsX9e#sPm;KURq^;A%km~vF*%Bz>Ee{3z0a1iKcsTEZIpW zOcA*7$*SQSV(xe)lj2OFVTI~6ia)=-qhKO zCK2Y=M0HfN!5rrpw)~suQx91?M-ERlh*WTo(eB>Mo@B=5+$}3<9z0J= zf#mQ)n1wM5IOiJW=Mty0q!K$_&IdBu;m?DMc4jU697{cIA6BKT#s=5EnU)LxrYRX$ zZWu_2U9rJ}n$45_ttSP1BAQ39nA*RWD)|!PZbaJDzZPG7`)xZb&R5}!!n~aVxIa6> z56nftZ}VLLp1mNLK5}7-Fi{}y*bc&*G@6U(K2UR0k79-a_fRy0Hz10D5=u0p9(nt! zcx{iLydo<273>;u4NhDbX<|?c&-`YyNa1b&hbEHTo>+CJNy%ro(0%%ACSW3@K?LJX*sXXai}nx$mRwK76--N`B0g3#2IHknK)2`hH( zNCf9Y5P@yo1~1;t^+K8nQsFWWW$b88ZoM243txCfa`r;duTKI4gH zlLIFp0dY|B(8*B=H_2U_{DHUc*A(LOxaE1E&OaX883YY57n>La^=^-?+&?pq`xzKo zM)_O5!KZY&a z5C#F{Da`21B=ODv1!hy7&15h?zkiH`5kG+du|uNcr13wX^y8(=S|C z^>tBIWEmB_p=`*h8RN5#PtR6OtND~@oqKaU`x0?9>dE*%u5pvRozsP_C)=_%j?*b5 zj28+>dwbOee?h4#raQiBOezllJ(x>{q!cC4JJN`mdcZ-&SNJ~=(tJjDlx)u!{_Pf> zmWOIib+&vG&414B`e&b~UYGY~8pCpv&u2Vmis0j_}pfRYBcV*P|BIwxzs9Ubfu2$_v+z9J$>rWven+S|2yu zZt&^Y&5}({lrmz>=PGn=rdi>RnJ&9Nptuc&gL* znh@I0IgB5pGxxcM+RJ4VCezK|Lc4uZX-7T9^Jd_zaEPQV(##_yYLLf?nON2yQ$F;a zE4;I6+9EA~_hf0D#JY-jv0affv!mvjst>d8z`X6_dgJ5CT{-*ycqmDEsWXKa=}riJ zPtgijvjX_w8YzIRfgZutXSR0hE_NjkQq;zbib>1i)-+33Rkw-LF-l6mQh?b*i?)4Q zB~-SsrmsGTUw)x`mv|{9G4_Yp$S)1fZ1KED?<%TbcNF8q#y7SMxy;agJZO2yC}j%= z^B$WYAGgYiLrIn`XqjG9u7*Q=LShq|cfwUtP-j|`%j8_S3(Z6QRVLfFugX-M^@dJ2 z^>tB@M$Lpn=F2cbsC)FF+r7SX1ux!(n8+|L2;UsLk{j!co~Pj}nMx>D@1+=1rdz(< zw!HjE9e+66nup8il!NhXWaD{;%B(LP<^8lS?*{cKXa5{$N7DzVQ;j#3+FxR~I*&fU z`=zw6vc(`mrE)xt!{FQ|_-tR5$HSB4GbyY$_wBxOMw`-XH)P=PW637q!U&$K2`two zh&4(#xGJ zU7!v?%%_Ace~`j3^3az@SYF2q7JAh!@{!#Op9zN2c~tH!Y*O=wcs`27jElui!^zHG z>ILHmlH(%P8D%i%ZoA*QldBru$=ITEG6J8*w-m?k+wa2SJ}CguvU`c*rP&fjHR`t7 zBf^a@F`X-qzBJC2e7YIqtu0*o>@?eDxLp6$#_58VbRF{b!zbrzRh*TN5CliSh z6gWIC>%V6}QO0P`d?wciN{A$4Q-%?K)pZxOx(tgmIvWR`PQM_3~hCz8b! zBS-vw`_R_Y3G2GhX8T(Ihd3H|OEtc}5z$rqT{;?Io2SBjcWs6@_6@VPgV%i@ug|B& zEXE8OWAxI>ug>e+*FP%B&*~Rk^JfzOlJmu(y zsvG1C1RwL@2OgpdTzoIwcB$~->f0ZWUgUkTp7_00-DLgv;zgtd(Ls7$(~EU_wBZ}1 zy~~dg0ZvE`qd|J@2E+Pv6Ks1&>qOSB%J5Y3En&mCPlu ziKc9HPVq?7mYXO>%UCq_ABZdGl}kkAzYdej6Pqd7Lcb2|#D}0UorRI9vuF^Nj+u`r9^l&z ziYJ!27KAsj#a@y;wazMYT>VJgh9Rf4>&jxzV$=4D`nWp>g-B|8ri}C#^)JlD%Q{=L zgB!S;!|;U(&G-*-tA8}qpeRtPY0b_mqA#(faU@wp!k(0gIrQGxWasA`ZqaKrBFBFCfhLZp~14jv*R4>P7OwGN#GaibzJh5`xci&z3i^*6L zwIgoVYeL`gQe#AqgwT&M+lr3I4P#Q!j4W}q|CObysl=Pkfw87zbe}Y`CVD-;Knux~ zk_g=k(?lli&FM>5)&>>MOV^BX)5d46yz(H=XbB?FHFhUaragI(Q?KwPsvR_4Xbw_uL|3rPQ&HIrM+cEfy(MO znUQqIDTK+G7Tn^=k1oGutAvLUP`n^IRO@kTgP1U;P86?zSKNT7>@&X0!jhEUV3|l|D7uNN~^KO51A0}HTC~v?F7WIqL)+N!@-ruW z9SN7t7Jsh{9%CN6OO{2X83ex8sQu! znjX?dlU{h!oq{Zcxwl!KnHSW!8Y7{sh3>4%+A8^Oa@~`4Eb2Cq!h%*Q+Kp}B%9Y?( zc!%9|8E#To(FG`tu-b}RN16qTR{G`91Q7TN<-u&?kTof*`w8Dl(sEB_?Nsc%A%F08 z$wUB)YVbZU;$T(}S`R^BnQKD21=8S2U)o%^+|ddx@<)t@@bstCi(-rKN))z-ubGHP zmi%LA6vMY^6lJXcC^+1AgK|lrOQc`3z?o>TyR=ur90XZI?#;oVhts3$5qimW+iB6% zedTAm%=o0O1LirzuDk|ba;KWnSP_`NupvxY+~v=i`X8<*VL4y;ds}>@7&-ZsB?v8? zDUrR-j-hZjw|Bib@Ta&Z}qs5B7WY@8ow01y!86yF37{$^=f&i-fPIr z)$UN-Z-P}gr%v@C-Lt`hY0e-*6keQ!5-Llyo;YFZ;%89LL`*5V-3BjFM_7!yNEFEi+Uny~N z&JCW+wj;_sv2^0(MB*UydIQgYd$pkcq`z94wk#Pg`VgSIJV`;lPKjyVdi#&slI72g zZvTNkKQi1IeXb92Cj)8VihFtRV!~R$yZ_UX&8vyJWgf|^3H$EI6&VzihSoA_NrsCX zQKfd2>D3gjvj-MqySAqm>8S@H{;G6!>CQc(b|!J&G2mJFr2Vt>_MV=q@Z3HcpRo2p zw^K6?s1_)PTLqP0b`(D+O&pEt4snGi8`^tZwX>cL?I?78KVUsW74K1cPsWcF#r}#e zivwjZUlgWWmI?@UBG@$)0k;9$Q?m>FPdZ)QY(e0kVpURi0>TBdCs4pCwSi%hInKsL zc?wi9Bvr~j)usH`L4JQ6(ew58`E;FNE6F@j_m`OGkK z)4H_NsdjfxT%?js^dj0{;@@kxilKpR1O>7p$*Q{BTSUWA(9-;q@fqqk!3exWH~4pF zi8P-fj}wi+m%p_(*p<5GI0<`BI6m=h9}TS9Mm50#E*TF^Ap7pQy8B_=KGo}M$u27r zj}wE;Ej%o?AAvVOnv%praJpzQ8v(5RGs;N5jo`_wl=v~ZIur~g-d{4S#x_<;>X2iU zVrNG#h!qukh1YS<7^V1QgVqzx7-rvJ_g|va7?H_c!7!893`nMtSatEg#^~XD3aGH8 zuR$^SIHX^Qss90}HZw*=XkS=bP@s~_V0z8M%!BmP$#XQddZ#wezjTUvQBqGHpeN4jl- zIgdHt(ksEI$*%hG`;PmggVicP%iONSi_@h{xJUdIxm;Rt8b16wVe46_yZjKxQzxia z%Hb9XAMy_Fm}N+^g8$cSTuVoVrK8s;h{-y4b-Fz!KLW=F zo)2ri#@1Ez!bwn(j^|S=Arg8O2)J68d067A);=|HwnZz?H(7itSDrg|GuRvk`F)D0 z^g>Km;w_O&>LKjP(%Mq)Q(k$0q}$jY4Ds&w_a^+Rl{e06GwhEJLuuGUSk~=aQwkdY z%FDhxIU54vA+?2Lv2wji`B33IkL3m2bD6l7?-j?@1OaAhA5^aHEqH?v3z*te+o6Z*B$6$46+XlbsDc7>=?uhXE%5#EP(QD3o zHw#mAp|o@OBI?h3gfZgZ_4j*Z+X(MetV=ZF@@lKi?-SaF2803`5M-Th(HBM9loe%S z`I(NzCYjny-hH3Bd;~7F!rbV2L#iCUzi``OI1-F}z6VdZ0x{Gor3vGRd{B~9mr|%q zNefe)M5mjl3;jY&gu>J5cb}1*3g{5R2%n|ul9abpp|_x<7*YX?GJ#E-?6a&lP%t|c z@9p@olb?$wBE_HT$3lt!b?csU$y~jC!yb8NZZspYP4@f3IpQU;UB3Yv>CXA4JVTlF zLL@SA2xTEu6?hxVnT=58r+Iu;3r;7s*i2Iie|jC&w!HCEQ1Gk0@54k5NGO#H^#jgo z-9afw@>XI$zfTGtOyuC9bjXv1WO3tBD}blc`w|T%P*+3GhgZCiruf;GPYbD{h=!&@+q;^vb&eb<+GhsJ9n?e11}L{tk1ss)E+ zU7KXxGQ+PIOn1QYE2^bc{eM>fXN9d~a&0STyQCK+7kDEWHgSQa&L=kMShv2>qq5KU?!;lbrl04bxpQpO~cJDz~!TQ9jR8R&RnkwFYlmnlK*c9a`=)Llk3>D&K=$M*G@ z_VqZ^N4nETR`19BEyw(=#&RvkHk&jH+wY)Lvk)-7w6DjTJ~Ej;a(w@n>i-WFKxVGh zpJIQv12U=p6bmHh{7>PoU7GYi3!=Z&YJ*EXQAWFZQf9e0%=Mm7!FMa9}D!w z%8<`6ffq0r6>MDci<}J4cDBc1x)no@t$lBM%DbX(t#X~6KXSr-6<4>QWgc$Nh9HE) z>Yv95^e5$L+EQoQUj1g50&m1?cBpcx|4Y$XI9X5a+wp9;jqeF5xtTvKL(hZ~SihI?@p#DPq z(Yt-95eXQ*U?-p?gVi&d0A;s0dU;2@jK~Z%Y&m^|yJY+;`CONP2hxa5MTSu?tS5O|Kx^(E1l@1R+EY&0u3w&!d zEQp!^31~>flV(@1Id)>3O0$l;YiLcZ15QbFOg_hs|H$MjhDQkvv20C;IBm0K#4({# z4p^S3MWI1LOfnX0R85LBbx$6t?1pbo+T#<+Zj;BW$UF{mX~CuEqR&m_m0x}dy9@+EjiB)$-8q8op-DxX?JIZVHcG**`zb#}torAAV}6B_pD}Wu+W%U9e3o zZ55`*G_<^%w~>w|?y~qwY@6)|_hxA@Sf<;0C=`^&Gz7hBe*d;q`=dAGKDIPJlQD|k zyD4+VB!sWv#rfn$&2W*${bl*`riC_=C$>oQRm!LLwK7R_)wvetTbe5oQ*<2W0#{xs zVA`d*O(jAqlqY5|*Pz&&X-^4d)X0f$bb7T>9GwC%E>Lj@b*Y*=JrVu}; zoB{YwQw-MWpl3Mn8+c!*clm7b;Sc94e~rQ0Y#?zSC7-D*?~3|?-wJi)56Lv$i*ajj z?inLkxiosHEPaScbc%n&u^{6U4r!p3S(70b3C9v0DD~RmH#tsoM~g7JD1{QSwBN7k z=x*h}(K9(Q>=Dcvb3HNi6eLsL=#h+ixQ_EA0_IAEDU0b)uV?-$McEj(=J2uRq}?y= zYBURJVf$+puR-pED$A%FLa_gy6*avZl+ph%{l@bEnJKpP93=v{Mkr|a%K3s{!s$H* zlgad$`nTrwN@Nd!6G)GF+&0Rtq4dRej>4k;S9(i}UDh|=s`SuW!3a(oRpRcoq@3oSa7=o6t3 zRuaY*9>%M0GU^hbkM-PtnqSgS@%$5Ks+cVN>>U0q{#cc!MI8#+XqvOH2_vvsIwPZc z+ZvL|DI3X%;68tVHAu!p5j^RMHT-{gdk?56mac77K|o~$1e6R)juJ*BCkc`yO3nie z8APHCK}AWDa}JV|fMgI5$w-ngNa||G&G|U3aZnyQ;gYt9z;| z?&sONQ*`6Ue;lNhz1k4`K#23uF6P(7gB$r0viLnx>*+%vmC^Il4ZQqFnd zag^Yl>dy>?edS86uD#n6Jbmzj6SD^zx>fugYQ7SI*#=CiHLcC*=tyB9M-&dG$Q!5p z1j`psUTXv1$vQ3un8a)|ZECb~Vhi-=)oLO^h<=Sx06Lp9Z_p$bWqv$O|V^1Iii3r23#(VNZUrHd`#l z^n4G(1$mJhqLw_8`4tN%ye))8o}uP`mC8F-ab(uCB~dJi8JenqX-N=Gs%XQBfvU;Q z(e&@iy$^=|lX3C~pk^W$HR)*y8%yRh?%X3{aeSP2=Stfr2{frdxb~{1$*N!u@C0Q% zxhkgT(RLiucfRV2#Zl<|)L_js`SIsGJ@4uEU<@27$Wa4c{x2OVo%(~BlCiC6voO{z zWzWFhyv}P{E-WoqmI`%Og0R-Bi19Xg?j}= zbjEY`C)%-`cNdO7DtR&Hp=CST@F&=~-c)b>!~bNUj1U?mXW18WwEwZ|=303rh?9Cr_`` z_x^9y1A7eXdCSmN%jFXsJtCP32{VzJ5GL6>VVJ4Bdc@ga?sVM4I(r9uZv8$tiWrbM zY8%r#Krf{WCG`jfyP9=qkIL<{J5c5b=YEKF7%Gp{@||v8;{AoZnMdZdUg}kMJA)cr ze|XbVLl4iOiKeGYb)h93hFjGh%@{(}3U4N;sBH2h&ZIGzp#i>^KoNesvBNGC+^E~Y zW?!F~D53@ty(MZ?FmBTnILV~JOPAkZs|rzg|1+HwNh#wC%flLGhJvq69iFMGYPmG> zT1Ep>R->LK=`I*Urs*Q&g5sk|5%@CC!T9IPSn#toZw<>;f;$?cKAkk${jGrtASpI8 zYk>8_Bo0`@Cw~g{Q&-IT3(kK zOhmI+s@uINvBA{_hAIR_9menaKaNVLT`c=7{=hx<@L%N?$?1e?KqGjl3*ofp!kR#f zF>C?KxrL@cm3_{Q+N$iRvkpROgJ34{>dk%u83_uc8LY!xDmR&gHPhNn+Sg}gfZfV4Qx7j9buq{Zo#oP6m}Xkg=wvM(+54q%C!VG*AO^dn!Ro&o*A_K_;+TW(k^*ok9qcz3Uds8J*~@`CL&Yc8)r zah(F)-b4fDpvoyRy)$_l6q)%#w@3BxL%a({uf^V=*TX~AfD7{~YQ=uZ`WbnN;sQ!1 z+7LFzr9&5is#I8^=Ic~h{R=X$7S}vtKJj9;&@70_!!VPI~J-;!6r${)L78qiXkgT$bRRDI-Zxs!i%IW z{c$9Zs0K?p*2`jLMa|uo#6^1?sONoTYJzMaN3#ei{g))Dh5S_SLq-Hy-xMNu40k3T zZ50qW9Pji}pIeee+l39AfpMbbA)pad7)e4NOW0Y;yyAw^+_fZQ4I!)GL4GaRRhcAJ z%LLrHy=74qK&4C5K#uv&?lqN@*#F3kZ#9XIn4$bm2B@wFuT1>?$Yf18ufRJp1)vV; z(+T3ZV_ZmZ{do?Iw|4SKM`c!*aVS}3bQ8-QpqArFWjF+qEW_%FW7Ku(mPHUxf1Bo}+;ts%FyOA0;HyO?`;F;b7@b`uj7n0jeu$i2qmrEumanj3%c!~4PSoK!gg z#E+7*1AZ*8DC5*iS)($NU>g3c5>h#oC{J}d@^c-NqgL}8f}=d z%Pr(e=er@!GOPrgQU z=Il}`)L1DNIdB{$N;+sNW+lc2dnI(niiglON2Zgo`BnNE7LhiJcn8A$5)W{mPiUU} zvegxJqkTQ;Ww9yR_om0}3DvFkBS+I-<0sNAZN^VH_-(j-Yl|!BI^V8Tf%R!NmBb_c zvt||f&Yx4Fl_Dpy$+Ij_jX;^+ucfGH>=Ua6<;XPk6?~(K9 z&6^fmFTJdnAB}QM@^1G||329;t|vfrBw9S;+pS<>Py3F0W9sK@h@InpQR?Gsb_<+l z*{ABJkG)e$9YQ`hYQ7>;jNorEUe@WN9;LrE=<6$+D>vZ;Uq7Tti=n*umE*k^nAhXz zGl@8p`hCFpdoR1-m9ob5!p$jlkAWbAGR7G7L7^K-nWVG#$rH>eUL#Hhv7KTHk?}Or&=jClY*cs9reeY7sn+tBWbm zE^__mYT-fa!z@Hw>!aYKjDGXh(_B98#<8bhrW0xlK}lx${Mx|Pw4?%W((Ycl0eQup zyG6X~fn?U#oIjIV6M=nS`aRK?NYC;9BiFpaQFM9#L}~Tog{M}(<+w}J_}nRjB&OEx z%zT7>aS(GQ|3;*yxOqBebq%0&r>22H4M;t86qqN={I6X`!kDpu&|cV!3o!0&MgTLT zYvoCRvXF=wXtE(57-XXGd(6lKibi(unK~@G!5qAX%c?m#pLfhCRhc@_z+etclV#PS z6JwKIuA3-H#Z8o%5IPnpM9QjPe1d_fb;($00mE}L3<>Eg72f6h&@t>f^VZCG|4=l`cHV+*WRo2WD%~D^Gw`5cM;CiT%)t?JjLFS z0TZ&1T^FT=?dtft|8>pbOndJ_c}{dl(xFmEuZP7VykW?(`~{-TH99wvwklIPU#iXZ z*P6OI5uea_D9kG$Fe%0MS?j+u&P;-$UBJ^k0Z&5(?heW^?C2GhYU_2yAU}L!fBzI| zd&^-FuG-yunZ5vbv&wV>cmCMF=2)(;n~cg`fXlHaHHM!uS2&h4Lo00-;bod4GO>?8 zkA)^>Bh_t3x;JXZvvWN?_~1elQQ7Z4yBVHpIF{E}m~%XzV*i@ZT0DrjJASupxakTd zS%jMu9asoa@!^`(y1#Wg z_#OfHqr4YP;J%Hmr{K+ftlYk@3aTCyuj4l!D!eop-!Klil*?n*5wu67l&Jp#@zbwW^IG9vtoihk!smvg4D--e^%(_B* z(d=O`p`e&6NgI0_1beV_RT=M2oUdvOd@|Jq+-JGyvK=&mr%8&%0^s3LY}^I%e@(mQ z3-GdvH3YcHYW>Ht8l7SFlD8pAZPdmd_8KB?QeE$Q>Ax((Pgtr`3!hD~qdRHZz8=5l z=DZliff-WA9di=$ZA6789d@<%Mn+2||7jav7QxixKn2=VaFE?2;f`LVFAUC8oAla| zAxS9^8(Yw5TdI%zt4GUT0p0bp)K@@D{Qk~p>Ub#9M1)I{1ymb(igLAM`4~@mj-b73 zHP;bUu&I}za9eNREGZvuqOQ*pzVa8f+&$3lk7hx?08fzei!OrXd0Sd&l2>YeBT5-V zwM?$97f!^N^nA)zwDnI6-?4nWwRbtKqxV!6&E?%q-QM5PTUD_{$tTnmn)KmfM&S0R zkgM>ni=R+w)6DF`$|5}NmP}i(XlrY)Qq>}SvNa1l{UrAz`s}gLxD3L3la74lIi#;K zD~%RAK*y9(W3+eWVdkEw8SesI_aWB54ljl6kvQbgd3969bA|=D*Ido`O7)-4_L`Li zCIqVh+gWKhE0K3wCw2>PCSNknF;IXp8Z;4Cp6D-*9c?TCR-?b%En}`c9h8vo==~DC z)@v1lagVRw!%5e)tF`y3gOWM|T|@mSndTSak+2%#sN%!oPaN_~*VMNeua-PS_NDLS#L5SI>l9`6uaL ze?-u}3NS4G*B`-Degd8{ntVpN)UjM;!FFV)yk=a$>BzlPxo3*b9^G4ersr5*>_N$= zkm=Cc`;JQ8$KSF1xQLqXaXi9_b_8t;GT@gtyuXq28Ke< zYk$VX06PR0Xo3Itcfe}`I#-;9)j)giyJ71)piiBWtEPZS&vp2!oV$6$PlI}8HLYoA zL8sJx25KKvp5WrRp#6Pa_z1Y_>5rmnP@#GI`Jh88sAb+0CFMI?#v3_WfR_g*_4?P0 z7cIi|Zf1a%4M~l$1*48ocR3dM`c=bfWtL;XMv8$x%k0?b0!mgnLu@^~YBDO_S51Hc z7^OVB*|)UViU?gPjtO zK6N^&WlUDQ1g=D2qJ)h)>I_Sk0}f6u|pCv<2W+oQIj_Y@He$B z*PQ;}NCW@tt_jy&sj$b)vBz9U|Er*XP7r{8``mJ^zUP_~4XN?&YdX0f$1}Gt#p*ay zN=aeSMb~W{*s+b40*H(H7S(f-m2vXrsxSZz^kJ8TNhA!JwZMP_M>aANMeIas(MI39 zmOG9+!%%XKA8gTH_a~YJPUen6Y#N)CZ2WY^LwJD4vSAVVDUben!=`#gGF0W&q9MQd za8SAPyI~nz%`m3NxKyiX{PT#g1YsIZR}4;l#p=6)yLTgAzEZ(;$shB^tGInPg0ymS zgBob~p!x{CcR*v5SbSrN8__%o>jl=80J`dEBRbJO$|~R z1W>>Y!8v_g)( zM{kiyf){i?zf6*#l=HZ5p5#$hgR~`h8q9m0xtB zcUetgX{*s@TnZ~>l~KDy@?7Q&4wQ1+kbiZMbC&gh`(=AAEeUf@`q?LGPs|<|5oYgX zd*X^s>|LIYT%dBH6_a%{lhc>ub_PF}T=lecFUnU~D4L{g?5DQMtGM~z29CJ>cC*l> z+P*V6tC%R&v@y~ABn|$Y6|Knmhm9=FTpE!N@I1u_1d+Mpp9K_MfhtkaS*nyiewnyM zx1}PJ)cV@#7l1?_CDqCXft*RTfd9y8`Mk)h$&O+&3?UQ8(_p?V`aP8kdY!>JYxi@6 zRpH5Hgav$^&&k9{MR7Sp6(<^oG?*OxjR(g8N>=4o(=b(t4ZfEQFzolv1cv%`THp}q z6Rk0djR!c0Wj<4YmbTJ08Qr;w#c_6>DPKe~v1%D##!e)WI-lfJB9OS9H!OT#3K)zX zh|*&MK1fo=*6Rnpa#5tc?%Q-^Ko#ZCj&QhU1&ARkGYDL1Xm|m_NeaqbwgnO;P#dC= z8Dy3jTgA6~W1l>nWhIJOwc^nB1Go`GOuJ%M-C6;{R0;)ysg9QNpsvQ@R4u?2>OwLM|8v2;I-sX6CKK?QAaUid^D<{}@$q*8+wtYO$%VtGY3e^hxs4&9oZ$)e2aj=jAYixdgJ7qxdvj_!x}=^jD);>mQ})Pfw{QHMyfFE)(JP6{u~lyMY3( zRotqOS!%slou~q@HhHxk!*nlpVD)=AO;#XO&d7^^TE8Wex5L+lvfq{Y2-8N`Qo-{A zQA=QKkOs~no(|IlpkmwW=$fg^D|bFFHyW^Cc5!^~=&WEhnluX?{aUpqO*o)Vpm`Ld)2-dU5nT`*6Cb?cDIdJVXxB zCp!w6tpkHA9oge6HhW_kwoxlEn`|_|0^uaDe^+Q{>>IhLo3S^BN?_VH@!j_%@62_F z0=+j`Q?=`r$zU~R{-55M=S9w@VQI2OX3%{Q`}m1WK2xyG?7Ystm&z4{B=KaJ1gJkv zlOnJ1M{=X5Zegm5Sp}Dop8==-3<7it-YpOX;E$6>>1} zC2fqh$LH2Zt>`wk_Jw;x{y&-*?wRful(}o@e0^2w-lqKz(wf~+<9-SGN3EDPHk^-I z!T(zs(pCuaJa@?^{8H`;G3=M^?gtThR?tKc^%=XGN9cq74pJx%kf6wp8f-g0hW zBCnKW(E~l{trVfM!0;>gJ`6jt=Hc#V*jP327gIrSbPG1t1Yo_=l_?|d*e{G*v)Yo! zUsXJdW@0{TemL4H-r>IRFX1efZL4;%sW~NI$I9Bi21$}C%9Y>FDnVGlJgjU;elb6i zy~6|Dtnh7UKID2@o6AuB%c9w(IK=s+Ri&Wg$({U(zG9qFBtj(rl->)+BIo6HY= z!_!9%B#+9VkrN&&5QWV$5q+4&;7U~Rz1d0FaB94)0T0i_6FYYrd2;k6p1(6}Ad}R{e zjsy%G5fkAOe~kccG+ap(UO?FCkcQl=_<6U0f~}$eEFw6vfU2(Yz++H$zt-cKu2;sn zIIZLvw_c{mCz3ZeWDr?D#UU~Xc4NNy2DCPC%`lc*Dg_5(3s4stgYU;@M33a`#t&wA z4N>#L8q|)2np5w*>)&;SE4R~TZRz;LobnPYUXXYDt2Ox@eKxqfF#0`71AMbm2KB`Y zclG@VDT8|d3b_#Sp0OY8ATcovECO9}qFvzy=UJB&PDQj4Uq2}!1)Xz+%2T|et-&*Q z!fB*gI1^TCr2tG)5qJdUNg_k0&6aY$F;fu7V+S4`mT>_V@5ink)G%k4@timcw>FQX zqtYP9%M|Yq!6G6O-C9Q%5uB09tBM2k^)6kuaej91ZrFqX`XR44N!(pTLL(GaxWQ5W zWph@YX~!F|m4GVJDB|GcbbXOz>y4S8T0B|U_@j`m`x+i~_#Sm3saw9O_L;d0QDko5 zbx>yQ1XX{YeKl|rTi3#I-+EG$mH6q-xM9x4N$ei_Y$ zNJu@*oO!}q1=M}N?I{k@8b#(`=XCD~b~RF5H#^-fa8Qmnn@!zOoLfe}?{e)M_m7_> zV)P{+ahOU~VIlIoc)@slO44=1NQ3d%qw|K*^ZylC^E7M4+-jr-LDc^*!K<%YQBLQ~ z<~+@#l8nb!w+HtvP~15Tztx!dR{)Mi%-)r-5_$3_%oT#C#{Yi^@Qe2%6nDzx`^rvd z6rC@A-Cj?%{koN(x4oe}nCst&Et4-{Z;`zv@-T$hoKH!%C#y9z{Iva6AldLn`wtbF zg=z!XPL&}54=>wtv!T;kwO4qL%0+vjKA6{)Y26n!9s#8`)9b=`;xsaOjoQetY(ZZ_ zHpVX&*p($h5h8>GPurx>J>r>TG{gv0EU@Q^)NiV0jp?*yTrYXyL{BHzNxMiO%yM{y zFEXq8d9*k_CK(LsltY#$_k0x>?v1_&Z4wAzRQDBFj)mz=B}h;ocy!)uvIx-sJkW42 z9OkFC^Z>YP!xtIK?rhCMi^LtJP6y7NHP@+d58o?-+@s5P5#c9X$K5GTAw!ZwhoPW( zwUduEI9TIl1t|oI{N~xh6}Mx>P8b#AX&DvcYw>9qD}wu#_>(mzk~LDpkFDXy9`W;q z3G*8!ekFerE>p{A3q}>GLMxk|C2EJ0-vG=-dd_VYlQMKruheRcO$q7n#^tN#DHQs- z>)B=UbmMIK`xN`o7mWtxP>skgP>)?6jyrs*{I$k6 zsLPK3%exN+r<=7&@ub^&ljwrbhojJY7ZHt%LL!Iijp~JE z=oH4uefyfC?Z@NjAZnOrE>H}eDgh-T(k&5U zbg_ts^Mj4*HDhkK;|^24XM5$o)R|?`p8$vGl<#egLql8bR|VLC1glvq^kd{3bc(EX z&-?UT?)va2_a>ouVjsywKQ8mbhJMhB5RC6X`oT5n#2W6{8!BHgV;-s- zI~V8brQyho7UUnMfrIL*MpCd<728{njEpzEb0G;E>!#tqD{>KJaqa7p*8A<_d;O9z zM%=d$koJ<7I8EQln!Zz=-?liv?Y6^~yvs&;a*qf1X`oYRn+FS{Vatx)P8~mdRWF>F z`+&m|kIAnQ%aFAL(t!Q-MJSp4eDZ+U});k z5USbW?Rv}M<*$yK{uAzPbE;!HZ}5@Y_Ao~rv34@CcB*qyi*r)99n<7p)2ZfvPEY{} z0^adVDXr@I9dTr!B&(y~3+j=HtaxlOzVpPc;$It5w;ks?p+) zpTTZ?OqrrS&A^#TcpUayK<|8JTEgeO!#K>rwoGj7eS zhT|}w(zcnge@RfBR@E4dZ5iL+<>Yo=dTuS_-T_t2n2nx=GMfaf6l2f7GM&#x!bcm| zqx}BC|Kvb>?Zn$UW?2Hpg%4PxSNkx1{L`c5=7Zwb14*w3XqF`$mYZM31MqifZ z9KfHi{lmdb5le%v`e{qzU=nY(SP_dz5sN?i%LT?8wLv^>YC_xjw9ibKCtUMRqCJQN zq2D{RdnWI8O};n#-)i^2g+s$gO2bI$8E@%n355|F`_0tnPm- z&V*BFmGdHMfN=f&pd&$A;q zr6Hb}o3%kERy4se2-eeBoQS3+i5rU&?|pIZ2IJhtr8)#PWXfhEp6;CUb3eaLD!!{f-9kqff1o*)jquC@-+5$dT%oIVIFbE% zEW0~LC%s75<{L&F5=M+Ks`gk^P5Ag96K0e_0#5#a<^-8v{5?M?OM2;_ii>cSmnrUf z^z?vni!l2S>YH*B?$xNmJvJRc>>~%+;N?Bt5Pjy^zrsAbC-7-kV4-;>pnXLaCykCQ zjgHDQ)XX!~c}E~}S730S4gJV*tIo86STb#q;;vC(k?U#li1+rVEwRrIIw-RuS3&Ln zncQ>)IYHmFW-_fD`Xi&tCggNb&ZQGw+W(TEI5kZnsv=jOzf0AFm$RzMrK};5O{@_j zjKFY5`lx{TR*@c2v3y{&HP6q2Xwo7gRjr_m;l_PwD0_tKa-a#y$|4o`=Q-+1$dI{^ z$TalXmi6ZxmTP;Z`dZc)FDnu1dx1NM0gY#o%LEG^J^w2kpk9cLaxQy-9mltsAEy^Q zUZ+r~r-y@I$!y|$RKom_iJvmuuiu|cG>J@<27YV{KlY5DFHe|<_U)MFD3vm96jn%W z2*GTYVo$Zav}?03QhbW0N|k~lh+B)GdfDa^N!Ktc`zn4&K+YpKH|@orM4X)v)TTZE zs=5+p$Yb=9wK>&Xk;&1B5@RX3Mt#sMHP4dY{e@|^;Gwu5j)X#;t z@v^+RZ@MKh@VG~kfpeDW7zqx*Pe@ktY5AVIobwRSHEbNsB(Cw20X_;bum)X z(|nh}Q_CfMu8n^<_bg=3zM3LRPj0V7PINJO=_tAUOs`MpNuQ1=zr{Ge#nN2zy~X4h zUnk9ACr!LQ9qvAzhby-HT!OpTM+~{0jbDke-3YbR3miQ^GQqZ0Y_1m34{ALFEIEzhkh78I>^Bqr zq6gXK1V431Sqk@a)ij${SkJw^*i2lW+$t=W(@uH*ece;`PoTJ#!5~5<%fPfozNQ28 z(kz>C1Q9QKB5!Y>+{rfwrg_^U_oIIB=&fZy8CtiNxxX?M7U9Z)^4&Z{ z+uV?cfae>W{9Uq!foE7Sn;%!fgHn0+1G%@P@)8@&RSKC>*TqYbPm1v>dOY-|R*d~7 zq*&mkjUNboyp~Q4319!NtmYr}bxHUo$axQI|1+>==%<2qu_3dTT`~YYKdb#P{K^|1 z`KaXg_n*dWN?O?znSqDaR25=hLR=8#&3~LDs=o&HCHyhZ5Bs2u;e|4?;*f)A4#yy~ zTa80rScND+kg_qzAFVGno=+aPs;rm()a#LP$aroFt3mr2U_MCy@HA z@YJLYn0E+dYx*HbwOd46&=!vi5Ai8|riPzYMFjVTxV59 z9<5R1z@X*{4ll=04p=ani(^+kXQ|;PYWAX9QQ>h81NjhPh*coYAL(4KPxD16vBn{D zGv4g@&Ll&`7R^J(oDSqO?lIy?^Sf_*D`k_sT9J$fS;e9c9?Uyj{&ox-_N1M@{!H;; za61@=x;x2mf^UDXfrRMXUQRDO72H+*&s4^e(g^%W7h9Xgyf3Q zof*g0gD9JYc7Zpj#b5^Z)D?9|&$ZMw-?^VDPbio zVWs?9VEnb<3xpG#ezQ1T8hU5W zE>`!{@-0tMIBkUp9O3+t6~-Gfo!e*nOah*v`{op(_VQfnk+7E*#lNLyO05iMe#AvK zEt%iw;8VnQYBA#i!MRx*q@I6Mp4rcUvg87WZ7>y;K_qqd?|Z!aLNTzy||i%1b!4O zH79S!>Nt4Dle-SaXNx4l157rIjg0+@6^CfJfxkU!iqQB1CsO4jmcISV}h{t3nh{fH0Rn6ogDcJMIrf4=?0*29S4 z0P{xOmUOdZtLA9Kj*>b3fD0#&V5>^dyW9ZO;Lc|g`qF>~=H!p0jSYNmHzf;u<~^zW z_+@+V^T*>dJH{t$7<;6nVWp2uzdzzK*_(`S#_gGNUvEw}G*)_c2S4X^b+^Z)Z^G;m zCVTJCXbFfOHgII37thH3U`$=qk*WXjhwY`l60urzby5ZH{ZqOG(bvYU->U28R^wrF zdIEc!ff2)(jrqV_|0PE=#CqG(;3?!zZMkD$IYsFGpa7Bl3SNeD-}}K@ zN#+!gl&1l#y=)%>G&Qc__`WWp@lcvt6c|`5WR0l*#wbY!9;c~}ib)TWaU0977Bal^ z=E(PPIz)!n&)up1iv4wN)a1E)0`I(wHjyFxPb?ex{;zWnt<|~Lwd&1@f&W^zXK>({ zkj~%QFlL;pKv_SA1#cvY#Qf5*aZ%+&Pv}LIt^e&uy%c>!1~2l)T=P~j8q1vSsF{`r zre=?&-q_V?66R=ltub{`Jh{59sHf(PAdQ$#pnm830a!~4b)bzUz6c%fBWTRkZ1L~= zOx}s^dMQfEf~2+lD&4l#Ygys?xp_8=3>Q??jnnDU_rFDu?dK?@m{Z?s&WKO6 zkCo)vGDppLg3EX^ye(RhbSmi-t+LlU zGBl@+cm}$V)61N^Nk}R!w|Xnh%e!Oj0yX=4k`pI?!!fsZNz6gRRSsLN-Qg9m5ZHHT z05H4M_`|J!6d`bs8z$@Nz0J(rCM8cx==2iN42+b!;Nsq2(M#)W*lNl`pWA@N5DfR8 zfkOwxde%NW%_$R>{$aQF?Ln!Sg!A+~6Fx2N!|4}Y1XuP0gYkgoPb^wFg)0e^`G0w1 za9On;wINvN?3sAry12FjTul&*{!nfJyyvhYV5xcKF=DJFRSdx$!C2PbzXj0tXi|*z ztH4%M_5a{8<04T&k=tmSyv65y!bGk=$#mmrw(YXx?K zOMVkP0j`f*D+*O?fWnTQ!uk!F{97t@;Mi%dhyw9e%fyH?QpOo)!`hjZhz6lrZle`5cY*e?p6$?~hiM94{M) zYL49D^N!e(#YadfJ{FU`Wz!tHK0PCt{Xj_!AX=#|l??LoB5Bf5b+3eWqtv$9405j! z8CA)GDys76iPvc}K~V?&Qklmj(Jem$K=iSTgA_<|UIJ=w$X`@8AumKtsBH#heQiGfQuC6S1(S`NeVOAMY z)8C-1hQFY!>_1Qz$NvG!+LAn*K@0~RlCKE<8_H@5ktSX7X*v~*V&Qq@!^-ohiHz*1 zml+ublMM%^Xpi>2He`R;Zo69BBk>?!4c$ zos)GHd`T}v!H?lkZT(*DVU-4jyvRq&*F?7|A67MqnuqGpJ!yIRCD7|fDCRRBLw2Pb z3ZKFWVA?j_WYU{h4!Cxow4;KCV+~jn(IEnzvnGK6l$s#g2+fkq`k9!A3B2gmnN=yY zJdt=;*^V^#Bmb6q<$1QRqU3XR&+WC^T&1}SFm4PJVFrZ%1!H}22H;}PgBAdOdDO-H z3&1){&z)S&KQ?Qpf=09Au#A;coO0l#IOJbe@^33F0*t|gl&ZX#N%&jf4SMADzKf&@ z>h?~%_Rb=TP(t8gx!ikrGN-+2nA2yw&%to|GK$F13o*SmG(5h>IrCu12jQhimlQA( z>{i8A)f5f?T5iCdcXw+1lFseHPnOJse07<%26Y*+Gj9R-=Hrqz>PGQTm{Q=6V&KOR zbMKPTw-`;ty#ro<;$Rh^bRk5qV_midi4}P^X zoDRI2p2gkbRMxWf$yIWu4JRAM;#ZS%UWClpM3M14+sY^QY6f<$+P!^4UhcC3E8D>X}-=A zCiEKT$@4zrc~>xeMmOH9Qv^I^n=fXRY5W==Zj?=LA6AcVo>VU}MFY)Cp;XS$?s}l2 z*t~m4A=iv^!zJ@4a5vgxZ*XCkiq@5sl{bhO zy>*D?Bt2uMZG(`ecE4SaTZVmb?=?N|XAT^Z7=8~N_)qgHXtX!LqkQcB+-7UnFp6G^ z^z4lE>>P5nXhB;>TOdZg5F>o3Coj|!BpfAQxGHs6rJg%QATu0r1qBgNsa)`JWYUgJxdcfSTCjy3W} zU40S9#Lx~n^E6*&tSWa<7t;dxboJA_M0TN?>6;6{4g76w+Hx-zHb9f_`_}u9Se5Cc zSMWTIq_SjSNU5^r1RI-hj^X6q6iDND78VIV+Dj1l&4Eu(ice1|9BwQe?!F`bX;*xq z88fgQGY|)#o*bWkP-Df*Ff3eaT<#86Ay0V9>jdl1V~qlV+3~2a6Z+KUDVYc)p_8*| zuRq^Cl!0DUMD$_HLU*NTTBy}DF{1e6LVh;bfwc5;q zW7OE;EE$r$i8Tyxxl`H=K-fk<-Rx4qJ()8xckyRZ;P$9&>jcbFa;H&@Xmm`Tlc421sxU|IeHtGyT%v^MkU~ z{<%1WxZLcaG>7`vDZHcTTnqk9ub^hPHwS_58=a^Er8#aFC{5Bz{LGlf*Q;@deg>LrU= ze4MRkIu6_6&`S>C=)?IR%9vgpJx|ax7i?`EMgPbrRs3vv$@o?L6#tf>xbFKaz8rn+ zf0r$8!;o3kXCiAttYxEBeF>WHT8*)y@ok!Ik?&4Q@Atqt;{PWHrGp!YZt$^>WeL^@ zU6Ps>NZFP8gPR~Ca*dn8Kidpteo+tDs^3Z^TS>Y}~ z>;5r-iWV>Z;8T~HrBJMXl8nDUla2H_b~xc`JLX!NYaw22iT1@;55`x=6IJ9ERTMb> z?+H#d(n9wZQ$Vh(R`Xw};7a19zh4ZlSN*3V)bSAGZJukK1RU4QP<4YL8?wgjj0x#_ zW_<@LYQOF$P-9jFz~&;1KQjND-Q5{Si`{sATA~l4aAsCCg>bW}Kj*;zM2i23QaAu6 z9N@9@pkVjGdb4j{yKf#2{wH$$Pt=-&?m{l40+_bM`n;+yJ(an*<9{CanZRsh3je@R zkH2Q>fsZBqfuZJRmJcb=E+=RU*bdq`>M=C_@P`Q!C;unL(wu~N;Ls2>wX>0>9>KXZ z_j4{}(wFjUCdSN9cXaa*>zq}HF7?+ZmN6&oIQRtHCDapi4w0l)cqiGduDGVt5yr|V zdopQTbQ*gR>nomFr>SAT8kgua7U@QO!(N1hy}%b8{H;7$zu6th_T%mTWsp!)%?J9H zlYse0as#I6IOKvyn2$V=xP$}i5f8i&7F?tfP`+6k^VIItkuQ5xW|2xxbZhkWmRZ!6 zS&zu`ame#O%B;VYS^pH_kseX^*Xi|K9CDV@+mF>qLWVIzhNbCTe#%Z;{q2fR5p_ed zA_KApkJa%1BcCoyLS>}J`&8a-!lFm?oqd~;DdY2=87;;xnd+AeCfX%Ums=;<0;h333J#IrE|N=*>T!4g;q#3;!btWT&#(zHYPqeFE`qqP`UUsHj2cABxD` zoOhD;^4G}^IV*HsF#YZ~viXyjP5Q=iu~4u4E#L89O66+bjZ*{cmbfauxI8OtDV5Sq zU;4Sal_VBU>penXPZ)9d)=@1jzv zV_dzvmw~eHn@TlGm@w{o%dAr^o2{_h<(^QP9QD}wALZTDf$|kZwRGNog(Rz&FT~eP zx@I;~Cu$kvTbiwq*GpBk+-%!6mZdW^E95%&z5Np0Y^yse`$b_#M@2X?+Db_6b{MPAv?mZ@be_D2Q?rbmaI_3)2 z;cnS$39s$(k>3|$zlWMmjAoVZOx#pGyiV)d>33>LoAh&?H~<{o4`(<|^C=1Z(h^E= zBD>gFhNBN(<*NAZ%nxd^MykU^+bKnO*gs8p_!*w=^&G77L(4t!u~OEq82?VfR(+>- zyh6b&Naeehf!n&nCfc-UJk!GE!m!a}t(+AA`AInek-fj{)#$q_eN+oBDCo|l&U?H%O zYt^B3e1a9+bo|D8n~Ru>RECry+Dr8H?i((Qn?WS*BK;=J3eK4e-@mb95i-4P6=+Ju zsErnXl)}S?HE1I;eeJvT>x_nOT$F~PWl8g2!TIOon$*t=xTwXt_HND!q9;YVrUp+tJzDAqaZ$m= zN8i$dG2?#lxEkR<=X9t0!frhNj(|Pza|R>C<~fNjkzW%v=S?$+9uE%3EfVQI>HrU{ zq8y_Ethx8iyz?&*?OPk|CmaZIB-`?EDxM_UDv5vbV7kw(X5GwOE=-KBp0 z)3emUW6^z7;{pk_TuSpD8hvwH{ZT|CMpsE3QB^8?ar4HKh9PIkKLt!b7r2-s3@I&a zD@Gwi?ob9(m4(HXlZRc%^%vbM`*>yz}1uzUkGgS65f}RCiZbt^Z$DP0t6TP>ZI`KK4WX zx`Gex@W3zoT*HXrC*Dq&kwPgaBceOexzx|9ghJVux(Q%L-n02oB2$cYzi22tj~Zq% za;<3seOt7it$3TUu5R*6WMyrwrp!u({in>+FtQXdD26TzQCW@$L!H{&C=9etlg(Pm z5#giqk8UL06{4fy6bp(G{=RFc^X&U4-nB0VaSt>;U3h6zZOlOt-}QH1B(xw%;G6HX zYpfiM2G>a{mAXSGd{ihgA`xwq_oeU1J41}iUV@?!Qjm8>LJb~oAW~&v+E$;J!$&C2 z4#l|!+3|zu+zLIppn`Y%M0(hX*6yXQ^#^|~O-uTLe}~6xqg61&dn``~Dt;7dfGM9t zO~GeHiNn{ot%+-9jm+ zdxa~yvz)UWwxtdRilJq8_xb_78jm8~WnVd0D<3HA-?15^t$I9Gu_|jb^oH!K;ENY% z^}9V8lY=<@BZF!z^XeKwRZKg+-1m%?c# zm7lla{0xCi;jEQJ?O5KEa2QPJ?SncAi0?d*bQmjRiDWCU2g#R24e2Bu7EZcL^L}>ycm&R&tuI3z&Bq*G#mOc5RXM3G zll-?^Hi{i=yXRNyQo2*L--yM#RGOo2zkRq#UZ>WVZOZK>%z1o9DDxhDX7^F_wcK3s zPkdzx?j+5A77+?~(h4K(jTDGI@ox;F+j@w-Rz!Y#U2-Ti0g8MC5u*#`gM?~?LJ1k( ziMro7r(m@=i;oeJBno9S7Q(qxIZDPtghRme#1&a0AzKpETeU}eyNmqG8N9|^6Ec~` zlyHN{l!b>*)Cga0f(RTzfUE8b(N;eD5Q0NX+~UDddA@glRvNcLWJn^U;J}9jlfFYw zk0i+P>j_U-*@TT`|Ek~!C7-r|hQ0xzz5%7d1ct$cl0mJ!fvAqbA7)_7D6tDDvCAtl z$tj^PF8ShRFnKWg{X4#{K`>P7sdY5{p z5W21kj}cl3!n|8Av2D4V zA#Nvb9o#cp*!cZin*nwAxGgbcDsY zc5-9DA zeRDnWq4!o)-MoLibA7ZbsNJrt_bumxI+!n}AZXfxpv9J(vF3xKAzTuS5ybQyGCm&| z=BSb67EL7!wOCRgFNyOH;I@fAw_o*@B9iX0jGcqpQV-y3tOawpD7v^P68={Hr%aH@ zZIsBxc2P`_V67`e^p+bAi$|XmJdTO}=yzzUP#c#sEz4d!?|t*&fw!vmQ?_C;a{Y9? z59Ilu0Ea=sXy?loc>(7^O@aUiBq z>gc>J-nSwM;We#zS@o%@8aw!z{D#XhRwuOei#usH%Sh?b!VW7n7GFYYG&VX}8Al^_ zr)%Piu}5Sn#55-yUvU)XS$ea3X?XXaR#jAEcS21&zwWbcu!bq9J3tg6cNX#LIwig1QsZmB zG6|%to~EN>hVikyW;DY>YNNso?A?nnMnhp}LMh~$;oJJ`-p{8b*9>6^7ZJR*yA{oA z!zB;xvg52rca15pYwPaVqgDlr-JaQVtP9$r`!vr&!zQHPs~JWU!&7O(*>9n0y(sP4 zWgfG!{S@@>ezMxS3bC{~%i|oVd3ZcI@z(Yu5;`&TwtSJ0Nvia*m9VjpSm}`dgFQ$r zFgU^@9QNX{rk^9y8TWsmCnx6jd1&mej)doh zR4>CGI||MFU-&^=rR~p2iIdcO7ABz=m~l{{^UH`1Ndg$cuI7O-- zpf)H;f|7C`7~bBsct99W4I8-wju@Fi$?)97K2M-064G?0=Xs zbFuD!nZ<0vG@73^fWq#jOI}Z5-#QNQWU~=TTXtZcov+LF?X+V%FpYH{RFNuo6EDrG z@e*{EGTnC2>mKRrHaxHSzMP^bWpvzvwyLOU=4N76d(*wF31IY^{CNxFLZ!aD5$)s5 zm+F+M_jO|nFlw4!YuJklozs{U$i8R!=3D#ii{Hg@$W*T-(RW#a!$k_M=u$%|aU%87 zq^WwmYqAz9Slc!h1Jj_1$#gwX)_=$stq=N^c1-*=GM1_NIJ9!KMC{FV6A1?;tZTTa z3Z<}DbqhU*w~f3(BP_m=5wBC0NZP)3Ly`M~@`BXC%*b#sQIva&u9Ig^jYQ>zbA8A0 zgXQ-#feWd4<2GUBpnK3KxJGAMkomyI@(CwKEz?2CcROFJw+s6XcD4-dP1hx$h!S>3 zOuQHPiQSOs;B|fXgJo+gTjkZv()#}5>31H(KdQ=q+&bA(eFs+px98ZQ&eMBkm5Wls zZaQ-!0z~UvFJ;lo?6u>$B!MBg8Hvu7I^II=z=cDrCL*8_8+y^uLE)O4uqe^2-hG)c zVw~wJBO#RA0e|8~#m8uU?=U|9oFpfRi2|s))Pq6QHF>%7?M&T8;S?3~{7muem2$`> zY>R$-JAfN4PINjOF9xS;($=4?<65-u9Bj~-JXAJ>{T2{zHV#JHq))KBN7PKmcnXsO zFE#AP8MfRW(M=wnp>^&)irAvXX-(D~JfGuGeyb6QdIy#@j*nNzj{a>`8l#WW^FE0w zCp?X$anKX_QfS+v5l14rP?u=oz4qc^@3d5pK&C%}-8Z<@?9|3MUd|b6Umaw(B3Mdp z^3?rNOpd|)<}v#lIR)f&u;(Pz0ViH_)%7g@=JNUjM zpO8*JNp`nu>}`M%m6=##Z<><*OpmUd(0ma?PF~S4EcCwp z-7YkG>z2ybrTIjJ7i)n;Vaa@`AT|e(B7WRNiEwCKL%U^B#pHD5o13Xz56V*M78yWk}WbQK)UmLesRL@mw2~Q5glMBmdIiDigNHiu_83_h2Q~l#8JI`?r~* zJ)07sVy~?hpseDp_(V>+^8p&}Vd zoc64im`Z^k-yC-jM4E=jWRKWBcz@m;M)j#XPn@ee-+gtV?o3-}PIrCH1M*P%$*Jya zNN!>q)q}xys=I2PP@}WOO5B7VCf)}5@$+j=4j&;VwH5u6CNio_1d+~6K{{N9abUJNP?my zw}Tvg+OK^bQzw;8kv_KU3?k(qm3_0=8OHYSD=M#LZ#|oyLufY0FJYN zCZheiz4W3PtHmt1UcF;R`BxUSJu~c!+iWdn81wvHf2td!*>K|X+7V@ohbr(-GuYUY6cw&gKi;6{4)0kIkn6wcXdl&(Y8bR~=EP6TVw6PdPqCJ zcL4tv4x;xdg%otAjtS4V>4Kt)-?2mP@GL^Ypb;xV!O-o>uz|CU3-}MUXWbPBK~c@4 zb|@S(bWI3KtkqT>;x)uMG;dC@(rS;V>!%t(rNhFm&7w?okC^HnHMTA$wytu(xO{-V z4ojl>x$Lr6$Y#h`F}gWF5#B@jhu`qoyB5)N>7{%0R}BW~rMLfBQ5yW-k}y~$*rwm8 z@UoEb^KD&BxDRUJ-2y!=DIzsqfflHas?@~rlcJ*?)M<4~erAbn5xs2@_w+lQ>35Ot zB?0awd6{-O|H&K)%ouQaDT7f>(Gg998a?W5ec;dk%MlW{&*S%*-wT#znU|3*_kN07Y{)1V?t*1O;0Ze$N=s#b^D1_x2WO4350c4W500AKxmu3riK;+qBv#fbfEby z4kCj)dmGk?cLm^Wh=)AV?}XZS7F^yR`suKKSc@|E#y+r zVYceEH|G8v7kcG-|12ZMbGPrC+%`Mom{L!Te2>Ve5Z{Q9hU& z7;w(ewkeOM&7JpJ?W+@7sc_Rjbkcn2)1+_BoZgHvA3xzI=>{K1|(RwT`T}tr#?NZ0c)h9PJ5YisKjB0jrCjIYf zg#&4Wcm=_~64CPS#6)>_d^BrlzElJk`&DH|{^A5(xUh8SMfP29Cwc4XC zLN8RpLFbWqoa$>cd5tU7e09Uvf)d!}V;d4CUC~fRf zCUb?FFz#?dQ-Y<4@W2M()nCSH&sMz?A-w$R`)WlZ_K}j)-Si6T(JVaKR&^xxXz@<4 zyb_{bg)!6+GDc@gfS)DMb`|P2m$}3PeT~_mm(M|zcgdvWTaji5C8ff8^MXr8hs|TK z$mQZie)0BvevJ&tOKH? zW~T$1&E8>v;^v(uR4S8M#o|zlQQA7|?;oM%&(T$M#OCAA)x{aH#}&S(%H~7D=97e% z9fX(7-nGusWfQSScl|ti93S9G+aDv=Jc8$V(DAdZ*&Yqf7Hg7R=jk=J+2ZFLo5o_% z-nFjQ`8)gZ4dZ2 z0!kAznH-+`MoSavej!gLe7uxDQ*)dj(gyN{X&lFbKWKdp>yfrx6} zC0^Vm-YD@I4ClItzsq|W8tBUcvN_XIMA$^3;o|2@xbhk2`8Oh7QXZ>M*eh&^P z2oL(cpTFaQFC-8Ez{kuebG7R`FRh_XV}&H{AFHv$k!3H*3hpNlr|vC0M^@Oqa>Hlc zPjpMHL`CSNdu0?7YZyeWW0o} zYQxRvDEV<7OVNu!el$*^_xMHA!tNHgv%aZ%$WOxN{|!hQOF#uf33+4htf>2(2q#~* z6^XPB`!tsyhtwE6G37s;GvuH97+4os?@Pf zF~H(76q z$yB-~cB+z25Im>8bL}ZN8bkv!cG6?`j}mP~=; za^tN8KLC_&r3p}mR@<3$a{`DsWL|^D>$Zsq2hsX`g&i7RO_xd(GFTz%c{63*`#X5v zHU~XI4NBckB&7WpFH29PF8!38E}0x;9jZ>`Tf2~E^oIg$+OU19?!~EuDItJFUu-)_ zjyg#C+KFA5D-MTfrypUk$&t!L~NNL*t}KRMTp$4%8GEKT#)UX17f zFjD73?yq14ZN2nToE6pba%}cFgJ!vIzmCl7X(WchvP8^viZ0Z`I;FWrUo8Z8O5-2# zFyd6x%#H$ovTSEyGGZihM}!kp66vGpooUO&o6%4n{Y<|1t=$8jgg>?K#~0ZHe^uu! zpRY^Ipx|9^-Tr00@qP19RQWkYt#q&nlOi8!Zqi-(UlR9E6v>DGZE41%<7-LkOg}I2 zpg~?WvLr#ul?F7d6%DAIkFs4m%1yX@hv*nIp6gd~3vo?t_Z&OO#imz%gdn`FIe^$xoD>X(*}C+ODoBTQjwv=vQWz26%@ZK6nq>cW?=50d`w>s~q;@{y1&JwU z2tb$|CK#9}6doBLhh6&`zI9<>A^>;~8nLPrp?t4HqH}f&)1W-vNnN=Vj415ZlL$g$ z4&oNizu-w3T}z&_VSMyC08cVq$UAsIVhSI2P3niLYrRfHEY>EP8Bo6w_ZOX*hpG#V zez_f%n^?jve%Hf)4qkF5J#VINVBqwA_wr*&$zt^4+}|;!{F3+AVmLC7v_?<7kFH%* zC2zD4W_siv@Vm}qO71GIXL2}j;vXJnZH8>P&mNdYiEDCqrpGhX&6g^}+cgDt=aO|T zbm*Kidr>K@X%;D1Q736DlmUF8)$$Q)&;{LCI^Lp%EiPr+Mheyh2J8slbT&g*>`mJr zNn{`iGTaae#>bT0KxfREXj#0TlSHCrH5la(oOgP0V^Y;#CZ~x+|90Fk=md*kHBF3C3lIo zGO?@S(`TdUZL5MWl!}CB}#0HJjBhrT2xu+%)`mbg52Pk`{WrS>49^u-X&> zzc-v@8u;7jT{HE3ueaWilkm7~Hcdpt?1!?JppB1(Ru;)b*NldI* z;RXOrv?7%tva<*ZbAgyf{`$h=pz*R1>OEQG^%M*{b1@|%tythd1z%4b6tbhOJ zGAeLfy0~4s=m+rO1NioWoTaxoOFJ_v`hNeKB%s7=!3Jx=z!7x4-9% zgd?^AtAfE_@Fi+;ePt{Ias>kn1p_7RL`&wp4%rX9vF>bmK`chH4L=Uo{(zT~`_y#{ zPTAkF-6-1z^*oxT*PCSy$!8-3_tboU@ zSMH?mSZobaKaI1XfFzkz z0hEVHo+TcX$T!M7j+4kM+$T`zXZcI{2iuMor!n*vno@JwH>OJ4?zmkwTx_3dOr-|a zS>P&kPwpMw<`kL#;KHh9<~Q7lN`3A{xirv)``l{fcxZr)E4E+>e02sHsH=YCEq-k3 zn^M4;n7%b^yjq50be}qxAZ!?yz22X$J!t*qV#&VFlY^#=)aW`W0ZIFqp?N|k0YRgYWsOs99uR=8@gtSZu ziuw!Z3-K`M_LMIn6T;9)U5}}G=GIx=fQMy^fx4wLO(McePN-qOnKL z?regy7rR_PIz&PbZzT8aY=TSR+Y#b*ZY=MqK=u2|gqBrg^uat#A1@?LUuRB9VXSXE zJZV5lHI<)lOOf24xu`jy@_QnM*a%@r)SPiW@gDNL?xxyalsvS~eel$cfbn5B3baY| z5~)pOLKjS+(=aU_@0A14)ZYhXFqa@!0iJ=(WK&oK2>=g>Ye561$>h)-3ND-ihY7@K z6O=IpO(#dxX@6>3^{rPQ!Keiba8N*wWh$aZpfE>>W$tZ{j(k9m@0g@7$sns5KmEL@31 z3eVNM$p^+0Mx0hFXY%ngxWP!+%~*_K8?UX3X_6k^J+H!`k+stwc$TVUBMj~}T1-&< zvq_jX4Ngjb>JIY)@5QJ`-E-uaUAzC51@ld}ne1n04s%ED)EpQ3M4j84$ex7Y6BJ2cjJv0Sf*)4JcUCodW2m`8P$J`(^r8kT8pJ9Zi8^|6 z&L{UI4^2JCNr#{=lAQDGtHN$<#ED+#tu+1&Vx=)Jd&FKc(1`6z4)12sgfr0C4ESBP z4)IGm7!ms#Q}B`l<<-Zwc+1ftsO6y%eIHtXP_?J=YQNx~)q2>)cXMr5hzZnGDD+SfE<0m4Vb8WA5Y4E*`^1mY?=7N( zpgpnN-NLY*`5pC}o8}prwCghm0s6%9SZ`&Ix)%nkn(1={1?x^$kEAo3*lr-htRrDwVY{00}RZMa#=Aa|2;6_)b2DzRJyf; zW$r_Vxx{T=(3`J~=w!cDCni*Zn&QAg33}r^vnr)1*C0X#yS%&B?si!G%qnN1@pE9? zVr8xCF!)qIMf(YfE#t!mQenFp?45cT{>+MZxUqd6T%G5T*%&w%oO#z`&W#e&dt*fx zz}8Q#0ClTOgQ)bvg65^;^Z6)@y>Es$&_)lX-BoF95Uyg@Lnmfbvhe(;k}(7wgr?7< zHzuI!)8t6V+44D)4e}Ue>acyeB9L*dL%$|*omoNkmC8d5TINIR1eFvKb)yIVbcZMCUQK>r# z?ZdPM2AOw?3?}v>_YaDfTlhuyI~>hqN-)UEG%LfvZDIHRl{j|r35CQ}C=>LN(Z;?`-0Ius^|Tqh;1kt!mp-3U*xl$!xu=6s1nvtUZhL}iq^J4K zEJ<_#b*uRc0gZXuwr4dA?k1}eDpM2nPrQamhNA?<-XF=_vh3yF=WxsP*TwZV9AE;p zQ8Hhm?WtJZ>2m+0@~XtE^WlXvgZ>_Yns@ANXc8wrC{bvSzyPI$u>)$@&nfVgeo(X_ zW$J<#gJ)k|=2LGYnXu*RM2E*?Sx@^QCYdEZCWp(&=%+m!GTHu(uZ5Y0%`dA z!tu`|I8_Ycj0~adStW1Or7MMQ^>xxe{s(>F?D#ZSZTH6=S}VPe@yX z{o!cZKxZhi0y9#k0 zlPVmHNbH%zShh&SJ@-@k9nFdu;YOs`I3&8uG6WW6;*B>kq`{j^vXLA0KIeU$8131W z(24kZbgULIE-R6WqC~ve?2A;0iH;}dCN&6X^*Uk@uzr>N$)-R)0+2@czD%r%3TUPF zrj{TKIdORPnGeWlu8$c0Wb6(#kc1pZyu2$EYG}hvVVVgX1F|0>lhSvhZsC;bbRIE? zL2Uc2J|d@<%y>tk9npXd5g=!&4v5$}1^^XS1Z^;T|D&H51)dDMf29pDZBrYdu?bG| zyu#_SteL`T=|oP^+dC*jPN|Z5ftbv!gx1RCQv-PIsfNydzKw-Cl|jpL_jxF~j~My6 z=49`DF!|8y&3hB>?ZEd^DW%_{^#{_783bSo*UQZ1?A|7`w3nCpe!Kw(nS zl9;%@tFUR#ydo*X!=zUEMZp+?9Clo50*`}(0HE7K3JUuIXVwJr-_ZoQZPQ6H2he!m z3odXpd)i+5%z~iQFe2bK?T@wRv4K+0S)LEIt{DaPs6wQsMu*atmu~d08eRK!ry**fD%p3hbGVxGlZl0=;l4iJA z)}-B2vr9CTmz)ydgUVYuSj$M0WHJ|%7OivqWI<}M_Ng89WKN|^RpPtz3+IcR3gzePZ5Zk`4q z+6=WSsG*xE4dBGyRC%K*diT+M>5`uu+h!=uvtLXC0cC_a-9q7|GM3%_tS-p8*S=(T z+lQid@4=rG<~Ew$oWT$H+@BAa0ye>}Kz~W=tJ?QHWh1dulA+08DYHOP{9w5*)Q*^} zPS?U(3kDDA5I!A(;zz13i2pcV;eu_BYDbchT#HSWt zi(`sBDc0jNOY;pu2c8r<$v+ULp*A$Fid&(z-Rlp5YaPHPM)MxE3`Vv31Uy%d$E5bS z%z)FlAUk63VmE4UK})Pz>6l6Bn4i22m%L3qcvm5Km*OjkF!{rL5$U%H?TO0%cG6RM z8e>SQs#<2aedHn#0;N>-9QjRDWf`*)zknxP7A~6JuVp>ksvn;Q^hEZPCfO zFaSti)<3YPcrK%(@l>_9BIPjPqzrK3$5k}(>Ry7F4(6%HMC$oAP57o-P6^pkM5buW zq#zn#Z42AWDx*SR1N*mwvlY{R80i(7ZW<_5gAwBlNxH{$2ZVlm_qN2&x6?DfgO*59j_FyA|LQbhebl0#hB_I z3DrFs?0B7kfrhNF&Z{DJFSU3utFkN#)1(}1-^BTMfEHU%ZKHJe@m#~nQeXZ&ziiWJtxH76lLnWedCB+WfREz{ z7oBV0xmS+oE!!;PF?qSNQMaq_@=;~Z!ZWe@X3jKIMt6MgK~VdgOdd_H%6=eVUEo_J zZxb7C6I;}KB!*dK#NXw;2N^m}>aqk@tqfxNh;gxs$J>JAG>?=ac7%~%gLCU%axyW5 zmZ0w_fjX^ox)o9J!5jA_S*gU<4RIpVTpR-Xcd?C0cML(C6-i<*WFzx@@T{~b=F7bv zhwL$-8E?sM^Up%*8!3Q8@c$)mz5yvgLBhmFg-m}-a2TKt{PlPZ*MT+H0YD}I%HL!u z`cn_{wO86yvpuSTWhZ!H^Xr00T%_!el3i-t`lF>BKqt5z5oAjCOVWH$ND#MGU=aC- zDpDFp8`jig>Ws9!$sl$Q{o7>x@Z80N|5+Hz`w8S|Bal-#IXEQ9YB zPBj$Xll?PSJfkxVPO%s73{qMeaNQ?Ff*| zlE{60k^AJtdVfjBZq(0THD+%F`R3l!fGAy1{NfGBXk%cbvzx1Fz3DYOcxSGbW4kzIaW5x^ zp!n^{w)_)nZIkMx0J|XbMnC5qw0_-}4>se{ZV-8gxpG0_VU;`KIYp(0$7L!d`5=l_ zJQ>7$8r6e!T?l0*+t9>d>EM*%n^-Q*OV^wf}oVYbWuujN`1HYEIFMyBm zGUGd)620rIO-7r-D^h=ME@3i`s#5(aHm7nXz3fl6izEs z38J#eYfiuq_{2I(uvDgOfD$l5H&^~(gjO%?+IEt-x%{tVPZ#E+_AUzW7H)Lavvl2# z*pmt0Gh_21W%EhKTL{8iVDIvX-V@fnosy7QU88a!)wPpqD&=tqU7MVgy~=2WW-_Q4 zzOEiZnwb>U;>+huW*SQ1VVZqTc#q@yX?9m(%`bW2g6YwY$G>e9(O3hDXB(8rPOEhQ zQlo@0mWEj@-H|(`9zx+`wtGFAr%uOvaX+Z~>X&0Z zF$_8w^yyCWr#pZ$!1&LIQ%NQC#4tQOs}c!Vn1M_IQQdzGIlU2gs-~BEs|HtH#O$+z z*J~0ABV~3q6H-s7x$fF7UoGK=+FO^Kin7;-PQ8~L{8cmD$ZcfEY|K7tVg zKmchx&cU6!?uswQh`@twp1ieTF8>v9nml=1>w@x*F@=M^tLicmGom^Ogq*hFK7WGS z=!%mh^V<9@-u!@eHz0b(`kfu=4OWv(nM&M!3N?m(C~Yc%Xg0+o(iQ%iF7}r}8Z|p& zT21!~+5-1i<&eUFBcMXp0s4_9LZNvnJ^_M1;qtojzhX`ec_EP@o_DRU#u*cLRYNRd zlle<`oSbg)_IPhw+c55+Rc8frS8rYYruA6{qt2EAPu7?v?t6aYs*0_FecOE~GQYy}iQ=&(D*Hbc{d zJfzgDwb^QW#xN7`8mcoqink4_y+A)_BscMCGFhpp7Xb{3(Z5V~LSb<^P|&{1^=emm zVTZ@hv1Hg6=QbW4$-meFvyX0@ld$oGb^3Z348waM9 zN2DTDyaxpXZtLB`VMo!rC%LC7_$@L9rPb@rv{KVtqQIod7w68;Ucc{e-IRV4JQX43 zFrcgULyJzjh`H$f!-G7T<4OL7w1X;{6nJi;fxq>yh>>%d2K0}R0iO+e55ViW{RKR7 z&aeAh4&}l zA`NVL%cO>}Bn+r6cI$G50lgU&8s4O2gP~2>GV8(Ak|Zt+nFiv92OM)hw9C5q?oynb zx0-BCCA_)KezaMslH>6!0(OOK=pmg{>3bUpI4NKX$OBLX5C`Z~@NSZvHQ6j_QJABD zgg&YTp{Rxtbs!S;pW&x)rK+?Z@?3V5G;>Zt;|=Iu&R)=3L2#J_MQt)-k|6>R3B=)r z);?V$+(sL7*0~-<%CIh{9b!1n%Z{clO~4u`qjB2?cQuUcCyB|Rk^y&|PzD0=T#m*5 zGNzrGJfj^qowV6OR=ZpfLRMQM97-}wmDV(58^=6s6*3VhyzWZ9RBX<8fAR*}{$IR-V{IanK~KJ<4Z)P=TF0SE zx;0xZyLZ`nNk_M8{8y8U3kYiUbCbBobusPUDe@(nCrO2E^>N51>lkX@Iw$UDPn>6F zb7(8E#(pY)A<-b}+kYJYF%x)N2%nLY*xt_$F z6_LJHaOah%<&;DgmrxX!u$7cx zm6U{+aQ`*~+mgNkzPk>91@6)>m)=KLrE=m7gzqb}B zip=zpM*Lp_+x3~^x(a1oLa;;Yx)*Dwdq%A?183r*hAK(WZF|544mJBn_^Hl+4L_X? zF9h5i$OcIe#S}G5aK{_m@)ajYP2eaQ2hm0chNL{)vl9?OaQ-9-Z23`a=8F;*554@( zK`~N@{=besZS;+lUT7{pc;Fc!eVcVyqt}IUe->kZHdy*L+pymH(;ZfqQwlcuj2E7O z)Zww`tfyq@)f`vIK}5AmWBXl0uHIYM(G%rexR_wpage?jH#~u=J*~wRU z$h|9CXuet9T2p2Fsr{~PP1QZf>oG%rbI7m~^~t~DPvb(ktF)DAN_x=^9_2s|20YA*1t#siGPp;p8hKY)fv%<1)N5& z*4Tqt<2Wa_)AK(+Rp6fEc;{;RTCHA{dyWPe;??T0-?@Ta(0|6D%HonPJT{*El)s06 zMq!a>`0AWcUjnvb!LvI9U6i0hAp!HTGefZd_pez3O0pJgycR5xLisqAa?MgR#ac5Z zNCKMl`{(Y`#V~+hJ%C>+%BbR3>(vcic_8TWh!+K4ASh7^u8#lvN7!4L4@mRwBbu5cGY%yxCf`gi1Dls|A8kbr02bRYUdjIu!x@ z>KIej8HgwTBJk~flAzA`Kw=up zw6bYIev+MF!8R<=1Kv+BwEbihZ4*~|I+K0lh#_KEdrt0||C#&Pp@e|u*@4CG0ZVUV zveSj{_|(?@Rk%mfX-Li8rgh85HhoW;EhOY*e>Pk(i3Kw(NZ9Oc|7b910f>5aJs5WZ zD(ZC>F>A5_9D@#3a&e~H-diOn)x^(ghkL6wj!Glq3_epf+E_4|*80_L%Oo5i?E(lQ zu0V9@&~ga?iz?`!1Uv)*njVh2+x@BG;W7hR&L$D{RO#DMSx$wkOZD%WlzG62B4ROZ z@d5x3{yrx6`?IKP_6Y_+P@L;=J>m`%S-zn{HHz&}hs55e!^jeu!?y@Sbth7{Z@C9f zw|>Ql;xs!)fG`r7s9%%;fr1`)S3_Tc!4)~5^m}QaQ`;Wy=EsEadahSO-Rew@X-Qse zRY>Zk@!a6~rNqoI$vJp71A+p?*B;+Y-0dz znX(~B9rqzI6z);ca%+tqSx6h``vMBru-|Xh%jC?6OL_W>qnK<-3VhVvP~RN|kiFlb z^iLK*$dKs;a0w8TOr~!DjdJT+w_#KsF&k32x2Gr#7w$TQkwFbba67I$2HhJ^B#@aj7C;arvlWD+=XP?xz@%(nd7|-%(iwCArs0Th${(Z&7I#8bifDH5JD5O zUqHil^LQ4x{LK?4M3NDm92X-~Z1nWj7Xk)(0r0{^*^?dj7!Z_~=|L|S*C_P~ z@3O5{>YI=QJdti!sH(5I{*%;M*S<#>v@Bqm5yhT`lG<%HAt^(hlzB7Eb^!4n8j(2tTJD4^ZTphxKxj!;n_@B>dd_%q7Vao*OS!0W(+c}0#v(|;Gg zGv0DV91LEm(*s3f*s@1@=_xY~NZmz+%M1~}c6}BmeYua*J7yeq?aOkj{dij@o8wGF z|JKQ1WB9p4T8~kiZ)w4q&7C*6U!vRf_G!5IqIw!{H1B8~AaBAm`kU=-#$noTYcyl7 z>P9{6eqLa{ny+hL#(DYkxNTzHv1M4IXqn~86M(tBPwTa9y=A9Wp z6Dl}h5UOcK7`E<>`%Rbb)1B5N=9qt+vgi4joqL3=_~u~ISr(0Op% z#R#SA)IJEWfEvMqbCeDYqry2YQ)lw#A~#$vL#Fdr1o_JFi1(KLErp`A>$iBn?*M!N z(REJe6`M%?LWMV++prS*-+OW1a7NCLqUn81$I3V0~|K zwpbYwFk)1@gt!({Zv3g@ORO>Y8tlCW$m>)Ss%#aOy=IEhf=?ulOZ7dQ485 zu$Z_fkJU%W2LbL_p>PYj#;8i_RJFpJcOk~#jz3KeN*+x?gQj6`%l26v8jAqwS??m1 zPx!>=GGn+s*)X*(*+({Nc)er2`uLpY_?$zw9b2|NV%RZY*m3FL+R6EsJMa(iuwwIQ zh4Fq!1l^tXyMQ&hJK7Gp({ADUnCVWec?2CGAn85=1F)!1u>^{8Dyu;16p2;jl->!; zzNUte2^TAMTk@_gFjHj09Nr4m`96zo^@zOwMIGjlAXJC_OFr&|2-Cr6qJ{pUhQb`Y zKDe(g@heRnRhz?UhyCW>r(c86F_}0-|5#Yq7Cie>oW1RGaX$ZJT;Ke=O@d!tPPWEr zBi6;k{A~4+VdXznLgk?ZM#G3AlN=LP3}1&{yXd(Ep_RKz@?nr4LeLH+n!QSVX48%y z4j%_}=JdTvY#)cNp5I2WAt77$GFIali6JW{cVx}eZ8%JJ4Kq;Y{5mZy{o7R+*v|yg z#|yBUUIK?qCKzIq{?Q13pai;9vf_j!J9itqHFWQ^C;P*UV&fUMXyN zEE`-4nLgRP`Vk@D*Nrw3v^M5>^+Q7JIus3zim`degF{R@6gB>#3U1m7Zb~LHOhgGi z%B6ig{OYvQ_dT=RiT2W5tj1|2_60JZ*?T0`?6ea10$K2+; z6oY}vDBd|jf~NHZgmL&rZ<>?+F-#e2KP8Tz8}n@_mUQ7aS@kD=*#$N7#v(<$X?iq+ zTv0SPqd5BVu>11<4{dJ&71y$@3kP>6Sa5fT;2vl!5L`lVC%C%>cMTex5Foe)2~Kd= zAPE*cxCQu&>~rtG_c{CAbKe;6jj?+5tXZ?>>h2|7HRYS()fNHO7J1A%In3$5dHkm1 z(5B;3+T2SwT`4U-2)`l9e(3cY`CYTzxEbBw@t@zX%iBD?aWlLpt@Oh`Ux7Jad2@T= ze+wAG2KRb%e#P`6gevE8o|ThRJsE;m42G3kONOEZm?nKL-s8soUIEYtoG>de=t}{T zqZNlxWQUQl#ajnZ4TqJwes|x6!*D*)XA6h%8}N7;VSL9=;=8m=cIwg95Nq@mEYCasEtXjm*ut-lH#^g z1<%qKiOTSldXM_YU%`ZEx>+!Ew<><7_Gy(7DmJnE;P~bGp*^)*#GJ7{lXztPBSI=B z85@)!>_pu_Sevoqw93wm)?o4Q3L7XD6^*Nx;I&@EtZDzA$%XoYBZ{dghR-HA8xXXj z{5G{aIky8Py1{xSw&C*5bH_jA!r7wA*PG=SxIr$EJAhj2uFl_L=UuQ)V0MoI76KZG z&W8jQji97zMg~(J2t(;VHv*HYDH%*@APh{P=a0rSm4OI(1}1Si?f9f>?1H4nvJ8=) z#Sl4dB+&7@$&{p`L0wq(->hWv!m`HShfr4;u!>XBpeZbaXD|hg9TW!BWbn2HUS15Q z{H@(_vILxPsA<6zs-OQyQb=+plym(JOGp`pY5(<4R9THg;Sbc^)8rH%T0D^d&;Y}lrN)S;e-9Io*!MXQOdEX3!wqcm1*y($jHx$hnK9%G47ju(4T2CsagF1|rN~_?Ts*=01 zHS%Zm!T~}J`aK6F0f=2jxYq>l@zaXzATZW&)qT&RqKhnwcn~DxHLt9FRSQU&d-v+x zzI>;$YsYqL`V|;D(YO}{Y;a1YRBb{rgI{M7V!-5R_d@I;z-XrOf*{EqgIpRta{Dzq zsxW-H|NcScn#%jogx_HktDoKG8cBz6@F=<@!bgFDk$Q)n84YnBfH9JT*(#G*jy*yB zkLqKPS9%R?JU3W+4yFTFy!mGVlM%O)H4z!lS2ERWQ-4eaKWE8N#Sy6Uf3Emr3R-|C zR~6-Nn3F6ylZro_QGPh%2s|tGRZ!*{sUY3XL|bJZGF&}hX~X8uV$a9f9OeI(5vhMm zL-(~Cso^uyQ-@9#`%cA7dz4Ij9J;U2f6E>Uz%g^^B<3^3qZ<;3)mdq7#KJ2Rg7-$M z@N-AKbnLPi2GDxvjvzhk^&$Iv;d5jB(RrBB`PQwkSO}?5B=A^hsfr}<<5&;-cs#I| z*09zc1fiv;aUd8ljgrN13_C54_W_Se^cr7Er?Y6_C{KR8A4W zA6WkCv80b|sx6_7E}@;GoD!s*LX)&Xo@9z-%8&TBYz9PASqW`q3GD>s5RF;omm0Hb zxaGv~&)-_aVS(j~Ss#%}*yqpwZhAuSLCkQ8C9sJMOCBx+=so1`%k;i_&A~xg?IW&8 zav-{D*P5e4Z&mLEjJh3NWxNXVZxi}SyCX)-jL{N@t8kg9aIAu)yKOi&Bk zGWQ)+RxMBik=p%q1d{j2VNY4UPyY&8p@mE?H*Sp5K-!-QUw$jfNE`S>^KL+Xu_h{d zYU}0Fpc82qtYtMNDoz2<#WLr{^1F(pqcpA0>}T^^D{QFc^waiR_|r49+uXPf$ z@RQC*P^c${cOU~lhQocpQ8GtZCq~=~deDNXKMYvWk(YrL-EwC-h(AEI1{b=Tx~SXT zs;$!(f^y>XI%gta(H)~K-R$H4sBo1Pd-8~2gGm5&8m7mflO5ea$Q*U;ktIwgIl7R& zQ6GU0T*5s?!oBz;LMI3tRfhPBF$qB|vEW&*-!J5qB2;95_H`w&VKfjGM5VmjF_#eI z&nXr}RqLmE8zW*O5RpG`_+DN4f;~hBjtAFx3>oRE!2?##T})C14(ClMdjHFUUz<>A zEUi)l7!(^aVgndT18*}AtDec2Y*apb?T*=F97*{uEbjPC`9i9m>{aKOoU8|ls+Rsm zfj5Z@h$m8cB||&IrlInxkBt?YmMN4)r3hdpLI}|9D^ROk=v|xA(K#xcLsT(Bv{ET% zf+%K8!_ExCTnLSX35@hYwEn~fyd|_MQq(F|$ub1VvO&z<4$NK7Zcp~^X4ES66ECr= zXm_DsdsUA;`kxTjn|*Qqx?m`;KjvT^%2FFo}`&;jxMia0hp@u})XjNTx>7VcrDa?y-}XpHDIl zyZ9~MrF|BT&PzQNt)< z@*pkR!tSyzd*-1X{+~762)t3e#thjXi`m%|bT?v4T~o%l!N#{i3fRO8*s!9#dO^aCOK)Dx-X6Zq|ovLZE+qhEys;z zi-I>1!X|?)XHT8mA0LcOEKBc5oeL|29RaA0_+ZL^?gB#JW2lo>ArkRaNHly2%Igpb zq!?;k{siSfDx_zBP+$Kyn*Qz}$DQ%Pfil;(DS2o}czqr6tzM!3Ig_~+caa4cP3TJZw}#K(o;q9-^LgWijs|p@|2vWy{aseq*5_|E zeBS89B9VV4E7J92m`Z-q@L9cBfBy_AMhBPQ>P28a-m@5;q}BJ&;`8zT?{@vrcbv-W ze-VjITt^QmSQ(ZGKy|!`r2Kfcw@6tP#<-_&~L;$LzDu%L8K+e7_ zzyJSTSBqm=5G!}hzEhvTa>myE&&D00;L5AM(5Qo{bOhej=j9$rj}E3JOqMc@7h}Dg z-D`8a4=ltz4lM$F{AyQ?Hu_l3>|n3XzqfmFoqV6^YfY2wKgudb!IO-%mRFltl#g3} zMcvv8yS@MHf6;(=dO2+G(?IOl5|&>Do}N?wQv>LTl(%CO_w8f*m( zPl_5Awrhvk7c4!oGbA?{HN@rK=7z)P5P%OWm^&h-;mQyNg|*g)dvh zDjwky|KAO^0<9-ST8mpMW&5qT)`M&=PN}vB3&ZvDPu;dR51N~9obeO6^-(6g6(_t| zASXPsCw1h*v(&@0f!zB4xnutgw|*D5{>{tuiq_Ok>qYtJ3*EB1s|9+Qwc&}pTLpvP zZAYkwOG=7pON#Ic($fplb>gh760EGmKa|LRD1rOe4$#LsJr$^?ny99VqN1p%qR0Z_ z;gRL3BcGn4o}Thm;Nel=sT(a!U+^j^GGjvvV}!|rhspcm|DKcl!xKywxUG4g1aN|z z^JRZ;P0lhm982TuOXDJ`2Ns8gf;t{0su9j|NCJg&#kku^-vtW&jRg9#3chN`IhrRp znu`x)$qr<}F}Tq(xZxFiP5aLsU=ZuZNMMp+Vv-<=%7KE)0W+kDOSY+od}D-qW5idX ziA$lWX0-6@ycdI;hCb0t+MvO}4s{dzG*ninpuylH>ixmMTDHVmHk64rg^4z1$PK6L zO*Q%c;6HVMzM(=N?YMK(gmY8zg;d#vR5(k0T1$Pr0-v-$Hu=9B_iq*cqXU1U4Rx|@ z&&g8%kQJdJ^@lOe^&Ipydv2`a4`Zj(sxPP#DzVHEVNO}$YJ8PgRMpr$rxF>vSLG(R zh0>!FKlm+96^Vr9^UY^}41Tu~l2xiCzwM#E?Fl@^Pdvp(nb235&}WADame~r{a@-p zx|RQTTEP*Ww%PCs+TRwmYsK9eCEOW_Uq{MbN5XBh(f-~x|A$Yr_vRG8>lFXy<@I33 zXvpFnwhLYE0j{ze-p+H0r7tfosvBP_sjxFcI@o19D#_uxsDE!Skwf4Z2xu7y@Cppx z78q#71sWy<8j2%_$o@a*IG00)Z=EqcZ^DhbDB9Zy#f>`o2=NxMX02EqPLC2th8J$_ zCh%r*KS18pTy*+H+MqCzs2tUCn}qju!qQQ`7_PxN9Z(%CS+ot#I`;C#Us$sK(FLeY z+wI!bl;?@M0xXS%IPc&y%4Hgyu|rxVANInxYuJyACg!$OEcaT~(vKw>htps!ckhPx zjmQl2LdA!=1-VGzS2}N=lGNK?^EMwPRwcVzc0|9Ued?=YzgGMu`W@caOH0SKVz{Ti z>45s9>w!AxRYI}-#ocr?8TdpL&GuJ|PQ}2|wt>q9Y|%T;5aE5hU)-|c)#Nk-)HDNu zN%VOIUf>l-rTwwV|1TBZ zh|n;u!LO)sZ#YG4wvx16tEgDM(fvFaRR5KL5KnSd7|WeCi6iVoayAMLYM#}bGwe`t zHI{-X5Na`n7nN(Iy8w;VSocY}fDTnBH?E!>6&Hep3pv8z2DL5%7#Z^-4$_rq)S+GA zSnMeRRG4z6HXC53HO0<`b>NY)JvXm=0*F|0w9R+p9~;gIuA>ASvL$|}MHSv$6b^`z z#dYGF9Yx!^sHR_#*Sv37fAy>`WnEpZwmHP^Hf@%ON9yLKWJ{|4bh};HRS=PT_cm4Z zrebiw3b$683&Eu#-3(<^*-r+O&YbR#qm23hbYK!^I9UAYc|qn>;udv1C8{(p2wJa% zozCVkAhts4?MK#022jSHVIcARj2C2@zfIb#WILjX%&h zeeWxc_G^|b*SyI)egPl0V$b{R=WHKJm~p($IIAL=ocBz6rGrRy2bEw22r&x?v<6)X z5@g7&nwdrSp|JMFq_$=+{HI*W>uMG+{K?&N)*GO764i zU)w_3`J@(e+a2#h_vh>EP{;iguIyH#C(e^D`%pa=%QwZPlnuS~$`(wMW3dsA-G_KD<_wdwslV-P zu$O5L*R)uTdffPWGl&-NhAO@`cG(S|`-M)S6zS@Nzq4OitiG~9Rs!s8=%pev7dhM# z*?2ek_b;yXx(wF<(12j(;Z>JTR@aiah10D&Y-NGU!Yx*B%=wqmUYoBC=t0#f<9CfO z53Y~A>Q7}><_8S>u-9*m?MmCd_|CQr_SYPLG=xmH-^Siwe*%adg|{t^*LyX_xx29r zZ!WB;fCty1Nof9&V^o|lStR)uz$6anHypod!Ssqo2c3?u!qM`(48g}>>j}97$q~X- zCnis04Z|1j8vA&SwQh#%zwiT$fPSy0-JUtCVdnBQO)p)+d$a}2b2uNQLVn9fhOnW>?qO#N5Z!5DEMJ_wQj&yf$c=ghD zw7unRT-SF}(ZPq5YUbGLj)!+ElGOT#WUum^VPGW*1e7(#E8t6q`w1!t%nVJWFECxf zIA5Zk#~v-8KQXz~Zx=3~xk!&Gu0C9T=Vw=^w-53%36?LVX0!l`6j7_{Qwvc5HqJ8d zPE4!={O9U^QEub?z^9=;Lf8FJ14CVu451GIL;(ooCpOpJFHQT~$ze3W_@vfBlbU*E#@7#m4GOa;YDyo$IcIN&5ar?IPIfWr z9UsAWBCcRml>0?Q!jq|zRE`u7juB!Dy&>KIV$J7HrE(hqAM@liQvByE7w_hgK;kxM z;`S_Rf4vBsqB{4>wRxq zC=vL!`>{vrmuC+kYq9`jZHx)sYkbX_n;%pZL3qrlwV!c(=-bn6>O#R%sk8rDb3G6b zAPo<_of9+mi*j=Oewc?(MaBp0gyxX zwaKWoub=U7nf6c_duOG)%BX!t%;LE?K}zuT(GE&Q0f(=L&9)9P1BhO!K`*@!mev@1 z4v=f-zGs{ys83GYJ@NQ*-NRz>X8K+LUWm8s>kc$k*Y{(h_dLd-(}dzj7gW%AV=*B8 zagHj=FuKaERY1EP*XIF)IE(C7*33-4uPmEcsl5HuvM|SKQk~K&xW}%Z7;8}uuON0U zO#X^yw+Xi}F+D0FzEs0|*NGjeTdECWh0JX{(CT3*2cZ{nu$Ym&LO70@ewg<9ZfS}v zvvnsdtpK!)WbgAIB>Et_K}r0C#~h6~~hVVUikkCk=pPM?0{^fKGob zdY_#R-QEpEw)O}fZj0IU11p43G^X)!5YF@Q90mkl>WH&}EK*nuXAr_N^BM&0KxG3L z!iQlGDEALt(u+!k@SttzGTeukA+Wc5Fe}*Bwer&s|AMaX_5zZ)oW!C&mhNt@jtCK@Q z&rN5yTQa0Wlg;Cvy9o?mMX-D9zB0(LK}?ygKl~^V+A2`F64=jDMTvGk4ZC<1Y(x*Y_Q^o&yWvKJ;ePBH z<`s1GxNp>%Yr{(&_S$a@`$nv-h|-^&_cG6es5^D z`Ah4S%8a1hjB+ahrS_+iH#;5`_^r^L7@OwzEBCiI_tzCqacZ^?`sZhP_|=RWqmzC3 zk;)Akrb?YwCf7;nBnWp^MpoqMn4f8_U}7y?k|g!dv20lVXfLHB#4nTAYw&7`<6@xF zN{#Tz?QY=Ope2C7xfNZ)f?C(2+f@e2H<-^quz>Koxk=q%vB(euUGB{714|?TKFW+% zNOA1aLKVyfbxUL*BSTI3;{;c1_eU%D`=V`j7mr7lkW{T9 z;>E^gp(iR)xW;@**)jf*)VBff2pGNgtGxkX9EjVbF!09Y8|-l$@H7I%HiSV7g2au4 zK^pc1mxMuhE(FI4n9dCcVbSj~`IN2dPU_Jqg>8mrBzM_)0|$i>FKZaZ`%~=q z%OIH0G$7Xmo~J0q1hux9*Jy9Ic0pA>Z;D{A1jM&!}h4G z>xHR;aj4lL#9b9t(O7G<-~e15X?A+7a%O@lVqs}^3ph<1Cb7to8K<6ldPu8P?$NW# zs&@;gl~$fwStiiB66|rE8jn%sq)^mxgf$dn3z4okMYuIF^1FB~++brTvPf=3(l0yc^)?5r^4ReV)aQ1fMAdtf46|(h)Y$XxQ^R}Jt`j|>Ir+qi26tA zsJz%lgQn(70*(lsF-k8R8WC}Vn5D{3$Z@P3O}s})owgN^-|Rw^)r(;wUUiAJlwP67L)|C`@#xg zU=|vm9*_3V8={7=lAHTJa-@)Q%5cA6xpaCNFmr1ca*N&^d*C6qUv_&Zf>D7rK{Rd z_Yf$2dJ!H4g|WzU&*kgFv#zOt#*RnWpl?Hh3>wNKm)>=xrz zsaJTeW|vYC?5nlYtfEHY#;nI58Xt8N$jxgj*i@(^BsH6PWqB$Fw}e!2N%jeF!mC_< zY+fLJ9?z^_ty0{5y*fPY?zGfu5PKVTdV5jI1{De(ZNuq7Pf=zHuPqST6#7#>+a9K{J!>Ii%dNCcN5yF^7*!HSj`^(Rc+Cg zXF*opqELoD@a^FG9(B$Sc5UFPBq?Q!VDw*M(cttg+;Pv(Oc=ai%+PHce3>jsp|EwJ2o5VdmAa}%iQ+S z#2vJ7O)v@F)RLCXN6z`Az{D_EntrCxN_1jQ$R^VWwZJMUS~W^M+(NJ;XVXHs!xbe* z5AjN%T$(e=r9`=x9I23F<|%bb(JMNw)aH+Ot~PMJVi7t?VEtLv1(43lqWV*hvM7ux zrQb6Q8hq4BwTgewK-D0nE8<$8fjm>FLCpU|6K+>!5`T0Vt4HiZ_AN(*cz9hWtr95O zAa;Noq(L5Qh^mv+5?fVajFiCnf<9?b-XqL8e7j1^skb>PGAKukiG8Nw>GSqpkP2AP zF91HPi6t1k&J(q-@n}VGRKK^_&u&V|FPzlpK5LwNH00f3PO%4GaNE#`R%*J*4+Spz zkV64gyofX1@jm{Gl%Lri;MQ!qPbvTpvB(2)5M>=O1Csfhry4T~vShJ)ly?*o=tF2e zmcWC{-F!fT!v^jW+23F;j~Br`0Ty7lV~NP3)vHbo%^sKceg9| zeGRN+FI|nBy=BPpK|u(uUac)Lt^ulDBXF@fyeUB3mBHSm*ZBDp+3?oAD~go84domz z6P@6Lf!>Ecn$_lDW~9sk)Aghzl1jUd=j9I9dR3Fq=8PHflL>q@ALptfAXu)tP_sqhwaRC%Ez()c5m zxOoTiYtE2zvG~kNF2>5F=UHS-b6D{5Q`_FsBVm0kIfKv$Rry=mMtq0$R7ct$`RZ!( z1$25@^XWraPO4p(jVk<;zBW|F>Jvk8BR!i@H6#D!t-oP3)tUJ@=O zd&RXn6aZ5QyPL@Nae`G&y1CmWdgU|wm$~%rFSW)BRk}Mo>1V@|eC}NED(JG0jFs~w z1pubwG6fH~;yNgX!5;TD{ak9*Y%|K*0gW}j?5D;0hN1aq)kqPu3~XQ1nrRzzTHd$| zZos)7ObRjw^{4ScE0M1&h{e+(y3*Fz-xnB>Z|o(_&PO(_9PB0GYuQat&NHx8E|1M_ zC*jwctY#~+Y&#D`${X(~h&c=s3MOg;{Of?E2Gv({d8G|Nw05MdWR{`Acq7yT@0fYt zU0&DqX#r*-ZPq#8aEI2rJqFuuy*Q&i1-2J^n0pH9FS^0QDHcnbJt@zUtuseTX7W|A z`2H8`^rP_-9uIP3^Ulyh0?CDkVpcB90Bg`k?yg3!WyP;sr2Muh)P8hVbUVK#3d-l& zRda`$E1+ND@^i3QxTVH50@_im=-p*KgE!im4L)@;*GCm>rMU>QH!%yXD!pG|W9X=F zSUWs%_f_kdd$dxCs8VHCjLYQFe1y)4dp(8HkD$FMjLG3bxj=n(m|je9dqS;Y0m~Fr zKBw{6hi``5uqBq!26Vests1pm_2y-Lar1$=l8Cz;Cej}1cyu;&XC`D)rrtnA^R1f(A z3v6kS2U{957E3q5Og1eJVpmE|I8tX@6q`e-$R)l4ExYuci-^5R>U%a@ z?Elq=#ph~|&d#b`t$Yt-c}hfGebwpdbadp0fqB;kEWXd*D(*g=RAo4PdEJ5L?fdc~ zNCkxfLuCUpe7q{5)ZrO^m9hoaNoNcAp68K+*BLYQ*B)nT{O{gT6vz)<7_~l3tXvG4 zd*)bpSfP6+Ft@Q=-5&p%iw4h`Lm#Hgx+fhFtKC?{6nITB6j%A^sKEU}f)sd_+iR9F z(O_Q>l0kM?`NG1(Vv(OeC$n+yk5JyZ^9h&mPbQ{s%MPCxZ2mg#f_$2`grRjhTC#9(2AuZ3eR`td~KrpkOs_|12gwGUrDXRr2cwEtvnKNV-_y~ee^ zcYbsgXs|obFaPlD<}zAK4hH{HgjYX3B>`HFdoHCh{TqB?PEdihFdm?1A3@839N^Pq zh3dEqT1Lj1LV=7`0K*AA==)ugphCpusr}V_DEr;r=J)KRYd)8ctL-XahWaZL!`N8K zHCEwNoD6)MPrZlA5tX`(rzO+?0_ct-&okEVji|KL+~uzqhpE2|sXCk007AMSB~l!f z_&XhFSoyqIUSKUM!Vtp>VX+sXeuG$V;$iXSMErwkz_CFxRb82foa8!63-sJTYy9)Q z6tBpf*Yf*VcqVu%qy^8T2PW`z!mC~_+dQ>#=zU&(e5gNXf6+u zR2<%HY--cXPK5Y-P=sqi)NnsRqX}&v&EAxi>X2=WSYdLgz+=^7uW`Ypepg&tjri-NbLp&f;|tQI!H+O2%hn6%n_w2c@-$3~zM{H6Ig7d6q8~p5vps z+{ENW<=0o_pd;AH-=5GEFvK$rY^|(_s@@YpUArFbw~av%m3_#?rZID_#r!`|h@AyI zQkV4Kfr0uE@@N_XS`7{A0|xASqThtXgMj*9<-tHk*!LosIadG02gGA&H8Moyta#+* z$rRk>esN#|2pghnv(~<6C@3IOQ9)xU2}znl_)TE^=8!>Tc?t@U|HKbTQlp?b3rJFv zl-q&%6aUZhB5?^;5Lq8`n(4TNYcaVGe>>n`6akGN{!1PVWGpBEddwiQUgR{xaS7*Q za_JNg1Z_cq@NYg>K>-qjB{(jj%7kxt5-ugp1`7j&l-xilFyat`m-Dx3(gIK>^4yI7eNo8snGWl{5 zv^w)Lc^Cc9u3{$ME9UmEZT|HVg}P+KA_sFuQLakwr0v7F1Vb9hw|7kaNS_2D9&wBU z1}3QZ1E+3phjDY>Y**-Hjp{H=u6$z@4Q7v1DH`p+{FTb-)6<+}cpVXqp~*0*iCXxx z#$@1hbkV^_A?>b&!ADK;?cI2q$QZ4{eeH7B7*v}j@#>0xXb7-54!GKD^L zI=gLR`@-}ZAs6EV(xm=jkx~)Xq$p2;QW4Um=t3vmWY~78k}Brt&vBNnQ@1!6hQZUv zi@EHoh;&8ml0>OM`{N(M+guaYuiv&Ay@|R;OK(Yn`Yan*T7`+_@3n;q^R_u!sXDrnBWPWL-#!^U zSFRLUTx^dDT|~nL|05~FDG$@vXnZY@^_asmlMSBOI%h*3$RR51<-nYSh=U6r9TfAA zfi-->tfNx>1xQ@Oe3wUH*HAoJHQ|o(zOdni}{%*~i=1CY> z=(M$YXmM@2dpyJ)?-0xYvBNb(Xz?4kMPH}|K6NUXu~`n1lxJgcvJH8Csc_JQHe`W0 zBGfouH)1~UV!i>&sb6k;3h*V!B@YSrQ8_2I%Z3=3bVQ?fiX8+l&Q-yI%`YB_(pdDL zUgy2T<~DJ}5~V>jsfX5N-7q_-@9^}_zNpfh zY{f{9ZFueI{B5UoaCiZ~gdXjyBY0(};E1$=HQSzt0pGM477!3uO6Q+ z3HXYt)BVdZnEjRb(6FXePhntPHeW$u{y-PreKh9VQ%`m}GXA4y0m>xgC?^xfn*@RH zOFxQL*YXyL@Eb$j6e2CNM?C{#dIQQ>i*UIwH0I@dxRZkyFsDod z-3q4dE{1>Rw-MfPwQOfBU33m0rRKv`Za{@7EfK+0LrHX*OQ;eChbS<-9DxcMkL^Aqy412cNAM-k5u(b8s0dg32L2WeSweD4^a~!c02RgY|gfFA{{=_p8ej zTk3=@w)+I;jYXE{Op|)cMI?^L6GD)tNi!Z#%!8t;f zUPjA=Xz(4sieI2{!B*xwhURvkRdyU5M1tis_f;XM-yOj<{JyzO|E7ivsh+F2I^{lw=_OxrCFN~*3~%f+cdd?RMu+F;ZtqIhQ>%K7QA zf`8KTABQA|6x6ILasIbLpdkGJ{1`LnWNAmvex|G)4TozPlTEPZTiBT+G<<@otIn() z^G&eDPDmr5oh5JY%G$C0GO)%8={XPqZGqI)y_bRiJOqfW{gameW)T;C){}OlZ_lB; zT7Zp64rorwrL0Gh$S+$~o@zrB_d$m_?t(7nZA;|(z#*<@)=U-iaCeSTdr@2TM{yJO zNe4F%DKt1lJwr5!yTO7r&8{jDie^?W&#r|W;b+<6nIWW82lMt4Nt;A{sWi9Dvr#>3aO|_ zW{=?T_bjucm>PHU8uw!DmKyDrN#>78w8utqAmIm#XzZ1E=VQ%ADs9apO zoqJtt*x<8c@rsa+-tp#}3jS13RP ze~l%`U`?QFwYTUyeY%GPTry`_yKL*k!#HK~t=^(*(XWDuk@(VO5L003L}9yhx)`uK zD&fu#JS8e=1|{WFCYL9nH#!()th7&(Li+LD;WClvn|9lkV3UMggzd!FQ{10*-=J%J zKr?Qb`W1uv;FY=7#$rZ`*EP!I|0cjSQ&?1FPbtBkphM@1*fB9CNwE8RYLvP1o-3p< zKn<-X>-^KsF$>=zg;wg0#=usDjYM-dGubZ<_5^`_YA|ot$;2!>tA9eFpJVAU=DXM~ za_Pr&r{7R<_^@Ccw9XlcT2isp!LBopD#)RE@NUt9n#JSIpt_C!EYi8D_g1-R)*=R+ zAf5E(`;8#+o5wR;r}&*(_cKkONfcN9kj#F=WC-?DPj_n8}hK| z%QwvBmb#APM^$sUG(-(qj_ATLrmhPmld9w<_61*`TR^Ml8z^*!R@j?6sOiBZ_BuGE z+BT7jD?2`i0=giVa@rU*3?>;EF?K@vpG8zYV@pWtC$I`#n%(eKzpi@aL!+*@gUS}R z_b8-I3WA}i`4~w`!+b~$nNrVa<{dmoXU=YZ1(LK%Zi#}08WEa6@L9d=i&ij4-CvAi zx){B#O>;OaJ|5QeEA}oYf43XT`BC%Cukk&mAHz3B+HZ^)NSYi-njVnG2-(H~$xd~J zPIXf3k4D%ZkK^ngC)y{62A4v9bE$ue|I-LCD1!Rs2F0IL0d4WYrOKT=lsqlP<@(~5 zQD=?reK;-80x=;?kPr??Q$KB#IHj7u0b&S`3~+E1`ja97VCXVDRBI)NcfgBm01|uI zJgzaKeS-JLV+SN*CpwM;P->qJU++Z|4RVamUyP$2IeQbjndS^h3m`=>3IV6WFwBhH=#ea8l{7_uHP zs$B7UD<6|WRN!@_UAOSyba+|BRf5G+finoE83G*GZbDWFQaNicz{R0rLDKu&(!v}7 zHscO~O0fP{Tw-leN;pRY>`s+?!39n;3q#kd(L{l-uhSVC;0xrLpBP#OzfO?n414sY zu$n+}sjc;eF=iXKZ~UENxPtQ$49@9nYZvRiP3V%}&7DZJYxE7b2-kFNax zPl^|I*)cklkpsgFv?znAIx5ds7Xl6C#ptk5bsqz}9Eu?T`>v}xUn@fW8O~|jlY7&&`?)zwhaq%q@&%Me2dC)6%o@ts{rpBmRsQ3^X#>_kBDfsficjOIPFC ze1n7iEF7W%PdWzSJ{4|;?m>VFg{KU@`19jkmD^WI_>R|s2F06WIEeh%V<-R??Q!FlcF1jZJ2R_Qur zQZfM}@as%nCQ&9o*mcffnijvlKAj9N{E3pGUSq2<>{??rGyGv5P|eyri^CtZpO%_^ z(&pJUo3;VeQd#=G*>o8Y1(ZvTRsG)#0-{6zEC6(!{ATx|!rJT%@E;4JS3c#H6~`8F_WvF(FT$U{pRG zG+mwx8#_|`z0ZgWRY!s*{JJBDne-;q9IPAG;6($)>}p=HPZo6(O?`jHB0`j9`e-3z zsE|4MIq^3Es@kWz5eGsXH3CEp6kv5yFNQcknQ>e@^pU);kGYKlx974kfXzi`&i$=n z;x??sTJGT)TpHAv1AFj!kz#$&*7n;c?|YKeQRXLiZKA}yjEj@rVE#DUf2ok)^!D79 zwP^gM!p+o6g?)w%j4h*O@3iLWY?bViw==48nPG%yqLz-jmYkSlykD3hECoW4WGbE? zEW~uGz{!hE#iv|EFk?OXuE8OBYgf_Qw|ZZ;kVjM&c+3xbJh@!uk8r!yb*;Sh(;tkDw7Orbpsqh>sKe)W{QUSc zO*XYh!nZMluUG$zc={&!@FuyUQ=FMoToFH{h95FXTrf{uV2M6qgFeBHV9ASMnI6QQ z5yU+#o({IZiUV1PzX}eE6K#=4_i6bZ3F`uHF zhl9AIaQ-m4IRuj%EISFrouQW%xaVuR7VP|5s-%6Kh$PG1rWDSXnrkrh!-=ieFPQ5Y z#DhYeQ>DDHoZS%z$!jrQS1KYc^!3dZ!HQ=MS4q}x!;S$`+Zz>|{5{u6DU*tz*ORrD zUOv%4n3GoGXT8=#G(UC;eg-9KpS`npKG-wUHLMyKZ>poS%}(r!c7fi{ccKg$6A_RB ziz712u5vf%EPCzX@ur%?gGb)Zw)@SCY&V@71P5d&TU&VtoxFr&;?Olzy{Htr7-t!d&c zs2YfIv2Q`UBI(fx+GO0bN7&{NyxUDfmp{?=Jz?4@szufQ~S>PpU`)Nb+kB?HkW zjwa?EcdAmmV?3+loQ2cX`zG(&Chw`2B0AYmlDDKY24#jf^1-%wJidAS!s+VIA`34? ztXRojvy$>crMOU9=pTj(Kvh=k8)qRdq_%#aR|+^Utja8Y_zFhzPGNTKb1#`AuQtkZnzZn4 zHq|CU>(-Q4qjH}PfAE8X0y7u!%u8l@)8Xeu_=750Tl3FbwNjkP!b_X9QWoqVZ5nA; z_Xxq2;}0e%%D@fxRIfj&sX6YcUe*6mOBTKZF3u|EP23kJJN+ImwH_{0EdmQI0#>g` zcq(EtHDxk1Wrj=h!L~X5uOhF1OYv63r2j>5{I{&d$) z5p0{&vL`#;9xl}$E)y*R^DP2@C$jDHO8D@NUieuv?M!Q{mTTe6&5>~^wV&sS(Ot4+ zLJx5QGe#(NBtNw_DY`746Qo)Qa5m#iJou=!hbX=r+e50~N+xW4i!+J;L)!5-<6p-C zsb}c2DWQMS2{cfKQg3(7oOI)~ZGAKT+2f7chMTX8wbUEn#2Q`YG!wcgm~lSRYpcDN zaz^zX)HOY4#ywB85w&3@u&OYxZG0CM6u0rso?2EBWiBX1>-#Ut)2>{_GM@~*1_ZGx z8ayM6L?eA|y9NYR6k-KEp1RPN;{x*5UIus~!)>~8G0WH?c$9YJsO8ZB+SGm6GIT}c z`Stu0Omp`EPiq8k>GU`!Ynd~Pt_GH2nO@vK)@B36Ee0OC;?Bj_ zXJTAlFL~6o)~&sK*M zM?7q}%c@9^E%$rFYC*IWg#41Y4)bfoY@JQBIA*(iYyDmB6qubR*4p-oiXOR4@#8<^ACjMpK=2nMk70wn4jWoO%`5uh$@pE;~< zwNR}I|Nd)E{+_YUV(yXk7|qNWjbo#qeYT!ech+w+J-)_Q&1VCrnk7nkw-%dS{gZk= z<6@0c>(pn%uFtj1p10M7Zr#nAW~my3$r^&!+o9%6X3x|&kW{U!BTGxbla=^ZmTW{_ z=K}tPVcPNbQku8%{o0;$Fz+XJ?B~x9?^S1f+CNB(U2}3hv7$>{qM!Mc_Qe)_lY}Mq ziQi<<0dkZoM}ki8Xy#JpQ)A`Hz0GM#+D|V~Fwy1l^c4pGf{OJYkjJ}cO zO7@~V4d=kb0*t(MNMRj9$_%Q!H3oAzVag1tmJSzlxo~BznU;>1f#vXT0_V3t=U?SR z3V94I_X8etcOn0who@^Iy|VWF^F+GgKgRu`;1AAzKp_wys;Sj{n)%BpS!3xte)02& zC6(NjjyF!tfEa|Q2W!l9UcS2mU|RRK9R0-@QYX4xN+6eY77!`ym)1oj8QrOQNa_LpY^1 zLN7QFc~`9?;-}D0hHyqR7}k-Myz7F$g+H8@<8C>jsF&#(kVqq~ zT}gl3F1$G(W_CrPat%_Q>dbRq?iU=jKN+GezaJt07&m0et+@2WthL=H%VJ)%a^m;0 zgEm`Fv8XAdI?3=^?+d!k$Z&T($^6Wb2^A!dh`oaWe5w%we$ohrs9)(5Yp`6c>Lkqx z8itK5H*%l%Oj)8q`ow{)gUdc42ooMXkV3!m;87$!aS;nRPjCV~l~M+Mz_(%O4tyI> zT?y#Z#b=$8k)--sDdY^Dp5|$I0AXoYB{9cfpKy^Rb^$7$m7@f|o*uZNXAJj}LR_U` zt)of12nwf|}Fy(cxx$@Y^8P8wQEZ6z{F7Sa1|L)7+8oslm=kMoWYgN)3*ySp$W zvZ^!+)~QsOAWq@e;a!gljv$Ffq4KM_v^^T`eUge%#7L$rTT+uArQl6rvLqM0sZEY+ zXb%bqI_Q)O)fQCa#V05clUg%c^B2x55r0&EbEy4pvulFjCS3>~BZs{@BvjsnKoACd zF}RAW@!JMo1TY1`5J)nh-h!}qzA*NXx?+kr0E)QB7L_$Nq7qde5Inf{Nj~OT?xur} zt1Slg3H8ePV|Nj#3~#Z@$1y#sc}L6d$>>cAq=HYU){UlDZB1I*uL$hT1w43pw=lql4N=Xe3cYFXLz~wWf>$wUGU!Y&j&4>)w;a zFMdPvVcC&ce|ThxQo1cG1W1ceURWWWVDa}<)*eC+8x-z_O|8E_ASu>ED43Z<;M=bg znRLD-kGn0s7l|pa_!1SJF-CXX=of!2b#EXQu$}m3D8SojOAjT$t*1a`T$RM)Gfc_< z$KIEKM^zBda@<^+qG`HzoJAR&Fy z>8|%w)mv3>U2*eK3vYV%?iX*n>D~KpFb@D1KOL~P_9Cd|m8X0T*ZZ~;pS=9h+s{7b+W&oK z(w0`QtQ``c8_GF%_QcuSPOtcO;GAuzfBe{a(7aIn?t9z)*W7zWL3Hl6(;vB{Hf!XL zH{U!ed*@ewKJJB2PCD+o|81?6-E&=0?Uu;*N6pw%68Q7LJ^S{&@ug=?<-!G@ANA2? zb<2P3ytQlf%=afQnlgCJv}OKRd?)QZuK2tAi^mT9E~EYX*~e@xJm&Oc{g;4`I(hn^ z_pIA9?z04DfljDz!oi*X}^Iy9%2KF*))xvXcW79&9o*#SO zcT&#h7hHbN$W^0OewEMfuvMeBU$&z7i{)omKlT1YC4bloR(8s&g{K_(`>%~hrnR|o z$Ar7S|7f!I-E97d9dr0SwtL;+y7zZ1uX{i8kDZJ7252IDUt3R?#c%&9B<6nsC9zn`8gWR*ir3sx_1TaB}-^r+)ff)rg5x zKZWkIzhD2_v9oxi^Z)+e{!f2=em7)Y!s3 zZHl)az3IE{tyfMMb>f0kI1RM#^|nXCpGQ4q(LrB)02~dZ-}^p$qvXiT->nBpxZpp1 z&^!6oH`ir<{>BZLWe>XXioZYl`pQjraaMKP^P@N!^y1Q?`?lU++3_duylbAmY1l0f zo;rM{Iq$4t+TUAO^c#NPxWaaQ-&xxUR-Q&y84B2>;r# z+WMxB7s4Ow9z6NV$De?ITJl?c-f30~Oy0T&p?~+WCF2Socx=Z>=DaDNWSn}^=;ga= zXU-k={^(i$dFQ>f_Q3&bUR$^Lf%VhBn47!q$bIhyMqHYC)LBmq7;{3GHOKwA-CvG} zLbU0Bs1Qanp51n2&cbB_7XbfMb35=)Uw3(SNyi&cnf=ozw~d^4Vo9etJFH%xHGjNv z^_Sn5KXK%`aYa{`F8fVj^JhCZmoEE5kIh{NsS}ru0ye$AXz^*q(`P<@*VyjwlurzI z=(zc_bC&3H)_FcFUDlC*<=olu;2nA9E5WR?BiE1HHDR`Y&e)gMjw_0(n?HMQi1|u{ zpERo-d_O1yKX~W5anDZC>VBK4ltz|qAN1En<+pY`KCrp#t(U#DHgoN!BQL+8O}Y7p zRTGb2J8t&R#|zBS&+U5c)(4)hzT?F? zlvM+h-)xRBm_r8uFslDeu(Q*5&r`&z1XUPe

Yz>^R@-> zj%FRTdO`;N^n?AY2Pv>daybAjHR24mu~**#&svxueJwY{b{GE3 zz;nwS`M{)j?KQW-@ALfIUHETAD?-< z%kD?ihu&FrZ0Q@`hrWAe(S6|&yDuHp_xVL1{$|-VSB`q&sBtG9yX=}jzIxVa<4*d^ z?Zb8JFIVtyxG(kl;eo?$A3p7Z-@dWrGW#4ju=A^D_3}P+d)xOm?;3U6!V98MS-N~e zc5(lgx`iKkV8o(9qpmz*@85U6w|Yv&6UFDozy8%zm+ez-{ITy->-Vkv^JTSfJ@jlr z{u?juoxOXW@lD&Omi{>W>wg^oXY1xF{!`~a&dOZU`K_Lx7#Ry@JbKy7?Y7_gPSy`M zcZ5FG?AZgMP4(exM-Mr05@ckXUhE7#E89CfU-9N|3Wi+SsnheYbnf%7U(c!kVHAAP)T~vC^ z=+;2$UwZ+N`n8XKaoxQS%pLLF{!4#Yc545duWLX1y7mLtobVTRLm9i_yBjact+)PP z>;Euk%?bI=Kfu3rKDGa}m;Cn~^bhh3`#Y{{pSNb#;5D;4Y#RU%fG;KZzKRI*TfDGp!epoPQ(h*~y zYqRD2=i2AdI=_4S{RcMx@b=!n#e5~}j~z4q*Jm$({Pe01FRt{|e*9kj z=A#1BdMszRWqeG8-w!54IiAe^XT)hUi!|0ce>SPzM#+I4MTlT zhrN24ub(#IHOPP-p7O*_m>j!m+gY%(^~-xs+4$36PkUiW#|zrbzu=v}^Tzt$Lt{M< z7>~p!e>C{-kG^>Q!c+G?H}&P?vcB;3IJfAGRlWYvbMA}F&shBCitb&WyeIZ>W{)xB zU-_iZlGwW6zOXD%Jvj1zzZ=-6a^{mKPP_LuZR6+a$*&K%MqTo5->3fO{qmA)r?Bt? zAHCD&_(1D-{&4)WH@$xCDbJr*-@o;h-7eX(?%cm!ThirrZcER%hgqyu&&)n|-;+I$ z`KIKx+q3#U6}h<0w&(Ibee&u_&;GFPt)mv4@}~&{vo>Cm+1cE9OTRzErTFOL!Zo`t zIBhoMZc}bJCXFp0Rd!&A6KXrQz#scK?{O zdQWTqZRfYU%Xh+SbFTjQFVWGiT18yY>2so#qrS&FeVlt>xxL zrEU824+ZA#)DQMQ#EhBq^E%|Wi{3P#K6iG94IO4>%+&Md=e2_`wa%;mZUq0K8#>&W zF-ym9gs%>moy)%jPi>dJ@cjcD;0N#B@afm@y)|L6g=H2&Y_% zclEn|{jM+GU0iou{*cN0W6L~y>W02HKL5N`Gfvv`I)C7KXT)ZHzxea-`fU5|z+b)% z-8O2*iUV0s&nc)JaKi(;7w=iIV*cLs_pa>{`?2D!$o?rS> zGY@`;@Rh+gZOerl9)6;D)AV+`PTL)w^xQRLUm3JnDeJR^HWb z#g5${jhXt;txvwI{ZG}Y#(6VVOjt2v`CY$jdso4-r@q}a%{%X8k-Al+`ss=JGb8XS=|Kd8y~BieasUp_00vVKOJAup4+Eo zi8pcMgx`MGk{|Z3_;N&>{}=QSW2#_&`lLZi>bBeq;5vNlWkU z7d_*}`qQg=uKl(3$L4~Z`b)O0{eJ8t70>Lppm|ZxXQ%X<5L~?e)@@+j*Kb(7c4?0} ze?IE$7r8n8uE*UocD{P?fy!PS7;9DlFmBq|?=Dh`mq#d z^Z)cwr+r7SoUr56kLzAsF=Bf4%RRQ#PXE@^d*S|(;cx2q>;BKD4f^NB;W00tHm>)= zWgBG@rpfn*8gGGS8KNR8@qAWkgNl_ZLTsm7tHH-Tt)ED z@6PZ3SYB-zoT6V;R=;P(`J=Bg1Aw4=^OxMU_1*35=8P$>|3i88%zV$1wS7(K(75fL z?ML7jXXP*6HSUX-GHxjkuKiaZwr*bCf9|e#3!c*da?z?+I?dhn)zKfXnD*TFi}!E8 zvcvkiJGZ`XjNWzn?73h4u(jR#x^UKk)fp#T_T`jQx=nq$-KJB=_usbe_@3_?i+V?{ zer9L*_VzhHX7&EG+w|=3vik1r-S_HML!Tc1+vm@Ue-qzYJ)@+}*2`xXyY>vKLC`R(o5 zHTxevuwsVq?tb6iUa)8EzPhL8f4_cn*2Kx%V)HyZ>);(+uxskD#joEt=H9+f4!pMd zmXmj!)$Qfs=iU6!W1UAvhb`V<{X?H?t9QJ=bnTLN2M*$I_JAySvmdkG?E5?NH+w-_ z>&-rozuAw^_;~Arj-e0R<$K=PeguBlu>GJ<=lojjIQ!}W`U$hj2JX18%PrU5U+9?~ zd@|`1`ybo2d+N^MlLP9n)!{?(CC2Zy>RB0Wqt#EnSvvTXzwNtqaq@C_QZt>4Fw-#q-I`R|^0-dPvFT+y}1^#|@=uxKw}oS!^s)Z`&+9^Sg6 z*ROqB0zb{Tb?L^f{n+LUx=eU#&!6V_m(FZ6$G^n>(0-2pv9*hbCGO+x%k9erZj$*k z+vlGi{cwPD-&kLo`aoVj+|si&rYL$$h&g-O$+ZX{jAf9e(3UBM{k-wjNjaE%)cyS zxW4e7ypH@b&#gaV_K9!IzXb00g|T^63p$VK*lOg}&ket?>Wa=|y0jX3-E$B1+gG8UE6WR+C4bx$CU$W3O15_~P_g4|llc zxxD+TF6%tEL#s|#KR5Hfs{1;h-mz8xtDhToU)5hbpWda_1IxGEvUbJNNh5!^>*Wu} zp1Shv`nt$hiwCXTdBm=NtX|>ya`Ck*cRrPW#O4lH=O6Ko{ozLVboI6QJ>RiE)aO4p zdd`tc^Nyc$}o`$MNWD>p&Vlc(q1lh-=Gb(s|h<-XD3vW#K+%zGd- z+5+#>F#n(v*B^hyvfK}j8Rie2xc)@^tMyf_?+0VHE3-O=k_)7OkYb(?k_ ze))d&r>F9ta6DJp=QT4ztQoz;9&7fKhDlr zarcRzcDmw+ynCkyA8hom)75?XtFNf9`rybh{-fItdFF~X7k!XB#^0*#4bNOLuj+%} zkMXx|JN@qHZ?74fT|DxVcTVas@wZoxy|j4bJ%e8vbpMVs-~8&P=;W6k>-oasFE?gf zTHbnf#;@_iOYrH3FJzp7AJ%4kP|-W@t%94ru0L{rhrHf-uNSo5Tc5kASF4Bq_ROdA zmi+MhMZJQ(^J2!)^Yf0+KccL4ZvC(9aPxe9_dPS)=Jzc7Ft0vV{^8hjhOgf?X8a%4 zen0B{O~3iHy7v+wQ2L=zWz3a3JeZjkB10R3m<_qU_dHb6QZD!3m zzJI&bvwnY3hd!szZ1k^NkNrA+-pUXExgpVqVQd!~XGh(w9FU+xh(LGvmK{<@9IR@0Ps!>#il%UyoXH?Qh<>;@6*j_^XNG zU#;+8vSay#K9_F%>t&0M{_VMKS}n~u@q+x$ug@NOPi^ZVcmZ@%@ck53p|URc-t`3;foFaPwXPpi*(!*Bi#&a_%!P{9oPE?|i$~+xM8zGxmoojtRY#`stPlP2R}c=TsJ*b>Y&x$4#A= zvEV-Ze_!;o(-uFt_NTFrd_L{tw@PpG9k_D#1zAtO-6R-SojPjGai3JwUt3;1E8nx! z4j0;P<}pNR;){E)}5 zw_<9;Pu}#%=F;nL*f+DB^?T-%qi?BL`qPz{Z=2p{*I6Hq{q4$!uC6=j%f*+O-&dA) z)z`N@?V~|Te%bArm+JS1SH0f3sO-kAPygxh1=-W#H}5z5uSIW`e%of?r<>-CFS=*` z^ta3QU;o3=JHEam_{07lGxW{-I}Gi8@6D@LuKVW$e{G*Xx9r1n+H{)jf1QW4>&5Tji^cUv;)l}uTyth|{qONZY5nrq zpS@E%?6ZG#o;dcz6`pIxwtZpowZ)tIKDzV1hA%HJx^CP=xoT+r?On#ddb2hz>C4mSXzTCF-9LXo?=G(wT=RAP5&P#4?cL=q{HyiV zR(tCq1<-TmMz8e0dCW!kpZeioZS@`FuGZEaKYsR^>y!VXtG4>UUkcg=4z!9?md0zU zBjF1Ew^;Fot#rk!Sbu7MRX3flntnfieY6382`Z-b(Pw?+{}faL)<@NA|DoD{F#8Y9 z{)3+#R8;!})jmSC&rt0{RQnWWpTg`@n0*SfPhs{c%sz$Lr!e~zW}m|BQ#AV&%|1o5 zPtojCH2W0IK1H)n(d<(+`xMPSMYm7U?NfC76x}{Ww@=aSQ*`?j-9AOPPtomD4Eq$r zKE<$4G3-+e`xL`I#jsB?>{AT;6vIBnv`;bZQ%w65(>}$tPciLNO#2kmKE{ER9DL(rYzkQ0|KE-dJ;SQv&uW0sEAIeM-PSC15|7fPISUwU1G~_BpE8K1lW2C#hch zDAjA9rF!kdRIh!S>a~wky?9>2jPX1b&r|U{70+{Q*)e9vnjLdSdsfk)RkUaoO*><5L85hicnAy3o3#^ zMKq`g2Nm(4A|O;mgo=<*5fdtcLPb=l2n!W)p&~F;M23pcP!Ss{f8$f?_B%Nz3*K7h!2MNV2BTf_+W?+hWKEJ4~F<)h!2MNV2BTf_+W?+ zhWKEJ4~F<)h!2MNVCV}N;)5YR7~+E=J{aPIAwC%5gCRZ`;)5YR7~+E=J{aPIAwC%5 zgCRZ`;)5YR7~+E=J{aPIAwC%5gCRZ`;)5YR7~+E=J{aPIAwC%5gCRZ`;)5YR7~+E= zJ{aPIAwC%5gCRZ`;)5YR7~+E=J{aPIAwC%5gCRZ`;)5YR7~+E=J~YIKhWOAB9~$CA zLwsn64-N65AwD$3hlcpj5FZ-iLqmLMhz||%p&>pr#D|9X&=4OQ;zL7xXowFD{fCD5 z&=4OQ;zL7xXowFD@u4}9krNs@u~8y8vi+Opghx(%pr#D|9X z&=4OQ;zL7xXowFD@u49;G{lF7_|Om^8sbAkd}xRd4e_BNJ~YIKhWOAB9~$CALwsn6 z4-N65AwD$3hlcoo<*Amx(-0pT;zL7xXowFD@u49;G{lF7_|Om^8sbAkd}xRdh`H^b zgZR)89~$CALwsn64-N65AwD$3hlcpj5FZ-iLqmLMhz||%p&>pr#D|9X&=4Ow;zLJ# z=!g#;@u4F=bi{{__|Op_I^sh|eCUV|9r2+fK6J!~j`+|KA3EYgM||jr4;}HLBR+J* zhmQEr5g$6@Lq~k*hz}j{p(8$Y#D|Xf&=DUx;zLLOp(8$Y#D|Xf&=DUx;zM_G2q%ef zvIr-QNaPWAJgGaGgp*1*xrCEUAU<@&hmQEr5g$6@Lq~k*hz}j{p(8$Y#D|Xf&=DUx z;zLJ#=!g#;@u4F=bi{{__|Op_I^sh|eCUV|9r2+fK6J!~j`+|KA3EYgM||jr4;}HL zBR+J*hmQEr5g$6@Lq~k*hz}j{p(8$Y#D|Xf&=DUx;zLJ#=!g#;@u4F=bi{{__%IM3 z2I9j&d>Dui1My)XJ`BW%f%q^G9|q#XKzta84+HUGAU+Jlhk^Jo5FZBO!$5o(hz|qt zVIV#X#D{_SFc2RG;=@3E7>Ex8@nIl748(_l_%IM32I9j&d>Dui1My)XJ`BW%f%q^G z9|q#XKzta84+H&&f%q^G9|q#XKzta84+HUGAU+Jlhk^Jo5FZBO!$5o(hz|qtVIV#X z#D{_SFc2RG;=@3E7>Ex8@nIl748(_l_%IM32I9j&d>Dui1My)XJ`BW%f%q^G9|q#X zKzta84+HUGAU+Jlhk^Jo5FZBO!$5o(hz|qtVIV#X#D{_SFc2RG;=@3E7>Ex8@nIl7 zOvHzY_%IP4CgQ_He3*z26Y*gpK1{@iiTE%PA130%M0}Wt4-@fWB0fyShl%(w5g#Vv z!$f?Thz}F-VIn?E#D|IaFcBXn;=@FIn1~M(@nIr9OvHzY_%IP4CgQ_He3*z26Y*gp zK1{@iiTE%PA130%M0}Wt4-@fWB0fyShl%(w5g#Vv!$f?Thz}F-VIn?E#D|IaFcBXn z;=@FIn1~M(@nIr9OvHzY_%IP4CgQ_He3*z26Y*gpK1{@iiTE%PA130%M0}Wt4-@fW zB0fyShl%(w5g#Vv!$f?Thz}F-VcPf@+dzYIeu&efJ$tswESy{wQ8I^y$46Sh$LdIB zjbepwtulj=c&xU%BobHP`xN*ub7*y}BowJpMrRJq?WbfGMkduLnRylP*c|+`&sh6A z`^DfKJN@m|t5s%xq%<1t6Pu)r<`kC?fC$DuwpC^hd>j14xMD@@tunJKD`W7PP1FYA zk;vzo7L@I$oEcIw`^O4nN@lJy+C;2p_KVhxZI%20eqx#j1QqUT__fckc5pYTCvcW6 z?Fl^T;|^B`;|5|W^#sl z^&EH_%bx?#FR3TEdL?;K4)(@lVxOA&cvr8Z^-cirtam~`=oz?r9r?A!Q*?ff05y>M z99+GQ`~u_2G6xKh4=E>DcXZmu3ks$1a6W1gj9?4S$-hxbFhAY zxMKNr0hC|rb8z)aTF(KT8GjBCY^I*z>Xo$KO@0Erq6eQ~d8f3$1vgy&Zu;Qc4}Lc- zf0p(H9-dj)=J-13m9#uy+7tLIXjv2l06%*Uu3ky&Iq=tkBlMtG((XPzqTAtj+31E-#9IO)#`YkNqpZ)~?TX3s}-{Ro+!ipBs zp8(E|Ba1(WgOO#$7w%4g=U|<1kUdxtN!k;9a6596%?E)I+?FY?q!q)YJ;8c6`Tf9O zhlB11E9yyq0=H^@g5@<*Zx}01N_zqi&p5^7gYZ?;Qhc7zimcL~0N$8C2Ok8o2mcmU zESB~J9~d6DC?AAu2V1ojy`?>YlNvlh(jh2>TPEebu;RV+CxEN6PJrYn5$aBOmm0vaLZw}2PeMfAYQCGK~@un+RPR@9pIRp7A$CjzqyA$9Ruuk9sc0)ky zvyzCkC-7Pg+_b!OVx~R=E9pr4ct zel@@^aWJs0R4DBU*7d}n16)r(dk*e^%`Z4^()@&jOxmI&(mw}&x$qOP*G`@!2onwpXs`dwx;jK2Z!=!0H=i=%S$v*G1~ zM<4X+xy@u0-h;reW#|b>dGRa?Ed7rM^XDu)4_2VcntFmO9INn5d0z$ZS%E%}loQ+~ zGVt2-#ung)R5it~_}!*4@IbhY{YoJ9-FKP8!21rbKYtCOZk_u4-8pCOkl@L~r49`s zsRy`v2{|ohMecC-9(0-d-DNP=3D#=}C!}7ces>v+bpp>|;5i)Rmi+EA7*3w@0u3i8 z56Yta?lKro|MC)*^&HgHx58Zp!-+=gIRMdp@N;mN!Ej2|IsvH5pPk^!sc^E}IsvTr zXD7Id7r`_0P75GYq2VLNo?PZH@C+<_0($#kdvck-0CcPqfGIlo1b6uhfAaiR;HYA$ zHxuC9g=6Axg!L5QjriG9aP=};CvcPIZ)Iwv<+lj#w6~*m0*4iU4hO-?qQujmz~2h~ z9JnzY^c>u!FPyZqPJp-KXD7IOCHZsUzXd#pgMSN)s89b}@aMo!;O219>tIp)X;0wk zJnxd@l^y8LOEGG92@Ho7@9X0=8R#oaIl)~5!>cpa2@t{m?1Y9_QpxNSjz=tdK65~% zd}5>~S`y}*Jr)A^zgVA!YKv>E(f}_IsMeSIR+hv{qm|>8%#qQ`?8FdGw;%$RYii4Tx#{XZDGemmbm|$U8@Ev-sCP@X-IFyr5$LRQ}5-nS*9B}2j$k?u;TU}RhbDp(~E z_<9Ztbn2><1S2)I)s@|q%G&aB<>IbM-#j8*Udz9c)v~6)v0p4!Q&k;>f>xFiuPLnx zm&Uv26nO!%O+PL-ToaC$MW9}mr8NDWgL6VT3j9X=J9D6oQ}Z_c{kf62^?LAEC`%b$ zSrsb}S4XErN|lmuRk$Qt6RYm3#4Ez^E5|2S6vxV&K5kHCavi)M{MAf6p8u_}M~&^F z@Yla2rz{q=UgvOCm8U9JHM}Z6Qd!$~k_SF7pFF0s0)KW*yiH@e^iZPX;H%E#&djpk zk&F!IOP+XbF${6Q^#b4K?FvQ6F_YD$0K=_ zH4UeF;^pw1;B)J-{AWhWTb&d=t*WqG)^vZQQyaB#y30k zGfkiA9wn((KQvYc7l>`%N(l`A)Igy+tc)*@6^F}}@^EpaT&auJlqr>PHz@7+Q*PJv zGf9F$6+gV&xbpD$#vURukOl({iDlwC=Y{Nsy|Fi4qTnD; zDp8OI1-#qZi4om;0-*Rjyx$CF9z5I|KrrCpJTA;6cz`}6^aU`TjsJGO z0G@F>rK>W&I#yd*+VpdmJ|Pj1wJM32r6Oj;2={3nI<=+`#(>U zld6yI{Q)$n0G$MFwjQhPR`)_|1l}Q_(Zxo?Rd@D3I4A$y0@e+B-n$j{hdM#ik4g@a z9Ez!MSihm&;E_eDK1tOpDvE}BJw`=E(+7!W(@me66a_W*jq=h@cj-3}x9*J{+JV5> zp|9HmWk=r8Lww&4cS#2M^y~pSFf%@MWMj6`kTy4JiBV3DQMK7vaW5h!mb3QWezddEprwFnH;Kyzs2Kgo7-($&y=BCATCc z757fTDLr$kET>*2ceK9#p4fjo^0?VB*_?+54L z^@1`A&BR*JZDhnIq@i4sdyt$??BFjq><_y?(zJ%BcBFzPcQh_O-XBz|@qoq{H(7;F zQ-!vK@|&Ae!x|2&`UY}89%$l*PCY)*2}=PdkMN2ufHlRMUy zh~|PIAqb6067z$K#QjcxD2Q z@l5-zSE*D*E4wPtF*Kr^IYNn6R>5~qjFs1b3>j#YdDsYLTyzo$uT{n3 z(VFPQhyusK_jFa_TcBBl$XX1Iz0Ksb_DHY(v~J^OVSv2?|)$hj)(7K18r6w zud0T}%LNQc<5fKkRpDF|GG0wWk&IVc8n1$mZ1Y=;xx}ZJE0owI2ULZ)a{A#QIaD=` zt8`!^`EbdHH^ql1Da#A^4O{ru@f)PVx4O%Mkn|hUZzL=3wvgwrw!tv-Ghj}P#?v5qKYNP(MKtXRaSzmeXP3aQVc#^RPH^GQ<+7y zKptL5f*x@{K{EzW)uiIzi|uZWD&!&-8W4}|ONx-2Xw_o%RpjkqrBQi503W##%C z^7S`Kn+)CiARly%T3Zib3X@Od`xvvg9`HIXRn%s8Q>Q66I=)Cw*vJVR7ZWzHxL2f> z;`jI)mZyOXFe6`{=DMF+E>Dxo(~`EfwU9$j+G6Y8dziqN!Sp=FWM&}XG3aA5m+Fr) zCX+E4c}%8@RrGByguH;`g$Q{8xBA+WMU%Y1A>;-0Ny)q+y6GR81b_z=x4k6X1&4r6>@GCvF64G}`t9htNI~BTt_-`W(h06en<`b8#IOvn zlDvy-#&2mezAmDBZ=iRvhgZ0FQDH3v@$gDx!9+vULNA$ z1Y9ilk_g6V-HXdvYw2F3dpVTZyNG_iPXn$CX1!Q|3)=WVN1Sh?*1YtBHg2nwa@0$X zdNnobWr&pNeXubCM3O-u*=r~q6Mnz|R3gcg1cEMEtTcK9jj>puc=n5_bNF;$nqsNQ zOurOHQ~5StkZV#R^l7~zqP?F;>+KnPC#@%)v3D!FFXJv5cOAmG3luY3&=+Ro#i_{C z;ziQNk~TgJ{zarF&-I;HL!RPg9_=vYDQ>skovn^YgRkUZt!ai$w656P71{8V-LE(r zUmhzCmxEYRU8K6Pr!T9GX{wEeNV(nARF4)2C>s1}Z~B6q1vF?iNZrZgH|_R%x08{w zzh3s&yXdbsM2hbw2r_g2N;AER5BA+z>=pE)z)nc21{4HCnmpJkl1TbW(pOE=S0Y7q zQ{&2Zn&$WLfh#Zkl@DWwQY z7`mROXp>qgZ2CzgrPqypqYRAF1x6x;@k z>bD8Awhh%h?iCJOH7`x>E5o63nH0E53WSQu2$=d9?8H7?0;VqI8zouPLy>tw zQ+WYIHO;Rwm;u=2m{7jv`7wR-V4AqvaRjm`my|WgUfY)T+L|IoY@eoCi(2f#KcB(K z<+JoO{T&i5Qa&pdEs1#IwZ(_9gl&qHuzk=}t3oA0hwXQ~65)fS+Yglp(&;}t5;H_2|^K2$xPomLg=z(PYXYQlHkLF3m+1 z`4SNr3)dkNhd~Yt6jTMdpz5+5p?W7Y#?Lohh4=**2s6X)P2OfI1PGyxU5x6e8>&kN)~L^y3lCe6JIk-sC+lQ479U8|$pbErx?OF%V$;sA@U=HrmsdQ7>2=`G})8Fa7+aon@ zYGz+7f6DIrV&%%67OmVdMGD^uufgr!8sPJRN&+bpmE*GFEmabv+c=bNL!>~?Rd)G? zPw0B%s%@|zmv8vgLHE#0%~iQwj4R11NmeyQR{4bL<9@#F3| z)usFBX8gxRPlgPha>{tsPB}A6u~xljWGD%xokLp4^NEzbIVHutf!|_mne7ZzfC9BM zU@lV#=?kPUXwnyml(RMP1-y6GMuAteT4xUj3fxvNBnl)7nnZzE6&tR9P&DwtbZH>q zv+CG#WNg&+z3P)$Yi zkwRd2nuby*Meym_19F&d3?12YO3_$8T^I@#4DH6eMbPU$$@Ca}RT30Z;LoPda^Jp@ zD%N47Lv(76-Sm@4szx{VjZ&;5jaY|Ir~r+FsaBtoCEUaZQ)&A(T( z4yO8r>eS90x3$%R&v9ET#z?nXXmV+iREwooi}j0Cv{}GTK6v>Kl>AjJ=BbBa7SE-w zzsx;k?$K24;TI`s>!vfI4Z@g^e()u&)`T{vYDp<6<}%oljFe=gTrg6wW4QTZIJ%+1 zNH%OIwh9$Gq~S1~3WW;7s$Gz!DGoc?IFn`Vcr;!UtClIbz~G%-eguwp1GXAyM0npFZs0z`N+725oo?>`vRtpc9`BAY%==7PcjFYsBD+*AOu z3w)}}Qnw7JWH{v}oD!*bJ8;ke23isvbi+#v2CvJmaR~+q1~*_3sdj68e(8kVB0v;RDYUho?6qEedC9-Z`?0Z^JZN6iT91`92bnK78k1;&>2G9D9hZsi2t}* zQ`o5?g*u34O#>p8>;wp4)wbCHf&j>%QG>uG6)V@Y$TcnhdQD3}q&#i+1geH%Rpoe7 zpc+s;D%tSRaJ4y2frV;+l^Oj7`U3gFF3m_&`V(vdRGA(~(~*)IF*R06Xi)l0>Tzo9 z8)X+@nl8YANPQY{pn_B~HplWAIyyfvTy@!js=VWEtkq%78WFVB*~+u$fJNr1)4!XWGW_XlK}RJfuIu%T6+m05KI%P zNbaaKu4E}eMXDmVKovP4RD0I#HP^O>Fzh|ohsQ&t3A!DSNDm=BM3Wvuq~`4Gv%>{l z&Kv}xIJjHtREmQqWz=$NsHIaw(2v*rh9yT7*?^>)iz1_xK4@K%F-+*9OCOYr4( zR8R=Ibsln0k<7?jkdecLg8)FBWx*I-Im?1cqbt|rM73!=N@ML#IYnO6n}!k-EZ#xu zyq2c?o?5&cURf0@4_8O0L`s!JHqljySA^wEHvLSt3R4fw_b3Ke6o)DY({u&8W33_w zXt1wU(g!e#AS5?GSJYKp*8y&@%V9r~Vp5RfrH0DzBV!|oN`QVE1bsZ@cLJw#-r z@d+}^sIKg8?`ybNR=&%Ir>T6aUXju_PcJN|psT=983wdLLG7DCQ!KT5tgLHPTjf2u zzOF^<>-eSK{MaawOxOZXPBK9%@T8_0iE#XZq-rHqdkCpo)hkjEPjIzf-5SRBIb1CV z5N#agRv1mPwUVuM!PcrULMPxraBa3>I}pw5;R{d=QU~HPf|CA2`j12EKSXNdD&*Zf z4~Ikpv^+qiA7r3-9&VDm53fr;O1cl}K3usEk>K4|Mp}MKqzn%J!0QK@Ko#ODzXIef&{GUV4VXtKE?c-9Rgz^3S+;1hY@v!2 zyA6;|1`z0eYf{hff%z+pQ?^v8_HdWvRL%fR_x5k>f^6DDgbMOaSl}S zD&g31;gU#WXGy1$&Z(#(m2fT+)!WD+iua5qUf$c#6i!*zty00}6ZrbCa3m3dHwS-~_3 ztCNMxj$7Gr+meo3RisD`EmNjnF~LQcz}RwJc)hThp6jUj$aE6=<>k5znfgUbYfB({ zZ!EBGIvH{INcX+BsdS`spR*5aaOoQ7ZoB<7B>&HeV1acEdI!jk8X+ zU*M@YxnD?=xk|raH&01zgqAf=ffSlZ+ypyEp_T_r@~m2(%KVlvzl9g7$jR=hHC?i_ zGLMjXgsVJ4q_%CxNg$@s^nA?umgDZ=%V!M)e8QPRF=kyFKh*TkN^mvK5a!qj=ZdD>UJ~) zi8EQb&W?usG8$4kC1ucZw4!C%0TU@*>yQ=%AUjaO{sX+m;AI|@*BH10Ic;{}GKrSS zflLnEBnM2S_MC7JsJfhR4~!TLE|q2_7$g{6fkCAH?1>r7jR7b07qOnTIG^KGa9 zYp53}XJ*+VIT;yBBEqRHPM+|zDhXNtfmH}5QjXRw!*|-09K)w?Q*xPCNW;%k!c|qC zs#w+Vs{BZ0ZQn^A_`G~Fm^l2|RS6FbSBER&|9=_2NZr{se5da07(RX7*`=_zpqJ9HiBB5V-I9}$SS%t~T%1B8~th#$)czieftf(-Dc@1y3yxg2_ z{e~8?NnWF9C}7`LQBgDk1~;Q6>Ds_Wo0R%TDq*6tginatPphj^8mlcXk0{l#x~__s zKb5XZth6*UGBHxAR7EQxSg$CC$5f72;w5E~iik31Os&_;{O|zi`GIf6?}&HhXDCdu z9%(-+Zhvn8q?avfR~gTLez*o?nemhf@Vl0FD~}dehpQ)dtF4Ka$1~yQz!x&ZwKXyL z{>;R4&BW81e)W?I=!r)*_6XU0p04>^6RMv>Qxyt^UhjDaMG>V;Lz0NkN)Y5hX8;3jZ}X$b7pnT^Kmy%Obkue7xp6*}-_J8}5 zAp#)?VWt#xIjA9rzU9z&ONPE-?@RNC`y4KTK>>v?<+Hg29oS{UI{QGEwUlhA8$|N* z`qai7i^CfN_QukXhQ}85Egj#e98<#qzZ_k_fG#MB)pAuRrKCJoGNCRSk2J{}#G@5a z*v+KW#FX5;p)gTYGGTnAfn|`4L05Z}>OZjns)G+4+sQUd_&w~ zoNjxW6Z@U5vJvh9+w^yeB6Dc04iFcgTu~eYy|?NJaB6WStc)*@6@vg=dAK-IuGB?q z%9P45Pp?JzNIogmM(<(W-$VlN?PA zc+)L5Of9XjNmkktg5av843u@DFbdVvp)vS?e=jWKbx^Et^4|$l8YPa85n4lA8m+?-eRhqXDnH3lUAiIFTie(@*+7Xk=7(VX(eF*u5+LYkRKstii6T?| zjGTW=Ob7fs2n@kYiU1ky0LI#~mH-UtmNm^~wMMR4OCrEZ!az$$p=(cpzcqG`$apJV zyrqjY0XQCpD|49*9>zl}vRIt>gO;Owjs; z)oh9mBgWJTN*dxzCMeaF-R;50i@Pe)eWZ0CLdAcud){sgL&MN~Y(hZ=8pw3W-O1`F z16;yDX)LH{Iyo5{j@Lv60MAqeU^f_a91j^o50;BkYJh~lNt#w2`PyLi3FDeCm`%WWY|ZVNGlD%!g4 z@xsa+8wjQm@Ti9i2%1ZOA=lc_#bs-U#EZnsp=|9igi6_{iN}DMYS6@Ed=`o> z;~40YsvTIE-M?vHl-zBLB6v~~PfFtb$L$$4go^P{bMZI$KB&1c`o8%z&F3;$EExim z^4(Lmq{v{g<-wv*xgPr(k!8TH#=}Fmxx~%c#($h7gdr6na;OG>4bfUX_Z!#^@AwVM zZg`hM5V9Lyrc8%4WfCdqtKc)KIBm22}Uy2m}icZq|qBBguY@MtC9 z_6)8QMA6RRrfDE|l5ZJC$uR0rhEb+ai61MQm~{aE?B#3~W!B+xazw&K!sU>{MWhkH zfEHrD5U~8T>1Ud%2hlH9TWu{wDY2hyR|PUKC1x#Gjl;Us zaAm2Y!-CX`Fbuxi3muw1J_%J9)q;-k6r}`qs#d^OSJ*ccs|<75x>&p2{>JR~$;w z;Z{u}4e6H(Dyfe8Z>5fEiqz{(h}yWEFv112wpDVtxLkDyYOROQ{md+Tp+iQ7l3-&GaVRTNhG44UfjMOGG z>jia~5e|P*2^QQV8s9Vw4NhmkXc&W6LLv1G_#Is{3 zK$$@H(?GTH!7`HjB$O-f6I#FH7olWLm@(8~#1Q-pw-b}sDZuYQTLzJx3=j25r@|m_ zltX-@_=QMW5YS}-*i)wYE!X2jve3>)<9YJ)LIsjSAq{2yBCKp8lnq!EN+Ohn5QT<9 z31&-Hme}=(u(FPwcy%i?PS^?TzoJQvgW0mmLu@A^l&qznXflNbyjG0DU^gJwNZ`y0 zQ79`@9%4HYp=5O*#IQb}qJe#I4n+rhfufHFf6xRdq3kqGHZqVs)PbxBC7ZC5fNBLO z2|Mu<#V4WcG*iFQP7bx5h)}W(c4FtoXeT<%j1&2tZ0UE1{f-DF>)45ooUCIfbd;>j zcZf?oei2GGVJC2b@OCA~PN3vN)U71*9b!8Ph)}Xf-Gdq;cFBVDG;F@*r9p$FTPXzH zO4+hqiP~0#NLjlB(kUDBj%wJesez1*kIGa=_LYrov{6bxgq6ixg|jAhuPojw;EXgX zQwhpjMWMb`M3`B}1HmIEDMsB1))Kp`6^=$jqk)NNaNFWxP@|MS&%qT5us<930jQMXTVokTdj~-?(x%g zRu9V`EN>Lr8%2bmRSmDzk-{Je;xVmiSYtiRq#FOqm7^NO7m5f!n{Yf%qk(;)Xk>i` zvK5j%>k;8+nF+$Dny&EsgO8^>eFm^Fo~9o~hO+Spv86Dt5JL+V0gbb;ro$dkhlqt4 zTs|5!q-a{)3Ul*hghCmiFnD{LpYv!yp!gsib|MrASp1fukcB9PIfJC3&>D&mI}5zM zrtvjc_Pj>oMuE_lrrDq{KO_x>)=*kP&4R>*HFxZXo=9&%(YSgcQ8T2>j>&_RmN2uR zBAYCZ0{)1q-9Szr(=zy6;-vX8io@W82vnznq;;P_2NO*jzU;y$PIq8kLG#mM=Q_c3CvlySr8{yuo%}ixA!Hpp zLHa}o0Uh3f577!utL*ft0}HeJ_Z=de@QGs-5l+@I7G&>r4OH6<2JAgdgpoAiPoFw8 zSkPbE3bCz-@UkYX3V}SwFm2TfGvJ4Kg?~;Zdm)v%=-W|L5oXqDaC2sRoX%?KccY1D zL%C8&hxkSjVP?_$sQ!iwnGcq#0kVpq6iA^?eT0}<)FQ^zhkk;Q|1b0t5S`a*ic%)&FZ-LOXZ~> zz90@%tf|>eGvI@4-?^N84zxMkehWH4jeWGHh`%lw@PsOROy5w z<@Dqm6QOAv?iE{2)G-&hW@y@&;9il*GI6vb!qPf@2Oy%u{ZFFr0QwMU`Xc3mC+avw zgrs$XTHfEr`%|3zgszQg=zu)Qst{i&LNqM|Dk_g?9iA3-4d4$Nok$2&a{G=5^&Kp; zWnyy?A!`ko)9@NFqz-H?A014LnCeuk;|g=-{vKLG(L~tVM3agw>6YkxBV_gE00*(1 zh>*1jJ!@!rMiY9chPbD!X9TYjGe_{cilYTH~n=tF#;h%vOb z{*tr5$H{zPO$$wPq)oiZaF)978Z@^A_j-8MNDpKT)-1mr(v}h*`0uw8qMLXe498EwQ%$BueV!tE8(1IMk zss=P*?`<~UX?CMz^M~Z;$ry#$Rzz4@r$PurrdIC5`&3|Oi>||;M1`MV?kH(0#HJ!b z)apK1vjKTBU$D+Ki?QX6(N@RNcbPwcW`EwQdwJG zu4H5=B{^lWXi3BquPu((R7WevL!#pCs;J7CE=pFG(y4tX{x|rqrYsuwRE5V!hSXLl zSxQy7Iv&ZZtZB$=Jn?ck2tKzSJElty*+fh}t6BXnSNcL~^8!4$-VmlF`W}W!N>2^!_9kOmWxgU($?}$*dj=lk= z#tD4`vfMaZt_LH&PDGeln9m4+K)w#wN^-WNL;n(u+kqx)*}O!3p@{IZ3AtjsC&lhF z0J&n2FQ%#Wgyg0cYC91jWzq3C@kzq*ki{o*44K$WOc6@fiBFI|NtlVM66M9R6NTDL zMCjPWZDMyA@U%Dp)j$S%ZdBDkQ2&!d$kgp9FiPBfPSy!geD?0_h7g5pceWIDqrOzc zI9Yq=AWC2~_#Qt|(j!-xQk#hgCF^unV~E196KgdkS%@O{DUiFJmQb?JSWkkIC2N<> zmFpB}xi$zvn;)Jy)Vj*M5L_jswTD*5k@v) zCpgZNuoJRz9=T|cI*t`#WD|A*cENj48tlYJ#I@y`a_Xz0J5ovCbE!K$mt{3IRZiPw zxT?xi9J`qY5^Bo2P*1Q(T^Bvv^$zTeZ z#_a@Ud_sJm_(V8aP^e~_29lwuiJ!Pn$VBmnhx!I(w-RlL;uB$H4b@r+<}B|?SPEDU zP0?6xPat3O_WDI!Me~ zE!B>xKw}DtsaT3jGN4V0KnVg`5n|TqF#tocd#Y8BpKs14TXdVPGHEBYb|Og3!gwHP z=AfY@!W1$?877HY;%HWgn8j!oH#{ZG#7{NL1JSHZdx#B1gqhXB;rKw;+);&0SPGde zq}&B|cxH}$f&?v?3txt8FD*@&3)$9EU%re}NaGa02tlg_JdpeZU^viciQe$11^jb;JYZX;^PRT&~0PsBipN~4Ml{Xbz0m|=ug)`{Q@kG zPLVjbU`Su-dT3pb5I^g+TV}E3qe2g)MWv9He6m$3V?dkv_=U(>FboysE|?w3stnfl z0Oh7KKanI?VUASfBDRwjP_rt8ur@Ud0SojRsL-oI(`%4l5XzH|ht~0kaI?tatEM9d zsY1~QE*KwhaWuLpGUcI;WJS1Hp7NM{5sMSb0(Z})A<261Lpg(FBunghM7UX}D2Sp$St$vKNxN zyBcV+=9iA0ya#*S5``YJ9=B{&wz$#KC;<^(*6Bq7U31VjHRdaNkeZdfD73k;<`to4os|rysv5#r#te(T*L`3ghQdHi zM<;1mk{yu5d9mgdVPsX6kc_6=7wa z+*oIJn*ruLIxNYiqVJ`W0kNHku(BAVIP08v8$N^ergEK=3(dX%)IG=|_ySTM zmw>uC@4z=y158C>Wcwxyb7eOQ@r@$H$}$)-2D=E@Bgc*?B*Z*K;cQTfhf-(9BCM?8 zH9RJ4ZBcRg3f?Cwh=Ie3#6S;(d?F8MZc zLlNO+4bz%TcQlp^pXO0DXow`!SPIE)3B-Y{D#Xibkm&$_4_dMoe~(JU23Is7;boHv=Ol&XP_A49Mrm@g+a$G>|=qzM6)_09YgVfq8mx#lUo|CmNi1s z8X*&*Y7;jKkZ-()$uSg=0HmoU!;K=_B56}(NLWN}6v%~noC5N)$%XA{41KH#Eawa#o$*P(-*{CzR#=vPn#e3U)(7;)9TzR7s%?Q8W>1mOfJWf^Sl;d97%p)i$d zV-JKVl0+f)JYuvguxvofGSJaUB2gF&tkaMv**SydZYN?x5v67Mh>z2g@7yS43K((~ z3%%oxYm$;42K+ru`521FQs|sKoE2hbv3Sft**w`)fWfCTm24@BPt4A0 zqU1)Ke5^x*_cAwn4$}jv`(uV&Tcr3DP*G?(3ql0L!mX4 zmaw#ruA5^hWV&u(D6;HB%cbemJC?W)HLZKK_9!H^=EaeGh>oVh^&3J^!S?FJ~TJiBlaWWpV z3neJ+gxc{45wlPP`^tI`wW$a(voNM%Ns2Nk@bC^M zG!-7x5}69@QjnTDv{8y7Ld+(j6dYK0q7<^U2b$evltLP%7$UqZrag{WBu|euP!**U ziwtHzhbwjQjbOYdQUf9%q%>5}DW=iX;oVEpP-qQBh@bUq9>{bOcH)KG zg)hw}H5A$AMjow*aI{WTi}Fjl=JjZ>Qb8xvUy?hY$n8Xgpmo?3loM@WQ@lizgTZW5 z`W{l>V+yge*pz}QU5=g52^0tAign_Gk14{=VwUV|Xkie`@)(6~MGGW8a_h!n$dh4K zwE1~5B*lD#sm^z9zy>)Dyb$N|;gW%AFnw$*MNu;Q#Hvdp)yimC>%ljB*k8<6diGQ@ z3ny1al+5gsnrN(2$qXr(1H%);p_1xoRSo<-q;#Gbu2#ZB!`0!6xRRxeR;DT?L&6o2 z?n&);b>wW08v1hsRh%*xE$?W6zl; z;uWY@;`I{yI&(tHo(#4EUW`tq>UEL^6^K`I`;G|p9W0wvXp71al?s@P zO~G*+b$82BOE(vqJSDv)F?DOu|iA#0sh z6$nc#H9*k8FnI9?cAL^P=-VWm+-6Gdf_x&Jt?Bo9V8gP`?5)~9FANm&K|-h*%0a@~ z@^U33Ln+BAi$zN!o_K9>yrw!@IUa5SZ&yWC#&l7#vXoBkJMq84e>G*%xTh*SJ~E`X z0vN`saCJPAS6S0=X?Wu0a1eZMJ$6i&!?RJ_Cqmach60AcTi{HHSpvMR36@pT=)vFc!AE_*j z6<^p&gK}TvETyt(8mFZ}tzU$tRbe{|-=)n= zd+EAr_&t88DT9m(4Vxls$>jVjWVg-FejGXY`G$tHbC)<(6f%6 zK$*wdtpHP}+)fP0nu!JphYrY-u7}uCLlYztv5VGO zRGA26$(F8%q}C4c)DJ|U&5uwVJHb7b4R%5`!XC<&dn$?jjtDiY8ZcH0ZIBvBigIoi zQyvZ0KhYEhvt@WfY$hVCtjf5ijxA-)8x2%wFaSjiovhIm(pV$zpuM0=96MV--Jv!U5kfX`n}B9ylGo8-S29r;Ysdl*X@Mso!pAzn370iUHj@CAwobMx?SwWs z35c+<2|Eec0)UR!fwoy1-acEE`&o$XM1+k+);_W27Fc^er$M;pR<>1BhbJOztYat8 zm}D)^PS^?6qQPLUyibVl6A?Dnu@lg|;Nc1HE3obp=u4pqV}rSpwI{X{Av)G!?O|aY zFBd!U3G|;3#V7JQAvO~sF4i#3Vlxq;VjZsoH9u}839n;P zt+x&4O3I$pPIRvb7wgywkZLJ*Le_2{%$4j3v7LyJv5uYaN?`JR0{06|bP~*!d*g`h zM2L-r@Pq|ag`wlY@Pv{19cd=Cf1z=h_z!AJ0*6^ge)VLWgTiW5g}rop&h(T5<@#= zw@FA6_QZCgiV(4hZbwLUl44j52sfG-He2#*w84og!ow!a1nPaf?F7A!23A88oX8PC z>f1z=hUFuGI&_;PH52G$qvuk~g4#?(XjmsWL2Vo!oCJ8UBbhjk>`fw8D9}|A9@eS; zA#sxECLm)@f|9SNzE4DmSm!=L9=0Lbp(0{KasrUrPK20PFDyz2fdXd~1MU?+h&=N3 zJTy#fwko^Wh_f9LDi-~YV^rQeamUBq@G#K&TM;#?R?u&x z9NZzc6A?;Qbtn`JWSxwMFp`z?qQrL65=z#uS%tvFeFE}0G*p|EDWGOhmY5NWivlRT zmyKJCL*eUG$`;vvX(qI0BErl%aSFsGyic|vPVo~}1f^gBb)2G!Ftd)G;Dm9)PRK@# z`;C;99@-#96X9hI&7*4?Y|Fro>O_zNZYV&s@H*HxBm-JvTM^-AA@?yg=x=jEl!UG5 zpkz*DD|x|T(pHFVMTDP49-q4*OTCj>yXmjT(|8V_MpnR)nKXv_qm; z8@5BzbuU3Xq--}JzEng=S~L`=9n$HWrE5z8Ly=J}v7v~tw2q-*UrK|aQ1!Wip~!Xv zVnY$)Xmu|1=vP$8i}{~?nWUyN_&fk1EgRcPQIyO+vFg%DwK7^Y75Lw4e=%F>*;C0Z zoLm)AGP6r+qOnRPGo)k=3{MP)N~)t(HSqV4(s^RIS_uygSBER&N|rKOnW~fw30FkA zE1iZ!YTzsVhvdo-g)~Ibh1gk*cT)QmJ0<~pF#?btLmkNL=l1f;+exMjQK)%0U4)<2 zpz7mKzEGgy4K5OSp=2qQwdLhXMut+7Qx=PsL_G1@;&@GUv~oPa-`iDDl`&nEtSqHd z`%e6C@Lx??H14SikB4gTjZf1#YMjgYf~v=NFfLeMgmuaAVt1cuVN!7xp=Jdeh# zKYw{c$qY)RCF0p0U4)(0U`B%*N+Og6^$VZ$Jj$8>#hyomnbjT70~ZMoX%n6YDn2Bk ztn@teA&M9+>v$f%=Q_#rfJcIhg~ap7UVP#ZMU0lU4aMGu0EPm^9`1RVNpzz~5`{eH z5n^Q_WVJ35tEoE4PJC9R^2=W+(oV?jM1+)Oj-6O~Z4HixNjv#(qID;7c*psy!ZNOsytvQ zat|r7p@@*OCbP+Vhe9#IPMote+@DmZcu*QjaOZ%&HK-TFfkrA9Kdd>8mzi28^V~K*qD=@hr$Ec@-Y6 zBn?nhR>o?qS&L9@ag8-oF*sT|!TL%tQc|Ogc9;}fs=9%4(;1^N6kt*UGDabdQA`nT zR&^#dEKT!<8->nLk;8*onY2d;{rQ@27Ky?9!bs8QnRKAHJi9ltR<647m8+0 z_7LR9Qkjc7t!Ixdt|E*n+rU)sU$c!fqM2jh zP^2shvG4JT(6Wgb#c70erqg93B#sFBRI8twuwPakA*E$$t2{mtRyJWMc%dW=MP4ZXwHJyAD+|p^ zRzsVPJ&=09>Zew85W^=KC6$pZeI(lwT9$AB;vJ9;v@BHvK9FtF_0YN=zX&axC>$d# zn;=ohXj!RhPR*kDMOayfzt@Fh>Bt@Z>&w_U14`s#5L-_z2A_BXUX}tJ~bDjkrNsLBr)XW8FDy} zZ-&FAG>WfR?MvvCdexgPY(6F-vmS9HWVTc?*k}!%F$I}jOcXqjU`>>{XlRRfkh9$U zDvBq&;z6&AZ_aq}ySiLx&*^kmMe zo>EZRg-_^(GL`eZ<7w5Qjm53MT7nd`6LCE zT@+8}-qctulrC3c*~4*(2WD10cY}{bG5%J1Z|hs&-h;PDI*C`)D=%D6${>`G?aW<8!d1(WpxW#N-q zpcFpYE}x`evMnA*m%6ewFj-MREvj8u1O=FEmMdSykj-w$ra-bS-n+I}0zID~9Yyp` zUHD|PhHMHVD^&`t!{hm6u;pY6pS-_ay_15-dOLP;cxF4cI6T{BlqLm|^@gnR4{3PM zxICn>RmIb!AhNz}jzLk2u%@iR>&97X$`(U5w;|i4AhHXeH11A%GnZ>Ce6m>srAa|# zeX^3jO1kigd&ueSr&AYsl3kvp0J7~czZmEp9^Cu_a8ewe4coCPfNV25J{iS4!OK2v zEk!w?%vei;9 zvmK(w;_hhmlg&pi>gVUNX;UED0d*~G=$M{P&xFwyA33*=+@>J33!mVn70I0)YRezH z8L7=+rqo}S>*;x~leS3+t$!Z@xdtLwT363}S0jd;$NM=HEI+$QNrGqRLc|?jUJ0|8 zI(S5xIOS+8IQ|VZw_CTQI}iB1yuN_^fUpJb82d`pva# zn2MmuD`--HTOT#75mgpGY9MHA5?o_b65hT?wEy9IAT1>mA+xMW!EQaTG_`YzeB`jH zvpGe_eGw{og-Qx`>lvj+%@hIo7omcLr#w{vfB63J!P6pCG7FUy=+^T}Q@QdOi%_X- z9hD3U=x{s~p^{gqq+qu_vT3bLG-J2@WD9m>bcqUfn;VhqQm|X=3XQu-uCpV#7VkX4 zplx?}t|g1^DVYe_GZDHJ;C8vkju!^SNHP8%J0i|m7^TDvvx}1y+;-s;e~sPqNx8

%D1j?&T-smtXxa{ok)JSHsNlmlEQ}|@NDYYgAmi3tjo>At^gN#W{ zUpy@Llk;M-CIyqN5#SNcvoMUydMk{wo-OkCYoVlIvP+3KkD)*n0Xx~5f}w2IH%@_M z7e4X6vFDTG8*lc~sYwE5{c8%n(?G^E3X11vxx`*Tl>8t{3MxCf{7CN`*LZ!y7PZE! z8+o#>zxeEFi5h2(8uuxvtmYGd_+p^s3I^^Ao8%7i^eLF^%qDH`9`o^@vD84wqIUL2 zC0jPHW89}8vJ0Cy?X$26DoJKRWcNpPd5tnNpQIqNo=;FwR8k8TJ{fc6v>nyunrUV} zNkL>ipA5Z?2rhhr6t^s;-5^iOv+9}oBngnkqmy#E)ZfzZuiMtZPfD)RCL@7;3LaZ~ zKA98m7d}A}WEQu$#2Mu}KAZcT${UM0S9gw7c5a z3V?6_qF1p^a@!_D3KZKnb6sMe9*dBmgmy~li|wYf4R--!!6(i)wztsnm_n}G=tp%a zk&;>O+y#t9%+DpYIX>yCI#+C`?n1qxIEu%zRVBS3WN{ zH8z`oKc;}Rv)*a&BBk6VUCfgz)1Bk}aY=B?Yo3fLAgwn~YWIrI!X_w&o+so}0?3)o zlQ9LN^=wkP;<5{yxYFluA5z&Lm-M#G(j*0;?Qp-?mdrtDQ2y-T93x$6XAm09l2=`B zePzG(HKstc3!|VqwZxF+(G7_W8oY6vCBF^Tsy{zG`KVNu%`8Q|6 z$)S8q3HxLX`;2!1WFbl>^L_#;t^S%G2uG&4-*) zFj@b&Eo#eJOV+;`CybNDk}V0=xf86X6i~Lt%PRh`{O5%KPbs4Ig?TPDg~SM zuVJ@yHi~}@yUmu3ayTlbxw59C^i>KryD-X}-L^1Fw(PdUQOT{&%qS_?>|&#|uI$oc z%jSyq>`R(KZW|>DoAr;k3W5o}3fTCNJ>3Sk~-_J{8uJ%02>F;em}OPzwxcDS=4O2=qs z$#`9`Fw0f$B&NPj0cn>CDf1hpONEs3M(I{dr1Z!=`so*ZV8Pn7*~z8R;>kETJngP2 zp3g?#Jnrihgx1TGB^|$ZMGZf9-LOfn`fW$0ep_Z~k^;+mX)@PuTi7I9{kD>2kXxFh zpt4Jr!TbW)l4X#s4Dq4JliZAw0?T@5M>5(3aa+KXI@49b5)RBA4s22o*@aIkms!2= zNtG$Hx?CyEj>a}AXzT*r`;w489i^0n+$?<3q@c0=;4bs{!sb0-Sz8hk<#kFT$`+r- z?g6&{bku&~lR3Pz@JR{pY*(P9;IaKMx}b6IE~_t$(zlrsA)h_{7xQJgt&#P%Mw5cc zcBt7VNhn6&>&cd1Q112QH)m5|S%YkQ$*OMVEd05$`L5Jl+H4WGzDWUQeGxZr&iW#5 z<(;1GS}7^WY>#Xco7(2Bl=cp%i@J}fl$mGI_?Mi)+GL2LdTmGC@H9H?-^yzMOhf7`_@+1Zp`K-t+uDWL3j1lDPd!fVC8x=jr{2}DT(XoO zF6q?S)2U4gBx^>;DE=N#k7qPc?|xVA@oZM{m=r{IseA9=PWSI4pzcMc_Yul_UwJ)a zlLE*teA3re@htBn^qKDQ?2k)fzs!7+0?00W0th8~k`xe1o@bnn%d>Eq`6LC9HMs6& zFQm^;*UnFo=6kxf7_#{d**ie8jh81tQlz|6lS}WE!hX2}#wG=loss*-n;kjRZ>)Ihq`++3Etk`$;ISTNTk=n4 zn62cWY!+tg?*zyCK%j?XOCWH&jFN)KE_b~=qxidCg;BQ4C@FxfXOuYVs?v}G$@)iDY7{GIq*CZCP--J z`g*xDXKeQ}aA3YN23EN4u@hNs5eIP=)B=aV5*X~BXG|5T^H^G^TE z>+9Fv?>~9{`rTJ2Z_Z!5e0KQs#@iPI?f&lfzrTn7ot}Sv@zr1N^gU)#sFh>k#PN{Q642nd_EKzQJ<$L=tzI%PT+kcLL#?`(3 zCud(@?fwi4Mo_%Ny??*Ae|Yic{CZah=FK?VFxkER=a&~>zj<+bx%nW~|in%Z}`z^D&SuU@=7{r2R68~DZ9^(&0{ z=rA6RKHEM2%}0Oin#%kOLN5vf|LCu_0%y5j z{rvLuzwpEIZOb&a8e24t9YE8peEeYRorlxJ)HH4@Z_HCSfTn4FoSLD#StCbHK;F@A z=){%@sc{2nns#NHMoklvC)0=v7ENOZ&@|o3G_9!AV9`SIFik_#*a0++S((N@z7) zg^L!DhvV7P-o*ZvU$kju8e8@um?k7osG(`%IuY|UYsUsz95JH>)L|AwTVn_4HEUL6 z&Cuv+0_ubq5!vyvxlK-rX#O#gHUwwh3V|UY57RU>&8;G>Sy{9(_;@!>K%Pva7tIZz zMO%?IqrC+ea6m{N7>)ME4WMaOWX;&$s2e9a1M-BQwGeOvXqpvSGa4n*Lh@u9QTibZ zxB)cHimVx1B?Ln9WYLHkJAkHHku`(GWP`m4$-^`)oz57<^`~=1*7TF6CLmAFjb1c2 zfT&rOHEM4{@{VAT5H;}y&6%1Nejb_|acmhaqz=RoqqzZ^n07_hjD}>*4Rx5NrqgK$ z&@`*EMr}<%9rc zlVhX#*$tp+R%FdkscAy;gwtqm>;Rf(Mb-?>4P!Yy5RivyDw@U)plQ}*O{4cFAP>_t zTBHqj08O(ZYlccw6Obp<(B9YqG|ifc8#6`+yiPo*j8d|e}I!t3LYCTkG4i>RjNGayfY>t&OoI>%bm6A%b`Dx${@;Ca^0 zr#5Ut0%aae&tM0rdH%4*1%y5YjYaGzs zFtFq3fH=%TpwMUsXj<0xUqessTfMFs?Q`GJ`HUZmcT(UFE4x1iCd^FB4RJz?-hDSf z(em-i?hm!HoW_7S%)$nm9iV4f+kKiPAPziMYr|>0b(Xc=4=fAEW5Kkbg=e|VaQb*< z_Xpy!cowpo+yJ#=hn0O98F~(Pf4GImWFu*om5mv+$PB5&k}>pro5(!WYimY&GawK9 zPZD#uO>Z9Rl_N7~I4C3!(~#nH18C7!ESf>XLGEk)`5y=lasz0Z6_aKNf(?$H1M)x( z!9i{SO|xRt45N|&A$c;5)@E)1O|xRu41-e6A$gd_CTxU4(+$wmtnqVTDY-QZs1pt# zVs0}753884O{Wg*z>qpjLw4X0=U+Pwa9ERPK}5q56Hq6_um-pVra7#f%`x!E=Ow!_ zZ$ty=C36Gx#;hF8Q3C;EKpbWvyul67v#gxVvAPkGmX?q>p+$Q%Zh)R;?O;yI2@Hu7 zTJ$V~9iV4fIhUh`97E!;`;+zo-2gqy%CQ^+_}}e*Kpbdc#L*5=vpoIdiaP5jC1gVC zWMecjZh)HR*~%O52zC?SeqGc0L`+3oD757|ByQD4BO>)08O(-jRw#|^5ppI zH5=>znr21H41?O5kUZfteg545nr2PR1lYpNXg3N$sL^{f-iDk!Tao``fMK~=0_udF z^_sZ>nwYgE)6rV@t=<3_8KJWS^eihY)(0oiadSW%R*ZtRZh)R;MKBLqWDWswa%S|3 zxdD2X6~R2{^B)oiT5b`Ux0tif)&z5q1>$x;AWm3W^Vo1(O3Skq!8`=s{>;fYCkV4p zj*A^ zh&?>HK6!QV4KltYRScKg1u)-#d3t$;Jg?mzuPwR%>$8imkx%yQ>U8;zz5g3fL8p}L zZ+v?4-Nl>h-Tvv>`8Tgl@O<1>H-Z<$ZOG`;bM%cx zFXMhK?@`~i;yK6t3~J&~+a#GDalhXB;c?r5HwAS+SZg$PszkWPW2Z?__oxjf4DkzM zDuOY_{hD4pg1D{i#21O%I^0FoZKfh#LnvCp!136=7eF9xLo7|xfpjJQ7F>g+Zv8yju|k5j*C(&xieRj*~Isp?J)sqkAwoC+Kfx1nAy^@BiFYXuHB z`n;LSv|=&Fzt`7#opGQieHKgw07I>xsYVp#)4vDttlMy;>HF{ooR+%~_qg|~ey?si zaay8pu93{F*QM?%?$_CL>3FQ^wN3#Mo#q2mBMnv4TO;g5^I{63cQTsqO@j<yQJ5zL3t2rgKXD4)WA}uegOQ5j;WGNooJn#$woe- zHgE>5b6dk~P-EMsq2Fs;(s`zBEs_$?(e-pbT9gRXbhJJ0nd^CBhjW`vsEOx5J{?`1*5A_Az1I8k#ai#1u5BbH7XMyXiQ%l;NMD-H^u&K1N_{A~YdVBl z^nP?uQA7tq*-DO^Zelthh_uaRAc`dmGx}y65OFJ2+bI4<+}7F{bKKS$q65Y%of*?J zZK#|hk&^iLkRhn~*&suizIp0MPWDx!?JG=MNkAZ)17erXLJ#v))7!T#tuxd#(bhvu z>b-=?s_i;3t|7Sw>7wly)5DI{Yk-?65>t%nn3y+^8%De)Y^8q>B32-r==Ts!7VlZL zjU;1nHGy;h0v-ZY$76e3e9>(%GBxi4D;EGd9y{9X{kUxc6RCcPy3?9s`6M(JjRmAB zFs5oV+Ez9=z1rSITQ5oR@mQFA$msx!&r~Sk0s-?uVQQ z`aPsq6TK7JdiW9>5sT)9N|CG&)n@axD249Ak>DcldeRz0drO3Wyn z7i~8761UZ@K7)N#Px_og{B;t^ipRn%QT*3e@J$uHaDQvb>4?X|C06_g=cv(g2yNs? zq2McwB>j5;l4xFBprU>-Er<^2eWC;6Pwi1(K@X}uf(mG~|A97(q(}7Db*ud&Y_7b# z5U&fwi?(z6x@^}M)2M&E+a z7xja{(tJ?Ex1zBV40kn01E$opHI>a(jn=urV(B%7?XB&SzG+Clplu@BfM{u4pvSdK z?eC+F=a)gEo>cfPzTA2t1^H5*UQA&h)$!*(#W|r?qMU(`Y_` zIMp({$8C4H`Vi3ut*`w?a8OHU25z3V+hGoMB!}9L^eRq1=~Vz8q*u{KdbNiNpfiZC z)BaWu3zOtfi<@|wPg^9!X?}*~t#PRymY&uD&=fVTeOL9wryX2uEt|l(wDvF=Xid9@ z>?`qzwH`#F0Aq<>)zk^aR_YTpIBJ83)?2oGVUKszg1E2MmC{6#)l$3)OZHZWXC!bQ<$uk}Yf zj#IAvL7aRbvWoxUY7id`uXLr1wK*@*`XT0^{e2uuc(!Vt;pJ)H8OcGF#$V6|g{j95HSHT*XC0$L<^;>c ziE(O(2*RYr+t)fCs3_s%Xq&V=0Dx??j0NLX8k<8K+3g4{lHHCrTYw^5XP|Xt3!sf` z0f;)Xfzd{`0B+8a4Gj2-Y+$sJ4UCJJWCNqkCSk?j48~G?j}$P%F)^^!xH8%%tpmUX z6emXD1ddP4FK7e0soP+XD;~$`g(%nkpi&h7;i+PqgcZ?-E!VMVKz$TO=b>%VIuA+^K%xFVTuh>GMkNON zmI<7o$4)khDWVr48;w5$X(k;7$QNLc`aOsPS_6n;Efe8^Roa(Co6+_GZhSM}x8iK* zdBt$2Hk(Nu(*_esuL~erZKLBZE)=l-JzyS0FX|xav(N(b&}Xm(=AnNNKBBfGfB+EY zfrCJ?S+o)6fg2v3+>49oh1ezjLnNPM4$L}|CGdVVHUh-0*4PNzY;}=%UZ50uogw|S zeF|=FwcjHgu6<7A^L4bQ9SjN0_hO1_K0uIO>uw;lWSe2($u>iq&0vpc1B|KdU1XO? z{q%V40pHWwgIj3rVOnTAN6cs9XJcT>>N$XU(7dpMH6LIG;%B5w5kCXnC4L6buYFF` z;DO<(z6Iuxwh6`LC%M~0>FM)<2pY*FFE^+v|Npiap6DcsLqo06>8dzoHF3BApK)r6liR-V@)$N7OzOKDpI-RcN!Ni6S|K zqe}b?F-iQ4`a&efaf&p4h2%-fEdzK;xoT*$spRpuV4d}vVwp*f~O41rYU249UY-NoNquc`VJ=%!x;lNV78*PO3 z!AmAwUOZ=wAw$$ryc_p%DBg`W0Ih1RB&4MGw?;J%>W2fY*BRC`ttlj|*3(GeAY2}B z9SBz6BH~$p3sj@_O_5}T!Fnu?oBn2?X0%okW~X@(Hr3~&f%`^tAVrS)!Hm`C2r7m6 z57s!Z71FfySpW*G^(8W9Dc+6DY4W4cMt&5)Sn{LLHqn|2EUIY}HK^ALR;;#ZU|f-2 zMH}f=L_f%$L>t+YNZBEK5^dy1;WilAlLF;xxhtxh_6TN^wp9=XBa9nG8(@ejUPCUT zw)s(-f-r8hQLZk^VgTIKzlYpp%|q}Jbv$0o4Q=yFgjC!70Av8H=s5sC>-_~Pps{dN zM?k$}Jr*7&eKUTJz8QHQnqL5!lf1tr-w_dYmiLl8tz{0P8rnBNHZ|qYj|PWdpDp-^ z+J8gFgdyDsACY8}!D8ukfsaUgX>j!Qy1<>GbA<3Y?InCf+Dk;^wf}}2L|84gM+OF) z*0l!4kN#$;X&o~ZQ9v!adeiQv*07Epb&goM@kkg-G? zKyK|{q95J4K&XgxBciY{kMz7iwbc2Qei|Erb!D&dhV~WuQH%=x5Y3P0kbW9VgAqo# zwdhBfzE~St527E%Sl|j#PPz1>vjuZfW3TAf5#Iwu)))Y~f!Si(@O?O4ahvqhxB%$I zZc;zGH-X=y99;Y!z9t?EdI>*}e)?O`kMgR}&laBz_YwhciUFY?`y_BVwGNej8mmGl zz8i!n9qAM?k(3b064Qo$#FOYpxSsUWb3l%h zu0TJ+prB`L0gQ0Z(T{LU%uD{c^dk%a!CviapdaOpV1J=RiC)SLz`R&3>Nn|_7vxo? zW5N)p2x`V-F)vW_xJ~+zJq~X{+bZZ+>$4y!9U2=(KX|tB9M}&eoW*U@PoI7K9%0<* z*J@h@^3>?FkA860;yLhp2(rX&(oe^Q@O$V;{S3KL{I!I;VIP z)(@Yk*AJ$sq79uW_JrSSv`oajeD@#Olf*AzXKf2emgOya8dtdJ`=F`gHk?an{lKlx#Ef zqq}q91Ime!euM!4+SK?9`Y|Sr5<8kU^kb~lCP&e_z;KG&z*m(2hu>pdK|4YBAVi|t56pq7<8MJ5A`GexAwDht;9OG9m~bwieL?YVe((D7Dhmu zN>P*D-d2fmbyg0${iE~0T%bA%zHE1p$3(BLPcE-*4HV1(y>;(bzxv?Ghxh&$;d=5v literal 891646 zcmb@t1yEeU(=VC?PnKYT06`KwNN@`tG`PDi?(Pm@ahKp8WN~-PBEccBxVw`8i_1It z{`b4DUcLLO?yY)NTXUw*?3p<;)6?C*?mpCtViHWOpEzGq2LuKMzhr;U^4=b7^^%|e zJ+rK-owoUAM&XY*5xkEOdGFZJC| zooB=Y*37UED_1?;>RL6a%I2x=JosWZx=4$Bz4 z&gR3|&O3X9NAD{kpPLgQ-74 zwre43S>Zz{EnZB2nPl-17vajMaGpiL;&gJe|UuaGEF-i}vcMEzl8QU0T$^H))BzqKY2n($Sn zx2&RnCwHrg{)E^pA1bgVB`z!dDm1AI-!QF28LP$V8_t`Q(rsSq+O~%of4L79yu#H< znguI-to1xQu(?I_l@`<>Q#sd=3HWJsgqF(9XRjVL;smtmTppaS_Vs(A z`6u27&uwNNt1LkcNv8O4I%TfopKKj5jVv^Bj=V}kGmTbSD)4F2XkbBH$t0_^>0;-R zP6(LHX`p4}&J#sxxnC9=Of}ORafT<(=4VoK(Kw`-MD6n<(qmg?_}_5A8UM-dtycpnwDj?Fvn@(Q_% zeA;=jzS_!GOlBrc%NKoR@|tCnv(oC5P1ODrpH`GMr7X)nd7CZVzqO=Ol2j{?N?-DE zIJfuEU`2Ti7j3GSpkR`X4o6i;7<@NBcde*j#tE+zD3_y2pY5lUL!Vj*J2hY zU#yKt+iBFLU>~)gyd{6%^n+m1&u8}MpJKBeJau8u#^ zwEAq9(B8wT#-GOSHiyFhp3-{hW3)7Ax2NQ(6!d0i31I+(5yXy!2VgPA7Sjo}ef^l*wuLx9cO24^5!Q>mH1 z1WKOEit;_?I<5brt~lBf`IuAD)Q;wDcw?Gu(Yi-`nfA+&O%pkM=8Om>);870GmSyr zd?Pv6h6z!dk}_P-lr(zfH>)q+wMDH9HTIq5MkwhBT6B0uoT(sj2dUOfW}KcRO3Z&rj7FUuN`nh8)eM93cnAe z_Q`V76hck*G1bwMt^Se6CP#qC<7d`K9R*O4AgNlQ;IcKEn0sP)t)h`xoH1ul9kSDX z$WE*?%Fn3_$NOZn*v%@x+^xT@UM?qnEt{^BZ(bF~yrnBH7^%Vc$&TaEf4`e}CJ`*A zviVo^NAxUQ^egii@nQuz5#$hc=qqo5$b(?4Fj0Ys-X_C#Bx;~Uop^9A<0wAniwsT` zjuPviB1E|&`CmV1VNy(3T$)daW=Ru^=_TTBhobiQTDnDn6(Ryq5Lmd3ZSWKNqr+ z@~y8R5I4|-d^jiD`YD#ZEs@~ zssimsi3W?knO)ZV=+@yi_3nQ!jd!iso4Ou zC%4z7;lHlu+dMd4|Bu7WgZx1sS?&*$FZ(E5k{{{Lc#) zo}ZMm;gASTm1HV+9TT|tTO6x&l7({&OLR^-s2y{~A~G4BxYe`M80;hn@fSs6&kR7H zO*wb4BH{NvW2gIjaI!E(pUguzx1z67fo_JX{~n*c+%#;>0h!iOaGA_!=w)kv!=Qvs zPGT-O2v05QNQpu@wH^Csx)CyJ9*sd0!QPj7Z@jIjbQ;p*KB0f3wnIM>7Nms_HQ~SY zxf>Ay{Sex51 zb$=!`Esq`%b-xc8+vQJ9YfTlsr`Q1-3OS`a(nN@sN|r{gww&dNm|Erq_wrC4g!v@O zCc--5tHhDX#;q3D<+a&D`}K;1ix`9lPqV!zno;$I2r3?c-fVbAFo674Qc4p zp1`qeNciyA>~!%~=|q|eN-z+=2+psgn!ctID;=8C50*L4Nc;r`8~EEu29j4J)!^i3 z*CC#bMsmp!{3r3yDmFBGJ&~jeg>jGUr2&KIS~l;1#o(M1T&XUy8eU0I0yb(nK@FA; z9r}b0C5MUOznta3Q4`J)N0$ECxm;Zo(U;cPXOa9P!s{BQ66zDLYMQG2R%=GJhuR4n zPf6G4bWk8A94h7Y>~*cIYH=ajL^&f8{f$irkt*a z$;I7azPsT2;^Di2qU-&t$NeUQzcU}UW-R?%f1SCZtWI&i=0#>shLh>)zJiJ`P{MvG zIa{1gkd|&By1wdXc+W(21v4~As^vfqE^N79>RZM&dX`D3_cTC{RAd`|ymc)}?P*vL zRbt%H&I+e*Y~k%Br~^)yx7%_Op^8O;+RHp<{^o$hILmS0DI5r=+C>Y>nS-1Lt2Oje zdh#89UC|k*-twpKfHB_rgZ%6wZAlde4?fwimiCP9oFI)enZed8nSPN)(P)hjl{$sR zz=;^Sv8i}#ZT_;S8%q-o2~?8kZKIbN82P!XRk+Du_(1P zJ_+h!CD>ET;@7Ber1hcNm_az<$pnl27QjguEN80HL8)<_;rip8$rgMj)n}6|SraAp zhF2;PCS`_7A7NrvR_`G|mr0w#g|3+u)4q|2y(DCXZuIaa<7;W=(gtl$bB3%yTta5& zmu!ym(tN($wo|~Q##jDU=8n&&}g7QATq3 z34;t_9OC>7s?RYwA>s5?>=vxJa(1yiZ+-&(wxPgs`Hx0GNQ*Vb95y%44x`bp6Rf_? z!={yH>+#cxpp8j{wsC})6GClmZ{B>jPS!=K>N_%$^B9L_oQ*X;Z8+^9cvPsdp$ef< z_*tOjS4##OyRW}ee>ehUpPwOGvS!uu=TT6N(@V31zUqPdf(JH3MaIEKM;Mvzbq8l- z<~f+ZT{fu6Pasozr%|Hg+$FT*e6U_4>-!6X?+m3FNkoh<1TMhCvu`m5`S~?bXM-|F z*p#xyfcoW}jmKmeIV(=JunUZ>8MIEV4bgz0yv}A z6*%~#eV8wVav|6=^{+>MFfq_74BFdVWd#gWO+KS2u}}k^fcejM-us*p4Al$B+GKfy`g~Y~UCvJ5`_Fk>PaY>#wz`yel+k3>Mq$D0Eiz20FAJXQ9Iais~%> zA<%t*t1;nqlIjScZ8X8?f7c#quZeA+j8iLp3m*r76DRZfBQ2Q%t;iwml%rr_S*3^k zFScd^GbBj3^lK-!S&ILxd`Iuz=5vMUR^`Y_Q%#kSgCibX>(3u82_t7qf#| zdgRt|>*-bE|6!Pp_L>zmJBRXx58Q-B44x%kfYKl;-^83!)2ub? zpK^3(d=nOR{RtW>STIFRQ7L3WVpg066eOzvff(t}X-wPNpYKB1fHzaxX0e9qj?|&X zhcZ11L|)d`3ds>nhGCEoyI_#YUK1j#C&Rk3tbNnUMPO%@ zBmFVJIfC-=MG(?J&pGS~7_I5&gQD^z#opBRMF!2WD2g~6)(`zO6Gygz&>14T$4;6M zdL-lr%!^tFsE&xarmlyCG2Sw8HJMSRH*e2CMz@1Q9;DDYR4GSt`Jp;yhP>h!b=%J) zxT#{9QgW(U49>R4lbzg8o9+ggPiNdu zySv?Fb>Uk&uXX2*59I^|p}Qu@he;~)%HXY<GoQJR^87>HrKC7m zFI{&XsLf(WgRaV3Kc1G88J`o^$0TaM!c*eG>qK>x;~gXc&SCJ;36t|cm5AQd>yRM3 z?)UKSIODh!l;H0odd`1XEaF!9zGa9k2j^*H=7+YD4(P(fk||=F#l$|)7EgIt6P8r3 z6E{l3CS%bxqdRJiyq@M;=A%H$Lba&zGohv4!rE1a^&-UN4(F#njmH_Yy?(b#VO@{M z{&x|^^p&!mBnB!=tM`bUr=6>=r_s|+^T865`6`AQW@SA4IJOeY1a7`DOkBBR(uB&p z#`4nI!{tJtBCEPysJ1GbLZN3I6I()vEFI*!o)QSJHeF{IrC>*!Y50c?)jXsijL*P8{TE&AaC6VjoPUYqIgt7>RgxQ9wir#WVw8cbE) zfDQD4JK*Hh0KL)~k#zZ)H=*y`{?AF;KG>)ew{AfFv5o7PTHm&CkD$1P2mdsB()baB zLmJruQ+4_$AM2+Ltd(A~xN<1}%hNlS5=*Dr0gxsQJ^2VPa|$3q6O0ro8u4J=ZZ1?G z{yeur7npWdC1%&+r7v!+*&b0u>4-5}Lzzo=Th=>}6fW;%YbmSybmabzlN5ua#5Rv1 z_QU@TA`Z$eY1~2q5Haxs68P7L2^>2LaX)VTSTiFn$;K1}O9Gq)Lts0%x9m!NCM2y9ZGf*hC#2L_p5+I6Gg%(Y@IyCgOTTBR*b0eYZP39ri9 zTm^#h@&rJIrGxcvB=Y|jWnts=rS8BM%~9#2xM1p*gbiZdX5~0v98OCf@Awe;?oIA=s8;b1I}o$mdpV^!(tQf+<56S)V%R2RRDht2|LX?7P>x zt;x9#T4nR(PL;1SSz%Km?O{x7l3jV9(t9`p!X3q@Z3pfu_I!~W&gIuEu_T&%ErZI4 zB-BkIG2C5T2u4MSQtyaz{Vt(g(8_=}C(VmKML|Q;+Fg>S1a7oP$Y#D>m3wvZJIquk zl8l2YQM_L;A}#I%4H=lH3k}~jtD?TY)508EjH`N>iY*~VewSvHe|GE~)+{~5_K`KG zSt8<@CNe|e82hikDW%!FI{ua(+hhhNj!XBD3tn|E=%o2kq~YJ0F+UDUC~A)mfP_MA z4*PZ3%S)9LP$eN{$E*=zZ>(QI3x z>U9UHb#l||$F|kS$DGa`xR{`d4$dEZ>c@@mi0}Rv_BmbYdu8g@GDJHjRw8UP5ypoF z(k)P$=_fGL~UeCyLPX-NHhlt6E8Wl zv}YFl&(avLY{RX3XK+P16;ex-eOgT#KCBVp^utLXb7Lah)FfYeUB+@$l~Z^N8#ygA zlZ@;KfFrcH;acSf{5F$`|HIP;DMI>YO`FPSuYBW4EHSnP6%e|erca!YW-L;y-0J#ArnZVo^ft3{N| ze-_9VLywIByV8$KO?}ea$TSa%CN4h#tl+M(>BjTqg~!sn$D}Eo`KyuJ5vz=rEiaQ9 zbIU2e{~He%PPm>^S2f_-rhn-z5QN4VMP^Vs6NPps4Lk`l16(OF$i? zbm4J^W#0J{?M_XE)~a)iMh@-sIow#f4ucWmVn~B``&k5ook9mLj?%A}qG!AxCa*7# zWO1xCyAm?FJk$YXmFzaD(6MhBE;YKG^rkW43j+5F zdmA!1k6ih~bBh*sJBXA4ZLC_6s69-=ql6CQ(|oQdA*cNHyiazgWg*mE%QUsbB!oC5 z%g6~F;OsWRDXUsz8qJAns|^VsGC#2h50JyojJlQ0vCI~`ZO;c0u1QO<8MIp0W}-f) z*3%0E@lO~L($MqH1)WA}<@~fJ{m3Z?AaJZrajN_h&oV4Cx#S1^rjgtGX);>V$i$SN zZwtUQ>|k!{Y@KlPm4fiJ68S?ED&NNnfgd&8Y2nf-Tu!4bht8q%G;_pY#0XI+vGe&rSR--(+YsTe5 zh0gIw&9s|XeWp&iQ7OF@`;YDeK1 z^@cDpwS~+#4Ms3AYWBHlK}@8!37v0SuVw0EF#*JU_Y7?I9rwU_Phv`5MIINU(pp>p zHy7_~mKguP*#}i5w$_R?#X2)suPxb6=LmOQbeM+t5h8MwZ;j(^!_{s*tG~g+jd#M@I(N3uHSoDO;>`$tp!wqHDLW%Zrpd<% z0xh<;7r3){$8coxJY#TZsw}n|mKra>nfouqSl$L?E`OX7wP9gbG@>Iy1tJ2Wnk~&e z-F6^cST|ZB_)>8|DI9Rp$y*SMii1=?k}_I8^O-O!98Q;d1$MXKgW+Z3y?jLdGtrf+ zZOo-Xt6cHi!)CkFdic&X&>a$JEBNsZmlsPj!A%^|-01%eYo=*Fk#Zf^#Kop38r3SY z)F;Mj_LY}L$i7oD2dB#BX31CjeQPq#rXMa>=x=~KYdAUG2v!X8nD~MfBv3ED8|7-p zhd&#N$ZMw_H^oGCGnU~7`BYP2J@>#h9%9i;wN&i|qBq(E&-5I=D%la1q_|@TFx{T| zs!$Uq+zAe_CUsl22sFVd`Zhv_lJ02a>90?BO{avMqY~h$?N*u@i$~> zfDuswgdqsyAc2TE4nW<z==!cm@~_jdY0Z?%O(^d->Y@SU+KE0QfCwtwH z6>A)MqaAFiI%b_$HO|#AM*TVnM=Ki8@LZ(geC_0zS_hO;ZY zkT3_lhU@4oa#Ly}CO;L6UTDx^$N9S#^9NYbX%-dp8GpAYD#;DkL8bmOYfLnU86Ir9 zhJ=nX+?@lk`Gj;X?)cAfu1MkU7HQdC)|KM2G=}4k$k9O1463}X6$7Bk?J4gWw9kgA zND!holJsVZnGpPE0u;pL(o9JB{A=2%raj2friR>G?@|J>r20Vgo`Tw!Djw^XclH*0 z!QVWat4W?@1UY2QMvd!7msrv`t0od*3O7wI>3&PKf~PA4V8u;Nkm{Vrzm5A#+-TFU zNcG|>M#PrzI$m`|-Bi(XR~EBvsSaiQqopY0XhXoZ<* zoJcw1->o2^oR$tT%Ka(LZj+1zqsFU#`!3c9h)&$87EsWTMR5ISI?A)_6J`Sjj3oyA z#@ocGGsdZesM&^U+u`5Vh3HR{j*-f47Z$b5Uj(F)ocWlri(Ak0tA7UPKAV7*mioS% zQPo(f4|&P1sMNp$ys_^)RJX*8)Ih9X>m&bTNxN}_uaZ~R8G}fj_g-BnaiT-A0k^dg zL%fzsNL{M#G@H^O*sp-1NYXmPn+o*`o%7;x$aA+{sDEFj*2yo3d~Y-5?vlSWDWu33^soK{mg;Ure(0K_!*i7>M6mCNoi-iNUzPxJG+ z^h0;SStT~9i|co^E#&0>>}j2oZV7kPUIha4NC(xwc3=O|%pO!!6Xi^osII5KJ8iBX zn>b4mMK$&`KL}LulDZJ4^>Vmv&CcUY~RYDM`&1%y*N#l=7w`=6j<9qe~vUPYu|0`3gc*y=MYjxJC@2eAb;d`%4 zXmfTjE^vL>RPzSXj4bz@%`AAmvWUE%tBsJY@iE4yb&8oEro|7TlsO)SB%Rr0InjVF zT1$^ri1&B_I+Zmlw`V~L=D9{=?s1N1WUiv8k63CKI(G*=9E!STL! zg+;l=kTa$upkY>sBAkob^X;#c0mW_UGG-m*dpp1+X+xPN$9W*6mVCutkD>O%WM5}y zE*+YA8T8|WXZPxo-oMqK`TJRlOlSUd{=A%{V%d`NA`G(Lz=E2Zx9!0MfO*K(QR_#? z_68?5*zZ-_2E61f*=G>)qu%r6oK^Fbu+yB|E>!vgOo0 zz~f(!#sq{>y!AxoHLW_Gz{XRvXhT#IC(~BvQ9z(hDan>>Fx)zyr7)Qz8?BStOlhnX ztQTa>pOw3$jZs%zEGq=WC?ZtOOjVzGS}-Yp1?-G^ z?a*D$C^~$Eiq9tJqlK$yrZtK2%+>5=8}Bwu-dU2FZIDvWTXc}#Ea5{@YjU$q&Pv25 zgtxvvZP1U>7Z@qu5I+H@z@F=~n%*c??BtQ^UffuOY(I#Jxuvo^l*sFu+DLT@EAGbr zB-SDK%&>`C4CNkQ3f3Y7&?x+J%OB$J|4QkUvmCb7v@HYio6u^=?kQjN!ZMeVm@zl| zpjRe;X#6&y&u<^V;Uim9J1ZXNY|YY7_4)K6Q_fvq3Qx@iy|8NDNQq6#+wNR*%CdF3 z#qbYQ0ibuKn}rdl-Gop(hRhH`CX8Q)=J8s_ga)b{bu6X&ydnVI>)C=?YyNldV%1@z zMQQrH4oAqOEAAN(Y-+&!B>TXch^u!bknqV5C5WqZqdehV+44L&{x41Iv~8j<$Jxlo zT!4l=h=;npquDj2nWc2Bh!h3>Ndf83Zb#+z#Qig%edxMu|5T=?>=-}rf}-|h$egSo zJS-p8SyIZxH^sS89+OgSsP$`lYKnTMfomW#6U2f;_JrSyFPm^iwM9B6hBCwm>yPy* z@BC~Tw_3jKC@>-I3L^`Fek%40WN2!sWL|rMa-S6aP5II$ZamZPf^7L4j;%QgIaxQI zyXv!&8aIqE8;Y@--O2R8Sw&5}r4&438ipSS^OTa#UjVfv*NdZsGR=s?h#~P6HevOr z&Iqenql6V^5^PDVfRPKPv2M~mdJM%)LtqvO*h?O!g+obvr_qF1MDcGEiBiHiVW5fu zZ8J!=CXV=)QNDRO-}&=_0K~fv-n5lPHIMPr9tB|Q#svEV$I}o@zb(sz%zQ-~n_9Z} z>6dh#LTtA$CQWLJM+y&@V^_+=%?T4oQ|<6CBv3-O!J+3>dA&p0yBl=6s*$b z^dSa+9>aP_zbrCK#!p3DyuKTJBwSA!jHq^x%>&RluRGfu!akG#5uE z@ahaq&RCQ<)Pn|VZq`c07d&gmphd-*%xHI+VdWpoRvOV^CURQ-z?yg%iqGp zTxlxtDWCzwAAmXf5<=8Pfr3oYo>GbVi*5O;CZ6_C=+)lSQ?RaHsL*~!d7_DXd9bl3+$8c`q%OYwwef6|{yK3;X<@HC%#BSSS0Kf0|<5lbBWK+`X$;2)KUp}k9hffRRU6sQL#r_XH z$}j=W9c(f-(vT>Lr{bLxot?*gn>a(FdTX`Alsd^=vhE*h`S z{zjn1xlQ=`2jWCp^FcqU7g_NXJe?3z$xXg41HH90NDCK*m6vWzHuhIXq?IJJ7hQf8 zbp5Q_D+Yf%aQo(GYI(gZQ>^PJTmX*6MJl-jQKQTok5jus)V;OJd(_<_WPjFV%;dJW zk4)8pP_IFl2sN9xux&nqcL-OaL?|Yzav}dm=4Ryw+=EF2#D2p;JGm;WH9fhB5mJ8; z5ITckmHVOO!gf@9v}aSB6(oh-f`p8Gs3_C`Ntkj(bWiUMX0+LFvC&prZnWzw%z;vkt`w^0z&08n%U zkeNcr#NoWss$EyM-{$&x4aEWv%Zm7AW?~s@A3C@|$5b>(pmzsf;!U ztSqyrik#XJrl5DU0W$2O0g^}iz6c~*^)HF=HIScTeWNx0So*2PhgS$rdFy{M?%iwl z_hVK>u$yONma1fKwjJ9(=XM#Y`Kw*WPKfDmyk8Vpz!oM?QvngETXbe~d+&BhGewG0ZRQ~28@bK{f$@)61RXh*`tmvX zhoG6y&fgKzH5GR`HQu_h5o^WgVVfj|h!&pD0tIUr0d$C#%t#hxJg)AK) zw}4NJ^BcfML%sqv<{Cw;}r$I@X37%VrHd4A)TylQQtu0J_TnNs=C{yrD@RmJK8uyi=GS#e@Cc)cqJ` zS^{MVsR2p1g9N@KM!Ihc%9DPw{Xs7Lp2DdnKgnMUxYT()lVnUTC(=<4yM7pyI329d z&qx->UV&=(Pl@`2?{UWbV&W3iPwS4O!edh|E_qI{HYHb|IK5E8zh6Fh*dVLX+hwvS zN-)@zy&k5}>yzbQDGu+3+7r|Hd}MhzIQ(0KF?}}M+6C2VQ<)xb_03%}g&|9ci922g63}dv0 zI?LMU0kWb$srb?*CEQAu%1XgJO}*{XSynaAmjsV)(30GUNb|V9s|LisR)1PsUMiha z0tDcdqV-%fDe6LJXtWKMQ`_OhJHPgzOxg#Twf$03#t{ltu6B6Sz@iZ0HDJF27$j3z z;@?B1@?nH9G0q~n4`2||;YYL7yqB90q9mzKa{#gk5Tj=25<_(`w*{4CfGs`Pe3ty{ zD39~-z?#NhNAj{t=wpMcOiywAMHAYy{Wn5!_iqQ+I!-&zuD7$PFT7XpraD4DmHGz! zc{#d?xBQp+abtJfYcs*;%)qCaLdNRm_E^W}&LL@jN?QVI+}~yz>uKvRkS5o6%k<>c zh<`C_0G~exILh{i|6N%lrGI%OH;|@qbk!~u<}A=NL`4uFz$`r)@}Enr%mCoN*D@S7;PYB^o{4qi2|J3@exE&w~U`>3A8^Q z^PxBHL_@}q*;bX(0Rfy(jw*c_*U>b7lnu!5v0*qJV<0e3tE*qKDK=~tX1a||@PZ5t z1vgBOKAfoclh!DRBH|>6Xj-p5e6@L?YG#PrC0OZpYGaY*?|RIHz$t35kNogmiqi!u zXIR-GtB9JwEc0>0&@IzT3OPqI+klJ8Vog1mtZJ#N;9K6E4;d*yl=|nFNV^j;iL7kZ z;lSC$S#ALi0wUMS@;&z07f<6)i1V(;?Wc$7(*jY1DUGf)2WNFT`I!zEex2L1lMj+U zx+bIvkz#MmGxp-mo3(@hSDgJDjf7S*Ax6Ap7ujR}<+K$o2)!?}F;U7KS&@PY*xbT5 z%$lLpXb;6#0ZimIz3SmoMXDI`UX)gsp|@Zetqh3xCsf!2)(ic<6|lzn>Fyl~!o%tG z3Rsmcb8M>OO}~+0)nabMP^96m7JXpg!+pZ5hO*_g&3m$ zES4BThLX&Tc+<8J>Ip$;^)HBY+WI&v(TFeF`h&kY4@^zkMlH{ZJ0CF(AqHl@-u5pD zng&5dpo?n3;nFlp_XwBc;_o0J_p|1f!il8+dJl!Qx{S=v7JTWaYn{CJVE5hV;oYla z$1b_m5idYYu^qeNaASov0JWZpP85f+$EoVa4u~lKoFEx=)mj(=O;=AbB}~$k{tWj5 z8$Q4t1QtIbx4$PBaXt#^=`J9|f(X>pXo|}U>i)J)mIeR-&uyY9FRoJa)RIs_(caQWBhMNumvxT+Q`JK zRCE^6*nE1;F6JOV@?ahP;Kk&bH#O_Y!8(siYdeA*&D*vP!`#6-E2vFQ?9Iux4h#S> z|33+y=I9uM<8(chB6)SQTP02@$2RGTE8mZwwd@Jq`V2da=Axm9Q#Y;f*76JJCkEfk znkRFir#WN)1+M~^sdqn)YlN2hFN-V2r5BC;*O5k){EfdveZ4sJn^$8^!v_f5l@Om35~MU%O>=e z!>VU;MF~x^)T<`+p8u0DE@C^!^_X@9KNsA478v@Weu-t!bSs0Y9E=*v@vHHmpwV z@I^W@d#Cc|ZOy+l0}(ng_)R1X=_Cv*Tbho;H5+pOMgMOQt}1+Uw0GLS4maT%Xmz-dHzt-1E8tHz`U5;$4R;b*ay^WRGl*leJ{8bqVlxEWmK?H8WBvp$wbRGQ4-5)AL5N2*=?g#RA7v=_MW5c{-qg63 zX5Jny9^6E_P=*A(W9@vta@)wnP|NG7H;r5`# zzXKbDHRxfp|QJq=GlIV@0bgoQ7!egZq&-9%m7U5s#;>;8!ktQ7fT=5l`v05 z3yB~zqBMqt(D$OOAenDA0|1(0qlHc2?RlHYg*-^lre^ODGfHNCu^b^&EVJ;;;_RSs z?hlpV!Bv{`i~jYaWMGd}#8^|MVun+Of+Ax6t(6WC$$;HWq=P8oHcDdE9AP}Lh2`1l zPFOzz*ftgTY^+s-IdjFUN7>7>3!VzL%QL;VSwsVKx^a5paC7fi5p}>@kzK5d<@os>c&>Hq`8mCq*d!CbA*8>$ z=%5b29GJAg?#5ZFz=hqQ6JS=&r#f`=#{ga`PZC&lFK4VMGE=A)K5XI@whAOqQmIeY z8g*DSi1Xg$HSPY+NJ|gfx3{*{?JraC+ys{-o-WOW?y{LuYHv!_R!dTANTHmu_%9** zGz}Ai4Wc@TphMAQyWg!+x}!rZj8(Mly87mYXZrteUps`kgMf7z?1n?+<`6k=(yGVQ zELgJc)-dR@xG1jdwHa-nxPQqDIB_dHfbW-f!Hq4y8}w#ama~X_6s#QFcT8IO5|7fUA%cZLdU?!5 zrfTN-(o8M(=amEHd_Yt+^hL;8_a`$$UkAgtbvtm%M#=Muvt+nCOQJ`3=v)9`l0%b+ zDJfj{sNf*qrsEP(u;SDn3QQ4>hpX-ip}tIC!__zj@gW^uiwBXR!_)4t8deuMb!9M1 zUW8w_BL5Xkfq|@%napVDSIK~n8q@M#3Jd~>TiXaSWBkA-%4|{CentO@#|QuIQlx%g zgE>Tj-@^CGeSCcI&+)R1!ykFsl?!CaG82;+ejX1EZuGIjHinLJmkO#f+@QL5Mx>=X zt2f6LI7!V?ElT)xrtv@sp<+nfr2`e)m(TeD!YMd%AvX@E?I9*NyA@o28DLA@Z&LCT zA8^fz>2CgW*a82Tcy;j@Mfe}1G)rTIE(#BOfnj$%Q}XWKRZ2)_Z3ulQL0}f^g_Rae z`_UZ8j2;iB9G{;3FtXJk%BXId+L@V)i}^0{y=i8Vo>A}4Mv&RZV`fSTdXI*mAZeFo z-QcwAG9f`eAKzYpT!lH}C>UoRS(W#ackGEtKB4&&c zqoCAq7K^)ZPzz=_!fWxCjg`>Z;pHp~;q!lGHCeF(;0rjeqk&NWw6lG0ans52nnrDw zbk}zaKBzTNg$I#5W`Lwo8iqr9c&=@Txu-bn{NNmRDVDl#x3I5c6*dK9fAgDf&D|X9eQzgVrt@cTvAvD27=S*N@_i@(qE?4)B-KmXYbCH{eva}{#5S7T@wuXgK0s|4R7}a)lW^U4ur(EtQYQtM~UCXrw@AEtC~y5)yvzGI0NewmkXbGfGjq<91H_3n^8}U__LpoHkXrLdHbuA+sw;d@0|5T78dE95>qF07KA=8sAN_~uveLt80`#n-U)hHZj0kp?~ zMXFZ-LHsijh1+)uCk;VRqCRWS6HRT_@K01d42ac(QF~3X$-AG2;GC~QIaPT)-e0yA z^sXj~xoP$ph*QJq5vZtxxke5ZFT;Nr$-#@IKjnXg8l9Qx;&Ley6`hWkiowXdW-WkJ z?SyaB8oJTlz$+665~Y-s;l$-`H-8QQVqCLCfUUprnb1s=Pch#}b4o#ZUV6YTyXv!> zbBxV)BITlj5JzpYtqB7+rOv!WqZ9l85n-%8e>LiHQG?>v=GhPS#6t{Ff9H;-SzgnYeuw8q2zQC8wgOAM<@CaYm9%0*Jni( zz9^c(gmvQmr<{FY4eqR)xRwN|u>=91U>r=kiji3hP>}|j6ME!L%n5_~)127Ha;-AQ zT-ePl!<`Em7U#H`ayCs{h(upSjez3Cd5B!^EmcT zX`lw;KWy3yv-4FVAEu&xtkN9dZ>=5Ano!PWHm*G9!Kq74ilO0cLXtHL6A)@F_zhV- zS9|9dd6l#B=g!^#h9CLMpXNS0o3FW;*^wfT*zJ>2smPi`s{`A@GB@Gj2S?;C6F=^y z-p9Q#A%g7JdM}WIvMF^sV8v)zE*wp*#BzB649TL8%JbFKU&?=ms`CJ zq{bh-_7N7tUz4HG#NF{5nXqc3Hi3T0NppZ|(dt)N zeu+)sLE@!5to|itm=J1`)8TzU%|=gxV|&}wi0OAkGXD}wRq`QS86&_%jmKO2Wdc)l zO+*W2!g{SkMj}f_Vt2Myz1_1;^I!D;gJ|UEN#*BR+UhYH4xY?_DCt^`5=uBW9Mc79 zcZQd_j}w%-G)nox=P3}0=8^YD1?G`^^^g1_NX4<3!RKraRzN~3;`}*)zBQzj!y$v| zDSj)|@<8OB)@6;O6%by#4jW1BfEn}^8}N0cDzbtqLZ2_NTztze4)_mH%-UDBA9HyQ zFIUrJ2tgh*Ds5Jm9^)%r^rS`Xv?sP^xdY97rT?SabS{4|>PhR$cUriT>8||$6KS`b zrRu!$wS)Jx5U5UP0E4)#d|m#}uwFpRzbZG-a{Qkc`Zui17~c)_yWVbS3}2~3bxIUd z{U`LWO49uARFIxYom;Qauu9WbzuDZf*0B9nI+;8511HShtubN z0mz@-ZDb0@U7tEj2}B&jvJaP8+5ddII>e@=T2nf8kM;7+5wHqU9my@qKAzT8~%m+U2S=M7;Gu{9RX{G-cWp4piRj~CBt01Btq~Q?Kod=LEkroi? zk~nmybPF7$1*D_|q`RfNySovjq(MO78@%`bzW3h$_dehIJa1Fvi4EO0VLAkCXde2RPU*d=fG%u%% z0)?7y_ZsYN!`&41mmfiS!${fB(I zDJE9|HMYjn&VG4CTvdyP{d6q}UdD2q5=>UYVq$D_#KhX|vdYKdD?cfK_HQK1&K#OE zpNk%J2j0@Ud!GCVU;L6RG^7aq=Y&Alu7_KCvypv%2{h6-8(nj;aCKp??H67zN0-n} z4@m`kAw@8*F#k6nMps6?-8*jT=)r+@mW1>mJ>6T2r z_Svu-ux*JnTahvc)y|ENc>5Fzq`E2&b=8Acz1jXcUG*1jH zcGPZ8d3eYwilrekDL1E&%1<$7m6EUC72P~qxwuKg(2`$Kjlf=SBY)4Hl`{|0EO)e1a${qw$iA_L(y58doz+L6jo-8h=ykcmdAh$bjhRnQhluF*CwM{b9PFvOJ zQkds^vujs$|8$HQJo)32o};FC>{Q|PyY^uZ!}_(to;*&hU}qR1+NZ3aLr%xN+Q8cB z#-gh=iZhr7l*K{Cc?*pq>5(^eRVbg2a4?lrBC{~1ITK>lsEq8DmkrI`&CH@%4X+Hh z11Wraz2Yq|mGG*gg=sMZ`X3OXm3HOHvxFt&e3N7zRoJ`lS)@6gYYk%zpSbQ)Jq0~ z3}^8((KEa68JSo+ny-H;Tj&Y@ab&LQV^?li?(i$tStPHMPvN_+(3A+V-w{LAy~=fb zHW>DE?;hv1YnMg`-%#5W&yk&=^(?zWn82Gj98DCw8WYliUvj=mDfpcJV-4&Uy!lK6 zynWl=LljSK-t4+Uuw$4sU<~?lF-Ey<^=@ix(Hj&`V+S7yHo|9f-e{v6eKIv9m`M2A z1)MoC-8|a9*53n7dhR|XORxjKz`H!R=`i=vP~Qi}42rX1w@e_8zsA!~zF&sl_EP*t zAH~UcdpoDLDONk=<-Gc&a+>Z!MleCnPtCUTuU{hHXMDgqcLA<98P81xfaRuK&P+gy zCeON|vs@&-Cni0iNAc582 z747BB?|FfLf5LB>6qF&Zw}EE(9L$(1&JIbil(V2(8&dhU7}1L7_fm-zZjYL7ZiH{A zmV}c(If{gjA;R0lXXGRoLV&EC5uD@BR*)OD))Ey&xBfDxd~eOp)%>IHYiCpf;2QVF z7j-&R`vcte_GaUzQYPMu5`gM!NiGNU%oB198HMKtfycS2nj|U412orYg3pqttq{v> zLgTaP)$IJiUrKa#7)Pp+Yl{H2cj23mW9^~Il{%StnX7fJJVRol+}`dsW8Ov0?&t20 zO#Qo0&?V5z<>^j#VeTi@^4>(`YrXg!x3Dx7M3A`)$}g}b3Q<;Q#1EK6Umd<|nO)r^ z3-W0)8}YIj5%M#sr6JNa;w{tDaWw^zfwsI_+S_0Xj!oU^w!$jyz$>nTlr|s54=XnX z%oAWnj>YfZk!nu-21nua%dA{WpV^Eau9P75TY8lC(}0Fy=3DQ1S3!&mPxL!XO!2TP z6PPR$`r@MYSDw^!L?zY-;!x&9A_7D1u$qL=0-Wx`IB(A+G> z+US!oHourrmEDw$l}BNivD{SW1ZI?35#InAVn{)p_Jg28QplfsJ()(U3JL3AmUA^? zK}QBKhORu7ikpV_lLZRD&k{z5@n|c#y;2hyujh1cFU`L84oi%M3B8^Y9}7cBOL{1~ zD*EQicu(HEOV5+GRyj^y|H)L-?!Z{ui(jPPA&Wx9J2c*c*$vFH^Oe?Xz-2z_HSce_ zZ$>$zaw-5_Q>)#}UZGPhqk%{nUbv1;Q&Z@GSfIX!Xj!p7IL*o1ni%<^ga$nIye3g{ zz}AhoNSq@5;F^GBdl;r5oi5^N3eEfa46O|-?HQU<-$*L3h`r@Nq;cQ%BGGrX;d>B0 zc5`?k#gqMFBiw_UK%%u2wPV0WZfJ4p`LWEXtRd5u3|aVj6X*2^k?>_ojXcZZCDFOS zW1bB@98c-;$sp4ggpbhxS z=I|paT&0cCG#Y*sFEG_)7NM_Rr@C|v#6dC6NynBi3~lX2I@#Z|dci(^N|^w`kYfT? z@K6G!8#1%V?Q!hGAZrcRg68&LNT)% zJR!E&?akA8`i_vJ(5T8IBL8l|+L9UxuW;?74a12ZAepI9ZBsriJC)R!lx{CQ^Sas2 z7b<7k8rD6sSqtKgOZ0Z1`Eo6w8iF{E=@UOze+`Xjd`uG&kcLU(%H-b9Z*;fhN=r0Z ztC5)nlOU${W10cfVe98w+T&28^eDZsK$@qqxeQ5PP}H-i5lYqb>RFSzhE}zuvJDt- zo_}UeT!fggEhdTKT#IrQke{hL_GAJv;ze9vZ_ESW`*?!OQSns8@%QV!yo^SePJE@) zM(4x|37QhFcK(~DeDjWxtX8aQx+0q3o!~zN9b#YfD@nujfyUB|O1uL)LeUe|6Y>*91_ae1P+hwcU%PH=cL%z!iwPc0T{|Xu@5NCU{~VI}d?V0UAv6^$Gdfl~B+pC3`X1*Q5x0i!?K?kzb?^_`*rFM}yhcjWzzO zBOy)RVl|a%GQzAp5-P`SKM>OZEJpId zmWWk;1MF-@zkj*BF2atWKr9QkVClCa2)VXS3)brWuM6-)^vAc%&6pSkX>oUGAlMLzz4THEsjR z_Wf&A09~YCL|S-X)pO35+n&W58dx`^+kmupOm!)9W94yE+~f$mRn>J;4+Gh?4Fdu9`W(0??uTqJCHv|q5fEIyFr};a(rE9eLkXUJMwvBL4=ko*H@CyrK zMUKWEHt**L$*SJ-f9Cr5%)L*-XPYWA&boLg9FTRit|$Z7MSg3Z#XI*BlF6}!GiZ|! zexvU!{fw~+eE-AHr?qRgc_QdZ_8nL_El$5x;JBE`Q0I>r%tS1Pv<%gk+ZL!?BXRL5-M@T6eRk$ud5 zp+j@dtKv~-aGo>+345Dt(FCcWf>C_Ihn zQ8qhe2RN73%O64mO5|NBmBGARrHupCS}%9vf_fb6r1b9>>eA5UZ5h35l9k=%Gt^HT zy)@F-^GrMK1IBbfBoY)b$%>7%^Aymbq|jA(C8#fb3}be&Zs#hT4Wlo51!%zR*C#W{ z8nKn((P)2ZD#XEmaq0en){XuM@hL{>y@g$qTkmP|hB>I?^`tLH zMEZvH7gmf378{ysu40N!LP5sLUdioB^68F=|@#Qc|E>xV|%*eE~)@3p7es z%TmfO@4I=$tUap+X-S7p>nuJb`6H)jdjGH~s>uJBUe)gWv8XJJU!> z7U7my08%Z){pFP}I8err4BsJ`PLu#TvFS3a;=$e+aM)Mg2hj+vXqxf{*5guKc`5ma z6yOyJvKL5f3O$dVB*bNBW0SV0iv>0DFbMkGZd1*eC{ZYN5Nj-Gej^+^PxbrWW|DeU zLS)9}iM0@uW7BumEmvf=lboi*oa!0v#vYrOoI5x&hA6ITlgIa%0H!~LVD@3&dx*CP z3JlfgUb~?ssalui2jF_6Id-0>S^7R>6w4-^*J>n5l`(!q_8Yyb)~G4)f@rhmSnC!fK;vZ`kk+K6| z>!)lmw<&fO|RUJF4@0gZ`z?Yoc2_T*+8ch}-G5c&MoqQy;ycCRFxu zMdRwa6wlAD{-v;e8Oy2dM~Ip~QEMYjd10NKfsVyhut8~s4_z4%lWH5h^o@hxEGzUWIlWTJg!gWFW**ca@)?EkI41Q-kpy)&Y*Py#w|k2U5E(p ztJDh}PcI>xB1h|~?LZw62sFoycr^*^e+kc#5<-BmGU#iLPUjXCX_WI}QV)Qk*Gl20 z4Jpiq1a1$EUH_t?XOPU1UNc=E2p_0z1)@alqeXkc=h5@xIVX5-Ar{>*;GU9H;`Q-k zdMZ*tB@hoviuJQHMHVnb@<-(Rhmn>Y+J+Nz^v`@WU0QgR@^AmlLsZ-ry~7o>He)-x zAZ9l`u%E(=hQx@L%H`vI5FaVY%ty8xwl&oy3!=mMEt1N-*iCZ|yYqWz3%qv`1+?=X zJuoJ_A2MRY_5cAP%G&(X80z-9^QHbjja&PhGNfqBFS6_BHwT}(3-$0dQDh|!Rv zudWn^T=w$TFg~Yt?upPLSnZ`?2SI@vI|E%adO0AD(qtkR^g2GsT)=7hXBSu*gGA(^ z2nOiNF%K(bH!8ZO`^*jtYDz=T&Ctz{Fj;;)19n%eZP;aS^ND%ba^J~)Lu^5xk)u)C z5=ViZroODViCrgrGySs4lwQNe#-<|`mph5dJg;>pZgYRYsBbQoZu+lVFHSG`&*3InR-=jL-E~RGk z#g1D&1-L2lht548vo z1V4VITYfsAJ-=+mg0}$N%XVW>iOV3Eb}m~IM95_B&(Q(JWeI$my@aoYLbROso4S_72ISHN|%?JZ$cpoBO8I!w9n8ob=>Z#Pk|UV~WetosaT1NeaX}Hce`$=m8R&2MZk95Xb0V!V+a%u;LtlKN9bISUYD(+MM=^nC;N?ccpHu#GW_ zHFZEO6B=T+=5ro-+=0WRN9fbcESk(dEQA|AHY*yg?;bXVP)2$fk{Y48 zn-+=Y6?S~)Oc@yc*zIs=88UkgoG40r-cH2;xN=M+dCTZC4@FuUp;-r{wy!UgV=NtF zu}sjG`ya7g#}@f9U&gLf4!ap2htxfL_DnB*Y19Y};H?i)WDL%H_$B%hI46FS@KrIk zy;Xrr0L1mjy#=sQxOxV5!S4dAsN<~GDIai(X0rKdO7!KMp|9z22jjP`W5*igGv&n> z$~T(N`7I56ncy}Z0uuP;YneOUpNjY3S9LfD{q_L34uq=%A)LpUpi01}@)fc`P^Cru zcrb?nVPxPWWeji#Hy#Yd1m@sn+O~0;@zCNW5oF6qExGl<{VB6lX|YDs>WB$P_t~7C znXMmM60@s&#dGYV)n^0Dl#9=o%8d-SBKF6;?fX8Sr}Dp8yQmnOXIfe5K5R5CIf+X*b}T{$ztHOhv}YS{?(!H(}{Ryuk7lK49kF%$6{5etVEtwU$>#U{wz30 z#Ae?Weg=RJ5+H(Ks+cL&`8_QV=U2uU%5uQZqr;Nmu+dUWf@Ta{#O58X=~NQr3la5j zUgL$2m9P;4PbK;@5iaox33@JDMRJ1mXT7pUNKSOyCb#J!E1%yNx_>6llU5e1 z-_%x4>0zPslR?Hv4>_d4tR-K=2k)U&+K6x_FR zA1V#vQ&O9!^g-+>Rc|eyJOCEZOyAddnhP1*=XyI1&06v?_4`?KCJ44+Oa0q}0su}G z?Bx%!*eGS+>4mBxe19AbpmfEeqv(9H5kx*~)mv70+rXstShuca;?ex_cH6m?t@h>G z)k*4r>MAYwcM{TG)%1ns*~A z&4AAy(L2vF@R{ZQerX6k6*jh~J=V6=cPQ!w?(h10p#@}%>*OQWwnj}HewNh#N&k)L z-H>Z$Wy|SwAwaQheXbog(EjwZQ$84_?L9U<2&P#}K0!NA*9TFywax{;F5d+`A;dGaKoaRZa!UTkoCTxVxPQ?RLIU@=aLoK<=RfyTMQUlr-Y~CrFF{ zBx?qZ>6VSy`Vza!rfupe+%n$9gH1s3?UNZa0~3+?Q0h~Hh$0bNvH^lRodGRIb8%3} zn3T-5Afgxq=;*=k`k~+h08Hf???^ZVe}#AsLKI;KyjY4pL;r9Yb}L9eq+w$^6xV9; zyqffwTl^UEekdZPBP_(N>9Tm}VhXNt6eU`;oiKN*;}%t2AXCS}cV)aZR4Z6{d$#GK z($JX278Ga`{JUIU-tC%aizneJhcwk5A7wbY3q4q#PPfeOj7hR$?!P|-8KrNde|=QX z$0nQqJiMMP{mGw~)L6_tE!EacO8L({mfG1*ef)~!fQEi8lRg)ORh2gfE`B8WTqBpZ zp`{Pa?~F>875;~UPs062@>?s7!_q+*ciaSMi+YKyuxJ;9G3@jnra^*NC=O*O`)??H z2Lwc}orn1ir2?Z;F4*12=F)z@Gb*i7KL`Dr7d-C-Dh<_v^i$TKLsyqU6S?a{r`?_% zy=u3Poj3JbN3}P9q9yUX3WU!Su8uE=t{iyWEu-wmQt`)9;|hgYwY+t#FOSPkH-BXP zM`1p7Ex}e+8Gdyv{T1NRHf`CLD`5NAyh$kB`&{5S`Fg(&L{q!c}^#8WoV+!N6!VBcnI*aSD_^^j_TojmZM06AS=@AueCK?S+@DzoOa3*&PGDXmc zXoTz^o9np*L1R(!&N_q@1~@$Rf0`W2j;fHW)hhB2Q4;9_2Q2noj}A$)XRJg?ng>nW zkX)CO%(*GfuEs*TO~xzn_0I6cty~E&S`*wJW^rA@sWZ1BUZOrR>WnGzNdm=KU-7LO z0>y^JHxKK!ly%1CvX6SK`TqQ&TzJn=mH5VJKTD}};YEJDnTa#zn4EKZvN69_o6}gd z*`uW1MLBtiyu!p3h|GN5R?qNJ12}CcaUP3KlRUR3yiUoXDM@TpiYaus-xM(zpp1}K z6Wr6B$uyc(DGyF?npjt9t3aYI2KcHSIBRU$>*_xm2vAv4)~K!a&17MSuA<0j?igV2Y%b9+$0MYo4ET^gEwBxp1;T%Hg~8upmD+B zIoF`%l;&GH zoziw8o1ANaD8en$wobtDH_8MAnYpWQ{Us;ve5!@WW7wfm2Rd!__q2^hdY%baGYLNU z!M{SPAp)-t-&VOmIa`1sa3Zw>7?UF+AFGxT2hq)&{UQxo4=^EpJp4a;l6a;@8V&+b z`0U}%R*-;u;L%vaoU~fR#OMyi=288&Sjv0H=|XddU6bw?e%EBzp>f6)M(Edd>xzUi zF1g`!x$aLE3U~Gu=ZiU^d9A$pvt^IoH6&x;$_6EK#^e{4u~3|YAR=P8>%KJFYqzLR z`ep@FHp#hsfKyM$P4fe4LK+6OIyCbJxSYz+jXKgD%5GT&0FQNuc8fW(SBkebl?KD$ zOU{TqJnEhtzBWPzYHPL;g2}higX8i*V(1u}+K+|rf&L1TRR}Rpu+^=gm&5`9rrn$f zepU^5jfGmM?4t29mKHCBBHf6G>H-K2YcoztZ_?HYpQv`D4Xl{xp-^b!a;bcFG}qut z8q8`2-dS?_>!mC9IUj>wt16|dl-J)#+$(&|tx8=f*yFD$ZBbJTp3sED9!6`0r3sB{ zk6VL46~?oLP6p%A=aLEi-+);)Pt|}QE7wS4q)SH+rSv69 zVWK4C1Tu&%34p%i92}MPChexKv_E~{?p+6NcSn<(1-3ve9)cJJh{_jKPr@qV~U6nI<6I?d)gYQBUgl8J^AJB6h6fbHO=Ala9AU+%6r-JV20k%3Ra1mm!n zZcFheGvUY-;q}dsbo_daFmA?)dtKF&y`ev_SA7yXtIzZ=i45vMY=^erMHVV4M$n}k zeZ*lHHG3O0a1kH1lY3FHybG^Se$$#`U|~ZIeB=igvVt4UidPm7PLr`-O; ze*Jp-xK`7Y=w%Snm#JU_DUtjt z)exFnAk%Z!w8mooO zHG}s*53?Hf+(un#!Y%?<3FIHD+B9#l!WR%xsbkew!61bQH8MonBk=ZqrR@u&4Y_o; zK|{dNibp>RkTHDa{ATH%*KSpnU*(i!J!>~B(JKBj=GE}JNB=x6W? zf=xmJa#f2PB6vU2DBi`9)C$B(u0J;c)2MOFWtm&vZCs`EOewWm_(ShZ@0XrXkK(lV z$f&RKsng`w-t50z`*XWBfQt$FQZus{qY>j{>tAv7e4TvOks zu(Wi*KY-X4A#KR`fgn9*c?J@MrRXIFvh5%lc7V;jmV5YTNu?a^4tRB?xCYSX3^Hms z6!PsZSHH^*A7(T|D^S%c#daZu$q#J=#zI9xuAT8i7v8v1X4hYTR$6S_`Fz{A_4)QX z|BWklc0ECMeUQ>(sM2CPz0K<9>gCVeYoEF8dJ{q7`7yxrLoVsaIO&MyjVs50kS|zw z(sQeJ-f{hJS?F#4x8!dcnyTMJiyJ##izj@1+vGBOp@!m>o-Nkx!%w z2(DNRmIkJs39k4VgA1UdjL|cbWF~tgCKdV+IgF5yU;rhS7kuY}BjrGS4e=yWBwF*tymK%_yl>^=fTi?fL-I2J1eao%|qB zBzw4Ahg&kXtwU-k4wb?gr!dGKKOD5SqFTLI*8b_(9UbeLLH0z$D}{DAq|nw0+J>jl z3%5K^@+W?2i(FOm0X2LbMoIqwO{=4NC1GHJkb<;?;ccfzzV#4MQ+ZrWeb+#JR~+%! z2LV4k?Ww4Q$fBk_H>_T)9l>eE)^eq<2J+MNCv=HBtsAk#?W%U(`$I)Y%v!_wzgnO~VbRHK^lMU-H*0hI>AONG@wQ_%BO6aTP?eBo1dYu@vp?n~1&72Lzz!b=p1{B@~la~Rh2 zA#Px7(V+4Zyx?qGlZ5K^`_N?75MCd#F6i0LCROd3U{H~Vbh-t_2q)zTXXMXXkfh!( zNWIsls*>4f=)m`5ETt65UXdaL2ZKo6eV628^vQvn;3rF;UVr=C}{VA#O`& zavqqXjJ>zC5H0^d-Y-iwKi|qj!(XYDVokOs@4ZnC6=@W#?1oAO?oDwYE<};Vv{t7w z1lTMw2iSPuMq$xq9t?bL<)#m?$--%rruT?e{Ehc|B@vVrpDfA#EgOR}AW?d<^bMym zLGd@uzp+HRb7US2_R{&Pd~P=X+|2M=kMp%2E_DeZbxAOmdKi|v_~QbJ#|7!gsu{?t z3J>x@qVt{j-;(E^SFfTpH1Xa)O<@}z%^Mjl`F*QzXV@`qR_TRa(LJ4uxzO}zhmrt^ zI#<7t+JKawK4=ozz%(HL6L_(AqzT#<4XhXpc(Ak{iy=$CkH?JB)F{)3n7CCVP)#ci ztZsH7hlyS6n$i#uuca(glV9JEXD*9*QwB0rTH?eRqoW8U7e{NRbiiYD$N%2uC-YLkQDR$f7yI6yGerx7l`4d7N@MT=8? zz?_n+GtMaH!+|sI0(DPOddvI@IjZ}~_M1>&7Nt-=6|rOniDZWKH@F#Za22wHLCR6; z$Wam>&!yN_e!Q*Bwylimm#o5D&pm~JFYy6J(u%Nh7Q0>JAEhK#@95)G*5{+s zWwwo$Ji2&;acG-;trws~%%jVd#QJoz4{>a?;xS{}$dtrNG#D3fY}9tw{NKbIWh)+? z!QpcCX9gPfGp|5g9~pSDqArzbsYMz;x@cGfLXp0R<{{qW85afVLMF3=D~%O+K_7f{ZOYz zULI{|vHbXtdE3nK#;yf&&@FbANuVkc8BgDOGzNmP^QWxFlhrbub|d7T7Jh; zU*PzJw*LXorYbg|Nl>tfSC>lw!|VDLB)h+ve&2-1>x*ydV#AAf_{K)p`fZ6ENf(Y0 zBzCr~woV@hz)e7}!cmJp3qENwjD5t`x0W6M<51i9f>l>PHW1d=2I~`z{{fHxLEe9a zVeE=*>n9$*|fgt@WdD0=Qe^i~|Ux-_oBAWjP;{GF8 z{}Jln5&k!Q|0M@k^BGlZT>G}Sf%0bq|$$B2h>mgtuw>l@38*6Gy1>m|3ha7HUCche~paux3B+m znTD$k()PzuJp(eWAEV%6|2&UMjFYw2iJGrB1a0dZ)X|A?RNSlt123elb=YfM|C5TU z#+Ck`RC8nr^Yv7(tpP8N{qd;$#zy9TY5oPZQ;fehbz4zXNq$>NzUcG>e0qX>cN@05 zjp5`-R#&T`_#kt4bPy}o+F#I3vzGnxrMwJ(*Z$;Kj(lh&E^%<{^w_LOFdNzMj$egI z>nCiIyb%)n7ya;X1n;_Od>b*EL-z?q8m^DvZ#YzXD;;K^r>a*81}v+)MDfmo}gEq^GFKtC=>x zc>mH+w|Ba*_-FJ)+@;|ut|xnyzNI@?s?PT#l-m^Erdq;z(zl~mzH@(heA1H?b7=_sRejr`8fx_mQZCwk)?@1QpsMD06MEOp zK(g!IM0xtpPD(m1g}YdO8$NBpe47gC{By=P9bAeY|M0Y@q@#~0J>`+5yVi>*_y3Cl zh4Er57Yx8ZRIGY8Zr*mZ?}Q-!2yI5C?NH98;qPZf6Cx?yVd4YvOQ@Yri%eRTzxV2{ z?|Il@%rN}gT#5>+FWD0ucE>K+6FKOIAyl^$RyEb-r)1Xy;QO4|u5g|wUxGq+7Ca_` zJtkT`u0O_|+^lsb!&r(<#Dn+@^4~;t7qSbVj3=p$rAlMZvqoTlcL z?<^IT_v!YAZYi2f$t7#Y@zq&x@79jbIOdk`qzx7&^3}08IxYO8oHbY^ldR3{=%fuu zK>NS3C=WX-nQRv1eE2ngY+KJ_?Y9=*y|H$Zdb%B;bKHc-E*ywLqoC_HzVEzf1Gde}qgUy!_zms#A?L|RZ2S6f)69Pq zbzbbJ$HhC3gluS^U}ZqyRH$=*LJFax`S1e$#fMsKRUA;;Q}Pc@^2aQ;r-gPS^-te< zqGKJgoNc>rXdkh-1-ataOAuUriaE=lsFwKk=!iwAM_-6_`%>q0fi$V!OPgY9hvxZ@ zd8s9}R?DN->ACINXGKa0L)A}%Vwdkbg-=PV^TmX+p)kh^zd6Z?7VEfBN?-j$BS;w7#&SZG#yiZ$JLtDpU;GC-cantm3sq4kHR!p%ad!7E zQ-{fDioGzS>I9Ra+QiG^Urz|FBiM$yvUE63&2WnnF5ey658zb%CUXP1i*8oCYUp0y z7rhv)GWp+`DugATzBq-WK9Mo+I3DJoxYp|29k#On9xgfnPr<0k$;p@8^A%c;f$>e* zZce4AbNGZKvX3lN>xc?a=i-yB{#q_ z#AN_yu-`3Dhocgks)^aposvzPaLgPx8TtW6 z+bdU{2`=@tW{h}Xa5YMMX^jkVFP@TZsXG8)z<6tkc!TkiMizPb(=YTcQ>nUzQ?e_6 z;umQ2uBh~_OS|@GILZN8w#s*7bMo^{c{{n#)57eh-%$DGKQ~YyT`^M`rpn6Lz?;E- z_<2hTPKhTTOJSi;+QcSNd1EOM@(#reI!n%Z(nDA1mV-J&S3VkZq}$rzd#1TKEn}6O zs`%m&%;7uR?Gx}9TBG<=woErJhDgS+RkRZ5L$umwj3Mq3jDc*#K@ATuFFsXXbFigd z2;TlaUmU=`Kg+UVXR-RTh}}tZ;R{b~V`%c=+H+A6#!ew?+G|v1_NwRS6H4#rOV1fQ z1D>%P7(N8q@T%COgwkr2T769H=Z2kMJ94x4R-{7t&mKCUMUP#G5RhmKqMskC>_;rQT}7s{RbH^dif9-RDr~~^^AAg$;ntiFi^`7vMM|5 zAeN$zd+jAgw!z8S^i0y8oQE-VuN(SU!bi_A^c!vK^3+(K!LI1!iA}&)kypdN>B&t! z)KJ}6%C5d(ybwbrlt3ks>xZCqHcuBD#sel3BdjkVyi5tM0agVHvN9vI+bu}f9v0!CU`2)*!X9C*ATz(4Pm$_<*EjhwX>Y(I&Lm=2N3t4Qw z`oidjgoJW5Hk?18_iVqf`U5VUECda-X$ll0UG18DpS2{vN-vccE8^0cZmbAx^Z=_M zXf`ns1l?*)|8lJd6g&?O&C5+Zg)+GMrGn{r5D76Zl>}9Y?nebr0PSYjl1BY(zeY#? z!0^VURxy_-5eKEV_uMHJXjFCac6tKtXHtv%%02GdQ~HxAAjmhFip(D<>CiHK?p8@q za3nKKd}z+0zT#;|cgOSF@8^VZN$b8z7r1OkVZm{52oP@K(y=-#s4*Ew5cIfIYLo<9 zo+k{rRL)rM%}}nJbGQt8cHmdym3USX?3IBj#4S>#`Rs?@3r27rHd2HE+ilRXLfKiq z`(E{IhQ>|-OLOX@r3cy8dj=kPVyy& zSBrS)P2N&J4Xo2V4$#bRdzzVr`x)xMGY+pA08TXu2HAezkzB-D$7Hwq{@Wh)9%=> zpjfYbR06vL3x1?xe&{;)UtW`Wb$~ z%v;~(Ti@ExuNh5_da#apkY0P|*M-AvU*_s(@)u^35jEe4k|1sirnOBqz;=MHzoL(vs?l$fZ(@A4$^?qUABfSnWJ?BJgX3f1^AB8PXYCFj`zFo7&64AB~~I88LCZ&u|t3^N20q z9?DDbw+-p+E!bemzZjd-NbU7mF(Avl= z$89lxPLeR*DZw7xZ@btr#&y={a5ujB6KGdI$hs^3wDAq9S1R9iO+@{|qQ|@TWkK4^ zh9>V+DNnAbyUS;3%n`_+u3QycCx zFtDX(j44Fr&$yZT5;%$Bd%opja}%(XO(e=_X?0pBsJb(y6Eq|6z;meox`2_SE5j9T z>Zp=e`nT8m7_E93@o^A`C^Y=aqw@(Su|vr{~ z(dNkhn)8;=bt|*c;^+3x-lo^Z^K0GPW8vEy-KM6R+Ax9Qyig6B1cs$4LZkBp0sakz;R%DSLGN$EwG63t zD;V;p$*t(4N|Z`GGRx8RfbueLqa1x$AFOC=ELYPF=+pFGjf*yHVPeh0+XM=)N-8bz zTndCd_bn=g4d%Nn?!LJF7zOaft?X8e8Zp0v4!+?(!V7-fHj{gNq4^ME@~Geeq8y1C z1=97@jTA=g3=Q&0!wofWF6pq~a#7Yjy%{aw-j%Q>xNTj;@0;I&lN$+y8-d|tg>Q?l zw5iv7XFSh~nu>irRoM*=t_Z{V4L0$Mo7`np@AI3~Hgv^mLZAiAyY9_;$*Xig(ONWO zkZ&TEnr)Kw9L(;I>V-pBq?V_`*oak*H~c2PpqaAixo4&A`+IZ~uHue4TW}v;CIt}| zus~FgOoWX+oUI{+GzAKs%T`t;8OXa8+xtp6LYOX$Q2wrKmrWLheI%46LZJU^)SA|B zww;K-wmrYmuo^2Equ&|#ASLu@+0P!sPlD}wdQVQKnvm@ktnM$JV%_+7zDp{O=#nwT zSNkJY6^8+I#D@B*-Dg-25UR`6$Pg`LR&qV?$^}+q+aqM;t!E#>j=;-FD~zTJo)`-v zxRBi5i~HBoeVtd$!%wudC=U*1A0=E>-?u-bO|7h3>m90d7lUe8p_A+Vo@*9Goi^hf z{IYt#UQmzJM5SM&4I(JH{wH?g*dMv%<$6UtM}=QEZ*Uj6pfRM2&|A>JbOP?lfnerJ z(r$GcsvbJ(d)M=(Ch9udp8Cq!fx78E-{oc;h*RhkO)*0)UY3Z{!$KdWtw`lA6}d|z zUM3T4$o;omCmp#PMKL26Y#4{rW35P4_w8RY_yxd3h^(deR1xh-cT=hmGGn zv$D50BV`LBzl(g2~7_OO&gI~8k1U

vg^bIm;JF)v#Bj_nq%24xo0L$`Fn5-=EY z(B!!Za`T)z=d2C<0OLU`-;ygcb=+T+v&rnh+t-~tL1^t>B*P~9>GPRW$}T70u08(q zv<+`f`Ud}l;1tn)JVeIV>Zi+);*pHRC#Z8AqK;WUprliWDkRR{B@aHS5r#KHYhPuk z9Hgim{H2V!Bm2q!CQOQiHZk@b{{Xb@kwd}_P6_gFf0J-zYI=6hECW$yI4KDG*L6ucO!u7 z`L_|kAS4tC|H|;Mm-PQK0-Pd2^xyB{-$np~h~AB`K|5e=Vj(DC@~v#h^8s?|aSdg6 zZyl`Si?QcmiI8rYkTb;g^wT0rYf*ZfeaAC$t{yNOgqw2Ey_!o!WvJ+c7G)Q?PlZ1g z?zY}%HRQOiQP;n{zF{qGx7H8R)(=`}$EpJ3qtP-#e@Hi4XwAv<-v9jrH(p9)gJS6X8)8l!9q40G2!fUZqq(XnU zf^W@wnuKCgeW8@^`Py9;xj7OsHc4i!OeSD@b-s(03nNN&W3&f1E_Z>eH8=h zL33}%lZk&cn}g>6V)HHhg-x}rVIZh2Zs0PhP4qGF_|a}ftv6@VBWzejYkn87T^??; zfjytg1r!KUf?Ra294JxMHTta@Xa<#P%Y?ur3m6z+@MMY)P3Tkf20W_Y-w;sdJCZ=i zEAX6Dz`{87J?g&{65%Xzb*ZQI{OGUn+q7{njoM{4eww3pE~<)8{d~d}=lSkT^SR5r zv*!hf5JGFVhIlfLcP$46k^<{)o)%{b+-iGnElM_%IYK)P;g_ouZ%~P4Zm;&gWuL;@~P;Lju(o`9bhS4gTzDI)Jl$+eesYr)|M; z5JFd9n8L^7+X;m6u%a}L->(}Cbv@)x{bY6DhM0UBYkcw%#2syiPLJoo`?q}XP1Egj zdOctbw$_tF+2!4Q)Kuu-jJb04R1Q_6`LR;yUaV>Iy$^y2?0|bMx^KEdyF9I*Q@l}? zd^dG2@T=;V9z{Xg>Bfqi;jRIx{2SGy3G<<;XR6Ewq*wJ6nw6p2(%a1TF+cz*((VNR z9N!QM-?uwuM^FN{sZs~!{c{pERs)l=gUToHJ&Fe=FIHyJrXTfhm%BYC4{2~iLKLoS zfj{%mY5U@K)2SQ26IJSfgCE#@Dh3_=WxZ25X(QknoYFp>z)$LO6;A<8xOSX1e zVyWBbi+yZ~CF0NRk&Xz`UEk(C~am7eqi!;Aw%1(!0A=mciy1T=6` zEOAo)gFNgn$Nwk!9t}}ImpZ}U5&prFez5-a-$nr46aTM9=-%F#snh*&A!z%I%i!yR z;pLa|<{*eg|UXUBNJ^h|lCLM^9&xu4+ zNvQd*oSuGGerR7M^8exOE#RWs*8XutQU?VA9a>W9k`9p)N$Hl9ZlpsL7!U>w;2@2J zbaxKjDcvCI&>_tb{{`Or-s8FFeb2e?=lA|UpT(ZN)_!X5VXt_e?|Mc)i}&~_;KQ}x zBHbqvPL0sqyK<^`yYtiaj}ovB6WSEPpR0FYexw`a7f*`TeWB)kA^3)S&_zL?-Da;t zQq>_1z52wx`kD?B0zuglz5&XDC&eEaptIX}e~Td-Xt2onspTgV;KTldmV<;xn}1V_ z+bb+LyQ;k4oa}Fzv_~fP%4S}`bxB153P2DiJ*?hW{6P74sFn5q}_u%OL z-487U-K_-OUvNwpa7?$*i$QUFHSYFGOQ^wGsDU}bfG5G=mLfZ;B74YPH>lz!p5i8Z z!W>n?9j)o^y|>##H=LG_sJiz=dpn`Jitd-pFwot;bC|vs+HUnNrua0p^;Wsf`j`Q_ z-(nPMhv`(InX|QRAk1uP<<-hu7-fB|Si)&l*A;{CBHDTlf&{Z1b=>gA(EchA%3Z@l ztd3FCYd_%Qf;V83EjqEotghxl{Qxje6q?7hfDqkCuhB#NkQ0z>IZ_0FyeUR!@6LRl z4jrCFoy8-*BtOS_<4i!Ya+6|(UTB2wqKLcY#BORvZEEt)7qZS5+BO>NH5yO*w^e}x zsQ<;VIZQV-DF38gYrq|9_TzHcXe600h!i}gQFIjV!vWrQnLq<305=Mc5Vi7;8`>jG zu=ovl8{(UzP5BK>cH0+&46LNTLs4!D`14z)5FM2tlTJdJ*=E9-*+@6#+Ydqtz4Hh` z6aa^*ynRe$Ww|)rQF*j+FPbDVC~l~(Fh|86{m2<0XxoBiGr!~9^_@tc;CKk4bQ?ex=AeJ3V?{#khZ&z}E3JOgg1jO|F zaZd6+&hDorOxd;WrG4B9!-VI2wNyH|`9N_rThcIB=wv8ca$ffM*r-;Uu&%jpacMYt zlcyXR9|fAHtHPfz3FD5{;c;o=mxZTQO17#Cu+(<>uZD;$$zLCG7C?_g4o4FoDy6e)6S$CEONr7sTmmY~K`4leAX=(A3sd=~jF6 zdxu;_?|pFIS+|U-wXw|>`g+&Hmm{MS)%!}lcaOQjHos4yOmp?NL)*NbD1bC9?+mO{|Gtr^ig7RBVsg0_Aqy@2Ns}S>IWdc^L&bX z<5_(ITR1>n=~m%CK|a+CbrWviThTY!N_= zc(i5Gn6%HIbrZO4;8C0~7Ui0QhXu%t01jA+=y;94O=wsyRLAm}^}E71!r6zsZN_>^ zqY_G^J1XFBI%8!t>_G0OvpoHB4DE7^+z|KUixQAw#i=w(t2AnoocB06uR}sF9F*5p z^q>$p%m0BPI>YMy-(pAr8iqB0PYzTN{z3lhs!w>o8uWXH-y77i9Cm}g!$6+ikNYKA z;gPQ+x*^_)?43uK(D>7EBneyZhoM|1%zj4wflB}5V2&Wa_e92cSn`B+w2La{FAcWdv^F6C9m-FV@?En(3^o602P#zGJFpgi!p0W+Gp1es=wEt z!G%d9!v*}g31CGxsOYH60&r^*Vjqz~LIbKtCqbgBJkiXfv|4%hK~!RlrI(EwTAgOj zX}Gy!G0d??Wp(5_)B5chv)#hcEafIo=Su91)EQVnAYPokIYR=l+i_z40r@wgldvDk z2xG%o2jHiOt$jh=uu<`L4a4h{#iy;Y?2*#bWmjf+PVM=A_oiMVZgQb6p-2=jB|N*1nn_+w8Iyb z_&_)_dA0!rPBGuC5aJ(uFT2hO=q)7sVC4;B;rO%#^lRe*i^jk%5_`IB8PxEy4o$ib z7JQJLI(+~#cD=sI{HCLJ+)buX(|U!oZ|ejT`a5$WY2lZopP zFM=Rh!6t4}l4NSNhndUc!HPp`%^(_K-@o`6&36|E7>rRO3-k9y8+Q**g=XdXw^s)l zY0iRrYld-N?+2ta7-9vZoAl_AM+R6%_!rA4SJ6@S zWGeV#Lf7=j>G={0<`tOpmWs*5pXhVX)uke_DlIBKb!jf9)Q+nbrU4}tVp5?@{%;4x zG^Bwe&~Jo0?=5P)KnxqK&#qb&iN)l+y`>&B-V?a`y$=H6VtOlrr{11K#Q8ix&hYgD zM)lcl#O>{uD7(cDUH$a7?+^QB)CcZ6aeUJd?wi;?igI2mXF4O-J34sJ8ZQy0AQh#c z6m_~9vH1DgWH2)rMTe&qC7v$@*hC%>;Olu9R_}jHt2+0^IWGafxl&Xe-fHFY=X0~*S>osky9VcZSN6n)^^G9-v3y!}eZw~^}TRSf_{A2;*F@M!S&C`45 zFEjva{Qln<^v~P@S_KFx-&HQz1+FB+^cSWVd);E`*&C=lfc-o8=Yu-T@K9+KO_J~!%S zNO9UWg!MOsH-PB8f#FA>ar9n(iGFS!x1;9db3qTMuEBCX9;F1^{bkrS-t`T3y|jm8 zd6h=l_z0choNUIGtQc_VkH*Z^sYXbqjj&8L`WPS zKkBsT%4C4Lc|%+OGRil4I*RR%*sUjiZO}5}Z{7$WAi{Ty@ZF+To*<|V_`0ZL z6!Urn4jx|?%%$F@L8sk*%_(AhCpKkcpn2a7@1O_^gwYGIjK`d^Sm7HZ+ablo7&vWj zBkdzi-4qFCoM5sZi^9gJ#_JR~t^HR)*ixm2NUI^>(+^QDS(pxWJsBX16$JIQRP-ZE&92foMiHCAKhg>)@=ZTLSK@4T?8UedCejWQ|fPH=&%t3}j=Goe-v=)wx zIq!IS@V?ob4BMh%UT!@Jpz>!IeE(I~snRRz@mr zpC$#iNI;=$kmcHDpA$Aei@L05+l?^`=NA_9I$#7sqrVB%Y0B3ZAUAn{Gmt7)X^!M+ z*+UZz*6r#pC^};y^0Mcvcs@*j>uXS1u>v~C7fx7h*zSlYOK-ugGY?-!A2!iw#LXlx zGhZiPM=G+K@JrwBU+!eM+-ZRpp@J4Mh<+~}6r3jtpb#X*-Asz37v-nBDB`}3F_*qY z{29YXBoi4<3r?G$!Q(U>-_;)&PjTRDDM$c4(~D~aJ^eL3b+*EO49~h(xgMJHjqO)~ z*BPRnTFU!uO;6*|7J%uo^v*sd-1R_oABx=EI9(}!ws6F7aQDPuNF#Hjt*&m{=AiuS z*n9W@nf>-G-%Iw}28-d>eYCFfId2;r_&YTZY4LiI1T#uHmJsB|Hll8Z&9E+DeexBE zvgT}5op@cFjv_L)%hvEt)cRnzg#z0&;(``V_^GpfL6mPt;00C*6vXptqZoHZ4D7Pw zmd69lAmH&or@bPjzDs1Y9HL!i`hL>GblO{@BBkk z_{>(!6Fy%ISDRjWh9|~C!c^>IrHP1~K^HZ^)qw8$Hjw0P6f)Jo>>wld-UM3O4R_IK z>R7N}mWHrkKt@>i1Plt2H}|n1-}7R2b)KCx<{TgP&3VPo4u&v#$J3si!lU}Um*qvb zw`SDy4>j8*N!E3F8)wv65YuHKTDu5b9=S6SNm$v}1ap@sD^U`?#WJ=`AacLuvMKWJ zumr1pG8ySwAK=+LR_U_h`3azX1}zmVtS&+ZTyC~Nvlb}52~$)#JY&?;(iY;oZhygco93vbFbXySk-p&z}9xs zo8IAcc1gCS*3y4Ik6#S?`8>qmXf`Tw&myR2 z5s^4NaxP;*qbLB%K`E1-#|0nunkjzsNDO#rJJi48eXC6I?E5dF>3= z>>3#SMp0nmP5pGE7{NR3RbJ&)J|YL<5>);!^krA1x->J+^jQpU$9>WWi(9UhmM)cy z)l;3P#Pl@Sxki0fi|)?CiSI&(Gp(5oOfZn|``TL*(v5jW;nu55cjIL#5L|t1pO}k5 z>p+uJ$8FgN#|^{I+Q10U8!GFyvA+1L#PXZC<){9n=1gDCn>|5+b9*#Hh8b;W!h*dm7 z?uxr}UFrNX>JwJUy3)0^Q^T_UI$$iZFIm_~$jNuW5xjTY*93n++KHnPMJML>0dB2# zd)56uvERK1MU`Ou`(DWooMWcAz&Y0a*%0#RWf3NJ6Mm1W#6DSGv?x<+UiV94#3>l9 zZFyeRnt=gP13L`e_{p3+*x05$4*{OFFVY8!APVunr&S`xAD#y{5OTfrg-N@&FQk!cL1a{ID8GHGZQB)PItQ?KQEF z-w^*(7PuSUccgT{%2Z{oY}r{H(tGpxBvtFc{^**!PR-}iLDmrctG)5)$WxV9PG@;@ z$NA}V$NOx{J$gIeZFj!2dN}cVINh$T44Ikoo0$ronUb_ym$F+==5rq_Dd7cI?t@VkXM4zP~eLUHGZ>W@Xq{BR_zx z-UY|`dy6fY72ih}pK&D%2tFtL{_TN_l5aIA_v)wb)$0+?*%HpNUbVlCQGta~L5fik zf;JV3HYIs^UF!0B@+H@lORj%L&U?Y}zaj^sAO0%+UcK;7V@jrN2@Q;&T^RH`4e;Wc ztc^X7jgXWjTv)+T)#0i0;pwj6X{);b%NRMZa-D(mW97SuDTJW*=M59!Yot8xgkW7w zrUcgFLjB=|(@vU8{&U!(@eFtP-Z#N%Gxn?cT*r}#O+IJTY4Xj?&!yY;U;?w*c90d0fCg> zB6CjH2M)D&UeR1~PVn_=*FGiou6&`WZ>#?~DsZu@X|YRu=bP=$H`XIZ-Xq7`-j$@@ zl_7Ogp>&a}cDQvC-QPqoG!i!zgi(PtuLJko|Ftr+B_Gt^mJd+UB`Gjw_ z>VCb4occ-HqikP}jL$USABre_59$}gzszs^1ox3u07pr3b}n|)t!K@Nr9~c(H2^3n zrN30YuuV35EUQbq^7~8HGBk9vgEy@2SVE1itfhm$*us}IC)-i4(BxmC^`K+KfP!=N z{zWOlBQ&SK*H3$|U+ziL<0nbcNuuFNqFfJO(m#A@`tJ+`gyuK@4nzA1&;yVBspTgV z;G_Cg3s7|J&$J{B-+a0Mf$e3-j1eqA3J^G;1_4jYW9~RvYWdwU7xtgJ6LB%~_<)#5P z`QG%zp#DK+g(~9TurNnqccAcUVdb*Z3|gK;E95~b}nwb`|42&9!vpk`jYIJ%gse8OwszsNs;wnP+8^JE5W2G zIGfoQ-{j-OL?nFo=de$WaY(ISPIze=$7#Oh@-2qXRk07L?YB(Zn6Dq?z&9_f*~in% zRHKp|)rt?((n5^!4R7Y<571!6v&WG;?&3^x!NHa|jZTUH{i^uLsooo^Uwf&8ZYK9UIz4P?b+2x?MyTYFLe5wt1v2IwA1aX<8*yFF}mPMkB7eH62x z`tKQIq=|Z@iR4p*EmMOTl_j~AC5bYVNivgzRh2?jl_aw=q_Q%o)l_NJRNpaXNfU8P z6Aeofsr?E0Wno0$%>d$>m0_3$jo3@$>Vc z`T0Sk8t_q#<`t$dyODFdAS(sY)=@AhZr&|!-jX$SMm2TRPxU$ejlA`oDV^1d}p8c2_MS)znoQt&#K+G>IGnzq3eI}HO!y4A_Ch@*4F3} zs?Txgk2gs+h@qzHwAhf`XEUVuyYX>iZ0 ztsU|-RdDTh$pVI2EgE~WM2cHV5G$ZBkhPD2duWO z3b@i~_XH#_ww+I}cz-B2YS-aN&X)kV{p&f8-0K!qF^1iLtFGyruIbAz!pgZNwqM%f zJlxa${Ls6vxZum;>8&+_gq@X&-U>$k1b<2OAI{J>w~6fv7@zy8y(7($$(UeJE>%gj zOqU*3z)=%yQC%acKLPJtpH)JCw(B%!;m%!olSkws~0q*B>PrK;+5)wqX&zEjwXCWK%?9 zQxwdj1?SO{h-H?FWlomAl_Gy@fIb8i^Y9k)aLJMmqmmBl2@`yqB6OP~s7+DOpOAk< zmbYRrxTmM}PqFW%W<{(t(@Co_pdgl*nxB@U( z+&h6QR|jLU{hT8?HPolEb3SlRI=Mdv)7I3X$hXQGVlsL-49+d7Lqv}=ndsBN*scKYOZbjk1JC1_+XN??!_4}K^!eyBAyi54} zL6&w9lhu6^0P{+LpXS*S?g!>_NQ&t5|x>L=`zq%iu&t83_@ zX^Ww+Cnr&GVY(`rINg?nRo}byqdD^2#gNwwjiZ;cyKFqBU32B@v89khTH7_hiuVWI z;fTksJ4*@WHoM)ag%b{Gj)g=)j8u-=IkjX)GH}C0AJ$J1h}$Y2-VC2qX+NpT$z@8* zF~ojQ{_TS@4@Vj^N1C;fjFyqicymM%D6biwfkL3tdGn%BeTtBmW03tbhW>3Gn!vl$ z&klwtjFYab05GJ?_KB6~2_`z>ijc@{hbK99kf9ChtDVJh2I_JqpF~Z*k2J|gq>Dx% z!Dn;EYS6&vcKMx5X&X_Fcg!VKDMa*)m@H3~ZoJNW*r)NeSz^h6(3Uo~>AK@R$G)5M z9fH3VjNAZ=DRwmCl-uz67%{NaF?D0XgROK*h$Zb?;hs3aQz)Se6{klpp=~JN;EiU! zQ<_<-upfwR4KcElAgVAQ^B`kGohdV>R+pqX0vxKaYvY##`w(=^g$3j_i-9n{TT<#b zznsUOsloyb`?#A6*~u_)9e^WD)$candZ;NHou^sAf)BnKS$+uZLIsUuaN}LcPe^p@ zu6_X5_^sq4w^%O|l|qbEF8v<>bX3IArcy&8rl{MJpLFH9&h%` zwA$BkFs!eA;EM^ewm96j!@kb$(mAxx_DPlod(w#K4Va?^EDgX7L!Mip10+Gs z{Z|}h7*+n!|9}$Q4W!-Q7Tgh9VPW_2F?;BhyDwNA&=HVyryI=i9P@#{eOo4=^mIQG z-xuT`nrMo!YTX-#?2MqpbHMKggSjDL6me6+U?jf({5^wAK9GHgR$%62!tu-YtydLo zWA2p=C(jA50#}s+7C%7M>}`-S)z%TjwbW&ezY!|@$-J{e>md|%69F_kJ{>h9s|j-TRZ^QBa(0})FKq1 zhXr0xbq0dWO1w8xy58deAAn-3i`6}H>Q}W=^KUuGUV7VHp+5HkeElt`r*7t%{zg&$ zenI|&>{qO>HFf#gBZemM6h=l#Z+sm0jc;5$ky^mG47WLqFr_m<$vm4NLW9*l;*SSg zN@elR!N~$(GQCe5K>(w9m@H_B^04tKhE9$?-;QkoNLS{))A2!yfzteVocz7eZg*x@ zObrwo01k*z=aaPV?RJBIkUBgP9nURKE(*IV`9<`=d{?rnb`rb-x74pEyk)NdD3*nH zyPLC2=jbKZ6RXa{3X5T0-Mt4D`v;$OkMNxeALu-c-*uW3DXiIO^3Hy3m+ei-N{!O& zw%O`hl~?BJRAeCic3`__dO8ukpKMN;Vos=ZKNysIB$9-oHwi?x3nnnsDK}(ly~BS~TO9p8^W$&EkefW<2+#S!G+|QZ z6%mpn?Q!Ef~oi5rU8ZINzI3n=vN{LIq4ui!np#iA|~=@zp37( z9$re9c0S_CJe_zw^H|!MGgSa5Qvs%WmueW)uBHkQE64k*-WAUZf4giV?R--?9+Igb zmKA<+`FF$}ZLj zK+gz4Xm}ibe7D8t#|q&J-|sKcra!*x6!ZN3U}`wOyg-M==MHfB>-{AYaM_Vjn=?I` zF4OJt_xl#c&$51d|1Va@nCDm3WPeY5Aw-2wULYFC`WN9(9qEZe@&ev{_=0s`gXkQZ z-#av42S+|520kML2SJ9+Hk566$EMtSERPRNG1oh6gg!I1F*(~prZKC0UbCkjGf?iw zo-%pF>r3b7BYb?HB1g-Yc4rY{`P)pNSB{lEY7699S=hjI&c_E{=5PPqR6Lg00gzP+h{Kl`E;SU)uXE4%4?*?GYgQ!^1YxI%fAg% zmjMSB#@h2OU4W9O_Gvcm5_ev`l-14G#lL&YO><#}kxXDi+G z8#fns&mmAAwIHJaXy~XpPiQyN&QJ^EKv*#Mbj!yLSW-1Z_u01jDi%;+6nS{q0X6JZI8AJ1!$9WN3Ok$?n6@xJu_ZHvW2LJtvK;Y6{% z^oz^CBOdJEX83F3tpr#Ccs>6mEFhsz^cP`beP&6>zbEWpW&*4JTF^%%*TpS>djfX| zZXv7EVE0awW(!xwSTILY2v@?xUAT$&9y!0?RrSxwZ&dn{UPYEje;eY;Fo>g&0JZ$h z?dQUNmgiVuaOAt{fl1`0pE%HED&g$n!!D1kZSV=?i(yd8>nl#Z-UHp!Uov1b^1nI=5|ZqnSIRhKO9tt2}t=cr@l^1zdCkiRZ!+i^dJo$M}1Nts)s zxE3At5IaA75B}}Qm0-dclK3oV`6-(pp5=gM^sY|RQSJ`?B{Zvwb)`4ZocSY)>PD-B zRs-BoS3Qc{If9q?FPNb(ORup=LPl&`N*kd$_YO|*{VKCfPt>&#>`X6?xDNQ4k^4Kz zSo(J{5p1`PrmiUp_~@pIAaf#l54GC4X}-A;#CqwX&)W>6P#Yl-U7jH85MJSm@}~4$ zE5W8RR{zPWveT2){ohnGa<7fw$}cH;@FJ5MSNUD5Vy5&$HKX#0vf*c>V+iACcE-5pYi=g295|ShjB}t?tNs_5?Q>by3-oZf0kZ#J57FSI*R86J&l^lM-@t5S@=1`&z zP2vj;KUtEgLz8~d0L0_}#~Lm(cGhMk?ALuLb)}s7F+L+w^G!K%vj=C)ow>_np?(=M z7pj?1jK|#fvam|l!8`{I)1&#|G2(?+--ez34^-g6Nz=iJ%JC_c&l$GQ15IYdTbz|) zqucw}KYVOiGrPW1Pwbh%wdg}7>N2-R(4dozeI?%=x@HjM!VY&~mk@+X2||;}At~gL zf$K0(%(28v{P0Ws3`_i|CK}i$8iHB`!F)4;m~rzm2;PiVJ&fPDowOekGyS3mkwZFk zID+eOXcCyHcld*J7jcSwae9LAp@dOHbq&eJ@=C@ZN=XTh#J}+MszUBg{omu$?nGh_Rj+^3|aqxSu0kd%xZNoGALd zzE>Ro>C);IO$;#E)f2Sj0E=giJ`vH4D=y@sTc1$(Z-OmaW)e6JBY9eLg-6FFvq-dj zj`fAoTx{{|{mzVrWsu)ad#UIaE5t0FT#>@M-ri-|OSjN>e$`hEoF}#T&bvL_xB^?a zPJ_>0@=6I8pj3J=-z;a(yvA9%kt`I(=GB9@zrO*+kIT8|H zSjB_YKA1ZJZVxnsEqp#BhLnci?zQz#gAn_yfMGPTf+Bq%2`Odn=OvQ>VVwviljuND=&QBFec(L3gD=Olb% zn&i$9#;R`Au23h)ek+*!9kNE{7Vy#s~Y{B%L;s4VT(0XEk`scH*U z=5&d-C+`Vr_H-*ze?lpCHKS)WL*8Y`)MbcX&@!C7G=RJ`n!L0lY@$1C!sL;S=_8w> z6rSP~o^hpEP<|!ny5RUr^1V(|7gghD7aD%D0P&5#Y8Y428T*el{Lb>9a+eP8ZYty~ znsU*7l$Q~UWx%mfzBwWH*gX7wzbU9k`17dToJgfDiGJUI>!M!vofl*UMaBVILKbSC&7VwDGjQw@_dIQH92b zLT?=|@6sy(Z;JBD#s+xP;uzq;$zQy{n}(d@O>c+P%OEyBf$6XPZ?0BrK5zCXbg)HU z7dj6*%v}N<+?L-V&6;OI&+&V+!RBI%*5aiv%%{y&761fbHps zw@x8;T`UASiwrZv;E+;x?3!;(81blKaiHp7$t!1Ng`9BIHaHl*%^oOKCCgnWdy|*; zW$a5l%V$vae1VLA&O+3t3(6MJ~do~$vH z^ow*L8puuSdaU8uH?guGMK<&Ll+_-#JMNCGVC#feKi0Rqtql_K_B3o&!yqEkOXkFN77lAL{&`)PS6H0)B& zx^H|+W;fTlf8J%_S)qUY=wQOeZ9Ro+?O5I4pH2q7#mKR1b&;*8!*8J2SdqhT&<`6; z4o(+_#8NbV+Hjw;$=yY0#JJ1CYUomCxBb+C?HVmco_^ztZeGka2EP?BA)H%J?i-kt zo*YpfpSla=;epic6%$}@SAFEpwUJy$uDw*M zco%FbXsl?Z_I#&`XtLDD-W2MGpOJyj^~=W86khR-QIezF_fr|Ir6;PPR;X?6Jj4Tz zJeg3daFWqmmb%%Q4mQdQ6REAG0VJcQmb$S+6+9{z^=Oh&dhWa)P|tM8{nT0tSh%_K z)GkOZvrmS4005*W$oFD$<|>`$~z$X(pqFZc3`7GS&hg_av5u2#y?#2lI(HZ?!98YT5| z8*G*trCEW@1gBxk%DWcztnnN1vZA#&pk7uWKy+Yh7;?Eu&3LbA8f8{0U#yUxqq6O7 zzKMZIRM58v$&{+E@Aq-N^-v!Ri<=GWk0Ng0c$1r%9kGifqh8o9RCkIXJUIQ*b zXxye*N8WMNo{|iG;!nz6V(H8#*IK}R&m~v+rj9(nNu*5jolSkD1WFq5q zHRk->k2Pg^jq0oX=ZQ10ekS9;XFT>Lfmp&NfB!g!#{AmnRa8Dgp;(9C!iD@>&rF?o z@r&~A*^j?j@g^Srpwo7DIW~)ekF}k;7Vvs$Pum?D-R(p8k@Fgvt372xF&a^B?kH<0 z$Tx#MX4T3+ene4&?m&O=c=ZOp=UHoPImV#X!}` zL=d$U`(S;`V_L}c&Grl>HOPFW_W2dacmfGE!+Hbl?f)?kZ5SU%$u--%)H53J2`XCo z&+$FXzXCJ9P6PHiyc&Q&%MO;;@+mqdek2O$C8y24AaXOFd_mcFSJ()sGF%;`J2xrz zhR8)2y*(^_h#^A{5Qrd#`Ff<|Mg-7T}PHiczXF?%wGtH+!(I^^d)oE~Y%AlN^q z`^akY5pvw2I_TXnL3s$+NebGU5;iLIn(YZ)Bv_63lFfIkP^E1rMibWD zCN8k(c&cKqo>jT%_^9q)rtaRZ?p|3_#}>r&OFxF4FZh+8cmSjBnp=>VTd49z^GadzX(!$G!t z01h7Ko2lOO&or(<6H^KkGfN4G&J=$Pe$&hbSx?X!{@5Wid`{Yw>%9Qe1A8OKl^2Y* zGhwqn*$=elh*tDChFv%d9={t6idT1y@Y0BvfFxiPHlEj1oirrC(@ zUo2=l9Uk%oDPV5V#yIA%{0^h%to}mJbL2NFQy=J0B0395ud5LXL*_i1HC88lhuqD1MjWx~{y_ zQ#zMne?9J|yqJP)(=j!I^IBaVpYRkr7 zh#T{?4gNOqg=F0QtzlGTKb1`Wkp(1j^hAH#!j8F` zLPc|)SLf07@$+D#Tqua)X;A_Yy2)Gkn$j5a^Rv+N7#=2l7mx2;_qt0X>F`eI(F)nR zXZo#@W4i030Zqjja~`HobRuO$`hO-X7kV;w)fLIQ(ob9cZoUfmHU z-YmjH8NfwGEv0_rHXJ}utn4}`suk%{D-9vq)(AnrP1D5qF|#xNy>UdB$k{j9)#7WY zRhYMnVd8W_AL~W!rMF9yNOeJo^y>VRBYNJTCVWQrc8Sfv7N%zJBejyI<-mCxH z#21nUw;H&_Zk%Wz5rVzd?9mF0#D?{zbI-?vJ`U$K1%r2zsfrp_dv{%KtZAsq9?7b$ z%`1>m$SH6Sjv`qwY$eYbAuWh}BDz`L;Bf;QMv$}b`%cYAGWPh3^X3pt68s>ITzugT zt!D^rcdM^u!}`d&S;3HsNH&LAswr3Kx}ZPGrOCV6e%7|a?)Lg5?*3Y%clCUoUWFa+ zRQa|2H5kfezHZunmUXI}bbT`5ye9nL5eFo+aivjylCm=G?5r{>5%PmmozXEhzCF9y zM+-S*>*X?Tw{}PX=?&t{K#=)~=jDckg$ynLEe^e1U}hgr5U37+87#}fi5XXOcJ#eA z*6PNWi3O~RJqO*WQ|~CNvu#TIwGeX1+yt+fZY;Y&4*2bf$>prmvGN{HN9~A}Q*jd~ zFvUFaV#6xpZ*9LiMg{;F;H&`I{vAxmM0wf(hRiYh`99MlAGtmfPQj+`-nSP$R?D~r zL+^E}^nhN4dXc&EJNwcOE<8nMcR^Ai&JaQ~_JEG7 zic^1UZ^8v>yN+_C{O#KQHxXuYlP_=hLAR2wrjIzfn}V3FW-A|ND@Tk${NR4 zom&Qnh!ClH2XxLY`A;;CIh|YBGMRj$tG+~mb~(K*SwQ&d;xZsq%w$UVl;oY$_VZ?=J|`fh?5^#+a%v0Os{a*}#Th6%7&fJ<$-7 z*V~HtC;zX;{X=vVu>G;#R@cvadiEz4{h}7!f>{Pr!UAu~rs|+b(4mOXt}sfg`szKS zv29)zpMV7YG5)K&)8bj5z|4!gLgU3e>(K)Zh8+~=II)aDy82ZxfTTr=fx$CX`JPdF zr0P{kNUlq+Tyufsy}9^8W%K|v=?vF(U=oLq;LuPIa(y2403)2EIEn{p9@Qxrnq_U0^XsS_Ltw*2P) zYsPX~E$A}2b?X1kE8Blme<}aA8l@d2O(J)M{wek8wlRE6Or;gqf$85TR zzB)YK$ss}ip*m}> zpS>P>w0jM7VA>oo^@;So?yfujqaDV($JID%9I!r4b?1B#;V3oF=|0LeJW=-`xb%`+ z*Kp_xrd35CRcx#3Zxq=Y{rK|gs|mO%Q-YTW`QV+T6kJA2ab{Nc6S6KPId^Eq$ z3msz>JdJI6F~E33y_vD4a9Yq`zYi(3o;gVCZ2V^JY8^M;<;m&o)D9P39vj{f{<`g3 z;wIW@c?5|_;z#H^p-jY(ols96sQFDqZNrmUS*x6lN8xX{J-r>>Fvabb!pe8rbk;Y# za8W`V>W!kY_nxtPWmRpf?rwujGLmD0RFq!?bFz=J_C2j>qL8;~BCU*OYw-63-d3I5 zO(ep_-0*vEumP9PY^^?OGY%ZBd5GLq6H$9X!Jl)$3-i2LzsqG&D}Z-A_-svU^$7cgD-_<89GIL_@#Fy_T_oD|vy~%f$jmUi6oRTr$FJ z!fx?6R=jPom;7*GP*Cjjf$Sl+WfJ8qI(niX%}Z<%*^2AN=J-<_#s~0)ax+L;)^;6V z%v9pjcvr>9eNE>X`I@}%%d|&S2#h{S^p|_>`CyEkMp7Tn4VSm+)y9_vDTvkUiHKvB%cmXo!8bG+_=Erscw#}8kY*M6AGU5T!MBVc z+%J(8#NxThgvRDny6-qjc-9j*cF2~|YdQE0XX6R>^yovMn|+q%J&B%{BU$?X+}jm2 zMBo1$|Cr_716+BDE{^-MSo!L(Y$Qv!0{T-k4Iwcy3b15oG}NirABhj z22(x)YZz!VdDZh4H2*xaryqm-q!R~cW`DfI)nNJo(8?7M_oBxdLO%n>b2`A$jUKxd zPhj0TTJi7M*=<^@_T zyVR+_@SgwS=}rn<%)?NMsq;?xn~VerA;Z|&{g8PO4<}}1&A{+Kr4hhix^`F6^zo%dhHxz98W*8 z6y{u|X%^%RE9|w;;c6CWD(uBufwT#T=Wvm&K>pDz?m@%Yvq3 z(G>qxINDP9+oppVfp_r6*6Ry2!o-lY`~Orc=(W2R@?L2SYAMQfb*_ci%#@*3r2cA* zQa#bWN+vPJ)QL|ccZzS!Sdb|p4~)rD>T=D-c%~^~zZgpA!eF(YdvAwqaC`2}1@}!# zb6%}Lt9`6${~_9MhLE%vnrG?n3ki+Q>TcJW;WdDt$L`qFD|Sv+>6q*B#^Z{#ruvEnWS(rh z?{}(l?kMgRQM%8JOz|qvRr|o|-uFQhJI&BL%+T*9;#-R>^rX@y7IJilnu{142YMs$ zJIt(C+1-CLS~bCN0|3%HAt{HDsgq8b)Tr-VJTT>$zAN{dRTWx{{Y5f1BtHbB@thlmbNcK zyIh2}GUyj>i2c@3Gy3(M2~%T-`qV5RU-TP;l}6?ksENs|@OLkX%qZ?kn_{{6nEAa5 zr$S>{jl&nwfyWEI?_sq@-GPSLzN@ZhM zUVEt9_Pos`)-t6`_8v42;jAHg$_vBl#$N}+ObQv)@(bp3X~u3Fciq{Z{TR{kY3Rtc zA{l&qSYn*2ZD7sBYqG=_j$t2*P|hWRq@m@sL-U~Pw?w2qHRslD-fz%?JeO3?rGx?qVHT&5qz7n>v(~!RbaBW@itp^{(~1B z>#QHm5*i<_^YYWEd|4;%q!VFNZ2dGe&4qqDAIyR#gvI+Ju8FbYtkD*OkrwsrNpK;9 zB)P%C{`2K(C#+A7PzVLh(HQB`~|QGo}edOml&`%TW3A zFPf0tSAym7dZWXyvPrQ^>%ojj>U>5d0H0rf6Iz+F>9w%H@F0gAJ&WAmE>_+%pjG``PP-2G`9`nbb- zB6L1jAlAjW!YN+9nq%lyFKD(@1$;9b%rgDsCHIEOR_*6>FgzK$(eY@w7AB#Qg$ zekb&6@Ri{k*q+OHsSFZf=-;*Q8zM7oMaLpyysz3l`2To&3%IJb<#F5qL`0-Llyrw2 zx)G5$NFEwdNJT~dnD-CZIeAxIv&{r>*{&u8}9 zvu0+^T6=T$+I!8K85%1u>+P>*Q{96+orHn^99DE`=q7psp0{Pf?ArMk$=TaG5OKy% zD^6eL)P*+J=`lvY7njp*j)4v`soq8xzY)QU?K}JqsA(}%yk%DYm4tIEhWCE_Ixt{w zDL0J97Y5(iICB2Bo*`uAenNHY^Cs!W+-v=+-O7lVn|k2Wb@3ykK3X8ORZ6l`42pKp z>Thfb@pgOqHjzV*!ADcGnSI3FCd6Mhpfc%Jdju|;7JA&-Q%swRy0(ebL4>;9W^K_- z_xMARgRujIHdduq2qn2rnjOQvJ!;85+~#X-QS(F4|exgIE$W@m~q;u;D2%_A1U>nnG_jT16FU- zo;9c26=w{hJ%(YQ=1BH#ZHsl^Ds3v5CZd#x)O#wEmwdX9QvFIBcW>hrx>q51fHAnJ z6t8E0W930eJtyjco@5yU21!e&)KU6A|P_U>d#W46lg-zi-y_VwM zpeuEwg|lZWt8Lz7bKfzB{_Puj-A5aF-mTZ1t_oe&bNy0GB%0=WOd(ov&5O(@0iQJc zni%6Otgcv!eQNc9w8&ih^vucOv+uUlE)Q5s@W!-Q8W)*W9Z6Hl5ShzN2>KD+bb#&H zW8V<|s;j(o>icaPtaEI&c^B(+8GMKhG1XCS@j}+_2z}Mf3TW9w7YpH$N()1fKLihe zl{cue)NB;NE@Pd z}u*?b3B657fD(Zy2fbLRi z@JSa8rtBwX!b||%I6G!z>v94 zEkGO0Z~-PVkUmDe2LLi(;bgqRLEuV8<4W4eK$I?^FPc47x!(Uz?S262e_%-CIaU(6 z+0n(ejpKMd;1K2wuIpQ#BYmpSU!R;Pz5&zPkFu>5f!*-5!CIzg^hsC$sy?rY*}-I& z|53vw#NNQu7*j2{=FZG(+>F5i-t@s1v#RatIK{IggyPu&OT|d#caDsxxN-D%7(@x# zi_G^Zh#tIa{4t;^FMt!lZ{beMgiALFX7Hdp^s-r;lJIcF^VQ@aD|qpMx&zmXHk${E zQ4F^9t^Kg9serOqu;Ru^!Y9_O5QgZk{k5fpRN?aSaf-&^(VV)8w*+Pj z-l1v_VrWV?ctxs08VJs@wL5@A#!A{FmE5pOZvMq#n#JL{^hZ$u05$SI zGva^K`EUFCCj)4sn|LGpANm0O1BL%=@u#8xJ;wjyYJOh-(~Dd8*LUwf4WaGlP5bS> zWgslc%eK@GN+7QN{;>HV8bQmUR_t>6kWH-+Puh-MTSNuMv3@3XRQDrP4{HTELs4DK z47*7tm&sE*!0MYUQ3j`o*%O5G5s4V9;EEES#4gHbDcMn4__e0uVJQ z7da>=59ftlKz#aho5)I7WaTgI64ReY{(A=7vl)4@mo-z2(k@I8?~=MRT9ZAJ0?(~71?PD6R^e;HukJUe03JGLc-}^q|zfTwGrD6Wq2CWfl$6{ z^2{7)ZS%$pqOw%RGE@u5gz@;AQP)QzycL``%sQ~lI_kf?Z}{@QsGKE_m1Oue+3;(h zGDE*ILp_TzJqt~ZM-Cc~2>%Wli1OsI3g)r?s&(Z;@qZ)xp7-~E(HR)p*oETXIx|^t zB%|n%?O5q7?c^BX5aeVn0a&+e2MfSB2RMFZO($yd8NU{19E*RpD9wK@@cln!0XDCw z-Ig#w+M~tJs7Dq>Vv_YA3Ntm|rtsfWHrH{ia@Ml=R9~q&=6mGum>B3~<;LPIV z^bYLAWa{pGOZ5{oGE%fQLL-PLQb~*#lK_~pkzz!=SQ8*T{l8!V@y^b-GeGsfbi&D* z)%qtE)w0kNQ%hV1xrHBTg9O(G3b5;XD7)`NWC@uXrO~}xYxp>g6-})hW;zSK2*^C| z&LCZV<*nzJ@Z)lAsk?)du5h}nDLBrb;D2M?lShn+Nvx4Fbd#pyt|D+~z^AqUhZ}k= z{U7!|(SB&vM$B{$F>_W|T@mkiHRJCvbeZwENN&>K@qi4A%ddaH4~8dlRUH&Yzx zBoa$U5KCK|Qb?FmG-Z3?1IR>!r=!7tG5`O7FA#w8RYnebzjTP;>y$R37jJz$ zHP5mdsh9SKM3-(mKRE2jTq0LpA|J~3Q~{8As+4g7awlm5J7G*aVM~LeIRKQ;vfyV~ z@+WCBf0qFo{jLykC>3)kW&f`|T`;c6#1wT8lRl1Vp@+h0G3oB9H{WXVsY%1opR*atD$2mLhzBjO~m7ZwQ3lIaZ$c7I`rK$~JZ*k78P&E?ux%dD$- zqpUatu&AIyd2h=Yw5cUfdA6SaZz==d9%a;3$aq`Y6T6Y+y3xnx=*+@*l(loN$C_fr zn$~B$X~=kEl|65jO{bm1e@@Q9ip{Cd$Z5#PvC3xB&LL(~C1X?dd8q35P<0wUG!0k% zpkn_)h2Vk)gf8TkE<^>ib1;5s{;%Yyk!zkcmXoPq&G$hkAPJ+HqC7M6WifqurtuXV z|J7VuM|=}KYL_&w!v=j{x3ukz?4xbfA(D(fUZkbgj^wlLt%PM2zcUrrG_Jx{h}CTVnOZdLwyzrw`zMf zb_3IV$lo_fnisp1KQh-b4DxgfWaZHd>e3668`ZZR)yFFzeZ|VtK$rlasA;~aiO#&3 z-@N$xBXg`r=JkJv3`D_yvh(}1R?-W_|KE@kPt)m6U|ov}rt^6kQ6>6vhf!|^k_Tly z;ZeVH`jZF$DfSl?BhUsYcqf|84^**l0fpykw&}Tnrs@*WZ1<3;as}&tw!~7w#L^q| zt621_^{`J3uuoR~YgXrE{>0Mj^cGn37WJ?Mt9~QCXSRIL@Z`Uc%71wk#p4&nGo9Ww zoi2Al!~9LI;aqgys-N!H=Kn&L_r%>la+eGSKb!C<5B+d>W>&SQj>=RG@sMZ1wRaCy zrVv@xzn8Q*NV*)Pyvh_n{%0lbkR#rZBYh4MApd`snVuOB&tx1A)ho9P?fzqhM84lA z$}amOkZOvsSu%VK+6>h6XIv=YP;aT@-%qh2gpJ)@K zuT|i*RUl=eK3}3fdL{%b6H?FU+i*@^vkGKOOchK_y^;B&p0RQoe_|T{F`tAZpTuqX zJ~H_}A7wi~WxER+?{9Kg7orV}mA^LsGx;T#we8jYyvj2&HBxj})9dc`Rrgp^l11V^ zy}no74T06IYc?kh{TREC;vlEMXi)p=M}RRV6K4Zao#0axq?P147Qhm5K8pj)7zG)Q z^Eq9qSy$ryO@kuW_Z$XquWyPpi!}OqEUIgG;*wWY{UA7KTnc&7Vz&@l%jSsUkU2jl z*Hx16#+aA8XKhgE69DC{75LVQd{@cCt`b4+9<~d3ZkjZa?d$+xVX~cVPc2doLhV`K z&Y)KhkL2;RWT>c4asJ zhZUeuR(;$GXahPjb1kI*Lxn_hVgBRW+!lH-7}SAtc{z%&VV$CO)^8wyCmqj%4`CuM z(C7O%D%4-TV!@!h+i`s^{`Ytc_}opz4|{zF{CLuDS^TUtJ0>AWb!MYyITJZ*STet& z+sH(|u|6fi0ge_8j8pzr?IDO!(VA%G=a~9u(^l=2i8g$RHt3n8^^AVg(GAnl;(Xt& z_`YMy^OMT+zf#8XQ^vZWvHvEAbs^fo=x5db$L4<~M{*TAtCtzmxA_KBBJY!MpzS4l z-^3B?-od(O(dc5(`YsXAv%$f)Dw#&lh(wTiGpcc(U!y0yTK_6DfkAh-w}AV;_{wY zAVh7g;?_yx0Q`s4D+~-PR|bV)|HVO zU|SFTSaTMX0XF?-11+ao$;3HrVChbXx6WrLjlF~k@Pos`Ljh=Mlk`$R3ac-3(vLp< z4_kP`T9{>4sRltQl1e!f$@be%T5%ud_}d2>AaL6I7hlUjUmxU)wd=+XmK4%|DIcXJ zn9-QJmJT|}&-ZjJH7)vBsO}`{n63)!j0&>Pq0s`+k4;uhcw<_aj-L8YgHq^f@1uQU z+4jhWFjH~R_Qy@4f-x8^}5@}kYR!vFO zQwV8L>4>G;Ouj?fQOKq9WhB~n2QN-1H(#H6D~M<%vYl3b==9KE)U-opy z>_~Jb^Go_x$DN3_yTVjaI=lXu51|fNZ{}jpYip|MrMG0r2&F%m`ER?_s6}yKWzZYJLU~E3CQK! zlIOobY9!HrgUwLBkD^YuzTfSI{aSB@l&vlXo1c;FePCU;*KJTrGhs2?lH)mjz7zHI z6(WuPz~?$mvlX|nofn+sS!lEc9Bc#bieV3aKR6=wayny`YbOzuVw@z7p!*(zNGDu| z3GIoM!uV^nSIx zX~HbQtN9lwaZuUPMRv((Q)V%#n$L06> zwNey`ST6f+p5(3sii2!YJ;cp*6C~1T%=9F#K?~Gr*lQ}B&fQqfB<8gZ-{nuCQjVv2FU-cr17{(=W$d1m>3{`r^G!Uk#}RE*v!bci;`l)jD5q*YGwo=KT9yW zxL<}DlU{CbF+TT5<_jI~Zlht{9i`@>UAzp7D2AbTG3Mhm1*O6d#_4#`HXiquw}l{l zTS-eTYz!EHK-SjD;uoHe(63eHa?iosF-{lOVv)}+73ItPijJ_@BI-k^^DMsm?6F5Q zX*D6OpMpf2QtI4~2j`{Y_cysAVqft}{r%v)xc0Ib1x~R!GiDDshxHAxDJ6)#?n73X ztMxyS5RgtZK3s)P7WW)3XMPmq{^F@L@H%dDr+AiG?RdfSsH5iisE3tEE8xshHh0eI z@$tk=MqQk~YZ5)!pIGK6HYf^PC=quNjtxfEES!;zC=(ClimFq9IcMoWU>^qwuXV@a z*NYu&9kJb)?6-w9>JAW2A5Ks+dUrkS(lPM3rO~IWoCEw{RwuzsGVVNo=MEV*2dzpJ z8g;f%p6s2{{`WM6I~u&cr*94)`((L}yh=$@41`Aa3&*sug<$VSNi7{Xk_{VRigrY_ zx9AX4mZnmF-?!vBO<06oLUH5s9=?etl6ZH5c6XZODtWhh-76s{s<#`|V(9y91y9-6 zAAc>Yt4&)!BEd9|B|GbysWFMU#;hMRGrGofk2{*FaJ>Lx>lTQoHWHiv2?N(n74MNKldEeUU~-4fP#WK zXX+Ju3i~4k5oTh{$!oNAlfeEP;@&1SmIj0jU*+nMQ!wH`xR=xotoy;W0;-yFg1 z-OGYi9B=woJoI8!qQcjazL z8c=kJ6@H@zDSX10CT?u zcvZK@oaNX&Phu&_%wSmjY?0{TTOi@psh3rcFpu#|b)nH(UktMI`?eNw^2H%*BDGr$ z5YsDD&x2Fc5cK5f<=j{H2@P8Mjgi4_{_S}<0qQ|a>9+(R~+v)}%x3{MH32qLR8+`Om)acgVa-C=m_#QeK zDuVSs?Up240B+&>+sUCO+6D+r*gEn27Dku~o+By=QUv1x1uPD*A-*um`fi-~Cy@(! zkHhahmDzqiEcVnCiZTW@$TB+yJMM!qRiu)pdLL#2E1a7)_iMi_1h9B&EQY8ohD@n1 zhUnyPdzi+lhr$;_GI#}ICK)ckgsG}8ds`AfW&8Nu<`*klN=*rH==dv~(*T zQwIykm>DSYUz`{+(n^6#%@RX?$n=_h^l)=hj!CqDeRPypAO8@rd1eZvk!3W&gApkz zKb-x)ISwdk{cwInFCcS67%A4tkU#4j)@2BqS&abFNmSK}R8j@^UPxMLLF~BtQs;rP z{XC8R{M=xC)L=aIQ2fY2y@}L7(V%-Z>)4IbDYLj6rMGQ-)9wV2H^TyE(zh+rBnt{p z%lHDe?uy4;C=t$KR)j9%u-oOZV?F)yT%l%{EvXviy< z(nivw=rKfaR(zB#I6{R~ZT_^%<@kD~3jrCR?KyInsSBAgtx z;!{Q9W&0g=cVz;CNiFRH!;zV&8HL>yswY5NCTwrgd_8bqV_DSj4M~Z{;u{CfT*x8Y<`ZwAZs_!>@>*3VU=vYiom9C-BkfCh}}| z3T)-q_^rq4sJt5?+0~Xhqga<`AI)nkaAbo)d6wTVcPS<9u~RDRt9_aDOdfa#_MS^l zH?btT+f>2sxILf>h+1j_7t$he@997*ff2Cd%(rO9K6qta#5+YYElsHmOJ<^T;fHW_ z`RlOgx?%q4M?DAp)Tpfj)MeXOhM}X;G8^w30%XwnacfJj*Tvk6LTe!Nrn~7oB_c3& zYGPy7H82;k#C$`%+yLzHsfmf|nj@SRw+6&+ft~-}%sMLxx95CL^83B5#>B-y)gy1&Y&+*Gp8W<_7fMvxA8m~7Z7ov7tZ6LD zX;_kE67^M+e_gm4T{$%1{f*u}H`7gOmcbDC8`M}}ZHdoCI_=)<3J1 ziEJH_Ick(W8varJLZ-Lww+~eBb{D!t=roEi?s-^WRCbvSqkKLws3FdqBF)Iyoo-loeej``COE5XwAevL9;zV658W?JQ z?m72jHAFa<;7(E1sqbl0>eX^yw5jSJfOuJo8B2=Up_T_&7T24pJ{PaQus8)XY;=QR z5E=8{aQ^0u{#^p2Ty|eAt9_T^SPbBiXhK${0#Vl{EQ`gM?XCbpBk*bMfWBc%-O~J? zl&d=rgLlu)M9(%Y&o=kYEF-@p^Je=Up=wc%*5F{GAjz|+cPNquuiGM%zXhhy8!-4J z+n*(R4@xFpD!c!pvOR+#=84zvr!z@`$?;?7Lh7$~)Akl|3a|cqN$Su~$00?ri z2lZ!4h5e~dG9;=5SeO36|MwNSAQx`drJ>R+^-PH>Vz?5J1ZrXkcTBYgmmSNqMhblf zR+XpiqO2`!x3+afB_UsAT{eT8{2q`x4q(Ttodj68hrzG_k{h<$J{ z_TrNxUGl>UauiQKqH$4iuMA9{jNw^Zwir6#*dvwMcZ*)n3Zr;hf!=@yRWvzxI&k}{ z3~=Z1yhkL?`b~k_AWKQ$*+-A8d+MB9(ubd!>u-99SfY^c34Z!IcYNtdK~huqSh1~| z;8e<;CrZ!R`OX4H5G0s;w5mUvZ_7Nb-t|DlUy2XmlERQ@d498jIj-2Y3w8CYuCcoD zlq2^i822asp%uEJm3ftt1eKC;M9hd!6(WEV0=XEMhHdzRbz3-eZ+Muo@ia)pr{ zU9vJ}yG$QLE_tuG#AvTYR{ZD=KkQa-`_9&|_NhvS&C%Gzqe8u{I0TM}8NXrRO-GpqW=p4pq)5a$7 zU+r6wxJq(4>{<8c8oI61H&KhGbOS@O?{ed1)onQ*%W*tjQ>KkCn15RN1_0njUI36u zlvR%cGDeC#@nU1!S5QmaX9aCyNVU{Y+JXX2mJ|*PvPBok6Arfq)UCOwJySV1>DybA ze*_-~aBR}Qi4cvDJbhq1rw|<>+DCMNXE>)|2M9z5QHc?vFv(LIz>16zZ3Bd-Ke6Cr zSa)kO4Yg+^z>MY;Y=F?8#m^f8Wrzq-rQcN)pJ*&$ZUun0qaF+wE0TxQmYu(kL;wu! z_c5Kf2jk@b*~ve;1BABL<^>sSZ=+)g8y0zQs6|a!|Drijc}levnK9p0M}Dj z$PF1NPln_pK_3Dl@s4lj9)$-OXqxBI4W=>BP1GW6gAcByJO)_-Y@e-e0*);>Z-HhDmW%-}9r&F9-Ad zaJ{8UqTf{Ivr)d0>G;JW7$yJ$Eq@R=Mcz z-*hEG$T3>&7ntwg`q3{?jdS#x27%*~1$5y-)d>NSYQrMi7JRelV#b}}$(_Z87r9M} z7(3mN0{>5zse4O5ssbl-)Amevk~W7aq@lj>t_T5z=3!15sKeSN?+Oyi{gZF2M(l$G zpC>RS;no`Qe51F&!3;4SLll?{$Y-93ZdT`iv^2?`aEy&9oS^&a>ESq5>76H}Zde`R zoMG;@tbM-VVK$s~-(zDk%TLnak7ayJMLqk#EH%Wx&Hl~8|WB`LpawC{n}vIOfc zW%Vm9`;+CiQqADw<+d-HD^BuWL10}3r%y0}YFiuA64fD+SKc)#-cWVR6&k@JUsc^; z1#Z_~z_jyPL{MtZ3DfKaaEY_vkn})(trvWZk=GfARG+lweIrR!ZANUk-;$|xdOVpG zE1QPN5yG*~)xKq^OKceaEL!ZH5*lX+2j2jLH{f-1wNn5L5L&s~zX5^+@pr-A$Y;^O zUda*CudgigPDyJevL6t{vpJ`tGL@1Pe19r2zED>eFG=*2*w6}S1z6(mtfB#iFj}k0 z6ojNRi`UlORj&55yNMakGL`PI&T7jGhHO&sHT1}*2_<8J?h%p)LVD~Wn{~Pl`nL1z zJn;=CnMxcSv`>jMn!X+NCBlAD4KCu}_4+Fd^kUNMyeTG=39dndnEu%&m&~&G3UoP( zK_;L29ZOkJ$(J`VeC!H>XQV#y0et)?@n%Sj3liSHH1QTpY~cA zHISIgRLvwV5XsL>=*FtBIm@Hnqz|wxV&r~^A{kip&@_ewIP1R~WO=-PJ~ME54(WrB ziRj_CPASLQo8nvRSc8|qV<66g>S3=C zKwCovT#2ayHkj+#vQ=N}h3T1#xbHpCj6M&JdzSy<4%HUITEVFa!O4?Bn!GflJh73@ zCQ>F!mftWsc2K-=KvE;@f?<#a1J3yQS58sTwPKG0ipUQJJW}5XIWCK6QLB18_osX| zV|QD7t8L8gwtG<;9rj(J$m#+CZCa@6`YRfQd%@@~=pL@kz2k~ekGaO$u1GF9t(`0m zIb$RVim$n=IloELU)i$A-BJRp=3bBm(>>bGTM<3?LBmIj;mEJDjj`4 zZjnF)xY@U-?yiQuX%?_KEDLR~Iccdm+wwd<@a%loKBD7c?bj+$F_8>!^}ZL;pu5HP z%04Fv*wk&eM>Ka%w>hxi)2-GF(Ap)~WW^A4A2dZrj5G9CJg$d^C zd+}YmSxP?)onl;ddieK7Cg!E!eHsfCR>YIKTP2MGgb6)~8kvw~{1yR;JY~{l{9<|Q zJmp4#reb-Vb7GUmf}6ph3C}S97x8S@d72-le8dEvw`P!a`>vy>{&*gc1as4!hPMU4 zd6v)FAF7R$34!_wwggBArx2#5`OK!B`(*={V|Q8*k)T$suX)YEvZ!g(C>GPS9{By< z0ig)!gut))R7lVt*gpF5id#sM7H%4%CvY~ZC1Zl6l(GNn&Ydaor!p&KFOg8Rl2!qE zLj&`9EHy!Pkxa0ZNo;QhQ?K$ESj(i5bNXuC6E(u*CMFA!6kG^Rhj_=^lu{Y6Cl@rZ zSbL{Lb2edZm+f7GyXI)cPNVNX882zPXG+bb|AIZPY8cUG`i&!GNWx)~o=&J(%c6HMjbVP5okqqbU zFi}EssryYSc$|WkCvOB_vW+9OAKWUx;);B$r>J@i1kjhkC543@qk(I|`9F-zB^;a8 z?1&!qA&Lne1I*Z5BK9Z7S)M9rz+4GfhUOBy00ZJ2KWPB#9t~szG$8a3P5+(sQxnjB zvRxqa>T%>62(z_!{hS>)HMePU9l1+^Id9-tIquE=!6I$;2FjPO-hL6j#0j@uqO?peOEe-JWo%tli#f^vnkJNGsb@(L1=nR1W)`hdac7-a$L#TQP{y!v(~w} z%+XOO2nYb{W~pKOg#~0|H9a019oQScPBw@^t~eld1a*l#CRaTi^Y;c|pEs8+QCwod z#N)WfyDJ7J2BU(zw^p)^g45rMCO|c;bqje7ijKV8XM@EGPiybkfj1;qNhOF&i`JT` z1V0vKQ~T3?FmXkp8J#9`*Fj)jYDC@yZTExg*4n-Vd(jE(zU2h3#|K(F$2VN!iKx$B zR+}`}+6wGyaQebW3%kG<(;-M!g)lhdGsyDEsUFJRVT}aSkM}>`j3`3BT<38pJVewr zS320i>ru^UMarYhm`%mC=IY{75;_K)mm~3GiZ~is$cVo~_iRX=`4yLXh_`!H&Gua+ zf>gzJ|HR?_W~IDu^TALxs3ASiwnWb=JRi~eiF#No+WE}Q(x~%ZnH$gzuO^AcpfM*K zjMM9_1s7MsP}YolQ&x$CCxdW|DqHX#-=|xgdBkz|u5TknE~PBrRzr8I){C1BR=got z$4$d1k=!_XoK8UTt$Vh_4-u@%^;{9|R}?R)6&(U>?P%R$QEm@Ve1~rlTPTc1SJvF6 zBPSYXe7#&(KSvFgm~C9mRuZxz9KN42b1$Kbv+6R1LkCUlxLn{oy!`Yxz<-10Y0AZe zxU!kPNMe=@MWMyNTzB%ebGJK+ih26jeXqShr7_&>+K+^QWKn-x{<`qF+pvjg4!2=R zAx8N}@$Mijt_WM@@bgz)X&W=XwtT(FM-8y&Hv!OpU2P%NtP-NoNQPZ98``P$k!tq-+vr4FWr^t<;b5v zUu)~v(paX`E~WMcaT=2kS}A?!OqbHyv#T(~! z;*d~D)_g<8W}cMU9c=!_aL4XZ%6PC=$I>$l;W%&8CvY?nGn|^SClAVzJY;9-uG5{P z?RcN$^(yOR!MD6G>#!s$*ig`A(95W;K(Il7Y4<2 z;A@q;z$~2Z0_N}~8uJ_gQAOF2MN04x=I6OuaCe7lWj2`{rK==>^2N1p|IBNxUxycD?FS4b~NEG zBdA&?o|RRcvl=c)ICAn{*x1wufkmHL_nlqOO1xJ^x1?Ww3k)WE@9A(Vj!W*}=x6z9 zcf}^=ZsfkgV^_78Rl6%>I2~oiB!ag+BBJZMzw22xYP*()+g=|#vi!JfZm@8_WEmy6 z3Scy}BS{v(`ft|5<109FUTXE8m&8M6Ad|}oKjrX(sTu0HkpB}`U-}sZ2AUqDNtSA-PRaRwknu|wqrJMq8@6;EwW z7468W!06MLGx^#shz#Y*1ebo{2C-~j-k5ad8JV?smG!J> zWOlQK-k{^>*ndP9fW=s>xVMfw{lsXpPWI8?tlR7#^hN+TtIO*?;0e0@SPeL|l& z3BNdrzeWCok~&HwF@H@f|M&$Rna-EkCEu`1C>mM#8d=bxH?W{L?w`|i&jmEG^$Gu_ z9iUu*Ke~v@BaX61{sELn$|_0x=(Af z4vf4Dq9>~tL4}29Cx-)5ry?FA%2`u81^e1Vy~wxx_oY67xZfe#9(eF$xFa9wv<*5~ zClEMEelV%mRW|+3Ppz$`#~v*p+DOAYhuUqK zW~(OK^H|Gn{N>-L8Sgl9pSTTM37PpDhn#57 z20qd`ayqjYD$h&y6}Lyi42+w+b;U0m*vhD0mqd#YZprb|fpQp+bZXb z>u$3&h7lvwmw5)DRttPxLB(m8--M!}n?}+(Tl9=aZw?`Mo~_~QnNhKi$3`$(yP~#X z^E_&WbD2@TUr04{dBWl_pE_M)Tr7M$f56rp8l6gH_G&sXJPQiqr7{hnAn;FU>p0|% zc_>{9Ofl(&QPK+wiMTe@pMjKhk`VRpi-xHLK`GJl-|uIKyp7&S|29e;hun3;D%W8> z6P44pt|3Yx3N9X7vOjwbH>1$YEbf%XDNm@c)Ql(|SeLx>{5+OZ+`Q3XMYfDe7=oFh zm<9~Wqvow(03^4G#N}#NnC~g3b@lytX5vak_A`Jo&$qQ@mW0nZcXTRR13{)bro`O` z0Rh;dbJpC=MtGAa4`x;FHV@M_ExX>!>DFaHWfTP)Q{h5fKA^Pf0-HR^l)Vh#%Wqf@JnIiReLqPR>aCbe?@t~a ziMt(E3pt&=M)pVxdIT9~*wL4VCDSj+Srk27lCMi919Qh(9By?i*yK5-6&3)$!%9z> z*c5ob6d}L@Wc@1eAFIp6^wqkAxkw`Z12Bo3?)rz>=VTNy zXULYS^W4oS8Q`sXg*TjFJ@ZkkAvC#PIXx0l2C^&_QuU}i+ZZU88en!)8qgTCGsx(J zGCEaxaJORaz>aAK7Y(%=y-D|;;*F4wgtM4@+gMahR+Ntj?g6$;+2W%mr#>lM=&3`}SJI@{G3qAMkzloq~RzCA`eon^b)+uq>(-d9Mo!CA&nD8n^VU1HeRQCKRqYaeW5 zRHg@zDT_$|n~XoIB13T4M|0TQwGSqFK8qKSi5CzsTw*X>`VpO-20-~;DEtE6$^KJP zseSMl6&bQ*a(~%Q{z9Q?8l#9_32JQ8{ReL!$mK%8G<}zfdxsskUgY6h)z6{=d-|Qbx+{6UemAh|9vk4qLI*G1Vb#S9 zWuy_(s)dj$OKWHwnai-k!`H1Y8<6Yv*?zu+6Zrx(oHli;eF6P?z*tZHlK5@I)mL&B z1x0VtA>ubgb64xPHexp345Nfm&mBfj@2_D`RYhF^+w{?26wsXb3`&kYmTx{h03o5w z&JpX1m#7q*>3g1nCn*6Um^+a-U4Bds#aaqqxB44^FH=kvY<3rm)@yTl5>Tf(fX z+tK)`jJZW!F$#E7oTyBLvouC1EeNO9znlpD%75pfE@s&aKP-rNNa~Af93hdB7r6U{w<&57Ow)m}Uz~Ji?~W7Tifnk47ZI9U?D)BV%PQ z`h64t<#u^^ySzN3AdFFvKVyaFzc3gL>3>3IG~#!KHZo%{nX$G%F+d+r0rfBY0NtGP z{?r1D?XOk+FBsjr0<^OTTsJ= zCP?@UgvK@zk3d<986F;=ymUU)s{8DbqnnieHa_vCbL2~B8;p4v#{3v#oH2k*-J}fN zB*aVS*DswNFy=M?F5{1?+8E>L0c7f~9mEoLM&WlxDYGlU*%jpLgkW_-{HR+r7w{Bg z{&&fwbls#sssICO`^$E7fO^hQ@ufF^ywKc3X|NE;>w!h&eT7ZfFIX5=+oc-Y>&kPR zc}wp?OO&1NQGRTzJjYYtV)ePJ!F3h%p8A-9U)vaMyaz43fG)iz_aZS2B*@ydd!O}N zL&cQ8Erg;@?@~*0<^I5A#;n45kQL(Ut@ZBlFKG>UuTt!PK+yDB>OVL>=v59S^l+k~ z7>Iard?a>*(f5+jh|-gNh&KSXc^keg^wJ;W9kH>e@p9W;wU}zzoXl15*$*AkX{( zg9CG}ZF)LOJmNls^n_*3!lIz(tYd?59CQ$``WA#bn=en6bmba7qh(Qv!8XGKk;Vp( zIr~QjPF}`ek-II=9dEn)+8wbW$by8>2}>afhLT6Q_t5dVIq@wnd(ALtHR>vwbg7im z2B&vh7J*N;UXV9zAxjRVhxo=%kHUSOV^Ll|$@qCJ+D1I*=;t_mkC<55Z&QnQ3i55c z#DksiE#W{jN}E-enh?sHCKvfi_HXil&%hJhFg$i&xCz05yR!&c{|m5zD^A=C5|(7T z*`G?v@km8wVv?-j-9y*f{h6=i^>}%vm~&)O-zTAG$>VcE6-S}*EPH!TnL3FSY*r#x z9mkWezJ5Gw5oWxQvyoJ1)MiTXW__Fpvw0>G-@xh?R(>n^@XMbQb@@;y(!Kv=+sb?UU(GD@4aT25=pBYz~>)gQ1oUG|~$_@TL?BjVWiy7P@kdqd@|T$(US<|VW$CFYeG!xKA_j3q?e!Hk2Mi5O42>~HUBjOM`u$B3j3)0F z74X{jcYoO~^QS@*gKyVAUBei#ZG{jPiCCAJq~P8=IC%tnQi!uQQa=)S$#c@etA^o9 zXQO>U;@7*8U+?zDzxU9F`4)#ot+4ghTxkXI6A{%fufreg);4wE$K5duPNz8O8PyDt zEs!T?Jl(MLsjlEPr~fVm+y>du$Q%t z(%L6uu*AK4YA%x>o~L{H1(*n4zJCc*1KT5b9$;w_Cv?twvAIu5nT0w?XAo{`#8^-9 z@p1as^*kP!;3^T0blSjqDou8!HZX+9A1}UzBJA8EB4z6aMZnG`$Y8*FU*sM{>-JtZ z#3fMq5<|}_`7~DLjjyLTyW`)4Zgv%0;oX=TyqcW*!O^T2Au1V}veY+_Z} zt-facs9TrwvdL6J+h<1YLvj|BMptkDqgtk@rDLYCHW;UHVn5b{kx?GoF08N)?K-ObG7Gp0^FtFB>!dX zfcGEnQbfzwHo^6{%GJ;Xzw55@Xi*i^SLnSLKk5aie9BJYy9bl@C@0rHpSNtf$kIw+_aVRDJxY4}IFM};UnG!N9t zP;@6ukXa`sdZ12G_L?}94716O9Y51MMf#e!wE!gW&y_`8hIig6d}Nps0ubq_;0RH# zi)cxaYxQoPHt*KEI@;9(zRjL}e1o&AQ!~Pf-F*DEkO1TKjfV)7y}I4LcZY0v?V0AS zD^Z^?9bipg?+SxaE1PPwd<$8*t^+c1F+RcBJVJ8Lc7T8coikN|&JUcxkA93LXgZKx zp-cCJZQQmoaNU)?2`m%%8DCB`rI0=>#p!_>nS8@UDJ+QR+4!?=%5S5AQO;P$_)5xo zQofc$5el&8GPOAQl&0$4x&-kkZHk@zSo?-gFxpaeQ z<$dpuE)ARr#)#%^9*r(ta$VK0&aR#{_XI8X9P1FA4lq^Yg`qW}sx#LU!EoRs;WKjR zSVUzIt5WbxbEZ?8>-?$YmW7?4xxG%$cOkEF_%he0KLEBBJ+;(2MZBKz5bQw{TP~NR z28)1sjRk3o+@vAwgG>RW<33Nh~ zJ|M6l=VXrz&Ta!6l$bs|Qa{lQDrSsXV2}0qa+wtkKKtqup*KOxbp$JqWA)2Q>w2po zFG9(CaySmK?;KIeU=i903kp{*!iuH!`tUsa|@GE!}m0!WQ^sOkjdQ6qJ0UB9@7!0M`!!Vo`>U8 z;|j=f4`0Gz<<`%5#Y2m>+5I<_GiWe4mMvrW%qHlUnDKpXqDd4iZIdPTxL`A(PflSq zhv%d4fEBtcnwsVd;7;IW9wV8LgXWVXV=#{HEoL1fSqlPT-rfbKGA_GN_OHT(%)tJp zs}x(DJruK9sCb_T5`D%*C_)5U610>AMm57p4I!AC^A$(+{6w^? z(nY2;^pDyfPAPh-YyLUiWJ#O=f{bd^@wF9qp+#B51rM}v1UR+UAqSBqBh8-8|(TyRWD zwS@-jUH5Be1M!PdafOj_h0$~Lm>nvLEbKk@j+3_@Pu}Ws9^rBx z`TAOyv0gWh0Q}@$Z@(3~+RAuiW={&GBS^kDOQzUAuYR#w!;0|0IPeINKK>N+5Sk-;^~I%J6EZdu1-^vMqBl#LoXI4{l6!T(39;t zki*QlL|+uOIV=uXmNPR?ZlFKJ;R)Mi>keclHYdN-JtD7XblKqO(t4S4qGl~vJV1>a z;?K=Ra*-4u9T-5T*Q|j=R)Fm~G0yq(jPVh@thBDTpPl-0IB>Fh8ohD)+{*1h(*OG8 z8C)_qjfXs&F4@OV*^;jB6_m#h%A*(Dr57v*F|dUg;5Cl|S_32j0DJR1dox{dF@JFJ zbpvxO1M~V{$bj}M;XsEtPS5u7?^@J9OlWwR zu--qv-cR?r^!i;3-&h{MSf1&OuIUUpr)Q2%&u%~YLiXf~PppGqtiyE1#B|2ve@nJ? zdWQGp3+at^r7~~=sT@GsOQI7P@iJqPcMxXEQoMEYh7XWc=Q12RuwexWzcqxqs_IPqsy;D?P z5CALp-A|h?6&bc4%5EPn_UirJ?66ycbmIm>H+?6+mQP+6Q}{o;y>(bs&)Pq%A_%zY zaMKc!0(;XTAR*ly(p>@)N(d^An+9o+?oR34fRr>y3DPOj{f>UmIlptxbI$X7ulJAl zx<1$9UbE(&S?sme%-lIIEZ5LbloS<&&XCG`3PL2=$1UPy_yMVx+sU|xOkdx&ef1vS zUt%`!dVCPx0v(Nam?ElrBmDeB%WKXOih!e0OmBS6AW83+rfSU3Z-_dMIL}`b7)Q?^ zdtap}K47O&qZvEv-ScUDbn7#nP2-?>lh2spPA$@lmm&(7)TlVb7RA7j+7Jd3qT~?;^#bAi@ zw^mkCFZDKX+L>sZ171YGR&I(gz@okS3y`is+V)pIk3-RIZVOr19%8uyRmaR7_kYa6Jz&-!|CAi-JY$cQ2e)_QXL;5e-=AY5I$ZlE{DZ@ zD|BaC+%nfXaVkF2y16R*bwzf5{VAq9R{ZpE=HO2tWnarpBQBY4qu9Pj?ya?yO5T@` zFn0Hmzc>2TLEY9{b@l(nUD`?QHMs4WJCuMfTjWR3_ZYky{Ed`0_GRw(UbHY853p!> zEX2rxu%XH58_7*^W-OjuFT~_5iZod7ukfAR0was8zwpVe2HvS;VUCjAcrIWe^x%^H zTS#TE?%0JaL;3HJN|xAO)%)UGMWzOgOCW?2oKEOKbuEEMkpL)u8`JhxpbV@f49h%y z)8|DAz+&cWL7QWjIo8CcY+M_G8|_JdqW_$=W<+DF|f9eW~N$7+B1V9nJN z=(?w0F_WV8=7TpW@YgRaF!>LnJxtZs@)cOXKk;42_jkOlP969!y5CYl0_N|LazkZV z<;uoPLGar`3-b8nCon6WrW!-I%Vp92qdTqjdY|7v+-W`GQ`?`5jtU_>S5K~W;pX-@ zGp})t%D}f5T|9wi2i!a_TFz|jTa7Rh@S{tcBd^zSBix;kW(?K0M`2Tq#HNy~z)dZA zi^tUKIaVYS{Ii&SYdDBAdGY4^ZvpXbEr{#Ai){s)<^L(;n@;>TiPX-3i{tE`9C(-M zfBXQx`dfc|^`iqT=t1`1F=k*+(hY*oUX^pvo3Hw3%6Q7Q@)UeSjs4wxyu;@D^ zGpvsz>+OI~`V?U&_$Wtle=B9oo&kN+dKA@D<>=wzEZr_eEVCJ+>N#QN@s&LFS7EZQ z{LJGinX3ALTFX<9`-^f`FA$WZ9o z;i7prUmKQ``6}YuN8w`Wx8GlNV8kQRQyuTno6->l#s>Rd-LfzPo^(i4bC6>=?yBdY zW;JYVk813E>G17kzOSx6wvFrBC(Srt-8ufe@s+a=?dG!KF9}QW% zJnf*jU)HGh;ny&oDG15Use32t5>eeOV*1El&BC{35N zcO&O=wd~eOV<`=)nMPk}vJAr)=_@zFyFWkeRE7oAWjlBkr9oa#YTFvC@9$J12DBZJ zN?E|eoY0~RETG^lgHzoEw9VZ2bexdNC{R2Oo@#!jTChz5l@aQ5;Htn)a{o^Nj;S&T zz+v}i68GT&iLDTR(>x6jvcT&1d-;I`FLbIn)*`Qk?So5on46>zXs99e zz0%;>g*yJcPake|XiCUk5kUlnaJz>INYWZadftBRLXlAea)w~5%uEfxrN{_qZmuS# zP$>qS9+cq|Tr#pU|A1=6; z46=L781lIBJzThcnL6e%^W4Fwjp6z_hrQ_vb%F9jM;X|qgLWSzF1!_2{d{Y?aqlG9 zk>~Q5OyxT8x=KXv^D^-72@`pzam=e326FN^;4M)le%({*`;g8% z9Vg|jKQ)L!M3S}-VzO zhyPmqKMMZT1C?<9aQ!Ei|I*U`_hNF!sWvM+Y9yr_!L~bpl}j!!eb|9m{*l*An^m3a zi~)=9Fb~>ergfvL(3D8aqT5Ji*?XDkgD1ILMqFMwCyK7HZ^$s>*RXHyEiP+9<10ed zhYOuFZZOgkU-Yu&pP|0PC229GjswR`B_w{fXo0q9i-~QEiK2BDxFsZzNeI{&vYp$v zgWK0}VBK+m@j0i!b56`j1ok8X>_^hUo%vsjzdH^*e9j4Wo<#qbM*c^!48hh*rmC+3 z4Bc*Kkk1xZE6U5HOuFF<4~n}>CAV%4^R0wrt0+_c>G()DoL)Cvv5FE9|N9ZYSSolT zli+_GCAK0nju?kMVyuJ&{{J|paFq+tDcfxC(Khk_EZXH`w{ZaTx}A`m!ytHGp3Yyx zI`)I9h4+1QJd@~c6K4hNh78-9Ot&vf3RYelpgwb^^Wa+gitW#nQ*|)M*q-kH{(Pt8 z3wtuk_l;e_IWp{vMzGMOR!O+Wc*~vt*p=jB^9c`$A#H*KUGK!|Yt_M%;w)Qd?GSkW z%eFUYcwRhuNxq%~Bf-T3?>L|0Y9w*uR-mXQ`5u2>wX${oDm?wg+uU8wSwuVOfZS^D z6mu=k%iqk)fB6)%7hs<#>M#5viu%Dq2hgo0jnwK1D~$*(ji4~3CNrcKY!>5b7IX9R zxAXGfKE<2{*e9w0_`zZZ(5=;j)RG1ah|&mhLuwL3YW`+1j%Kl!|FfSzJw(vcuiaCq zIvP9me_KXzp_G|%&*VnN#>-+`w|zq8|k}qs=$vVz_vXCQeVXS)gYqqR#%amAB_4g@XMsc`Ke828w_v5>1^Ap{VA>7tm zrcb3Rg#)p(MOznMPLw28Cmq4d*!-R%?r2FGf0wfeVaKicL74DF=BdP; zE0}~MT?h;AM7HFhPEOq& z77!_kp+I=DP!|!{P~?*okjP>)aQqfABe`({3KalTr;RdBCUOg@9y%%3qc&KN{IEcZ z%tGF0UApi#@g7oYY+h!t_`zV&t<;ZH>JLkc5B-DKw0H^;IGG4s(A$K^+r;Uz)8w*q z=`^?tV4vUvzz+sufNrJ7NTtVNY1)W1ZE}%vs7N`Vw+Yr#M*dPply=uc>9+2J7Cg1Yu+TWjdM zS;HQny9uP#@ll$?7ZyT(q-2a$IBadS2hBw@Ax#4klpON-QhBY6&z2M=tuzzfPQSJ{ zQtR_}G>3}cklUxgtA1}5bmd%u+WxhKd=Jf{#crLpWu?!%=jvEktGF>P?o^4&kU(p2 zPypWypY85cM7sb>*j4JiMGZff;3P7kSBP8UYT9v|hERglQ^q16)b0LvS%ed3UY68c z8SVAzd--zCT2rTPs^{`&g=8j-T?;6(Ez!4|W9Gie*&OrcGbHSv7}J$e&3wgNy$zqp z&IuUiG=f-GHKTcTGn=;jqRm>@Ug>yj+Jj`iISuqV@wqZRpKCI{+!eW=!}7Mu|62~jkyQq*&O|~vTkOT01YbrwS~r`A0p^zK zNvS3`jp2wOC0h1&|dappFq`Twg=WmCDr+5QU$C+ z!93t@&S%^3(Kqm&KPr*0J?HRCSI?gr(uhZ(Ibc!q*}lFZ3?S_ZL#rXO8*u-2H{Y{X z#i0@W*iY`=akku!{NjA2d>_apU}F$+yvYFYL6mU(9zeu5pSQRk2iXbkT$GftxvF2t z`3b4UnWo3pe7(@-A}%Oni&gZRS=_re46Oe6hJ)Y^KDC2pa1i2_APe=-0r{Y3Z#?2I zN9_Wy%kwbU@&1o_v9!uJG<|aUjX1O3BVX^VD;cN2l|nPFp<7_%!E!V_aQ{QTYU0Me zT{vW7LLeahjQN*P5RBX@`S8h-@RdUgCziy#yni~e@?PNlee6mpf?w}7T8E)}{Bbe^ z$>nU^S|q>sO={~cg^`!%P>`uZ2Pw4z;lu4sS|N~!c?vPW)fY|56rx39ISAE-SS`w* zd-10n93X6^bPAmVJ`=QE7nVsevs3u0r|fllHw=0VN$nV8dS>aWIy|J-H`(Ud+VO3< z@JSg$6^w7MAJdi5A@WZr+-Ak>z-!|nqOU|_VUS2ApC=Ym_MIL!A{J5_0A5!5Haplb zO4IN$;W^`ZgloPvUfykF{n(RdDoNl+FM*iy(SYBZ&$FCJLixUx1ELARij%K4boos< z;K_n{{6+O3%W1Lgg|%M6)WK$bD5j-r%ElOe@O<`GS&^u*{+G?C#^LIE--wR0%Gj#o zqmsSA`x=ef_97P+^Zt#zF(1vTA7;li%8WWl?gl=(TACX)4?dA4 z(2{oK65EbKZD4|=F-HpwsIqv+(mR~DB+ZKCSQl_DhP2~!-7q|iisa@@A7m>59pt0Fm~g8- zgpg{VrKBg&QGJ~!>A)KXhv^UF58vxP-4fKe`)sC$D)t8RSK9T#Rm;taL#pzH&rOnx zu*&(^{T*+u)SH%@w3}nYo8uw5uh(K*Hx>7D1V%PgqgtAe+8)M^_^cO)YyS?N{?T$a z8QzSSHDa^Cx_NF8^+n}ePjw|J1iTjGE%;-xkzGBsP9JwMw9a-jzyPybn8M*PF>gd!}eLY{Mn~%yXX)4W)#DGg6)s z(oy0$3DWVnqCKb)vE!0JI(T@>=4vi9r=(kQbrR8=-+l2+?ZWWUT3k^K?|e=F)s|HY zj?$Ylmax5}p>eFM+2o!Rg8`SUDXit<**qK(Snd zsK`~-`K%n1QE5EU_xbAKmrCHJ`F*M#@!Mfgek zSx!uB=&P19sT+JvIPnfXXynx|a!noYhYuWP^xXUAM*?wLiftbi%}Oh^ z*F~nBW|Y5R+Sq2tpGt18*Z(Lk$5bSDB=LY$#W;LS8efl-LKV^U{VLLhEHrYg#wfHc z=-G%0*Tm6ywEnKfZ3P$_?Z@zt>ovjXjg(%Iqrt|wh?`e8*R|mbiPqEZDVPT-y`5C( zTdL{Zb=o0i*DtcKL-{*M?n;aFA+OUm9@9b;pY&WzK$BA=whG}fQBMp)7lTHKq`)^U zZ~=7lxZw{W+==9&^aHyU9S%=zikZSd>Lj)v{7GQNZiaTazf;FjGi<#@F9vyA=3O&n zD8R zvkp3SPw%5Vz9t-?9i za}gCS+y$>3l`glaZY~SwhjG|(n?jA6?pI1{;g-cZH@`90kAAK8-TJ{6zJz4z0!j8g znteIJd@}|S+($N<>%Rv0h7GS*429J-$YNc-o7bwiKBH`Cr_6VvqBvXXUYEa%7vaX= z;+n8PI>(_6Mgi_^TvIkM@Ey3n{mi%>NlLMprrj3OZUf2wZm$dtLtiUzcRW^&&Vi5J zm2f8v(0#^)G$V(A}{G%O?}rg6lgBEZwY8YZ3wcVo^8xMK?@5a3m=rn!%v3XZRG4Wl80|2M3^AQ zLzoD09{1!J`gD^WlE^@>3g4>fszdR~~)=V*#*J2(i*5XZR5FSe_ z+|e=eQPqwkwP9J`hfQSDw>=FHEVtyvY3invVcL$=6=iyI1*X~2oz~HC@M}p!Y{ZNi zQ5n2!38LLsI14_DOv^F!K3;ardizR#;B8=085?&sgtDUDOtbLg+TzqzER&;vqF;P3 zslrqz?BLFV1>y1K#7K_^$O1Zo=Jhv$xxb?*E;uG>sO8q z?pN9v>jydIQk(hF_UhqBe3ANlOl-w$ep!-N*58`eUf>I_;<67Hv>UJ}hj3(nEfwK7 zuy%an|Bg`D7_Ow9c%V0MIr02T0xxghZ-4eee3Luoq{a8@9T*u|Vyq?ng;YoDr9;Xo zMH)6wU%_Zh^=-&8_x)aD17e*%6_?DUNG|lKEsye{9t~=c8ucC8Z1jCLq|t;@mDgS` z;vJgmH#ncf)95NUL|XxnugcnCq%`d1A@{VOmr?ei(an#$2H4IZy|Fk5(n;VGKGs64 zR>9ymJPIJSv>V!F!b|a-Umqn;WVRNuLimQ&MTh;7le0Lgc^bun6QP_>j{}QN*oCDH9#)FW?nhb@$DL zAHQcnCU720Q$(}0dS-*QG<9M-6cM!7&~9{G_lgn1)n=Qwu-uXC<=6V9M{k`jLjS55;Ld><01yjtEGOc+rNJ=8DkEH7!v(AU+*AR zQop<$8lFTwmKUSM=$_#Vt#x{_u;I(j>R{Fy<`q2!yP$Jh`gLz6bF%kpwJ;^}fE zl-~J=A6{2MfGkK@*)EIVg2UL1`AJss?-`?Kj zdte0Ha0<>N@hQ29C`nT=gRx$vX4~Dx1O_i6)87w0x5UhVfZEe|+kI&1()G0@_$011 zbv+*B+e>L;N^)r?#}MV(pY?@cxYHe9&}xFOc6@_LrySe|1BO`_CJNKo=XN8BDii;< z?coq2G~K5Ss#6p6#ivU_zPg zPnoA#q1o}gQR+*fiROR)C7aB7&E(??Qy!bLr7D^LP?tq9%qJ1yQy&4k)lN9t8h$Zm z)McCV=g(FGxQVussPd((BJJYQTHejdNx?Ce!%&ZF*RX-p?Zw@z$x8?0>y@k8b8)hx z1igj4G|haGT9q_&FUO*8X`80BboSQKA~Pm6)6%1?$0$9la1pZ5g&lmqC-^_acdkx& zoWMHqT5M8W7~2Lsr=1$gD8dXd_0#EssXt1x5UfwLhHW6#57Se4oNFn@tHAlb&C8vR(DUno zgN?vO`2+M!n_|%#UoiPaPA{|u^S8TJ^rN;Q@I8y5o~%s0j74%3QhUfo17bKVEUozRx&O5JjQOr@Ok5KdFI<;`&}PV|d3 zu0@890)rV@o;wcNzt6IS|``*rrduuIW&d?E=Z^`HiXEMrjHrZfbWoAf}4 zangW#cI+Y>jJa-o6szH!_dR;?LTErRUM3ERwiAMnnk2XaT2KW)fMKKdWqz>{7|(cB zDj{G<{U++jg*5ot$>)r$kD1#knBL*JvsVv9DyLrVfXYA(O(0TEM!<&|zdU@;0;Yq2 z!pEF9TjAd|&xy}LPbJDfGUbLHEBLr%R14j;GSoe z3oq5pF`{1$$M-X~DZn@EFkW29E{52`?zm8)U$pA@H%fFnPw3MBO!?cDFxx6vG3H2mNx{g>) zlQe}FykO0(;)i{O|6s?;j}RRvrJEff7|nKaSo|I#MldG@a@1AfrUKW^^d zny_@^oyW?&Eq<^eH06n;f162|=#pQiNHB@{*dD(kf0aq=isOzt!7B#;+vA;cGGOOp zza$`fWAK{le`!|16vx6%m~dFj_?|1?Ct5H?qzSFNpZkNYb$c>(yN>b`h9z&Q1@A)3 zZk+@}orH5vm?tVrRq8jVaYd)`k7&Icv=FVJS*do%YA296b|r|udGAZ|-e-<|xsrbC z=Lw@u=88LCp0p`!{j}1`F_?(eiLc{Ox~g(BCk|jEuIGqe?Q0~)6AD&6F~N&T>>(G%HF_jQ{npp(p^6h=2-7$i<5p&Xt@lh>Ve_vjQ~R0u*OK>pqX`rd=@?ve7};fTIz zjJ~;uJJta}ofilou8ac^_=z&$6D78&5Fz0F1M>HqMInD(1jlEf3M2VnRls%7GUK0? zVRw)IFKq&zkbi9%@Pl6dadZFH#L)IG`liCuWpg{rsJhQD#Dw~iAK|Mb-%68<{4{r? z+UoY~_P8D?_l`Hcatu4_Am7S$E=pU~7D+L^;_5!%0O$M@N>3&%X})5Vce{W6@ooUx zIf{*t2dYw!m2wb)LGvz<3uOc(f5DMh|D`anN97TH>#9M-`!sB&Jnex~HP4-f zz~AHM2(b8uk5&2*IlcQcY*LgN@c}JWfphqK#WFC$EI8j6cmh^9Mo)p#Y;jBzsOa3h z{e#hr7C_t;m?*w!w2c}c<~+vDU^&q7yG>2g7;2GKHaH_N!$g>2DqlyoA!Dq+Y4KDx zC+*2k7xTKDCoD)m)F|Zdf!SS~v8)rM@3?0KH2cDB&_RRO7&Pp!O@2K<*RxA7$88&r zjs(%q4@<$?c6|Dlh!8MnCz?T|=#<`;@ov9pB$GrjVloqqmOG9>m1PCOP>@rY<@5=S z)%K!HW-Z;-$984RglTUPqBUkk>HcT|@34eeUKF+?mj0snw{lK**t+gM45#{J$vri=k?iky?=*(O7r7sI#XHhb zhQk+ipEx7^YGX$$8vN5^%wOH_z~gQpLm!;|eNOzi0VV0%H(EET_#()gH)waYPSq^p zf~Qxg(Cz0ae{i~ZALthOT%YO|&FJ7*-pq;nlgj4oM3*(ga}Fa?8WS-&Xs}stuuW~% zo}8RQ{hP;Ye)N6jz0yaQ{I*{kmGZe=`3o5y`SRJ;>nCH*{jT~Yhjt4?H?$Q(*Yjkg-)^g+FMPAR5kbXOgG+He@duAukHG zyYG+Bi9RzUmY&D^u#DAg)(qs(n1zzeWSUFAnD+UQq|0Hi>en`E`E3{7x0q8|$MFrAwHwcI;8-AUu(}*U~0D z%>fb={FcUK6?&hOQf8wHS9#A;Xvcs#TQ0lqlsmH9WTi^ppeMtuFQ$5)zq$rl$D%iJ;Tl=C0q~5+VUZtExU**9)ey=gN9fKP+ zH9*N7kg0-(ZOrG~^W*kiZb$80%5CS0=iocmA`z2Gzn2}gn7zT^ZtW2sM`^f}-TQN7 z`R9n)YiqaH);x_|f{k2c28k2~iCF9d#~oOR*Rw8VH-gLAh}+@PJEMnl_#0;7BCjgC zd6kZDevU^Awet%W@w7LWDHd7XaUI5RmvkPHSj)PdPa^%napcw=OO?*(061Sb&Gvmv zS|;=`jsV)F=|Siqxtr8)6@DfN8B{4q%Nb728Rl2W6i~usKi8Tg*ZQU6sF~vEa&k^@at?;ls5`Ud&&a?@^43CE-nJGIJWD|r$HujHp?^k^Ld}GHh!myeK-5T;?&eovVwnL{0kf<03 zI~#H2jkx!2-t9_ee{8;pdzERMrt(u}Gvw~1#h`4umsq>N0NFj0bc9$t-He6|9(_XK z6B^T>?idYyjF9HR2VX)S$yX@dcWYDu<1CgXM5^;48PIz|Du6T4TQ4n;%Ox;VrAIiP z#fh&Fg}>BU11EO$aVG25(z|&X~oC;lvIy+J!SL_GyYExu6Z8Z z>P-UbO{4_|6a@xY8l@8B_l_6`ydvxe@9BsOVMbvhv({Rh+Qpb}-KJ3v;{*wI;17wq z&Jf!2IkK+k4yf&d-c16_pYOO+a%BogG>RQvzh2smIJbH4H|~V1Y*QrRnv4Z4kM6Ze zCXPR&%Nlp`etMsPX^>$zL)e~JJo$rimAObA2V!x}lg=NJt^lH3$~f#mVibM;y9B>& zs?M8JK?2tjru_!9#}=mj3)h6rsrX7oBEx3oG`c6y1&Dx%J3j<{Adx>HC^Zx&4fe~n=4PighX-?MCampyMz$c*lA zmpw{k^5axIQ!zz7P*}Xa#4|x)T3Dyhd-#JY?FFt?Dv_z!51k(fnQ2{?nz~{l{n?2S z))%(V9@Liq7DFbx>`gYdg6*BinpxLg3#xZgJerW*SmGhEF0N&L(LsXq>TOyoJf@<{ zKCky^tELW1YvsdVYtbE(U!F$CDT%qo_$hSq(hOG7xK5~~kw(bQVk?O}5`tgff|`K? z{Bj0v0Bw|-1Q-a(AGa&tUoQei9EC7R>dKK_^YLx-x%}mQT)f)%mV@zij360T=bZ8H z9C395zz|zEf>_+{b)}K7x)nBhePM2Qp7f&Ma2%ia&30FjTV;||&G4s2YAOvN8<3vz z{xX4X*;Upe8JPSr7_#w*c=$Ie&8@K^Q%l)H^7-KpjOntU(6kwKX1u(-y>(97wA8a@ z3XyzFMGv`KL~kdesW7Ei-lC&$+H!MkiX@Sw-Jod_Z+EITc#N7(=r6SjMh}2HmU8?A_r^iER!A~2Pw=(i!D!P zl&#QJV_>*^fF6M)0^;mh=M-*Jsx{$)jP03A=z9{oB*pkDqrh?6tlF12PL~x$sqI;d zg2k!rnm=Cal88y%QPdT4qE3qGcxv+vHLOQF zE+lgb!*XcK#(l`+X_j1-%C_G*&}lg8L!*Zs-{7_BWM;zxNO3=igN5x`(!k|SL3`)n zBF5OD#%?Y44H?PpJh>o0AmyPy6~*|3^q{)NE2CpVYSv!o{WcATI*vXXduIAl zdMiX0kLxfLfxhyd)H7@Iv9Iq84j~$C##pRH@ajZoto-L(AWt`PF`oa{hZ_rx#Q_cb z80WeiFvJQS4Jv$v%F3Xtn!;#eBy1oSEg$Za+-7|moPm6rS@cT7qCu-&k0RpRvnZfP zE_D|{%5X|$$#u#JiO^itAgo35{w663kruPlz)UL$k>59Z|0XGBP)Q7jr)8{nClLyH z2WL5>hjGN4aRM_0RsXp< z;9&e$Ccu~eA6SP0>+in)!k;F(xhW-xy{%P?I_7QtRPXbXGm$vxq0QoVL2|m2Y_qwtPRE7|#Dag!w{m^3n}Qm4H7p7Qfiw;NtP2>?l+fwXR>*_;tU} zltfjMb?ED?iBoYYn>VG>t0!sCv+x4q)U#h0y$B#GynoK^uVF*E8tX^IIYmUT=hk%| z?2ScrJu+U4`jJFy?cJCpwv=p5;n&(6vUfYc)-zt4L+aFE0$HmLPx`#S_l>LV~~$qAKqPJ~c@qUfg%P2|JODI?+hbhZ`U9E099s0zp0 zB{Ecf+|#D+Kowlo{W26V4Fjf4(}b*5<9n5R^UNFXaQEe9GBLOAome@81Q;^2@48Lp zO`ut?{AHf_9hShN@S9j5k`hfUMQZ-TcG29jPy|%u1~Cz&T$M&cxT7Gp|44Wkl4cd4 z#MQx&2(U;HdVKXYv;HBGX?QyCDyCmsSM%_5+r|^*m{Pr@;A2zAP}t5mVrMJJdQwV) z?&smtyHf4WLGWbmR4c^dsNf`N$8=p4m@U5WH)yu%5k(}ry`$xzpGANVy;+*%=xI)C$m+2ql>gwZph$L^i9DOcEPwYL@Lg7E+z_;aE6Sx+7d<|V1lV);3vLz3JI|h6OvB7 z72Nt`QH$%oQIW43RNkA1I1T{>%`WHnod1rsL!8w^9D&5QEnKhmj50AER!4S3jtu*e z!H50)+vch4xQvbYN~!L9&x;&QG#fNml}c5XN?96)TNs89Hd78XQ>MHTN_-re4A-noj4v#Ys6l@xhDW=~Ej0%Z1TrnXTO%{;o|3 zbYk0Z7zxvrQPxHiOSan82qiGCK$C@F@j@^@Pa~M8k>hcz(Q)hd?RRYe(oMm{lZz zX#Sfm4_c7w8wy6Bd$`XRhw7ZN?;f11VXQhBFkW3;W*=;EtxZ}FmX0PH<~n<)tX>MF zP(UkQUSP{7Gz3ZCeZRY=4ox-&QOe223@H?TZ5ZM@gNWXK>NkP7k)NiZ5Bcz)A<;W@ zOp`<4V^1q``OH=ZOG7|)FYBx)Z;;;+{?k4;mtLHn)o(WBLVFF06_0JWrwhjcMekWH z04TFkO$N{E#O?7-Kt(s{rfiSfRA?0fO5xbX6;S_RqN{$jnWsv-X|6`AY%`ZFYv_XB zah3cMA0zrId4%ECyDReQPq3JFf>7Y_x$3&x@<>dLUoZyt+GB*y)NwUsFyWr6Gpgd+ zr61l}zGtGdHivbt2HzUL#j;}OA{v9E@7WCY5Z^n?ejR~iR84cC@(v$Zi>pm|9iefZ zK<5|qXd>ii+V>xS8S|dMom-y?cg>_aE8aWGxamu~xr9Gk&&C;1cBecX8`Lw7APF8w zSi5~^r%}tmL>4LJzo5$>*h^{0$c-&w^RNWQ@0kCtu6?3SVW$HF596VX-*@T5GXyM| zdlF3uuyhlVCt)~o^3v#mfj0s}9q)sLaw zkxSN{M&1I8$F)5h`+{khjU?KP`y&vEUxglBAy!XFr*jYFNCu|OxGWhm`I8W<+klE5 zPEJ9r+HoXS0!l&B*$q&=R)dO?&OD$hpy)IC`AG(P&A1oq$b%=MC=T644+F27;^S6KeYOwqm-06{&Ygd@NpNEFv&dW(4V$M zF4k`3!JxPPSF`A5<@;o}LpjiBN4~+(DsweJ+KG1)xn*(X=}J48!5qd$S`C3vS|fNb zle1h-Kda7~oiPWgpydyTgbw565%Vc z`Gwy`o=F5e|3)l-FK~Ch=uLeyVLfNH(s=%xf}G=g0YHrj zYvdm1Cw|M_1eDr^ddjz48=JHOKq>5~xdIAQRoqeI{yS4}mge^kb#A~EoF(~lrBx^t z&eY#*uX)V%^r5ti^nz-rXzPaK9mT*isH=d?1VeJIt%iO3F^1&{M3ldGfgy%(2sb8L z`UP{VHnWZ395rK~t79jg-$*isWplggN6&O(`j>odU&T?|u;093ziXku(gC&l-pU#L zmrJW=fc-mI6^m!{;&!EbgLlHMIHucdH|lq0Jx_>lR%*c>dhU=?bBYezY2;7c33%g2 zrhr#}S;ztv?fMi=^my|3rn8zY71=)D1dQ<_-M)ArJ9#CRmm4NAMQ-B*4Qm`Na366? z@%vtszL?qmb0cN!1VVM1Gm$JIR!PIC_Iki%*p@iRkJc8y?PEC;+l~|4u-_&y z!LdY&!9P|q%K_{^RxBZkxvLb%liT*@xU{EvQ)J(zrhjg8#ipMB}_3t3wVHPl|hjh3T~`!1#J+9YuB5klpHApXM+1?{!tIlETva?04S*D$b*fv6L6^}p zV%k5V$MUZDjuRNUm^cm;75XpBTk)?N4 zh3FNC0^al z4#WFpRTs{Q*7gV}-`>lGz6S{$@^agROJMPDeBV3=zBd6D1N z%pj*quBS>X>Ovzx{cl{SjmpO3Grs6T#5b3m-hpGTaXc#Uyb^Qrc_Qt+EVKlnFitDD zz9l11id#iE+;(!N{U$uTi+#V`;#AxDRGZVQNWiOzR9KTjSQCq?5SywnAY3CbT%)7A z0ASH{9N=fU&8fD=f33(2L-0G#MZQJqQ4trOT}~x`d1oP<&po5=WZXk(r`F}a*n8uj z6XLHp{Yx!(+cR$R>iTqqNw5F!NLH(fSF7QRH9*C;U3;y*^$fa=SYfbzxzNzZkjuWK zF}|%aK3!NaT^LfSMFe13%4|`}JZPZNZ=jLfR1n`(z~`v}_tbDYE-?9njN^i(ZH+Df z!1J$cQ3-n~G8zOLqt+#f1zDReiG?|%&t38F7Hn{7rI`8J2n=X2IHe~lI*-e|&qLlT zR?Phj%7}7DpTkpDLjYI2qO)OLS^h}w@wr;sw=K`KZ$3WW)^P(Cf5#wO(g0f$1sXP$ zD1%6U=VOeSWIPdkygSM8=rl2?Oagwmf3H=2rz!9|*I!cMjC&msMu@g8D46oNV#6#_ zf#H6v-BV}HspCJV8VvWN!<69^#@Ec=C1wyy`MGoSj$A39cja;A2}(QYAA-@NW4k_J z1FKEB{vL6FY8ZP`EPGPuA5a+bkQq+AiAJy|{ULb-o9%c&IHlKgk=L}{pJS0A$9wvd zQj>BlQ!}OYef-s#(UZ?pNol4Badq18=S6(ai=b>DDcC+@J?O)J&=>H*Jn(~gM^^>F zqRBLXWBImYxm}$$&-2GD|Fz=JNAB=It9aiX&LCAz%|!b&QSG*_rV2HwWCg0&?J+vb zQK?6QM+4J`D!q!EHaz)-)A9G{pp4=*&l~j$HMLaNG*PfHX|!CE6A9zf zIy%_fRcF%oDNwC%)?&K4*pi!NC)tgIoX7=SJPN#c)NvIxT1}fkh7slL@Qx|BME&KL z_$)e2W`1*sVv+4z;{Bz z=&|RwJ9H54$M@gc=8*CR3H50&k8UPOu+W1|bN> zuW3!0@F~ga&^0>lp!bdnZPc1g?2DU{=CPv_w4)>Ai6ZBTf{@8zlgR{x(glXnbx1}5 z7}NFwltnI=MVd`XbJ)=_{r8Gq47`s%a`PM3R_=zmZz;NVlLxtU69yZm293GbUaPOo zoxfO{W3Qes&N+I)UHq!hx=Al(qG7!19|fZxwTYkrlq+n_ZT?m6s=KEN%F#B2VeIwq zbOuWtry5uv61O%u)!gWB5r#gv(XER%d7_K9cAkyJmx#qDK<3Cr=IF@t z-H7M=ce~a$fa$ls0F}4z0a&77TcBVMqLKIi!5A8OG8SJv79Ss(BkbSU`1m6AoJW`m z?j$)l>N=y1CvwJ5B)3(qLKJNWjh=t`UKi5_;Kbw$@Iw_1pgS9a%!Y)?ks#!(xhZ4h zNXX+jpm7|0Z00Z-!#3*y5_P}{ni@^y^jcLUwqe!xE~s{KudH^tRCU-e@sN8ks#hOB zKhm|qsxR-b@p7Ysz{k4Arha=7LzN6Dhu*xN58{9F04+3?&GR)IWX)XnZsZ>PeCeO>=xi0h*~Ul|D$mV?s=3WxVVtN7~?lSJ|&!{5zNQKN|nlf5(AyguV7 ziVT0^xb=R6fmA*^vrp6V-6OkBYaQqOkKA!KfBhM)Smj`~Wy>`mFxQ>n6RJ|N;c*A4 z1%Cup8Y4EgSUHFK(CqsX`>KkZJVN8-;tn6=I=Fv!5gA@OeA=y{qhu#T*0`nTS(C;n zGZ0&LCE?Uro>8C1IOwI*_24{MwdU1XzTMt0gPIen>%|2xdE3>d!>IO#9`qa(7RZa_ z(!tP!2x8%I{*N?8(OFJ@qd|zHq_GC7H$&>1hD2y5#aig^ShgRTVUI?DH5hc&92i%| zAi!<^>1V=##EAP6xT2wyVUqsJC9!0ZQf@o8pKY{I9C9|62vv!C3;arei?9 zbqW#MJ@cz4pG%c7UC;6fH-8ym9#LJN;us3lhw#^jIJ*!5tgSPzt}_n~YxECmBxe@J zXBP5FX~CtmoWe>>!b+CN^}7H-?SEqcJe&WAt#7&RhgDGPu*UlRqp8jhpzeUsK~|p3 zP>|8vF)`&YQyMOs^oeUTEl0lGVk-lU7YB0Pp}h_4it}&a?k8P%l!q4 z&`##*>Z)R51C5%1MspRM;PxROMsubrS!QzT^8UIAnsxfCM?QF3hKcaAF0YV~GeU+{ zHTnKrP-J2v+!cIANN~WU*q<98uLS>7>+ePX*7EPWUg@w1FCHD4&N<~^$X%To@SM>7hU;)Q{yp&gaC@@VstUqqq(X-lKHO_txN0$2i+He` zQzo@3Kg1l1^7<2y7~BQS<`RilGl0vA;t1AHxbFE24^rW}Y6wz%s_!FxN@2K+b z4bIWI{b)%l4Y!;Qy+L=U8%d__mp0aCrnoW`ee(|V#%GD)0rdM{WctRgDSJm_p#t3* zI>2GiKOg059q4Fx`%aQB3ncr~N6FuQl6jz;nL!NqHiC|sjIxrWeYdy&4{L7$RaLa^ zk18U9fYNL_C8a}<4hiW-x*O?kgiSX{mvn=4OLs|wbV)ZT`6hbKdFS5$J@>uw#v5af zwdbDk&AHcJYsUBezTZS5t#f5YBQ<%Gh?-qtlLN;o+$}Cv!w00I z#!4iMwmzeq304VHEL!&$CVU$vBCz{u&tQeBnE*2}fJ++!xU{C3^9O)pWB8zy_?sy) z`{sMprdgXaupfOAT5$$|z7uy`oR?AbOx2{8OKiYF(o^>wfRIOzG=RyYN_rZ!RE(Nb zZjMytedq^nWM7APa7BDEY#1=&xVk?{;v$XcJYx_;4E4ciQGY(4C9rERqBB*+3~=%p znT_3}xq!z|6{qIG|I_*)G*i>#0HL_%uqUG0gMp-Z;o`QI&m+Zy#}w~udI4Szt9{+s zn7SJ)(c81@=<-xsf={GC{Q;iLca?ux3qFgQd1&h%17n!=6JQJ@bua`<9o9gmu}9Iw zfUqA}nKUu8@kzoj8dl*nPCs-a^9$!AjsyZv0-G@A%X?+jJO#VY`IOyQ+w#mkCT+Ab zPT4}20m?IElesTs*MQk9SugcRtr`HcDJB3g8(l2G>CSLIK!V)WA-ifsR?m8geTyi` zNPMxpS&WJ$QnR+1dH;zD>QvI*LvF-7gpNH@eLg#!JuuMi@5*H*aS+b^2OLy0oc@+) zK|vGjt4lfdVLqmg}ev)4;%>m;JA?5$(K5snomZ2kJ4xYn1W@3VAnO5{x6M^1=hu;m29;P1N$z^+Fi2r&~a@F*X7dY zghoP&ZuP8!ovtmRJeN5D2l23Ff&(@k+|1~ajICsb0EX(U0AW9?b}BkcypiT&qKV1D zY%%aH+cVNe?2$kwPPPKw+V=Gc10JRtX{lFsq217{iS-Xm6vhzq6eiA>hv%$$n*afn zmIN?I`vpN*4Mv4BQWaAV0-`%9o?l0%gPnCUAM|;L2y5@VkV*(pT@R zC^4`=6n%YFvP2tFX^ceo3>=sEK2!Mt9qSJvyKeVp*KP6e;L5n&Jb$^d_PwE|?1QR- zGnp9F_~{XefwLwju>mBCkX5>wRD(R+!Rrg`hKQZ>^Zm!9vAF6@=8}R2Kp)`O>&)Fv zE(}H9VWS{nws0t8ik`D1XM-bSM|x}IaNAYVJVpvX{SH`wt{KOxbR}-qdel~5Qf9Yo z`)O#~3KnKIPTB|-g0SYNxbe@>gHX_oP!NtTABHYZK$(q8nf+E;j7?h1Atll*C31&@ zM2VARlH*UX5lm6C`I8KC3PEOM+utG&`9Lga0!Rkof0y}>%Kt9;Z~R}K`>!HS5*Nap zI!wNCkem@_8&cCy^~i@l_V~v6;F8CU(?B4o%Wpn9Tr|s4Sb48ld2i8~d5Zb-=Ek9i zEq@u;B!mREdby59=^5h(8dJnYTHPOcJagIIlQtbXQ|7gH8gECx369zTK%c#aFO8qw z5V{JKX#z%GqZ^zj(*-F-nuXD=>wlpMot+;)ycYh|j8-b&BJ5X0(mqMjK7_Jj7HF*` ztV)ix9b3UJIQ#TeI-h5XbaYa7hi!_f(P&bJY}CJZ>18a=hFec+iQ&?%S=V8A!MZB4>e+kwT^_iW zpG^$oF^>!U@xw6;Jhis!BH*g}XD*zq^GIR0s;+kw^$}#U9C*n5AHTtrAcj>HA^9u@ zDGwD4jbYTm@%L>3jHBpquPKmJsj`7{ds=%8AfF2C*}ZizXW+!eO#fCCa9K0U=5tMYymNM1lOCOy}uKP-uzkZOpWiE{EDx+susH8_Bp+i6%;%#Tfj> z80157=p}iVo3P$-JS=LF&4~7wKule(mgwwhbTSm}zVB@B^~nv*;<0N)2O8j9Nf>u6 z%nPug7DH2p15%n?o3W{}0~Yf1l`*!7QvHUGASPZvDBW+!P8|=OW$hX2 z{9o?W{o1Tv?QFc8IT0gV>uAvlbzoO{L6^h$(;?C#AnS8}huj;%)l5ISgUdlhCr&gl zEf|Ao0d3?5801`t^z)z6#E>Gfy(b`o@0iT=H1u0}?{yW%EpNefr(wa>f;T^`9c(Fz z&J!kW!Id=QXs)GkWGRX{AO2sG(C5P`Y6wzi99b*&fBr2(SwkRY!S%Lc-vrbO zvg9gS`dh=v7crOX!x^SqGPH@TC>WGo_egNx!XC0XwPdo7mzNOQ& z|H@wK+*cE`fiDXEL?nlf`*}5V{C-KboCpU-)1^t_ckK(Z7ujaH8-BTI;4l(lW;6*GA?Rb zH#O?~2^md|%g#=1ARHg~g0Qym6oj5e#O_AKa3}0gCv1FB?U4ST)wqlu{w9DlDv-?0 z^>3LEGJ!M!mp=mklgwBr?7!jPC4)Z6{qnA#ETWGx~zCrV9%~ zPX~N=2Yh${YG?o|{!22*OEL~Z5k^80Ywl2;KOy6PsrD^#YcRQ#AL&B*MQoB-s4`8` z>vLxYzjb?5s5|5|1R_LF8@A->5{c>Qw7ln zM4XvQ&q+6)LesGbh82Eb$}%gZKY~?Lde>1m_#_8Trp{y%L+aJ^n_=9v!hT&*!$5J_ zr1{Wt&TA_TNVtg$+04%r%F}Mkt!r^QE5A#_g>a`2i-GdysjOD9V^e18i-9bJdw(~M zO{i@3o(m@;8gUG23$AbQ=}Z=T!9sPaDJln!O!#4)8oL=*tkiuWWf{L2RxY#8`PfQh zmomz<5s_BXd9AroAT*8XhR)1Ea^}57{eAZ#qPLhNaH>G>9>`*Xt8LdDUa*P3Yv6aQ z2K!o1O>a%J`-a(K7C-bu1I?n58p#9Xhwd49U z-r0+>iI1a+&ty@Xe&8CLqSb*6ddVQEx-+gUbb9CC#$z9Ehqq+-ajh|B{ue!nZv5zW zN(9j@_Lcz<<*Yr{-259Y_^+u+mp}(z_xAJUTA;a-|K&)-IEq8Eeie?t_}OP=SzYVa zIm7GVjEN?R{jVPHbW*1^&EofIVRoAiR2oyoMQo&w*;HqSZX% zI-}h9wzOsEn;pDMo7u+~qVVEkdZq0KBDgKV)%VQKXF#bH_<{;a6gFK|m)Wku8<9?jkGi;|y`g1` z>yv!w%3RP>V&C1DEXv?M8s8f_y8@?f6M3MlEDmm8EPvZrMgx{>Tk1`PsacP*n&LyI zc)uvsqB8}qNkbZ<0-6Qh!;!s|YchLDEock;V-;n7F+@^*0cyntDRG!P;2zrypTX~& z2t0h#{Pq+P~QV)B1rzBg^24k+fL+Pk*B9 z$6$8i5X0>l>zw@#+;x;iz!BIsFl$!dJpH$|=Qt1_5R zTGch8TNRP;rDjd-gMG57;T&x@5B`&xLTj1u={# zqInRGB)#RIAO?y`{j-3ha@XHdATJ*XREWg^dH=T<#s4fu0SP}8!Qjh7qyN41-zM$`|2RzRJP7GFqhU!>NF0+i6tM%bfmmaiU3%?R)V37WwiVR2BX~+$^qi|i9E=F~U{TlrQP>VLUl2gnLvG;m zQJ{{qu(h)=BR477Oi>Y{B1OPM9}035t^Uaa86Y*~mK#EdivK73#s4c&pg0iqx6Q6U z4gL`)`=_0Mm-VML&@JA|#^a9=WC)?aVVmo&{R?Y5Tkqt)#~-C%ShH|`+c&oL-uiH% z_2P(=E9S>D2yiKs0CHQjM4JjW!Pp8x301!FCTxJeWrf&w5U1l zt!xKcJ75kID@yYaz=hK{(EdIsrK`jFICu`|3=@jmAmRBjY;)4zwJJL&0B<(p&>R+p z6qs=63sSY!uEjFL=))lEv-8z(J@fjRKry@>?ysw+GmPOp0C+5 zyC|~?zdy5?ZkkxV-IIbjzddwqrz96{5SHnzL$zrJq*zcZ*_XeZzk#v#j5QHDT|fi) zih}rG17pAr0yXfq(lk@$9!dj)+|JO(hnJx~iXE`qrj(X3!`x^pYV+AKfHg!RVd4sD3&f-$LhFiE$iQw;+Sw|7m5)Ko{$;}cDv7?50QbBY28wTh93f$E(mLLET> z5sbA}wB4gvI+hs!089#CRhHufzE{D2bB;OjL|5~4x~{c4V-sOl-tY$+M@=#HJIEZ9 zI4aaJ6u`nAL+wOi>FoXIAS6NN;~)L|#L5v-eOW&KQbvW!Ea_|j&_gu9($a8{>esb% zICYOI1HQ!O*z=qAw7EK^n7tszDs2tT?xV2B5^}0b`ln41SlN({TU-%E$ic0>87g$E zcM67toMcWB5-wtl2i!9OC0!;KZW^l}@PP!FIs(vp>zuw)`0F^$lG;^nvL=gKz3%Tb-ANH1%Q1$aS-K=;HA63$fzro5*#v8HV6O#KxQ@ zo=Bz7ImpU?#)TAH4KaTKhOb}hp>2eU+a6$>V8$6b@y)u^Ra_dP&v6a6I|xas!Cd0+ zUS1#tI~ATTR7|)-?qMz6y6_CZ6o_$P3Vf)_1%WPoz)U^u9&?@Vb_+1x4J`d&c*Q!_ zx^vn}7f`P&o%!<4Azo&M>;7$-mxuh5d+obj1~~H4?@!PU7gDcU*IV5a8tvi;t$S&U zep4&GygoFZQ%M}YZ>f+$`Tg8+a$E2a@A@-yr-uPRzhlzU4XD_J0Zu1Wpxy%>K|yWJ z1z_tap#s7bag1!64&^c6XS946C&W1CEhM{&-+~%rb^ZfJa2+TY!iG znCpWo({(9T`D#K*42yHLP7B)5=8)d>T2-HOgJW07*xqtS(gCutBp=-9hqp);SuGU! z3hlwXz{&!iA~T^tvAg$RKK!Yr%i*R9yjCf6`~jSDXaeS;{7~sOcpw;5XCuDSEzXRj zhwZiA0~E0_&(+<`Wx*dBz)v34ZLKL>S0C2PtlkBTQ1aF1X*E^g+>hKJ2k_nRiZ&j* ztjk{oq+#Ooq?1*8iA3`k0W)8eLwNoBhZ!9DP8{$fhPm4kMpcN?q!ipY+Ig8yf?=Zi z#hb6Kb>=|9?iz7TK4%rt%}*n4t)S1S7kq8;9Wuz9b8D!kpAqCi_na(4u5O24zx?g% zcaUP%P-w42F_}2yZ|4-8wYC@7|?->UHL+41W~D5kRevG)TW$P38D?`&XD zv`|~|6cN+&Vjl(1ys{8vSfltQ>ck^8CVkE1@Dif#DH+S%{k{$7NVJZW%VvkmMm)Ha z=KY3TmoPDR%ITF3N8>H~HgdAV6ltW*{nYU+l*D5v7Bou-L(_>6I0~#x+o?%GB|Dv958Qi*Wo;&gZ=Rf=Sdi}!)&7T zg?QMi)Wmf~mBpJEv1+c1MW4i$*mEze9Uyqg5+lpA)W)F}LDu`iv?4m>0JhJl4=zV% z*<{^XU)W?~0Dj&LH;Rb^%j6=o4OIy64`+*|16E#OS0(X?!W02+ad`tNSsWj}w~W|f zOzIULxO}90t(kgVyHZoZtcGM>O$x?iO?*`yOy1@*p<10&~Uen>|*m8O}af!1_k)ucicw)7mF3=np9> zCq)8Wd1leP*E<4@kr!!kyaO)f{`7vHfZ;5T;r1hSaRe~68%MY6XxX5S9FCX%!rFgN zG+ruhs+VjUz7$~!XW0tN&S%UUvlX;;sj6RdX9u9DzvPiDtEu{Z>E!Z za%E=LxUr+3J5PkDBI%~0BF8fZazO)+814wbi{c{xbXO#E!oC=vI_3A=2Cz))GTWy0 z1L(ox;e9>gn_O6M3rudU`Dp8sLZdK_9s$RJ^`_9i;;{u0*mObyr*7F8;_8$k;^ECn zs8V31i`6wH7VMAEFLrURs98TL>5G5V|AH_DpMJxfHKsjAXg}J+;`I@WPtZvI^&lEa zY^9J&GJD4#oH376q18zVACtJG_L#RV!Ng|~+rK&YKn#k)X>Q8uU&3;|o=96PQ61yJ z-y2P=iAG8`R!D{95^W7HtkrM!v-tDn3C-y~8lFJ5#96Mw4HtMRCo6i*UVp_2vFInQ zJsPxpyvw0hkE3@Uz z?O!qM(p_6C>&OZ1_C5u~cHdlJY!SP?ef++np9A`yk;bRcZdsar<|lx|%JefWqc2ND z9ZQi-p~AD=rClNn6yYnVamn8!={Sb--p6xUs}J*EY)*;y+!GAxKERp zx4wr{p^RCA{Anh7c6y(K(|66hcg?n&r~1UVKk(knTOv?4(ynbY*~WSo3$s5lqS9jm@fM2_Bsc`b@Y90$$j?!iZ| zE{%qb^hgQF^l6_J9YKdxPL-#*cn-HRh5?ET`KE`4-c!J}ZNo)8=L2T5x{zJ8H?sP~ z4#8XnOb}FX93P{d2G0YFUIWSrT1gZVSZ@CCl>}6NVnba0CYt~>VZ*{~>cbRC`o{%j z2e_aFC_M~N#=QvyWJ8T>fNGJR?V#$@7-+53P=B5ppjXtcdmV!x&?a>64+6XScVYo4 zZ(CrV!MX{O(aUvdu;u&;0Uovn9-++!uf+QI1PhjWMAsfHon5Pgzz(`b$oCxv%p%E- zVYr4!*(MHv@y|2|jKeXLj5XDdYAC&0L#4b9Cm6gic>rfb`lXbe|LZg^|Esu{)&xFa znLsXtW;6=9`|S6c70j3s{BOQ?5Ix*~D({DhE##mLux&3F0QMn=0>Yg-gvA$tmn?@| z>;Yxtj|*u_l;{-%4?cWR$HS?pkdDbVR2)FK=@4l!`r{ncEO*uGo@|0=oP+S5?#p!m z-(5!lMY_D|@xZXDNrz-5%#X zfdazp$;(&E4Suf?kT#e}$*Ldisp~SEBej}KvJz)nhzQ3((54fLgQH0SiHQi=dUXlR zlda2nu7j;+B)3NV3!`ik>1zzM0;7gHgqUT8&&sc6^VD1Eimvw+HiwQ}u7AaTXw%~4 z+mV#=(?p7F2`C}tx<+s9Hppv?x((9S|2kexT%Uv+lltPx9&ncYaR^M-hOMI6BkoU2 zbT18&s`!C*BmW$M@tql0;AQHynvYTCRq1`bUl2~K z&zA+3UGFVEF=`OtM=@y_>IwK|cCEj>3L9Zz{f=|>g!9{UV(A#cX|rOu*LBZQnnrj8 zUx;SW;|%vc^%DPMuFZDk&2N~Sa5%3Tb@T<=@%yR}TH0q`yvrS~iH9%(-p8NuBF=C* z6szLzIITC^e89e;E#6ul>lK;im#Jf`bN;Ww8HfR$$w%xsJISGSmbJhG|QY3b}pva_|tH6Y->>&`*rFy5!(5}MXN}Df!&Fl6Fu~yxr?SA!0l)ucByZH#R=yS$4Oh=5zEWQqc!iNwXn2X% zPtpm^<}Z36gb)OT5Y!3$5HnvGbU#3~UZG5I?W+R=Gy;v9mEEJZCm(N%nPP1PKlJ&1 zjYcqhh1vgJnu<12R{0-E=>6|0V&hU|m6gO`)u%05*G-AsKUJ}{_fE#^Ji`>H$jQq;ecvKoXdr@zdTgl;{GG2(y z9*BX7AvYodaP4g)WLFU0;L?2+e+ei}p~aGXxyxtz&vS_stgo1!jz>^)+$Q*L_W3Kv7j36CkCxCR2{r^x+d%Nds^3Cu1`pe%&?oH?P_jZL|!P_@{cPCq| z_v4fZ>ze52-g@=NW52$!YInObTlbG(muHFRVeq@6&itB@YVA;EXdhWSX4G^(gK1`> z_Lta7*pPk)7!yJ*r0)pYU3YeV)rHFgP@>4SD5CV2 z#|>m2On(FFfPu$rIo$vVEfdOOTr43)fGzgj2+%RYK9D}=1+^P&TGONY;(j~VN2(Uz z$A#~RYdeN?D0SOe+cOjg#K!Yn%s9bQr@&@Q{4^%Z#-N^7YoJkzjKT z%>G@ly5vs*y|t)tn6cq2W`z&6lFFnq?i^~~ zGN+pafH7aKag-1_ioT*4&S*%rEam5J&`1Qlm^UG6Ta@)XNC(c^j+rB=OfY-T%)rcW z-h}}-%L>!iXeGh!=Ry)byc0lCbG8KOK<)D)Lo$}R!_&=2I2?N4og1yM&^Lntv^u5i z!)Z(vxD!uENqw$_0Utq}?!#-9SfM=|$9wpcx^X%WD7&Tf-&NEEmD3yk%IbD~8avC! zHdT}{{CsO$5>L*v50l8Mn(BeaTQ6ZGMnzH?bABD;mqh4!=;Ss5Q1EM-sX4X}YPC1S zu8iUCrjz8|eJgzc4hBD*;sApQcrEL~+GWvo3ZCz}d>dzYB%!1_eQRL$@Lhg@C}u%X zx2(DJ+C89krL}*NXmYXD3w0R9ew65Kakm{9;jfCBU&t zxrrb@F#k*aW&U3*Z~5KXHwL4MG&_1}#ai!r^**`p^;+56kC^qPF?YE4bc3zYr%T=1 z_am29WSrM3sVB4COd;>EQf*fF(+oEia5i?%OVJ&Kw+ z_j2HZQzzV!>8$U-_B8BH;HfmTf7FDhr?53EhYMh;87i}?Y6)F2A$6G72+jA#6EhxX zhIdH3-hUCZN8ZM z)yBo0$;qg3br-Aqm5%Qt7kdPkG@Dge`8-aqZY_tSB`4;j@~^*yti zzfiN#_~VaWcQVK}TJyry?WHFf>qRtWpZrJ>Ky>CMftxBG4=f`Z-*wrbYW#p~2=`rq z-L~#-A*ZXA$RQo~EFL#QH{fKz0V~^{)6_!|_N^(P?bQf~(gWkY1c9A5Dvn#5!rGop z)}&prNHn=VVBjYo1v>!A=-@o21dr%!-Y_4E?~iH0%o+#Ia>x7vum_XUe31t3hfG^S zYgSvQp5-SZ*Qsl3nno!noEt6!*~Gd_9MhWw@|-~Az^`!6*41kx&9L(~4e9Bx+4M** z8HSRdtK$()2tvURjQ8;5C_1d@7AdYkHMi(Q!mH?Ze0#s&qpEK?{hQsqm?)2Y;=p_ye4MN18pN zcLp&H*ZAQqk1OBZ0kC;%Y6%7C>oNv?fbNbDdN?*Pqz@ug^594&_@Gmr<8Twoi8#gy z0$#mjalNZ8UYKg094kuKB{a8e9&ka|Wn~(2IOsPb#_`v0uC$5nM7FZ+BvkJmZyfF# zYV<6HDf?>=r}ci^sUDtQY0-Zt;%z}btt+`b4kU+jjh(36U}Vk!=F4fVA>XKn(?Mi= zT7{_F8)idE(Q%_HT8xZa1!324!RuYp`WmwK;8sxUqCXgi66IY2MZrgC`g6=e2OzuE zaVzx8pm-@7bCOhw$&sRjT2P(D49MckpC5%pjN!q0ZK>Tq7Xf$U?M@cz%p9QQHtJ&S z1Dnur&!1ySj8j_}S5y8>)(^*#z<~QQbB75Spx_FDvT=?>KOeze8{(EdS49*Hb`cJ4 zUB@UcZNYc^x$85j2Zokb`_fD|Ug;JxLJLxaMXgX|qFbZ2``vfUfZwM;$chVDTdI5c z>BqAqbc)Kk%=EQ_WC_-}mBDRBJ6Ehm?p{wez&pI=@bQ-!Gu-ABu|W3hAh|3=2WaasK4QNj1N{0w@#7>c(#n5+hZEX5`GlC@DFfT1{aeBbp3K?bY?0`ELOwUwEP7SH`N@;E5DnP^8*(wE zML`%+Lfagv^zV=k7rn7a7pXIS`?Cu~Yr3amM+b_y0BJ4c!4CSh)u7%p&-<>v5{(@$0p<$Q$3> zCpTDVJ4pt+H#)WDK580j)v_LhQ?#u4Tbu^TSftKnwO4+(4M~a(0ZO|So*oOY@JI4d z)8$$>QKwo?c<*cOua*h@GZTIjw0aUsmR)@CS8V0n`mJj}=-6EFT3?ChYH|xF4ZFKT zwR4^EbEqN_On_@b(EHqt(BOn07bGmmDWog~tT+SQoc>Q_2d_}OsB-*7OA~^2OvwvY zZQha>W_$|gXMEL!8i})s`%;dn18r1DQLLPNAeVfFcs<|eYXK&QBBz;xg3t*}(Kr1Z zkEXDZ`Yr91HhMj&$y|TN%{lv$y}8kl(4b4Nn<~JwZj7Z$D)#T0qDB(O(!t zv{JvElGOImM>XyrNWGGZ(R|#(&}^dYT~0VWMkFO?_n5?7=wWy^(ZOy>;)?^+j@lmm z4}1~6@1K!< z)(!qOs{^g?8G&PY{q)KLr2{Q28BIw&flYu$@5pAQ^1jox__3)0{yXoPD_9$D7~&&@ zFKC8PnqmT@B-|+$v_ZCdw$5_ciId2t6sZV$i;3Vg;&j-?o3XKF&QpP(u$&c>{>KQm z#799J6M-cWoTib32eT8cy1zbJ;qE9UTJwp*p75Hyo!;CSfpZZeDowvRXS#1FO>zG< zt=(c-of^l{ip_S$uw6#f^R0isxYK2@F`zJ%Ve8Oy$b}Exsq`o^M7Zg$N>rDVtaIE{ zCfL530;RrRVVEoxg?EfOXpyYKPPI!?@$1G;sPilhi(KD}`+#r$M}t*!+dHzvg`=AF zlEgVr7+4J9>Uod!6pdtIo{7NdQK+Q#2fa{cLXWec1VYA%Hh^B)~1=KC@%5@dLw2`>yYWg0V&>c(?!h~cS!LAP} z{Pq#)6Gp`z@~0pAJh*VLY>sr6CPo9BI%&YenK}SohA>h8`uo`l&AXie!{1ho-FF2Jg?=2XG#( zFQw11hA{XwY<_*XQdGNq)PN&{#nn)L1#7x;@|vWVMaq)Q_cC{TbN;n)XnSzW4QcH0 zht$pWf_*uM1gy+b&V5@rl2M)CD84t56q?)we2-?Il8za;Fg9b8j*i;7a(*&`giM>L z_05}pbxeZVFmOF3zUNJPHbb3R8g=s}6^tnwp{Zyv$e)==#1QvmQPy$5rRmMw3lgf< zp93S4Lhf6R$5RH`*lE|MfD+r&Xn+`-BZ6w=Cs$ugUKtVOhr$-S`Q_Kq_9x=cRTwZ8v z!DuQTYspc$UuhgLh6}D9DhJaVcVnu91@lD|cwQYWXl(C9>saWn8kC zm@4jAqL@Y~RbU}x8tvD>Jld9(2{+*o+n32#5rW;06uF>R+9P-xDdNgIVb8D41g176 zL2V)7FHu9mDOg`hO@|gt9-VVbbb+a6xjEkUh2fuX}PCqT2b~J7UIE=hJOe31&;VX9YEO+yCzI@1D0eKC7@*Mw} z-Q?z}dU@kq=0@1b$(!tk_lk7vFmEs;K<3l-P=Z*2#>i65ysnqqExT80HTP`e`0165 z6~Wyk1QpOC$6Eqy!SjoDKgn?T{v^PblqHpB*(+}7@A3SrS0`yPKsT98Dg=#x+ziKOENLJy_ z!R;s?TnccxyTwLSU@z`+zc8X69+|%SdKa*va$kP8O2qr>_Xb~z7n9@U!qu*Eo04zJ z`tzj|iu+dN)N8%`k4)& z1O+sRltj#_rpP4F;MKArwSR zRw2-!{yA1uxnDK9kU}ad&$#{T$TClqRDtd}e z;(q}H)ioPUhd?<422j0q5Jq1ic4iM6Tfxy7sdlhb6KO1ItUF$B`M26N-X0(KTtv~5N*Z@pJ{qr?~nUpH_dT?Sk&^# z(yy7js1S6-29AoRzOJApF5ZhW&-?Ph2x3hIzeZn*wwE+4Hh$SGMwpeku5<73cG^o= z#e{8Y;n0~bvUGQ3--VKyCgcxK6_YN^bs#DIlxOO|AQ8#cO>l!BGlYDGX^4Sy z;$*`Y^6izL@+zCPVQ#*Doj{eM*J8n>m4n%KjC9GH*6Z@2!|w3FM0+#aFEqS~ z?|0HsI;5qPKI`T|Q=hTyO%TSrEu{4#H5REAI(l@P~;aSqsq>twz$M@Dd7404VO7ky}ulA15 zGm;GQzP~u*rq9!3&m;c=C+EMkuYLW9O0QS9Lu)Qb2Yd*>dXke+5dv1hdwPkw!82XV z9Y6H32P7pPajBB4M%ySvw>NKx=3k|E@x&iPN92RsnYu>`1zp1%_SwV2pnGXEE=`dDEOQ@Im^9T$Ti&r znzuJH_GGB#nPiWrJipR+nk6p22-eiKxv=4su4KC3h+9H)1` zY(i<65bM<3sVRcVBA0XG)RhhPho_(5>W!xqw+J-E8PS!7qXW)YC`p62(|!i@Gs+QE za|vHPW-Bg`=bR}aAq`F_{$!A_mqx9ES14~bc(s{fauOztG444vPbSc1OBiTEqgpo5 zJbHtstAsO>xS^%|UaUM6af*tGx=kH=TwjJ6jz%s*VJ+&7_2sk(cFM7)cWQ`XeM0_T#bcu!13AGa-ew({zXd1o0#;ORMIX9f|? zggR;rp-~<8asveJLWsslHY=8tC7-UJhegqpnz(Jkwa>;(Er})GxB3SRKnDpuJIb+2FxZTgJ=5QJ4zyhkq;X;omxYQuZ@mu^tPUEY!^~K~(CJ99$4NKiRl-a!S`t5b3ZhfYh8fxj|PcK-JVsb}Q zKgu(qD><1Os~xEq+H-vK7DT{@~$C=Ml)-S;`H1~Mw1xqi_1QQyS|I26W+M2W+ z!#~OrO1JTZ;_i>vl4T-Dhs9mrmRG~S5z8OIVU|=B7mUfX{`s1NW%e6Ys*x+VSL!ok zio%ve_C-pIBXWw<0}pfbf&+`R;{I@jS$y|U}a0}QDWx)dEJuWLWW1Se)Y zi#^)idQzZ1o)BafD}~fB6o#{TS7)zJz@q4{m{Q0h#;Bm4e!ul*y!HAzBA%~-po#|n zu(o&2bg1mpSN$0w6KPl4_^qO5WzIylNqv6#=f7SXeQ9{5^`Ww(Q!O zfr|}E>9*uvR;jUCF1Ryk2Q6gd2a9>3@! zIhe-it7UflLQV;uI3M8RB*)gwE`r^s2DI_2w-I<%2w~2_ut=f~1mkQH4g}94F1o6q6*cJ{@ucY9 z&UEH)qr0Q&oxHkWvrNc$FA6MG>XbqZDfKVO6GFy;)(6b71C5U>N{80)=S`Y4XXCjt zI^TNmEX+;|8~{y`8vc6P2kkd|9t@iyAC`^|myW+4Ldv9EG)tRKa`!4}ucx3f)CflT zzEB<*&y#KbvC~3sxK7ye+GU1pxr}d*8d%~iyrW8-0EAcE6kwp^u=2-{h z)__b<_w)y=kf|QY_bktV(#qT=hhjV*VSxGgjV--O;-OKJCBkmPYzlX5jr}eu42!&v ze}i%YJKfuQtW@@9A=_r5xii+jb5_%fAfV$aG|&1ToxTxDW90Fit9<%B?9$8Pe#Y0d z<+2^J)vr_GbK&T^83);_c)<<&e%E_t)K{?M!IUbIoiKd6RjdCtUYIHMdW50Jeya6= zZ$w7_t$AtBh*Ot6{dTg?&H+QGJ$+xNy{Fbpw|$yP7n-Mc*emX_U~vmJZ)FYr7_2Xt zM8Eqo!nQ%0>eVB>ahETcW%cCP<1VD5bqT}h0&4WRr(m=9kl^fuK3@NXs&#c>q|?3z zmtQuWB9|q59NGIzaSey@StyqlJArT+&iZd^DtJ{9lXqxrxWcraRRvFkdH7aL9{DDr z{$mpf$3_Ngs{4~%Fw!_Y@;UrsYE}o#j+|zf7{}Y!8JGl9+5SIsFn{XNt=W-V(B!={ zc_+V71z$pyM?oh{o6A-!BS_26K8;N>Dyyh09Em0B^t6h!P%rt>qeCegX4$zYO4o$imZ+3ygJtLN#Fg3C0&1eGnc1()64R2^4SsvTE{!Hor%No`Z9+LRf0 z6Cbc`DDh&Abxo(iv*BwuJ`w8yQIoD|dXPjv);Z0dgf(3%jIKRzlI;{q(c&0H-*O>L z+j4P=kEiYS1ylR~;_a)W;%b(ClOPE&1P>O11a~L6gy0g~WpEfIxI?hPE!f}^+}$-; z@Zc68xI-YgzD@Fd=brQKxoe&K{&;H*UA?=it2cZ0^scV@Rqy%i06-eJnpeg_jIEqG zlS>2L(>;x!KFp~D9)_n6ZF4gB@S?bS$?RjXpM%R#w?S`#GodLfP)i9f3wPpp+&it> zM1baaR5Myi4&zJ!{4JYSk8j#nrysWqNPtziR!=xe>eTe>c{+kvt+ zX1(J;gxO8*=|Lsa7|8I5z@8Kj@~LK=JuI0CUWr%sv+E2>$2U18CVD{8T*3FfZYQZ{ z>_C^|z$5*KrtPm8P3WL~hvr;>SW&t1$_~p_7%XzSk|$tfv#!wg%Oc!PJ8wi>y|w5H zoH+b$Q+`)_-zeC$q;KqUb9GcbsxzFj7IAGeOgM?g;Td;m`ylil(-(C@Af3A}dhlR?6i+=Z`I+!CiG+k-@hE8^< zJU7IXyHq5Wty5IRMlxEti@ck>qqN)vIEQ#sh(9M8%)T71^F4yX4rqLWWR3`9kYlC+ ztp4Pi2?$bXIP<&^#-V~Y6+*-6E*x&KXutIFQ4Y5i0R*a{G;}r^Xs!ded|$saV}nLZ zte!b{jLu|AIr&UY=enq@Cn zx}a_B)sE-mx*X@afRyA#1wV^Vl?vN=^(hs%h?|d)>JbCJgA8DKIONqmty-Dwfis(5 znJ?7M{YA-k{nX0g-aa87_9eor%H?T_Q7GR9LuuJ1feC&?NoO#xv0mQMHhrh^QW^KW zfH7IVMzg)}u_8tc+Eu=^4DgV4+Z1*+MI3${_XK#(9Xy!Ah2>5#aESW&AoIBy+h95Q zAE&<3w9=0l&RrDVrD%H<*(bnjYeYHbd7RF>L^*a*l*kcNb!HvTyB*Js#}{y?7ZM^5 z_^*00+(ByM?d6w6Xu5}9koGHzd#54%;quGgmy7I6vr5;)VN}XF3UWz#!e3Q^JL#2t@3|o&t=km6y$S>2aUn`+VkM>J`{`IX8CZLL zZYb(ZMkzN|VwvzNaCM1(ncF0) z`LbH=Xm}ubh3HpM+b!3qrUzh>iMO-w^&&RypC;6QI8rmV9}#i|>*U?%tpNi@!B};|l6vvvb-e z(L6^!&gB&O`I1&obXQk+(Ma5E0pE<>>9y`p!Xw{>Alw_VW__*|gfn1X%t zr`EWy6kW<#o-P=IYthk zS3{C{K)=7p+>j&{(EWBJd))f}3+eehZXGgf4xH~eFz)`Jae>p_=Audk{_+16xpZ^+ zwUO;b$?&VM_69;VkDP8vx5PWEdSRq>dt;=v5o2{}MK#}A_wx*m#bez_tAc7iL~vGh zudjARz81&5vg9Jhsyoe+?(Tobnu)Or0Q!4ZmH@SGV9c}M{U1j6sAQlS^SrwNAvE@; z|MHPIKdeHGPDAf>z}})^8>S=UFHzm1Mcs>&DQj z;ma9M?GgVd>R_44C0_sWLbBck{bLe-3gy4ZhcABUW?K`OWD+P;w9kaoE=6CGxY!72 zJpCO>?^N<=Q93$(l(Z;B!yxK*Ty%lu2isf(17Vo47&C2XkkDI4pJ!t$N;V%!Mm*dY z3Fq-ru~OQLW)8lZEgj;(AZZ~vU< zA93zGBx$F_guP=XhFKilO1dS@O1f$zH9?)qfoh|KrCOu&q0A0jZ{wlT8IGov>Aeu0 zDmt(K=L3W3J>;@koHi4+y(FC@h*NjzEKZY&n#)k>&m|KaDW00Gs;(O)RAQD05)$b6Jq)Xd=7SdE=s@>Pw_S0)1AiQ{Ry5d1bHVQh#-=qNW z4jXeA!kT=hXcC)!D8tu!Z=!gcjftizBeoFT{dG0BqK1XxdRomoT=@qxer+u>wy*(V z%w{Iwp6GlH#5fg+NPN%5@>0=biOtc4iQa1lWiZl=1hrExVvdpC;LEv||NiLb@ww#j zssCL9m2#z5%}TF||1N>b3=P^M4cgTIODR~aqn~&4Q|=O+dfdso9_ZMQwi`>l z7fZZI^T2k=yD9(8^ZN(;FqU|VM(_?kulYqo%bQ$Vn&Stc237h9^-0(e;T% zb=gTT*B4n&k=vtH>6Ce^Z+5$HZ>CCjyz2#9Ep7zXSC-#!O$w0r8&fDat7uLgPR2px z^_4yvXCbTI^D1LN3VZ2xy`Rzm9u@8Nkd=I15e6(3Yp?mY@qR{$`DwKTpAQs_E3qY= z8(Do~KOMkoKz6APhb6}EpO_!~Fn&UTr1hEJPZNy8k`IXw&}j0>y8C5pdeiJh!~ylo7cNB#{qfIDGKp(*X@2*zK*|H*SY^p05HG+K6$ zoeW^rEeb5Uwf8YsQz^6f5oBT~g7*y$5;JrB(|LPZaJ`W?FI1Uct$N?q6CN&17i;bx zR|mh2X55TwiY0Tx8O(l*k04Ei%%TwPJ2??05y(nVK#*;Gv8@nO9j1I#o8+QoG2_V= z!^*Sv{w)7{n{JW$`g%+i=wsszc-G z-6erz z&Yy@DGPfH-?@St<@LId)O253f%A8HVAG!@&wfUt+Gaa+VpjWk8r+ww765+YM^yd8QsWKR!%`y-SZaJk`}7x1 z#-E0@bM9{YXv@ZdwSnR3O?+M=u+2yqP`Wg{o)30&f>P^pq z@f+!@-9R<&jWH*W4T#%ZgT%c{0auzd@{sW0v}Jdw5fR_ zvS{&oERLAC!LxKxVVY}mA4^`3I#^BK(45$!in?bJpo}RJ`QU*al?ERqa;QW?1}QpZ zlsmo3WfBWQcA<&6Uuy_=X7%1A$9KMqxi4Qh+mP%zsf8UjS&%YRp9Laz(y^CMkIaLIv z%eg9jX6tDzO>jQmpgnRQmz&;yN@419V_e_k#d&*Lr9Nn_+V_eYlnI$ir4qgxtLYJMg*4FHNx}$*sop^} zS9L-JPyW4As(GQ|ne@bwimGB+@2+=Ol!4N;8XU*hZ;B0~a^Dz3FZt3wX=zcwf~8C- ziS2YDfv?!UDug1imNqQng?BMX8h6#~w;hY0?Mq)c>!CS&h~Z<(UWD74N7~h(?~q1F zl#2)3Ns2WLgup}a3chHXusTNwRd@}wExtx}(b38T{3C7=Z+=WSssZmqY8-jR z)MCZ=QaTPQ`R-+bxSeVoSoUS-+EmPRgq=dDVn6W(XabE11wl#*iB= z;ylv|zg4wB5^9IqP&;Ap^c#vf(T4)^2BTWK)MSg^*+ESXtC8`A3S1Hh?`?76~d{=Z5(d8 zCjx?TAdhB8t)aA`kNEi{-Km`vdIN->op-yat>DJCb;3L6^m=FuoVy*@`Il-x$+3KJ zugsf6`h~}PF|WMEud{J7d>72{%ESUNTz z>$Nu0(}1jg+20pi*I^v&b;gH;y&Wh zTO247WPUJy>yXx&Pybe>!J7X>7^Flm&c4mz%kAyPo$zb89Q!K^RW2h(5v)LZ2zY>$ zXilaaaw4vjAGa=Y6!TfnPMrx#!O%yNlcMtOC%+;bc_k?~QgglupYt{4lM(x1(vGV``ct0? zAcUofx0aHa53Mnv0fH*=TgAK#qddnS3v0hQy+5FG?d(0nm$~Ur88UURSpSt-#c)0o z=F7$!A8awWx0N@->Z1B>B;Q!pvB%orO0u@N;A%4%2+TU3_i@NX&q^;P*#Rh+&hBq$ zb;kK)Kml91xnb!@*)^SDr4Il-0B?aOZ@ClmI}`PB@0;bj<3Ja;&`nor$jfWyebFuZ&!uqmty5Pzg-mryi2L-aKvYW8yK zGTzO)JR{;V%AY+Bt3l(;LzA9cGLlU85{H)?SK2z9Y8BI8Xp%Xn5@720FV1^rYR&SW z`pC5Qj^B^4@sr<%@_TsGY;Kp4yj2-B2Ld)6`No~>n}GFTPV;dcV|2_&x;}-%QuD1t z32CD$j%-m#@)W+#Zdh{sD;?=bdp_TsK9Gt!+aUA15-@p^eJ!?$<`YXt0NRu5&8b~x zYE4JCwC8od-WD!Se?NZMyVUJ{A9;V<3lXYJzq0)ifnS!D9=X+KY^L5jy#vdA4*_!L z#XR2)tVy1#drv-1><}==^!Nmp#mPYd13_|0=aVQE{`kIf)xuw4nv?O{KhF7b`|Q)rTK20g=W?`QYqfXGU47D4AXa>aN8_cla}{$#)OoG*5Cm}Skx1#kNDY$@dk;5sF57j#fBy@vckqH_?!=2 zvz+ahMpMe)KR*|r%6)^x57~J2ec`}lnfF!qN#)fEr%)P7*tyXaI86`Z!efLfv=KXD z#B-0T^F7D2jYVe1wtQJUL`KqDq)ui%eJu0%6FVctHi5G~^GPHE3Z@G}mo}p|A2j^+ z=Od4g?ac4NUYrFCp@|G+mu1<;o^F(h0t=P7b2Gr?zwQ=kZaqAojU33ZKzDn= zmPj*`y=CD#%&d<}K*@%;pwq*{zK3vFwG;J>cA~5jq}a*B4l?a|s7m<&zU5fzf`d!1 zBJ3+4DndYNF#9h{Zn+9gye3#P?Qu&F%3{tXGK!}m7 zU>o$D!tw0PAg>N2e?k$m|BbnT$in`!lO=CT4RQe~Mt8aRR^;EdWqzr7AD#R(OFyoi z*Sq7_x>Dat88_LqZDWbl!@&HtU&={q@PKPLx7zx;)GfLN&RCSD?cj8m5IlL!X3=Fj z!6c@VP~!KnXukH#-_c?D#p`wZrtoU~^Li`7o;!F_>Cy#ZIX*^dWYdJP3P}ERX^PZm zn8l-{osGRk54!v!qnTxSXoACLeqM>1s$?{Ejh;ADT;6O7%U`SRe#_pU4)(0orJSV| zl?8$b=y~1DG74}$T0k6lU8J*|e9D&;gMPGziLxI=VZ&F_~~X2x|GsJMW$sO7@H8gcqh%auFnPW16i6@H_-5 z@SQJNo^Y?jnaftSzr;s0sasiM)5z5-kACe#`RM47VK*}~yNPr7X5zoXQ!h-w-KJpHKPtX$P~yQ0?=YP8sE@R_1pGvK#`<*#?vi zhs3&4(fF-~Zt~R0D00Ci7lA%2c!(k1c+_{`jElghw+q5YXlNmZe;xa*5F_3-3BJBt zK7t&fjYQVb)n5emQr;~uuModVz6cBq^>(pbA@0G~k*`ZDadEHLP{=Zcz#?jKGBzJ` zHL>hU$0~>{=kLfzCQrl7r*jCwuI;-N37%qZmL1uEZ{}|2n;6;3D3(}r5|&((T}uqr z`4~-H7Bl5RZ*jEFl5F}3NXncTg!L%ZxrCU_LtcH|9oz|5rz{kph?^v?kWu!uc;c(7 z38i(+XXwOsj55LB(7m=FD95gLu91;uYa9#HT=YDS=jhUXpAeM<&e~DZLJt{ZRTkF3 zhhB=P5E$oNCc*CqXefo#aJh+_O-h#ov7NN6Nm|xd<%Jqr8EGGa`g%TpIB}H7z*vBpz*P=Qg+Xh=Is}8wBx$dd~}RN z2af(sa;>PI&jc@b4euOvxLr+6??EhWI4dW>B0TkWt0$=!m<{vbl@NT1239?j4>oz) zJ$f0Fq~ZD_x8iZ3sa!w`$Bq-xj=4H?#5Y$THj!8ypcD9im=lZ<3`MK z%F(+-aUwQeR)nZ4@lP%^o;EUClaRR!u9+QbaL{KOXMMs7Go5Vrj}Ml5zAA`NQdI=? zDQst;0amUE)(b#Rh#ot8rty1Z1V(aZkQ87R?&!(mK*baO*zjF zxDDcQ*#>b7OBHmJ%7njKz)t-RZ?`WZ289AfLaO#o%jYU7%Equ)dy+m^ZPaUa@Vp2R zbpj35!UqE028*?q#k0%`>;1JdMl|JJ!9r4I-B97u(GXO>I`L#ykQC-FBM%+t=}B4! z>#2+P`$V^y4A#kju*b6Z`(K79EdBZ?2A;;@gM9y@_70xd${QkTXN&+CSubnC`UhVd zF4{@i{d)R+I-20S?bs?9Zkkb3>Dd%ls`G2gmnQ^Ibbr(^z5W}4R~3N?~knbzO!`faKvEh zegvxchuWRPX;8?s zgfF$3+%lgavaOA7Wn0_1C$gPkF^CZ`9x#?DBkx%el8Bhyq1(lZYWWKtSIU%i(9bn#Sg15!$)P%fu!RhY+uqtoc=Y^j^xDMHub*1 z>*b3)ooBX-=xI5(r9YH06HTq=J|b(s1SXmUdD;lKM9HtP)?f9CKVBMOW{VwQ8vw@R z={l1XunxKMMOshOP^#fy>W+nDd%u8Lai8}HdOXD*;&XWS2ZSfK2V&j)`Y;d6kALMJn`ClIMu}NTP!N5`O zmL*VON&NvXkW`#<-x*pJCv~^cb)ZI7>@`5lDF8S2`vAbu*++o{;m_hua8|W=-nOHm z1rF+Y*mRtD-v+*Xdsj!(?fok<-TzANX|X!Lr}+Uy0T0cVRpqoT3l?mu7o(L--N~>@ zr!8J3Q_3q))>?@;VnDg+Xwwv7l9->R(@-TNCxnY{`>Gr~%B-NXV*2t!7VuQ0&_xA& z`!g)^@JhbneNb>IIJ63YRzP;CFzEX#X(UUQG~kSt=+JrXCvtP4YY3{32~{J>Ut72g zEBSe%#;XPd1^2fSMzIG)NuWL081sD9D zrZwPLime9u*=h*r17Awjtr%x=hkL>gj70|-)OvismMTWO*U=Kx))G{0(k&p}#)ALc zEz$&hiwa8R0SnBE-SZYJvISssHMO<`(T^t5rqF_;{;e$x2jdfDZ7ESv7HQdOIiC}i zubpT7&{Lct-AcfXb{aQ&RBI6j%|wnMe#pzbW(OS3RtwDZ2%x9^zn`gHr#rfEO_~am zmb@?mHihtbA8ymBw_UhZulp)nOXv5WO8+aCg5v>8arHYv5~JA)h!iT9au_9Oau%%1 zmR1d%T895jd+6z(=)zYVIAg=l7)D4pMd9sEXgXvhS8&;Aan@m$W zG$DIkRC+rpt5sUcApZo|l>@k$H32AL?;JQc=i~lkqps1iP=ataDT-1iZ0F}YD z4Cg`q+qj1xc&o;>23Zzf4q8hwI6I_nvWrkr1VYy{$5~|#1xw|-^{27lI@=;IaN32v zI@X?+(xiM^<%wpASNJoiBss3hWM3%VTgT2Dt&p+d;- zKAD;6Tv0c3dc?oVcKiEyBM2AN&=#*tYUbKrdf&R-k5fP@dNp~!zV@Q>e~h=ArQhHu zs=iK8*2?ZG_R*I!s(0r}K4S1_k%9801lpM$Atg=3S7jKTNmSVzCaZnk7}}g(o9`;6 z*vDaCp1KlqS@2ud4zqq)ShuEf#p7I#;YMGF(~1z5x{NC94Hv21?zefHu4lixH< zxr#nm-=h4$OkF7eg9Qut(M#;>P=y}&KE>+w+G8SS=(?S6yP2*^pgrV=xol1;d7P^o zTtTi}@{D$A^baJSPmJG;nZ5qmq7A$ zE5m^;T2qE~CsQRYYRf7`wax1cAeJ=5R;B+CNg;3*0v;MV){MQ(3gK8W`YcfJL5B%o zU(JLACTwgAV8Z5$18cAhDBwbEP4|899o2Ji1NlQ$Hppt@hWxd^s+a4ucV|RAiuLp& zPv4~3ihK0j)~H9SB%NPrU8)GoeBnD`Yg?U;tmCWOl>Cu&r~|u1JGy#<-ZyJF@ZBx< zGR%toteH8DnR3ccy1JETDcV<>1WTpIis zXRc0YRyE(h%n@koZQ4H&?hnhC+FfGiAeXg0zYvc#HOV5d zDKvx(NZ{BM1#{&JIzaz_emrlDcz3K!($-dcvINS12Y9#2kJ@pKd z)*1gk78w`blnvwdR3HH4y|=Fukck9<&@b$Vix2A$)wj;w3c%BQX4%r}KDtU825t?W(t-&v)4@uaG%k$uI)P}g%6T0*J zRJ1{)2u2(=J3PuY*|l~MYzdR`kyvC*g|HjH5`07=)VZWT^G34b=Yx24w3|=GIQYa$c>iI@fQ-^tbccj#7!g_4#PEDb`uMWH9wv zA*;6?G#H^g_=8SfcpjKBof9sg!2R4;MgpZhrV9d~iQFum-&dW}fXjS|)8*ztxos)g zT^v|O$myGgP%%ldksh(sCfL(v8+PyVrj7NXBA9m6&2slliuaz=gR_VDDsky&E{j)a z^0l<#&5bQKEpLwv2GTy>66o0Ym zU|JVk{s{k*f@;F0up^GZ4_C|Oh8~_;Z%BmCJ1PB;%Q=OHO^u+^q{okn+LS-CZNs(E!mpxEv{i@@?t0zhBr=$RYa>= z(1K-a88wK)_krYdH#OD4%E^{RAtS+qYQFC^(#41SEwiP;K?cJw+r5AVZdS`c7dyuy zf~R35yW#t#>hvVMR{s4VO3LeQ&q!>YNbK90MOK9d*CzucXPj}qsrK)E-Szfw0tASh zqvjgWhiIlEQq@N5a$BxUBE+Q80go~C>#HDhS;NKXjBYcUn;XB&smstK{QM8p1A}_Z z(ZdTvdg@b=w=SGCvye;iliKTHYyk@9pT1RB|dplo>VcS!nAg@6F>e0hw);BZagCT zL_-e_$1`>Uu67dH-EYs}5zW)k!j1K#T6y=HnI+g+#EXO{IC{;;MNTwvzPb*Zu3U+{ z#Up?a9>t4vyU8!NZgCf=k(i?gQ|4Oiq(+&IVf-GVdj>JHv>>noRV2x@5>+Hac%CHN zT!9;F49M2T7<0~RBq2|Fjr&`*)o<0$T<>Oa5Udn8w?(tto|h!ww7Al@-KMp@F!Z?H z-m^g4Z@AYN+bXRexwwE|*MTDua}q>z6sjW<%ykw{RL zpoF9P-sCz;Rtx`JRQ3wWxRFqzV4z-oS#1E#m6-gP>o)+&2$MGBKWQla$&sJuSR>|K zisAwENdZz+uZ{0lF3G!=(!MZkD*5PfuK!G=5Y+Q?sAII7*uoh>=+PqGNwQ=ASx-{r zfbKXnPQg#2QUelgaY*wjM!*rM7Q=8H`V}ti+>k&-_tASK=Vu$&BlBt>U`tyb-)7zI zi6l|@!|w(nlwO+=8GCYiPI>Vs3>P^SR z)P3)Yxsv5u_u7@^E9kwVJ8ul$+~W7xuZo6x?H92InG?b)q}$W~C0DMr&i{FlT0#U$@X zUIYNR%y~0={rzVCTCTf0Z~NZqyG=`wcn_g%kFebBi<+S5C{*_$Onp>zqyzkUOwEa) z0a5l?Gmwip5*w;C0i3Sp7XXaGN4YZe2wJdHf3$;XlC;8B?mr+6Ge1BJW3++AfAJYz zM@`Og{icH@Axes9!`>zKQBp262r+@rnppezV=^VBj~y%MmwzF9e;+j5NDEBq^SWnz zyA{=-aK&_pHSj&oI5Y)WkuMb?y~lUN_;k0O2NUVz2fTm6P0Z6XYm|WtvyM4sl*o5E zEH_dt6M|ZAJI>?LlXE0$oHPg4uUw})5+*pSWBHzcs;&xHo#x`~J~53A8ll$XyBOnd zzO4JfaM#h1Q2KknAFrP|0jA#HL-H)!YG^iArGrXauQQ7wlf-1wN(iNE55c!A5t@q} z6G~bQLk?PlWB!C&;)nfy*fg4$J_IxX?y-Q9z^w*cz~Aty?ej-9F;Sc!aF`vj?YKZD z0qUkMs1s9t-ZWTWGG11)@SYu#VQKMBrHb>nFC2NM*nMFfamv0j_Suw`9D zv665hEC=giJF`m$IDkurS_neGBX90i2I#_iGZ)P{Ju? zPc6j`*(zXlh}Z-LhcMH#0joneLcsM|9%=}sXf#*UN2#Kecn2at$pNxITROA8vuVkO z^gj-!HVTAGpk`AB?*lIR^0a9E(oq8#7%6|9)RjB=g{<6X)hVRtcNxvUSnNs)C4c-f zg5|quP4fU*p&H^af{I*=Yg~0dQ9Fif+&2s+7uMci6xP=tPwE}du)12FYQ54TjV$rl zslYj&>2Knzy@I^by6l%U{yDSLV^}n7SVS0E!V_6y%~!j_SKD|rQ~2v&832#qw**lB zvk)Lxc=Yc?0U_HjFVgMhak6`gmq1UuVKbrr!A26`W>fd7n!viP5r8}|TobSH+ZecjI@z24$ zt3usT)~?-m|Uv-PqRGnGj^zf~W(>3*2G+Fbd6S!>a?H6>m4 zO-VH@K7YYxBN-CUdid%S(u?R99Vj{x74LHs@=m_Aqo_u)zeAe}2n~tv3*g6G2?CZA z90`KUWWZijNdxI__3gm&M%T?x6z7Ao-?LnJ-fGlN?bQH*!E*SmellPOD?dlT!It0i zge3Rt9zsoU!(kD!y0<0%DkhO3gLD1Dr!&tJW_bj(vW0kGGR>46+DNN*s()SO)oZ?nOW<4sjqBnds6SQfNaSoplgc@@I#X(pzz~ zDFE4Y>(H~W2*B==Je9l!_zSYUbx{sDS_|3t(f8bmk zw%V@{|GG+QTSVWWD}DBO+L;XAqj?TP&Hp2x09z*PVV%%Bbpdb zPBgZw3mx9SYP3js2R8})ercso>**9jtpa;LAOIUUyg^vvZObHsURn^3-wTd34~%Jt zA;oW8l^rr3cB^mUuM-ZqKLqI0v{^N1p zqEY;~&QBht$n&8h7;lZ|ow_X;ks@j(DOl_YMPe3HU$*_#XGHaPAPYRjx5tV_5(EGq z>y2Htl>Q)(plEL*;QWg3LvFL4O*zl4B!7ZTR0d3J%+rI+)4Th@%|B$#&r)Vm@Y}*3 zT*8!aN^i%oZ~dt^ffOV$)a7fo*Eq4SfoxKUKmNu`NCJNRlWJ@r_0dV7hH8P;9B-;p z_sC+lGCp5?6P7Q6_Kd|RHtQg=5@Z+Nk^p}HWCn`>F$X`ijz;-ZGXpzOm_g|v(Wm=8 z_XC`QimjRs@L?LMy&o7nb2{y0+Eg-M;w{Gq@U9o*vx4{v30Q&VW_2N=jjl%p2H61` z2KF=;th6SL7hwgYqwn^f9UU-qj_^CsL!&Ji&R=&-{EX?7!F5^ndH$G90#(JZ0NYL= ziOyklmy1)nO$Ip!HvT~@AB&2zaCt_E>N$KexzoyExuhbM+;6b#SE5Ibja^BFM1F#@ zG^~CT5*!xfJH7f-3Q+kTY96WKe_RkIomd8)Kj;Cd^KRfuI?sZ?ZYD`-M z<)?oc0p}6{z6bMZ-vUyFHnLUZ7yvJD7+*0DB;N0H`T!0R1R(KAqp!IM@mcQ4xC?&U z8=Oj!4ZSw(J0^vo`&}*4J9*?IZ!dzC`)u_4&8CgJQa#XpLTlwD{ufQXWc2$4lp}8| zksCe6uKOA!FVBV_)6v$UwzI|$4MU*X}jDtBaNi% z{AXl4vvN1{7@2d6A60oMsapfXfGL00*?+6;E*AYZ0cjNYuYMCNkrO>eQQ(sNX+aqU z&ggcUQWUr{C%~1tO#oW{t>{0?{7>rsSq9JpjAe?OCD??1JL|tS!YJy^NqSXeGhR zL#>c|4re~k@4v)0BYMMmU#0TC;yQ3~IJlrZvvRDkfqbuD9c@^(U5ya`2OkjmBM6kg zWnKOV0dk}D|0Q~`!UM6gu;?L*By{GJ<5Em#Tab_m*HFR<#z&1Hj?RS*b6EhcvqqQq zRDjQ-xkU-=}?x* z3F@3!v`u<_M@fzc(D~RVvmiuHO>>UFg5q|5nF; zv4O6D@;>h0pFfpnyoEwD$n}=d|-Apdb z7TGjuwYiaeYDGGfx?3`EQB%*|t;nivJH8xiW?>$WtF#oco;GA>b6EuY>y3V?-s4!D zF#E{fjb8*Go(P$jNg@l+U}y{&VpRy&7i>KJ3eZQ=jV2KM{6gz*RUpOU#>o-Zubz`u ze(}OI$~y0AEaNEI^(eEpz)|XN_i`L13m*Jc6H1OXv#iQs4VF%iObh=CUyq^ohulX^ zUc$%zKZ#J`a0GT!)=LYG#dQ&Ac<2Peanc8l^Kh`tLngf@LQt{dfLAHgaRSQ{%a6q~ z%2M19(`>?1EM2M+Y5ylRbD2W!$95<$}K!|@Kj6dIfq=BCnN zA$U{esSKkNxhg-}*U~tJR&7h?ZC~e0UN7WWyZp`=^rP&VH=8blqKb`O`{PP zJuyOwMaM{`$iQT^Y&?tABP-+J6^=K-E7V`?VU`Ww5cs&I@zTN^x;+0`YgooqNPT_o zjMfu~N)1bYSWMgwgG+eArYyY63H%N;H~>#2dl)H{;&GMd-w~-i;KSVu3JwE)fN z@bHI_!Jqp#d!UI$SmMAyU$=g+og-!CgS$r9U;NgTQz(2X5Z~`zOQhQX) z$9tgJBrp%}+Zr%l?u&c>nc`@Jsvf@;v5+BxrCP(Ic{%S} z#Sc?!!2ZCp{}D0rt)j$D;Yj>Z+=pN)%lHN|2mjB+u0taRX*h`!ASnMJ+8?HXZVv2p|VJQTSc9?OYJBxW>JY1|Z0+V7Lwh#zNBA64N$+8BDo-1Jy~ z5%j2v@X>~>V7iWAIu~5~9^yw=wnu~3k6?ceLiK^b4t9k#knO!ZtZm@lbahE3*y=4v zTmLD6&{D^w+|deA>!Ft1F}41u_Mfy(140cQQ#JU%RRA?(M6G{nv;M!-0<5?NeY&O& zRZhQ>Jw&ZpP;Dilr7_z~zjb-skUpIa(1coh{LfO&_?E_8GyTaOs!o0-H=CeZR@B-Z zCe+`g4pA!rQEPp?Lp8HQ)pc6G=x$D*E=@0_C`DU)y*l_mA~M?RYb&%=SZdr2_5FR_ zDssvHLKsW!SZ(9RDNF6_{y}0wi&B7YqQZ)^m+8Vv%QT6p_nOVWY-T_qFFV=~Wuq-pkv-CG4@L3t?+;KROep6`D7XGC@o$9w!T$F; z{=o*i0?KVRF&Bp^n!}}CcoTkdGA{3Hf0?iMud_$8S;br=O!(=9 zc`zu3gM?F=WB6q2Kr2FQf9xY55SfPuSY%>jqW&KpD6>0xNd#)I2SACUK zzE2b{ulH)N|HABMoxLeT%)wAY6>uehVrauV3A=>1$R==Ts<8@<<$xZ`T&yS6xH8Gn zVTb^GD%F?_`S)NzAy#M(iC)m~WS!BhKG;*j98RqPDY?h+YIWnttd@`5OcHg{-WRp4 zxs1Ho8~2<1)s|C7bVlRc7>$Pp6ih%{e-CX(|HqF-345#Er2XK7{m z^29~4?J|1If?7;uMKonZM-T6zZw2Brk;&o-p{^p6^*Thy?9XPAt8xkIipH;5;Bff= z%D9Tlb$Np#FyouPG`_RBl`f$a7XKORD*%qL17TDGu$eX+qT*@R_h47RPVha|thIYy zI{?43fg6E}YOiL$lhz8gYzMG~~b+#Kb{1AbAEM6Uj zA`9Rsqtw0zP@at)dHOWG=zO1Z9Z%nh4fg6{9^`+n1_RRw&9j4~*{!9pOIPgen!p zVPOjaEtJlVRf#-i_Ob_qal@ZxAa-ibaa=lh`Fk7T43xteq zb)vY1QVj|399-5nnYZdt2prjmj2E0GX?t!C$w)#Q@SSB#jhXV16cZtK(@#GtRs*A7 zlJ>U%LwWGzzr0BL&cPu~0jO2+tuZX?OC9NP+kn_{1hOyKl=e;5sSK<_>`G*ns9G7@ zReTq!9!9GNkLt$o^0dn6ywQniYGjui(vIQXsHsd|K@4c4oM!c?tzp*a#$y%}?HUi68uC{q zGf#G4t|-tvVpM#3t&eY9F_2sA4LYRZ0d6v z`%)OVb;^0&j7xqX#-xeA|68$>v?%s!1I)%mR;3r`I3L(K$g=}Y=`C3Z)%j-s@;7v> z$#LEq$dAk=)-dJW+h~1@AB+T3%CkE9Hu$+cKJT_}iJ4X^MvVuG&>R$l=!r4 zj+&|`meLi5{-kdPD9lWXEAHE@29&hiUuo)*dV7xPrR&}93dF{w=F`EAP^+;qd!_zT zyO>(-WnJ*FqrOJ?33?W2V!E@z+XLtkEMOJ~K@eEL7Uy$4hjO%^b!f+OI75*>2RL9*nW5s;`P z$vJ}{8IhcmNCwGq2$DfWa?UV}ARtMUAWD=ZuYmn__xtwS{m*;vym!t$w{P9lJw4Uc z)wga{z0Sn-jpV>%U+d0joMUY2bw1AFJ~4c6`Sy_NkB^Z5y>P?UcTyi6zUBLSR%`Upu3J8`8LW=jmiaL!bGoa-vtA8q z+qZ8QCHK+uKg~PZPg3!rtDEY403kS^?a6vX2(9y730^eL`<%SIvz8F@YS-bJT$l=B z{ktr_O_F=mlF37gyra(6%f>E`FeOGnJz5T5#&vT7d1k&_KhaMbpaI?)vfhdrwJhGH z!_mJSM9OQl-|5IXM;ytEe>LR=OL^*zm9iD-rQGyJ!=vHK@NyR-jUh z9x?ZYF~ZnxC}3fyVmU0?1XS3Qp^ZG_1GU`%FiSaSA;`DQZZo*WQ={?wg!o+%^E{pH zXjJupp_jp7jM3d8JOfZQ$te$L_0tl05cIMsv3hXmG`yW;q%>8yexyAp=K9`-1u3I` zr0}+;Huz<0#Kg|e7wm_v(2e^B8`I=|iNQ-{MdwG4HwoXbO%@Q8JGf$;yMH2g#o*7# z_SqcZVHPSZyp@1%v{mdPVEn2^lLze~Hkfj*q6;RuX@HM^d-eJ1utgAd@$By`i$&i2NqkX>Fb~7_hW+(l^xsOxZ7?(f9(jNz z7`+c^iMPsFai=gBeK3RPCE7Q^$ojlw7ftBSgW{TNQIdGhC>XG#S?W>X*w?XE->-@S}~o8Um21Sn1qBv8F)}R%$BuQZmsEJ_rO0i*niC>;$=rhl7(k zU|YJz+Ry50+zWfxI{c3Fsw=j1Q8U~f_UPT1mTSd$h?VzB6a^CzXtN=xg81e?oEj_D zjUY!lzM~UiQFP_srj*J{2BtRU_LpDgF@FDfy>sL?mnv;a*83m3U??_eFvP$JE~*15 z!38txz|v7Rnv{O9LP@MD1tP<4yev7 zpFfTf+N9z-`}r03!R})NZic068x=PCxd*5HX?}w9Zcle?*Jxu-GS+CbBy8#P+xfcO zqqtPXnY-Rjvs_19^T1oewSxdB4B^P zFL3|l_;j3o=uC1CFm*6`Fo6;nTK*tGiL1JIyB7qJc&y{csi?x8;K^<(pmW&Y#}20B ze@S#rEp+0I2NUwuqnM|^o+THDXYmZ^X%1WrEDsL9tgia}uFO}5J+1)8D!Y{w${-Wn z=6QMgP=rl#NzpsZ3A{c}%rt)bS=t;26@)30MY^QcmNjW(O-Y^nXHuaEm6A`V%GKe4 zl8=z&ph4R4uOAWtB%dZ_kq>=PAEaZZ6~}xo5NS7Gr*0^YF)R?dq2v=dG%4$-PHx1t zkNt<hkq!|QevXuxAoa=Urg{@|}pf>krrWWaRLF@`O%&6$)SdvjJmGS@~ zq_Kxl1k7ctY$1l^D}cH$2`X8UUB7U(8IJ~*oQD5u80r>=O}7$FG3M2RaTO|jL84~u zjLGPQl_`!G_U(+ebm=eC95K?+3qRAPx0|;!He6Ew;$2XH{dao*!^QtA5x4}y%ZvHu zh^yRV-u~~0H2QQ?J(sywf$~OslIcMMKOtqpaE|hnR$r0wzE-|+MsnAt$5qRzrN5kp zG&dW}v44!S*f5EfgXvA&v{?6(8WAR z;YI@omer9TkILK0`qf>BB|Vss-U$SaIgj;q80RIcphRmyiN?aO5-V1-h0LslUiEbH zHkPnGMo1cs;{o|Yjp2VFsKyW0TBus!_FJ=9uV3A|ko;@EUz$D2F~ywH4mfVW^Zzc-jelu3QX1QKhjd}b1n9!&aKa7EEEU#M)kW zJ{)A7ePaDOY#qiyO(AW5AFecbHaBfi8T^s6sb71*CwfO((fzk*WkD(rSf5vE|5r3T zNnDq`thifT=OTSm^lk)!324aL)M{WI6o;l$lhk%d{h zpGnIVOyJ1M_Ch|XRWB>jqN?oX5;I>Y-SjCq1J5Tf8A;&c2+N^0yx z*%zy-Kxg|lxOJ&!^=hEMJI{ss;}qP`u>sT{RA6EclOjzr(OwG3KHf%Ycn4<4ANkru zE;V;~dFY&m9(j>%Oi!cuM_GKr&T{hOka24I;jsD`oxGFbJ4n9#xv|C(KH~d|abe*d z*=`48xfcm`-yz22^Mekhk=r(-4?yZuPg4Kg$Pb*VeH;|IRA6ZucU&;6&7_1yCd@ze z**!Q+VK`k_$^;L*(>%4fl256l5>+c%oa#yZ>Ur*#ohR#b z%J)hS-!8#BrxG;MY9#aYZs`vu_CwN?NT;lbl6>T6@|(hOlV3jHQ@At&~UVX(iJez9mo^d*cZ9?r&m>8MWSLm>QHxti`f~1rn{(vjRRyNr+&!r}Qpamft&{$WfmQE+ zZA(k4ft|y>K8o3d?sfU8Ec@RM!sz;V)V8R-BV#Dm$?CcYxzzj@m+4>sNJzCu*&sxM{4EAx6b3RyiDm1wMaOw{3j}~ zCuYz1aHa@Rukpr*wXm{|Fi3OW_s!y6(GA1YHZi8ps+!$zx?U8P!vf}S3AJ^>BBh?J zY2Wq7##EK%M&`D$bioF>Yl3?P#=TmG1%g~cEQgm#ONvLcPj5D}}V(7I^laguG$o@#@|`Us8m0hU1D+-Y5M zugLT5Y4Vd(J;e2rpC!aBd}7BhiBS}9M_0N=#({0Jr>Jh*bKSP@xY+~tr*!J88S{A7 zF1ODAH59Ebn4HgK10@FDZ*_`%jnkYpq-MsDIkn4aVYsHgV1wHK$eS-da$n{9Y4UUG zi`^~Bu}DU|eJcVYgb%4~u>4>07#BTEkuDQq-vV2*Koa-q#RpMl%l%@H|A3~xg zrPEbLcGtQQXg`v<>SQJcp+ACf@D4d^GI#5kpLjGLTs1(4MZa(xU6&!FXqjbtvE6G}(}M_v#445xv$e zR$~0~8u;hJyBNSf_xN)(BtW(37bW{aBwgSb*#Go!KZ->XX){byCoPxM5VIzpJY>vp zbJ>)A6IUUsBWASFqmQN>zniVt=~vu*3`cB^#Sn*q+6a+a@sx2KzTO}P+ek?kb8@Vr zu{XcX84jq!F-H4DV9VDCN^pecIljY$ur96hASYb(ngc(~E?aXVxx)Euy2|x3vs8j? zID>4~?_V8s7gHq`Q+FmDm9ia`jx-tyej7J@8Ax~yS7;~@SWIPFOkEGODFJ|6UW`*- ztlUuWR{@ZrPip`G8viZ^rq=B|Q&%#2J$2ekP`Y#r1e!qh7q`H3s-u$YmF&vyKiz`M zi6(UO$aEd7y?OWwJh=PXIx@GZ^^I%pY#TbTHw5jjuU!k>NuL7g7;1tTH{3 zP-kAn({aQ>L)eyhqkfYR?124VBmfS!pOQd3AUePZSVVI-(0IjzpTUD~reqWmxyWL& zK-hsFkoy7bE4tn*D)K971uFcopX<}lyeVV-Ogwv zo)L3al&-1mYIlZkV&wZU_}&}bIB*>DZb`M3S&(`iIP^6QtuBwy2e;#?jm$!)BPw7= zeU{U*ps|z5ilc&BAkFvSh%Y5?BHy2k3%V<(`Q3zbASPVPZ*Sr}GLXe%X%_nm4Q6fsZp^+ZoKKA%OpQ(3jNO{#G)F1V!JF{5L0IZ3 zdv5Y6_v3-;ZHqOf$e zeYDaSu_+F6Hemqw;)IrYOWNLyOS~=z-coNUa=s9^>*$8|J@7`%?3xZe2(0CGzHP+| z4ThhgGwFnezc$FpNi4GTi3r!q$>~ukwxndz5s{T%cxNUO=%6~rq){WFQGfAlAIaJW`>&eSFE&w9X?h)IW(Nhc^=E962+v&fQ1qh?$@su7aBsUa)* zcx-u+8nlVtx-i=A7ca*`x#~P?x#yAmkF)5cOUBl%VjKM;=qe6ixAs38wy^5cYHRgP zTs#uY4|kvTSFdu$-*npbjmdRtk<0Anl7*zu%j_CR9EUGjAK)HMg=_F ze0wF=vIT9&=ptGS>Ey!Me{~j1cfYVy+a~nFyB>u?Wf(CAo?A6O!ALm5F%!G(X@ZXm zEc#mdN0b#>n^Xqf>$jbUamS~|HfVXUks6o>cMZ~UC7L?ZscoYwWs3<^n<+SY*=xIC z?{$wKwgilCOm{AqKX-gg9NP1onE-mE>i^~5xu=FALyNDHkjU#@Uqy|u6_ zc8p*cuC-*eHP6qVZK84krsb+XX+*NyIAC{0OjkUI<@jx5Cu}Me*G1NbFM3YiYoU_s z$!$#<6{?O@rDE_lM2(~;A*JGtod9(I*I07w+_1gl7b~0rZD?Y8oyI zvIgnyr#8G*hq=C(!|WB2x(ZqYMFt(ri{<7oMpC)L)gtxPp2LZBZiD_vbd`u|comx~ zy}?pA$CIR{i37(j7}Qh6CYa+@yK4umM$Tjewnw%$X2_1iEg3R6s$UwM58F^{d3~$C z7ilxJ>Ex}Db$Ew4L1vh`^i)f0clyjB_uV&r*X~o@-^pwu3*X%j?U%Avv&dJ~x=wnF zh1WQfBVMo`6xqDKz7mhzX4yFFo2Shy-Su*6GixW`SHO@9zUJ+ml6rVZIK(5J{uMl1`gWw}`QQ=WT;Rd+pgp(|#UYU+eP#I?T@nT;2X zYl5VIMO1R_nES2{b7afw_?|;9nA~FZ49PC-ei>!rO9Hc-52|0zRS%0lMzQP@T>xo65qq1~+v7`kpD%N(($I#9%+q?(S@O<9_+va-Xn@%gC zlF%+sk*5%B-*}o_IBm}wriNP_t)Z`$E9|%cE30?Ehn@SBBlygdgwJH(#Ot)X{#B#| zu^D;x_FBJNW=VT0pO4L6r|1^B#yPs(LL>1%pM@jsN zeLS2o0c~OoxM^{rn6uhX?ND4(;6J|-q0NM4i6-uJDy`q|^5E?9Sl4yRlyJ)2nc!2& z=2JP+NH3)KLewt{tqO*yQ4FeQu&X4ptGKocX|@YZq3>z{pdVDH{j=ag7U)p|0Em11 z4Z8dduIzv*k5T6Ol??YwG0r8>U09Fsp}rh(lHk*n;H&L#*4(vsg~-0)>DCfqj(HyU zla14%YjF0A_+4wDq2gz0UhsKREq7EKxHzl+g~e#Y5KEEc;3eUkQ=N8%6XADZlMM=J z42lUukO77XlFHPB5b71<(gBM`{WXahoC|9FB6Q4}0%}3*HGrNM1QeuM5dhy2NdmvF1` z-7V6A<@Ge#Y;j?N^!!spZAl z`$yXkAq^AnF)$ttgFW+%5T`dDJy9WiMgt)gbt^yF$~!w{#mEShpbwFt&mQf|9+hW! z=FIR62P1>zPwc)&Uup0J2rqem)&sVZ*$Dt1eOo}4^;byZ=x8A6g)l=4yNZ-WFo$uxZ=PwAcyCOr4Wu#1Gq7UNnn9cwj|iL`*K8wxF_nM*}k%0wC%Bx6e&A3X5wjpXBBzMq0!<&*C*VmF$M zO;2SW*u}=kL(PK7H_fd^(&mHmab6gqQ5734Ae&xsP{XuwHcAaqb#*|wa(W=L5*Gbo z5+m}m`?W#jz(LIt%=J#kgv%Hno*yv$ys2+_RBUbgXZZ$Ws2+}Fsk#HKIgd_B1_TNw z^XgS>05hS0+q$d zhjkFPfu7aJuJ>HQ%&RD7;hC7bxdr`5$dVcZLc=JJ`8kIs3qrF#nF+jJx&foq9xx!f zVp2SoO88=AJiP_|o}4A(HYJmJ1>s#F6!Es8UqUWFzL?XGTGdc}^$u-49%X zzX$dm)#snFSXjzM{vI}HbttiIb>sCu*V(n_wfZVWW7TV%^okBsyG&7jJQmahC8D=a zvbf~|PKwW?jBsU4xbdMk{zZlHa$fen2D>J28h4E+T0y^gLNH=hM8^oQd90@h`Jb4DUhad5AgM*#FHzN9=G9feRA7iXilAq-iGK+NerQ(hxG ztnR?y2Aw=;Z)_#$XNqw4*;)dVO_lS`z)`W>PDIynA!Xlv@F&q71;zcgy5M}q4G2Vy zh?`75jY=>;B&cG4TuE|~qB-g^MiMCiI_M1^ee2*tQ7He>>M1KQZqDNdLVbmJ0~W-| z1*4-Lg$ZW6GjO(OxO1C2Mt~{Lp5Z7Tl6KnOt05btv$&G9TTj#a1d2ycUTc_O00w<9 z>08U_6LL8E?yt#RTtj8;9o4o3_4e%e#q+a+s#r=XhV=6K^+o6N!H+2L=L>tQPasBqwO5aOlfN?FJeVXu`+!|`GhlB1xcLr47jg^U zdgp%jZXh`2otCk14%h*i2@&Wel@VV&ga?q0`CQ2W4ifa}Ybk^Z*;$k3jNSGR)Dj2? zz1jwSi->MXQ{I-Q9Jnt{$t+E|p{v@fpxV1!sM;%`+Pl-pu+nU{uwI`NtCN(Qsl2#OcYZ0t0)&E|*te)O%fdzbhq^C@L(EmQQX4j_L2a~cEF@_Y18bjz!AoLso z2>`B$E1cZc2LLet!19BiO$R@(B)0+LFBoY459yVDb8_1Sa0EODU;^1ThHQ63=ewZu zQH1XV&3~|&|M-5Tai!FADI?`_zsKc%UP-7|R43t4Eg+FSgVFkH+V1zh#n5Nx)9YLf z$uk(z*35Xt@JH!082Q>h(k2tyD-9c{N_LC%zu0V-2W$n*({C#=9IRrq`}V$=8j$iP?27! zh_5w-+!R8d#ThVrKef%ESpv8o;t2RZVx_^)=7XQ<%pJMR9bGPoD~!w4K*-g=Z|(?) zD;!4nDU$FL30DIkI-&N)kOw{gfq4T)Mn*wSHxyeUi^WYoYQX%>-pekwV*XnfPZyC( zB_g4#X);n*^dzdQ^3Y~q2bz!YHQ}&zva*dZ+MYhN;vfk_%e8LZU+%jue(`23Q@H~+ zLVTHW_JOflw#65rIu@Cm6PBApC@bwkg0Dn^KjfsreFe)!meH3o{^)SJOECYZxJPxY zQ+13)RvP!xZitU56#%gNi{M`lT{Y6lgPb%zWuXf&!Mrz7Pe&bcKw#wPIBHl@d+Bt>VVH@j`80!Wi2||zrlyzN{b$?+4-rZTM&N|qi^nema zXB}T>9a88;+0sNr^bAlvu!f5}>Xg^I2Cw~nmI{YElpV+3BW;i-2&`aK* z^?+@5VqbQmyrr4*rBa|49dHH!u*XK__uQ}8BswWT5^^cDe7DB*eSLDfbn0o>*{EuR z>8x>vp3irt?`ya*elPT-DIcr$YI3hI39K+-G=^g}hD(&^#Fkq2YCh}L#B;_cb;b|6 zP#TLZ{Tf@!@Hcuu321~|DgkFA{a?MCfXhFd$6Y$Z{D*hohUgF1mxihuN`Jlt5k10^ zTk#bv$@p^b{aT14-zOwyTGTv$IX1E|-?qAC(e||vED6-}axA|^n~=$u9;Tm)DU(#t z_I2Ery@?x;<^f|e;g$H|s|19)MswALIFXsi@PqVF)LeRA$)Ws@hR>`>BH4x@xr)`m zi2Kj>#F1=K0b}`^AehNMBZnQ#Wg9S7THDCPE~9yTQh}yPxZRZbvNwF#(E*m`lw_L+ zf+jw)-H5xOC(!iplSTJa6}cAf$Wjg&H5ENfY+KC7XeK_9$G(FbSsb=eH)FFtScguFs2%{KQRF@``Y z>1EXDUieTWQvnI&^oI%84mvA&Fb%V|Qh<%?>T}0y%_O`pgs~sRT&P2sc1Ex99-Pp< zzqp4VzFY=3uN|be5SBkJeGw;1O3M49MQfb2+#Fp>>l(4HxM|QUC%85g4*@Qt7IBT^ z`eq{nk-+n!1u+BFTDHCD3!`Lqhv>aFpz08eTihWa9F_{+Rq1o2<4hNo4j#B4zxabx zg*tX|DRz;{w1LmG0gaFii;ztsI6^Ww;?HcrqwJ9Gb>#T7UhJZAucK41BQ~cV38!8l z^d4nL*QFs~10M8G{=hk4f9Qo=sQ#zF_(ei8NHNi#_T1D<&ckgcoX_Pc%dLpqHc#EA zy3(?(LRptrz6On?S%+0O#F;_U^G9=Z)t-Q+2ed_(&C$|ji}WAO)<4@F(0Kia`M)(@ z(pIZ`RqdOaip+#5B3e3%iB_w>Lhl@v-Pthd(n{7+zC#bMwFWvC<2t3UwQd-DmyPI@ zDloIwd6#h$PmTBQZ;F04@ww5ym2?A{cmwnpuN{bA-&`)dz8P=>*;5EO_fU{Wy0?7e zNq)%>`)APr%H=bCpMTa0U^suK=l`oH1w~XI>y+k7=|9wgp(&8AeNc%<5$)suk+5<_ zU3tF{(YpxC`+7M83P{thoB?&tTfR83@h>_haYLCjt)#RoI!qDpwKw9!Hm08ZKie52 z+Ei0kNQe}iEgASjg5v=-oSjVrC>KkHzU=J(CTeq{XnfsoY~%K|_pZKTt@&QUt>7hc zK6bp-5074g>sGHhug=x+YiPDJD0Fzxx9gKlKSzy|9Zte*2e)F}F&->4e(F?jAXHiW0t!Fz?4t`E zaa9)SGTVnhxktSrtkBU#C@Aep*sb2cpV_Vi%2#IpDnwqIng5Lhc>kvwbcyi-y47Kw z>afT{_RvChn2OO|6(jb{_RsDZ0RWaSHLjFME@h5FLE=I|iyfKms_S;SY7UD-#&)v@ zf_|h$ld}hlpse!B^LD|cuA@TBO~!qKbTx-Iz))Lfl`F3Qd`0o)&%Xqea&bKcpw!n{ zlhqu=fjXdo(m#0rL;e3RQ9F5&`)^powX!j}r6pZ07Z z_A~F*f095yt-u=OFEGg^zM}t%X(0BT5PKYy2ZSgO_%Deo9Oj=E>7Pb|@&FK5xbuTj z_XnkD|1@)|hdhTjU2G!vsRrj(x`-L>YCpJN4K>;LVwRcEvGQc3Ip+49S{v+uo52#l74{d^oD#-*j*1NA61o)uE^5*EL=a4n7$_b|NZso~*~8Dx%;q zDn;ckW0cS`vd~e7=pOE>wI{V9&fe7+Lxa`a9oRugdGKvp<>5139wR=CXS)FBj1j6f zSa=Ph%3~u2!bUtoKo_E(!)2Q=Ex*r$ ziH`i0-SViy(x^grcH&I%T`03B~q&;t+Aasw|a5}l7gusqriUUc9>f$VR@K;!T2{=X22u8%fVvt~|y z-g>N-(!+&gZtMLZ(ZhQ_jFa~u%glBVgx$yoknVt|ve1Woq48x%-fW&G(ZfY8<$=7J zn;LFu9-YX8G5R6o2Z9WZFG_$-pXiZrMJ4pJSd~oW6_*!UcSC3p_R37Vbj5>dd7KV( ze|VTrzfuKWA2Na6K{;bip+#2v6uc%VOgeMZZuDtY*Qd&4x*>goUaOC@)>?LcnM1sU z`AL%TY^w-q%4PZsute`Yq{o;`om|9?n=}Gns775k(*)k#MN+?1B7LgT(NdANj%DRFFLi6ciR+WiDx@aK$+;7DCu z9veCNNNwZWY;`(ou z$ef`{g>z`bCRLrA)K)^s*?$}>`^@RtBI8WK!qaUDR!+3Lmzn6lS}QzU zSYLUE=O9ga-5zIB@W;J_;)eI%GUtBPuNf2;(r2LwWQG^&jWB0H1%mO~8{^lx zY#0xfJ4%$moFJhGw8FjDk2w>`3NTdoJfINN1j5Elm%VEye1`kPoAK7dEFjU(wV13# zFituOipcl8wkd@uu&U(Q+H%6;d`t8BgdSC=J;o@_DSun&Vf(l{qn$1lIp+8_;W$%mR8g2MI7Q~8(XnSnaV3; zkPmg26b=gjr~RIbZ^Hgn7*5#z2xA)4WRxmO*ksfzT{fUiZWKz&DVcu@26E@vrcfe5 zCSnCo_2|i+UmoKX#~yAwyPKl>yPGR(A4ON!KKc!fsJCj&HUIK(>lvwz$g+5TD)g_y zJ<$<+P+ng9*fmlu=$onke<<_?bi=FqPrfon7J;FjT1P*>IUj`aY}X$^8ew-Y!tOas zojyz5Touv{fJv2E74q#Beq#F(04V;y!`du$wk&ml5Wz|u z!76x3{5$qT8Vi2E5i}w)cX+VVa^`cYO*U2G_qO@ady;X^m+ovUM|;hX^hm#B(;%@z zW3wv62R(KsvB*7MoaXtQNUA8;W7N z$=j`kXgP|Z^V2E_fBZ0vVqK|d?Pj&*3x+!C)T>gmIbm}CO2!$eb zmm%JVnMx$>R5{k$yW$lVM3wx(Y$+UDNEgu7n4m8*z@YiB6D@$zy#>k$2R6!Nl3)bv z1)&Xvo;pJ(XeZz|yB+n#M=*n#&7;~Ym`5Vc^%rInt$p0~)$#U2UBvb8KPbieZPItg z`7!^%+UbK>9XYqW9cp~#L_f+x#%uXJ*c}T5ik*up$ z9D-;4;}tg6byNGs`2e_w}$(M|KEroYB&0)HZ`pl$^`~Gdk zIVbd(a8VlfZ7KY^P}LyT)_eDmoHF>nTNM@>MuH+lnwBSP0T^357zdaK9IP_eJW{mI z9Q&iozuju9Y$vdNvPg#c3>Vi~o(7W{28Mfh$_y*n@{Mi=xH1BU?T}zYD7rR|VIIy6 z)@&D~;i%2CDI%!MwYm3T;4CK?A2cQ0z+yU8Y2ByFC}_w@$6={vJ~bG>-Mj>#qB!+s%>{_zJ(9^Ri{Il*}xV*fG z7Q~=0cbTKto$(5OQXB!&@sV^a^bD+TF3KT?B+cj6=dUM>?iEV9rDHYok-lAi*1Pnq zH+q6H;u4521={IYADskD0rY%}?fMo=S|~~I7lErlnLVbVEG~bhK=w&~;h7-3wnezd zgT+mumVb8(MOwX3Dd~5tQhkI{y&&%#8}HnA$TL6rR)9jzyHtE|o z%~gGK1JF}2+f^`2nr46R5+3};A@JG#hxl*ofN9iUE@b9ebM3#mt>>Nljh*)0v)8W? zize%Q>mEtVZ==&9p2HE5r_J)eLfkA2^r_DL&V7G3NX`68lJa|%xpwCB`)dsPhym$_ zN00aX-1x71zp35l&ku3UcjhV`@0VzuFEaeZ-1#ATUUB~HTp+P*GU7TJJmXI5M4EF0 zoh{Y#w__iy*KI81Yu%|Cc&aiRUfnP6SF>w<@<3!mM$Y=q^VZjsVnU3TPBB||8M{4k zG=!(6KSld}8h_}2BadDzD1u5a@yr@z;MwE8NR|ua>5+;nniq>9y%6)6`cmT&++kOF59@O z>D*k9{@QZL(VuDvUBE_2z29e)Gqb=q*ADV1!-)s)X}ep8;UI%s(9`VJIxd(Au($lF zK^e0y&oYC^wpMOq(y)51#vDzXTC8-$(1u%EL2D=ZroGCuU9n%gNy+{3)~3JIu%caFWqfb}WdlT7#P3{dc!+9Z&!KE!gRHYaUU7Db(;> zZsc_KcNK~#Z4KGWPzQdG^P|>Zg$Cn&oX!Umy%a2sPs#OOICBzs4LvX;6k`h$CVOnJ z;OUGH2E%0Eb!;Jjf3Q`wwY&Pug58h7DE;TV3%|C1q>#JK2W{zZ>mNraQQFRF-})U8 z_U8OENiFvsJS>{dnrKqax_3|(S1w_1gxDi%WCjHfwu*Hv-#bN?F65qGMr%FFsn+54 z>RD3`VuKySWFWy!8V=vEHy&VqUfxovOv?dL(|tZ!HTmI97hG_?q03)^;IAx#f-RBpX2cG6B|+1-n45c&4ih||Z#WO}0sNVH6N)hg?XlSSE~P2}w| z*8uTtD>v}!rPjK5^;RKV2NO8H3J={e0V$vdk*xP`f&l~}GSICY@Os&DZZ~apVp2Y_ z>wr}{X&?9!b4dVm*31Z&&&&SEnuh1b-6a9xX&qlIRuQBpBK>%`gR19S)MuBbRl7_V zlL0%0k?Sk8cITC%Gc%5@JWeU&)ntqtoOaOzHDLoa{A?3+Y!hD^9;N|U=CoV-hhR^S z!-Mp?8FXr4^*NLIMpM<)39h`N8AqMWORdN@MA2JNktLB!TS8LB#8%M*c=`5u|IO8Z zIR{~q749Xt=oKjG6%0A0@Pca-;6Yl)A&T3~N34eKzLj~QmDPr@eEX_wMW_$8j$VLY z{PiWOD(<+Ct zE&urwaQ9`nS)=E5A6vQT=|B0_f44>C~Jdd2EIIhd@a`f2c`eq!DdHkKM$E zPF2w`my!uMFP9%Qm`Ua4S)GKUAdZGF?r>O+cZOX5B(Ua?eZV97)mVW)hw_s zcvu_8Sv%iHO!JH}TuOxqO@Y*C?^|4ID1EKYCS~5B`~w2wqbm5LlE^O48)-v{{I(^7 zW2|$b#hj3JbX#8aFO^}OAz%7Pc$LgWU=yY+2YW=-rdVk-TnqT1RM(A@pv!U)pF&ie zxc0?s*o#jFxy|5GVAzT2mO&9EOq^^!VkrFa7YTOtQz!y=Z@A0LF#@vjvHLKPOa*mJ zENL)(baLXJ>rYXs)`Y5>;? zp31Ia_pr(OSN+V_Z4EXWZVhxHs}kbSE>J~Z)7{ogW8OQ(mr7$rRKK+=c~qMsQFlhZ zFFz|d-tuDlLC+}qU!>`J&@;kqY#xn9jijICWpR5XN-Q*2(!zUo$3I8TI z+R7V2g1f}9#R1mZ=2*9{;=yUKW~q}&%!5L>0$IuG)ovC0k0O&!CLqQBh7V_qD|F8Y zhVkA$5iJ+}0@dNZ_yBw@1cDL!A_r(fwU+GOJ+*YHN_f^kf0tE>M`WlOy~mOx1gF~< zavLxfUTpR=oMZ)E!+iSiWwlyle0#oi)f5$*W(0hGxxETWgsvAzpqs0p2Jsr|djo-6 z+o!TlmRZ{uW_xAuNU&N~?bD1p3>Dn2_1>=7qX}rSy>%+W5C5o%yyf$x!F+&ashz|;bCo-|r+awQ3f?$DU53CLwHFe?gUfv6cC z>p*q%3M%{!izv=}j7Jz^V~4PS*X(S6NBiZp9-~}ev%1zw?ufNOS#=<;iJP#_*wZ{)nriCG8o>=J z5LB?0d!}}O)8lnisuosidFvB4_XyzwYLOs`g1R~Ked*a$H#yu{Y*4?-wvZ&VRbl@X zhh{TGEXux0uA-Ldbo#2LG;RJSS}UZVg`>S>29tG6Tx|aytN*W) zTwOw_;*@czv|h=@nSx9%>Mt7WW&J%sMo+%c>{IF}7%Ew@qG!YGMH4dnR%gCkFd-9I zcz5S5=82D!&RdR&0?G+<>H<4E3L8ggovjQJSpchKXdblgA(Qcg~d#Wc*MVc7>g z=C;OMy#I-73Dar`Iqq6lS>Va_LKM?*ndfML?Wlzeatl6-uKLwE%=AYVi=1R^gX+UvMsL!x9PTwRCLDYAi2p`KrdL zs>YW(6}!;C>x4F0<%)GVt8B=xzI5&hdLQAtz6-Vu}sqcf~PD;5SI7QVFa?Jb_ zubR|SG>wsY;fKsHOYEJW(LdiiAYS$F$%+ndZ@qVe?SCBy=RJ$em?RQ2d^+u$g%14R&j`2$_hI7XHOoyHHn{jQGvJ8m_PkYev zjG51rDy2cV7&f%`>S-nDOWSt5$~>NnWC=Tcg<_7X+pofSJ;JDBSH0tt@qKsQWL)rq z5FA=%tsOZ1PP^aQK>(7veMG9ZLFCzEkS_W<7(_GaLt105p<-|HT!fr&G^oM6`eqvQ zmDPV`rl`UMzVP;-&c}f0;z^@u5Cx2%w!e1$)z)rmL)&Qd=otLxgAQchCH!gzW_$ zx#gjlo!=#8@cLzFRn%ybKpgh0Dc#$|vxfD+(_QJ~qAmwa!C!<2R+pUFE`F$d?&0;vp_mObnRj1hc|qNw96vwW``G zrz^uTgB@a;MNIhO#|Y&!5`Uc>>i4+t6wHSmy5(#s!ujydk47zU8*iH{t;~~Ix8kDb zL*fGzR%;nf;k%wIq8RyJMuLIO^_ zZ|q5r^97Cy61H3RWnypa_e2~{qxqT)JE*D1a{tev>A^sJ$2D-p$Ad_>AQ{F zr2eYN0jIJ|TnKgeMw?*;4=#%|lGb%0wiD!06779`lSw^JE5WrLBS)`zrc6sF55n6P zK~;r3sRmMSdTP{Q0riENJzxs&-ks2C|4wGREUKrp+x5{N5uRso-(r(~)%y3mMgzt`)-PZP^%l?RQ5WuPN(3xE@i((%j}Heq{M|N2A44D=Pf=9ZLH#6( z`Jm(DvLaUURN2M&w<~!mip+#z(&Xy7ut-e@d23yVpPen)+Ya(!EWchXKR&@zO2N~` z%^x8EsyoOp1q)xkAMTYZok3e14t;_dcdDu*`bP#aH;ss8@h^>rN76x9CvZ zQ_xS@VeN3w?dbLVa87-7ZhiIFt@|KE+YzQn4A+#4jZBt>mTXUBIKsGfiSqv939KXkr}xeP&KT2 zJd_5$q-lA|+^j*Po!o-yWl_F8k#T5HXCYW}8uk>M9rhcBvF`)dD4*Exgow2GYA z3Dy=RHf#vVlJF!l!04x|)p_*j%GTjjH)htk9D?N4N{qOSaEV3;DIm&J`A`&5#Ft+5 zV1q!w`F!lMF|)zt%k-sf*Ev>Mzoem$RSyOVTp!oO$P^*h$LxS>@h=S^-yARi5aE+Fia_|WdnvagJ zgZT@wqf4?@Sxy8CF!GNG@fJXdU5}y zAalgO^NT+tm3&4T#UuzW{z+dGoqXWl-lXYNM)73a^NOL8hH4528$Bg4d`c3<=oZ50 z#$CVsqJDX0+CLbC|N6%hO^*h}kNHrTcZ|02E+X5NjOU@79qGW*>=TTcCm3d={Kll# zLxR))8?D7y#D5Lje9zoO{`j;Nfsw5%bA!ly^~IyxZG;MmuGUmuqO?DlX@Bgk`^2pK z;MKGkAaobcjwdH!4UZaxoDSF9tqJP!I85&BpFoPC9P z@ZUK=DIjizS%F*L=^yF3lNTsQm&kM;#B}e>mYy`$TT*OM#_vW9WRPgjx=T_UwGyyE znX%KcV6ke}8q}PYkza5PTG8y+2!Xq*@u#<*(IN?UEFsj8TpmcS7LqcT2_}vXB-gf& zjJh7$5sC~;nckiX4WU9~jEdmePHxk{pAXd+PphiS%Rm-SAxPsRJjuYE9wGdL02ZdR z_g(m6+I*LnXg&*)SM&xk2-D_8)DV}O_1#M{j%iUR=;K=MkD1J|MH{dt_TZ7$ImHeG zDYWSkw|aOjA#=ruTyR}m`2d#-R9^>TA4O6v({Aq)l8jw%3cZR#{*=IhD)dv@SuE=P z-6gVAqhO&cx5_!X6z`Q8_vnOCe=K$V=~N@FRO+;$5Dhtzh2hHrK&EpY^Fs{|5-Aj{ z9rAIoqS&kJ-qqk5y;LWr?T-bjt4_|>9rpRp+s;&@*jb3^*Q9YMXyLK80h9jrAYUcO zw@XDIDb?yo8As^J6LF!hz|KZ-fL%bXLQI>M;ZDBemD85wx=KVa&_W5h;*dIS;OV|7 zZs3->LEqpewdC#=Pf*A(pWw$gsD^lxXU+aQdK}=LZEN=Bg%Ie9;Y}?3xi|z)|Q3-ic`7cA6V2Y)iN0;{>F zc{OmUe^ZM6L!%;e{di~kJ@FsS6uZA42k@0*WPYgndEKxk>CFn25gFn&QnZTo!ucRv zN#V|ejG%`Nb3@P{2p$B!D2!>T0NP__W8SqZsbUyFp2)O?up%*z&NCx56=|75B+0Y! zh5;M)LvadE`zoNVah6=7lll=ob?b~TEGNMug}Pqb2xIK#xo0^^Vd%2~ftNnOc&ch`$-X zEw|iF`Cbg)&P^4HG&K|>Q=S&0RnyrZm55+c#AphCIFw>eKy$H)eSePoG&MbiXvIV*zS*vokOHsE)yYdGDtgn9*~ zcb@fVUE%YDiw@!VC+2E^OZ{+?Y_G~qhE3Us{<8vP7hU)(A+8JPN~RY`bNl+A>b z9)Dp(Zc8eLa2QNm(U~iN!(H)_jUiI3yc8&b#=Y^5w(!chI88YBR`cfXT1V0e zYV-KIIE_MPD}=O@xO-|@0IAzDZLi^xeh(BetLI#;CnagL-cS|eg4~ga9nZvm$t^lxSFQE z<*-Fp>lukbca3;6v~LVN^@Or}ok{XsV5lF!9=_~q{gcDG54@<5W}t9c)HyG7;e4%>{TKy2TJ09E*z}wv!}#=4L-4)2E_FrNs&AY3)2t?|Tk-CgI{i8&}QR3*aC`zhmX^V+2z*2Ou8PWtKcZSk+X`2p`I zC$^v?v$>X2+5y?+3!}ij*>NE~UE3J^q|Kr~4{Ouw$6hiJV}Z(4pmpm1F!TpBS&c-8 zRQobQBwQw%qFuW78zoa@a$9TeVlNXF#5zC2`fYowB`S|AG>(7-0aT~KJU7E`HKJWarMWL@yB4N zj}WLBxh8)kP?*>b{Vq_!+XehyTWSjtw8mCcCyzZ*czgrxw8lirx5y^nV_NZY-%~>P zS;HWTBH<)vZ^TZr|JxqiQVR9Kz(+KX5YGq@bm;Wkyt}I2wPay(?d6}fuWiw|j7G$u z=1I*3Rol``ydG!PvtX`@f24j*4cVoPctZ#&$B!^40H(y&6%*!!+|=~|Ff9-e1BO*Y z(+nb&Q(X7OFf#TCe=|3Q?9G&ZI1<7fqnAUJO*heAj&{9bNzxf>?OoWC3S(Mvl7d=4 zb*YKdUz(S;wy)@7g0p0skQfhXY192Yazhc|Jdt<90_E=1&BQtG~!404ioztcoMsi zwQ!oyL1wHgQbpaUFG&EDZ=a1ZItR$>L5n#FLWRVkhu}xnpZA$00X$=2XAqL1yO<%C0dIS}ze0jiN zZIq8=Ch~jPhrDiEMF5r~79z_L{Z}c2in#1h%jLnyn4O z941vK47|Q3RcqdbHE)r0y!?ShqoIP`ME%E4H!CpD;lInRu|pE0HBq;Z+IMd>Q6F~y zUXgkgP~^|*28L7vYX%bL0KbM_07uo#LBoj zx3e?1Ge>$OYSE{l`?&u^;Jwx*eytm*`Ua#+(`^UFeytahXvjnRG#AI7fvh{O{NYM- zj*HE%F=nyMO0Vm#$5{mJXrQIJUb5=nUAfxct?88JhLpa{r3)(#`&k@DUYg6zU#7Nt zTxdoBu^nNIIYvNcSP<CwJ(z$$YhSw{;dGq1@LT8y0Xs_cd^@*VxO$-O%#sCCbWIH(NHLW;nP@sN|k-m zlm+>2Nk<&fAv#%12v!9GR_Jjv_p>E@haFtQ^MEE}#*t!=ou`^SMzzfviw$l1Yq%lR z&w+%URu!V4*+I?FMa>ZP_u~Ia4RP>|aPYgS84PiLniED$PNWjRMj9XW$KC_O#VDDO zt6iuDY&V6o-jyzqt7##tV&2^BLA5sNr|i7f)P_P>DnRdQ8^SuHh2 z3O;j@Su@mC(Go0?Cw*$5YdOzTFNiO$?`Ou{XUu%A`FT~i_opjUl<2GMAKe?P*62G! z^&3YHoT<)tb4M~fX&P8^h1}1{WYN~vtqaRKr4)FdJ3XXXQu;DP{Z2o=C3ctl;xo6) z=Ng`0bvy$ckJ*fwp`j5v$VOl4H}T!u^@Ge6n1goum9xvvhP5wcIegA=2CqtfZR;&6 zJKtSR*%rS2^tiU5V}FTePFdtq(8L`aPZm3%D)FD&@lo^q+lXRZ97<>CRl?bn%c4@fcLA&frA1R zBKc@4xlLb9=C3bW>XQK8sasMI&+ftzB}oQCdgvL z+*E|U0^Bp+N}8Et_oVG(kA-MV+_9bKJ4g5jVS6ZxS=CJHu*8RtHBjohpk636 z)pDnv1W;LJ&xT2>Kk6K*3s;^NJDvoWyJ{t655(1F3@i;`BRTh!y%%EbZ61$}87L^^%Le zmY*R!&cZP<%YqK*ec`DY7H)xEr`;W0AGF+%8kW7$xNTl}xa-}#k9oQBZq;uO9P;6P z>+Iq2XYHNuRh60N()KOsSj#Q%ggeo-WzDsyVozqv1$4zo2e9sV7uKuU8%8owZ4a#C zV|RE~cagMxfNH&~7xSKlJ2{a%%>g>!jM*sgJ6Zc`CnlDvnn6r5o2vFH8d8%a{h&Vc zBlF+D>Q5+noBPk`Gt(s?W0gen=T++4!V)&4%5`v?uLd4W=*HMlnv8-rYslC zLau#ZESLpw?UcMT(aVO%axRI?)+QH#@^*9s_#4S(866Y}b`z{8`dxa}ai8#123(bO zgXdHCj#6pZ@2bb)L;p(%Nf?-w4D1M&>UXD)rmMS+D)S(vd2%UGoKWp{= zL9@9YzUvgaktHZ%rnlj^sQ9EH%s~5Aq?vZ7Gt*+XtT1UHF zVu{NAePN6uLG~)~I!cA+>#m(B?Q%c`|L2Y(MdUP;MVZ&t`F*s@Q*@T^nS98nv8h~f zf|?4qD+8LAZ46!mZ<{^#K6?s|KV3*3ujJFdmz^3TvlV-mOp0q98DP){znDRIG4w zu)Q86D572+Pj|3nea&Hdu@AiAwxvZ_N1;pG5f^cdM}msKcrane&7>qD2G)@{Nrobi zDKk|VcDM!wyeJf!V`sRoWr4}G?{dU4jiNr^htG+l$+e#0I$uMq2(M(eQMzPyUL7E1 zlAg9Y$JLn8SZF>&hFY)jXCf1Q(T8}vFCPsRlKmE?_KM7>R8QEeQm<8UxBjb9x6!?Q zl05N|K6qjZaj@}zh`^&_|7r%t0{Y%BJ?QdvljY}o*xVal61VG!>M6L@kY5mjId~b1~j?buan|6af=LY&*yRPN) zRXl}#{t7}^MN|CZ2+*z!ry8~7XV(3auE5WjNH^iw*r0cFK^NcuaB-fL-A-oY`e$sx zshk-UM)#!BL^SDf6gT*a<%TeWN(0dCmPlN^yX-0R*y4EUNqNK^rVyhJ5>JrlT+x*~ z)Qt=^Yht(6=b0=M2$3i6?rpcPcgnQ4W@Df`j4nF-DmECvE{PTn@BUrxJj!I*0=mNj z`e8_-3~-%qETT6w!3I{p{bZgi#BMHty08!ztv2Hi;4CQ~1F=`F2?m@Q)gFpGmh>wP zWk#ZqO_a&i#c-n546AYu$OK9+5-aZFjgW{{to0i#t!@T4N&+!jftiq+(JY0daOC3@ z4OFAU)7owDc~ft zbuz@8Kq&o`ZerKQX5152(YOVuNXsm+IaV}0-`YFnX}Zzt9B)aq+uEb{_{QV$Ep*W~ zYSA{gAuX>VZF6sZ^S?x!|61R)k?I?nQ#f{UI(ETnS`fG60?Gf81C%0Z$0cRQH8MB& zk93=hqdZ9Krq2l#V1A3X_R9QZN0|hKa-x%UCmSIQSCjgc8;zcX4}sopF$NB;mv-I5 ztluBWakd4JS&d7HRf4HT_?wwz?Rv~lrvVhZWjXpTK#61`CP4u#$@>VP>eFTkFgS1s za``5i)zGCA2!$(8d<&Mg96(wy6o(FRo@TPV<;i+U%1+SZnHVZ|jgMf_E}RM%PBkI3 zP(_O4>=zztQiJw%;3M#08Ia-V-0))QlbtpD)PJ#+MrnHDCWK11gO0ZijtnI(1`Al@ zi{LpU>xFtY&(MZF{b4&!=jN>WR6dqCY!c4u3B!&@gGf8&#byFO$#3%>Nn^$R#D9-i@uL}wqU;13EFzK(U^)AM4jyv7luU3(3BR3e`O#IthV z!Z4h#K;f(EECapaf4Wl=ACSqy1M>$Ri)vY1Wz)cq)_wx#+G~rNd0Lw!zJkcFV>}1# z8Pq{d8X&$uWBtBU&4=c9y+&STcX3A9jFoEZ|3M{Qd)Wagu!wPuw_$QwCvjF@JlJFbW z=|*=(8@J~~Mm>xG+xHiKZ7@#I{G;t!0*DsE!o+K+>`282pxm*iCnKA7YQ&3SCJOw? z%<$#lK#*Mo@Q)v!7=}kMHrIIEijm-ibO#LoPC47}yqddZB*6*jejMEWc!i~Hg+;_o z-rh|flLUw8zeNXO6OpV+^YBw6N28!eqqH0OAExO4I|nG`FlG5Lg^Zt?`yc5pKYX&P zy_nQX{YXD?H9IU?_tz#_!Tmt?=2q~0kvPQQZc-3xObQvgrxAdf{F@qUEo$@epO})0 z+gS)))v@nYc*32030@?3EXox#hLUdoly2nqdHt;W?7hQf5>r%ecwSz3-saEE&7UtD zxp^D8!faK=A)YI}0Pa z3L~Nv2*3rPd&Gah_)H~eG$X-GU&)${S6g~nFzk?WOcETr^pjbJTOJq|vC-p+(BlK( zl)-Sy73SWR{}OFL1EQl6;0AH_DZlJfD2b?1f@OmKl>?Ll36?<*mT5PL`$syS=3Qfo z8|K#m{CZPr6;mAQI5K7*jm?brkAI8~v9S^$4286Ph+U3=M&ujTuvRNubrc{Y*nXg& z(x0Av;Q=Mg#KHl zeG+1{gw>Y#XKf*!OKLWhVlQh|`pT1t)+?N1uRYc!`2UdlfRsEc=u)$R6np=nAT#{m z`B$XBfdC@^P5InqB`Z6^tE76riI;?`N%fhUW%lsCGiN@u-A(Y7fu34LZ5}v9-3SaR zw{-HjtPp*J-_w~DA}wwy7j7y1p;+Rf*gz*^ny@DAbUoMId&8p_#VURL|7CGTS^iEEVi{D3id;B9FI8%P6`@SeVXhH31|x@*x zTvRaaa|~5Zl0s{K`I+C$CLnaf6FT7u#2Bjh7^*J(@>+kxj9bd*RfE2t2D!1u5tBFr zC`PrJKQJUxS~7jipW%Lf+PAUm|0hZJaOrNR<=X6S&uT1tQAh0|w&z%oN+Kt0oGb)U znt@FC{3)~#>05md2HlYyDeJF-RMDR~22I7D}i-$2k=41hr zityfxM*%4wL%^}$mJXJu&z#_o1wK(o4Sah0B6aly*mr|ps%Tlz!GiF+B~&Si^SQUk zq7tTZ^G|-D3Ifx_r=sT5c!I{XB&1brb;Ipy&* zN-iD_KdoqD-)zFhW!pyshz!f#G;}$%gv{heJzB z+DX++cn5glohAgHePYk(wjn)n4;m0(Ok*eJP(wTw5GzpN4c(9YfB{a@j50^*SIR>> z4Pndjr*scqLlaPn7THb{o_9Nt<;x{pSq1#Q-xK^DYF&qPBnOWBc!LVOT&+4^{E4f( z{+Qcxz!F)>wv>t85?va-+76v(g-rIJoX)*6zhcp>G@d$0B%_5@c({(|wn;Yeed{FL zMU;prVInc0L{xtctc(tPY?`E%)e8D1vf!MUKaoAyOD|6SJR!33Tn(O9I_FcqK!tj` ziX8Ll=b{ObY`f!6q#X^}p;J28*!36pwa?+HN9EMRgf3dn)*K15;fx=FrH^Cr34&6D z-+2(6jC$)~p2Z(%}w$6~p2_MS}hCaDH@1 z#?ii+=#Ls8z;q@nf+*Z7TaK$j2Jn zIJL(Iafq|7UKTJsV_n;pR(Hy24HX8~#@3`hP5UgC-Khhb4h6ogCVThG&vMUA2CuQj=#B zpZWgMu|dZ(ns1*&?YR2-WP+BKnP#?bvDzEAM{c2zbi*=6(bli^@ioy^-7*dFWf+(- zA(409^AsFe(ypyolih30Y++K-RTC=L*=3te>C2T*Jq9pbeB)S10t7pA=G!lO_J?hK zWeqVueT|(l$f@B2YlvxIj|DpIQrQc%?Wdc6D!;WSr zga}H+8A1d*#j_q59Of+Fn;$b*3wT2qP`-X)@oVwoPrP0WFsR&O1x`tibLsLPXC9^v z0zbi4`}^lFmCkx;&OFiT*^PCi_$l=H#smCr0&0fL4K`@;Lw*huW;guI7FMWR7EU!? z`v*nJV09`3^{u<2J?~VT4d>%#ci%9+gGOC)6qV7#CYRCrCsF-T-=64_Q0{%|Yc1RT zobiV&(d$(D`ilR-(4yQGgW|sD*@1p2E{Z=HqJPwz#E3VEM3r;|m2^CM>a2R|j^9g- z|AzeUrN7+NdqMbJitVP}bP~2O{G?aos|eSvAhk-puA&e6h+vFLOZmqrxcsr3BG_F^Wlz zv`)sm{-D6aAEUcg{0nv&&1V*Bx*_`NRITu+l5qWPUWWnG$2BuqdnwC_=_Zq-EE{EJ z>(BXA;j)BmI?;s$hSPk-PY0@!EiNg72#?mP4cgQ)7Tkgq35Lx=dGC7c2!{0|%$U2_ zpsNH#s{|3hA;$b^S)DSRf@BRQ z=vI|F$Wa}P3!Ak&{i(CL_j~fW`+0|+WOA{(z|Et|bb_s^uqzD+yBW-GH!LTihI)P= z)t=3&U7-Sn%S_?RM~;>CauhBQozAghIvJ4m|4i_rzR)oLO_>=kMU#Ib1>3typEae( zGS)P)lh88OGO?4x+yS9eSE5ok%V5ixHKn!!_XYRda8x`UbUfYGDc4UTrFNVnHMlzQ zWP&>lMFqicIy3ARBz^H`<8tTVAq*ys$msZn>ZMCO^+-?S3YMpqc3#FCT2*z7*=9q6 z#QIn^pF@uYz0!LP7G^kuquEBvua?$h9H}b?%Z)kB9*LLO&ZqajPvjoVXJfIe>YYOS z#MW!a+`Hj&mb35+_U+f@*egTo;5NN%u^(b#6-i%^EuS60F~ zr>e=#tdR%kBMiy0a#HSw+qXAUR^4y+AJm$3%r135EPF_)o*SG;Tve*CQQ*Nni%ySg z+Mp)H8|vyv?;;n;3|3YUUrd?QQ!abLtX?iqqqA*&QBh2s;)lCHFb}6$8CoX!DXlV4 zs^+=FHe>{swhi?WK8T1}3eifm6mSTtQ?)FT{P<(wMC6ogG`5In#<>+t%6^x)=$UP%y?tA^M$P9>n}1JU#Wg--$9eB zn9xpR6{9U7U2Gg5*|%$i&FeJ6L}nMw4|epz8H}O}S`^LelNPJl%5OL@&t)9O6u0wr z`c!6ZL{%+gjIAaJ1fLePE90QQKggLZt$Vj{lQAm~*(RC8@?ok5!(lHQnxskj$qcKE zH)~GNX(wFI(@@>gDr@1Bg>7M;zJ@1D?UnsL$@9DjEgN+U(s5zgYTi|FgbETBoyOd) z^%+cf54=MjM?TCp!T0P|b(`df>)s1|H#0wm#Y;OD_nCR@y*M;(8odRIK4Gv57U2{t z`ePMCWhJ0T0~!{4+5Ab9$m5sIrp8eOrgck({nA;>Hy_nY1k4G@vZ>Sv*`O#Ez)dQ7 zNGYt(O5@c><-}swIX4-r@9#a6SQC061+AHpoXKC<3*x^O2FF=K%9R#E$mG;fYVibu zwGvS|jzyI6QgYOeMl?%V7_gkf2qyVO!&wo^USxZQsXtA~jWs;gc6lbJ24SF>)MNSa z#2QTdsM_ivt%o#A`OWUuMSk-QdmV+M?zHa<63aS(5yvzbQ0&|vK&wuH|W7W{>~$98&oh1!+#*RQM0GM1fkGiGY4k-xf6 zHb1#UzX-mwzc~{+y^+lsZu`t}62@_Dd!sIdca|{--EE0%xm|EOA9j8G>1#>zdX0cz z%gxl?wOkSRaeT4S7J;K2YNVWIr{0Z1A|ys9_v@#s9x6w*9@MFFK+C9cb37Mx zIemL9bW6AEd6OTzoz#Bgw>f^X`lv#}S2Y+Xk_$a=irqeEg z=`NKf;yb3>(Iq^okEyio^$% zP-kUWit&(%^FEr^Q9o2r-X+vA;P-0EF@0GF4x*&aQjrTJD$&Z-Sb|NQX`(JoB==HP z2Mwyd1E2ZZ5MN29X~TG(K5cn(YRI5zA{r|84WSL}hj!FhMi$hH6dO>6fWj;vb($!(d;?e}D7*YS1!x4udJ z3+UnV>ViADZlSe8;kRe3cTE?=ab~aG0{0D>6un+?eQaAyH8A-jAGE=Krqo@(Mz-mC z6E#Qlie}j*t-);X#zX}kbBS#%Nf9*tY!I^sy8i$^ck@mq9#^V#z$|0!HrFdMcIG%$ zy`=uvlE$1>(22GU>8H-uo|6nLCPjK$Q#;yS^DNxYK4K+iL)n$2yRi!kq(-kM=s8$r~!*cfXz0?T$;;2N#7V^u$DQrl=m7 zaaE9c5fY1=LT{>>J8)<;SXym^@TP|n2aes+N5iwge~37`;pK-bn3Qr@K1sK9co_?xAZl9h|x0v)+**o^Ma3i8B(+mYQQI%f&&gP!me{+B^@ifq=p zYzf+33TzAxDbm!MM<$jWa1G&uFamC=mjzI6Da~BNSDO>({kXhi0+Opqf@brXv?X3P z^XoyDLfZ|<=#x;nIqgiR`Dw}577$NLW*zy^cj)UOFdiRU=I+Dld2LkzGxDUGMh;dP z)n}&JYFI%@hUW~>!mtGu&xMi39>PCiZ80HH1|eO{;@!;RM$lXkSRf-HK!Y}+LFN!K z_MjJZa7rR5CU>f75IZKWluJIRBGpYz(pGlq}@}72=_7=O~@p`T82UZCas7HP~oFvg|2| z=Jw#eTpR}OO{run`XF*0B!)qCuAK7O4c$6k6=YxX5L;F|jJ+_!J~xCs6HY~LS_RBGA~PP)@DT<6#KI0;23 zz4sYAjH-K`L7uaQ*$+(x%tj_7?66K0>sT-yDee9=9&>tyg5{rq#-PZe*{Z*Q2SbF^ z!wg>r%Bh3ph>!!!TsgkDFc(d@+6!xCT*IIy*d_SzgxjB>mXX&!KLh*WG90*aB*Zo6 zZ{FEz1^D!l4Sh4Bj&(BqI09BXghgcyuX?at@u50>U83hytJW#)4r@!q0#iX!hkw5I zBP5`)yp6IKiUKo1!CcSIgRiFN<#;EbW*8?{H~;WX-(OJtkq*;JEbT7(B5wN1%?hyF zbR3t~5|VU5D)c2EOqFuW;|Wql_DUK)ipVJmvBET{?)hLfa<)yI;+tWq6_+9*l;J4e zo65VbyK}p{sbsjl)gZ3vss9hBhmU(4m}mIEROJgV;C(BY?KO_uHoIKW%E|D3`h=6Z zhHgT15S{3JKsZcx;KZ0RX}VVi9;2C9`a+)DK4uSgw+p+=;#Ksj7xz_uibFjFTHhz#1I6@3x;tlrLaIUkX~k7)pnD@nh=%4v?{!vUB>ya;jZ(|+?j`z@7ea)C>?Bj*X$G# zqu+^fcSZYVbXSg*_tN+u9UPWjZAFV7-SOV&UQ%~Zq;uS8k-j;(EdA~JZota*eH@-6 zkqTcm$%aHk9!qN`*4L~t-Ot}y(ZE!A67l|?52}lJPk?^?Qlp?0t)+*MKCB`YzVe)0 z&d|ig)Ss$tgt@b7(tbdm#rk0t0+^=;4Xbf$=+xOd?L!CjexxaRT1fd-2WzpVCXC?6 z-cA;y>;c`vq#HQx3P@+2h@1XkIoIUO26-l;pgCQj8tqB(acF1NX))V?66#41K~jj# zFvJSurWjiP_%udKPnK_J;C^{|cWZE8Ma?^1n?ruw77|VO8;fi8cJIrT%bhQ->_!4w zUhXF+OZ#^>-8+?eeG$HVTdo^k>q0{A6w)O2Nnx!zMtCp`8>!?y!X?Ti%1H93SI9=XU zcvdKUEtEdMIXNJHd$-7mu%9_C^#vzS$J8pVPl{nK#7G4~=hUI*!NG3-#%WNO zgySsPufNPe71hS-8!6T|X_A^`Q&+3H`1og9k)Ng)UX9jIDa%#^nR#vptZrw)A6zJH z$7{Wj^-mw2ga!{NVhl)Ip5~fr8oqQF44+xh+Z(rJ$j%HC2_-w}e;Mk{&?}hF?P_l7 zY@0?cn{MzE|1OY?udg;dd{4!p2iG+K@bb}Ln65g&{-RS(T!BR%H`ggVP`q)(W zqc&`~ye7s{WJ=R|QAl{}DIHrv`yQBx$!$Yf;j^nQ>@^eE4bje}Nzn3o%l_2*m0&{C z6CC-Ljt9-Vw48$D^C%ex6iU@oyECddT}ZenFQr)?vB1q&!h7 zP9$!#&fIxp&wz3E%rtJxD*_#@Id&bu>>WO*F10BZyxP7vdnZLw>(fNEbK#Iv)u$sCQSou~#jsY~hd+8C$(!x?R84nKy$REN0o&?G?F*Z%8}g%_OH{so6u> z7EjOX3C_hi;`yD*OS>D(8JEuT%ZBq3%Ip%Fhm3PH@gE8o8Q+AzQH{UszIikiW_e0z z*T*Vs8=AEJS)f6N>?_r*YtGIA4<<*Miu!`ACW11UI27zq`p>P9ROV6>*kRZWCxLI+ zif`(htt^o$sw!mO*36{Zmr=*lDGz>$dvU7mEmOc!rs8~XUAp$3fL)B%8gPHF5_mmy zDmj6aBFx@udnn9V~Bu7?L-15DCeJ0};&mp_q0# zSS)ZzEvo;&h0iP6{&azCQ(K+yws(0qa%~JI$FHu6sVS(F-%NC^8cV{ z)u)Jrx|h_ODqVe7(_u3Y1hi%(X*q!C`uF*!Yk2JAoT+VzmohbpCp)Dp>_hi*3(a7* z23dk6+n^{Yy+AzE5VbLxVM z(UkqY{G%qvAGBRYAT1JZg+%3lX^B+#A9p(kUoCQGteX0(IfdCN zN(eFv2$GQFVTPJVbzTz?orl_LEY>4b&H;KqEzf-B^X_(+Fz!rRq;A-#9rv7NOl_`FVH(~LSfAYwzb3GZ7|X~LbUS;gpX zQmO|hjx_n#ji8Dl+G2I^R`P_BHGqh}dK0Q|@jO4p*rh?4Z%F*644P1X(BgZuujhpS zF8b95O*}rv8O>e^4Wphh71rXxa(=&n!qf-k7zvI&heC=;>o3xxD)5Exnd4(vq$hm) z*0y8BVq#-?D@d<_Gxjv3Y`_cj_^7i*Dx!a0gDHcmUuXs$YHc8h~tr>4> zvigR7Q+;p8;z((_z2>ak-QKb%{`vhYR^JuY?OPq z$j+}67^wZsIh2=YU0tJpsgD67r4%Z5kfESC+aZgYwNW$uo5#tVg)xz| z7pf@gB(uj2aYlMbT2!`;@Ga;224TOf-A%0sKw;>F@TSTd*JJZUq#BYxDfgCp;Gpn` znyOcy(j?9Z9f>Mw47ARgs=I?niS1=|f?d4P;_c8M#6Lh9d@Gnq#Fm&1lKEReg-dDL zP{P(OM{*dX7<=sWa9Ky~!K^$X>Ho){QK{z^%XD+TX6 zVc;Tz&~L_2_S%v8DZ1&!ynfQ(&r4OB&gy_UaObC@>-X!vIWV(c3(&-R6`n{af1K(Pjd==V3MAihgvo=^CkF6;PyPx zfeV{y2xT9RG4rdQ|H(8!P9VaW$mo?#aK?%!a$-IVcVq_DB9PMCQG*_#A9UY}WWraU z)7~4%ove?UyxD3G+8*pI#EoaNrfv5!sxH}#lL^aA&)@8&l-R)j==ThXL+Vj8Uflz> z1YXd4IAW%16hX-Zf$II>V{~K^*mUkYKTA-=ES<=TKt@82LZ z=+gUfFAfB@IVup$>g7!9<%X=22mXd}>trBMew>NYjwjekl!*!zU~}#P??SKMSsB&5LX%hoVPDM&-yHx%{!*t+wq5Yi@NsH!V{qLzI|RSum2I#_5S;4I*OYaU=OxG z=9K1uVHRBswC$FOZ&xTCQ&Mtn764?6M#QgTG^khP7Hg-06Ft7cfr7;0)?-xR0u85lXEw6iVT zEZv>YRbqTN#V9r~2`747`=;7sxzf8HHM=S7|03-@;NkkVzVTE-COR3>B6hf%qjl{hno1>Vva;_PpS z&S7kKc0cIuUVPuUd4ax^eQ>J!`$b8lrhI-fo**(Voy^Qx4WghJ8ULDch?a{5ZhN&? z6jSmrqyL>_<&mg?H5+gRsdGkw!LY~G(OeKqw`9AclghO13A6l&!xab~bRiK)B|3UREV`Jl#m`I-ov3g4 zp`z?%W$P!b$%FNU9o?(Z4?w7^lHrIGjMjcs*+6i+!57V%B`o{3maPkdIu=yue7_)0 z%!;Qj%Yjqb>far5|GM#0OK|35`y#TyN4INBqP*FjUVU&S_gW#{9*>Q5H1C*NW;M69 zB*FvTb4xVje1yC1l75%fRl$Wj@ccvFb%%~$x|H2zhx?j>DF|{#cLV?Q02R1Co!>IFPJV_vluh;7FiT65=5-q-LlX-2~mp^eVeDL_X=}Wy7id~1J z1zShNX|k9{)e}Y~#gpEFT>`t0FLRE_sVJ>tAdv}{<>onYZRK$T{>e&B-`!(@8-fi= z-SZWM=ST_K%K0v{38rLG##{qUzp>^`&aO1_bFFfIAtts+_CAUPPAOX^%-mP-W9&6d zN;m@4MzpXchOGRMv3zZoOm6JDqd=IbGBZ{JKlP1FtzRwAPP_d5VCm5EuQMY2zLu~k zVY~3n@(LYrF$fUif8=h-*ML9I#e}>dtNMskxno_5RPh`@V$#S}ipdg-#_p6$VMgwP^1o6!NnXc2-uHbZJ5p|5I2U52wt zx;X7zedVf|=`)HT9BD1iMLrA$;$8$+c^|-EZ9XomfZ@NK_d(+^oE3JLd>CR)_cp`^ zr-%rw1@z(THT<&;W3@k;jVY1EgKsr%fjfiOpv63w|DRvT%anp?hRKl)Jhg4QJ)d4| zR>AMNqTs&qML zN?_p5K7pLjI6<&R&xfRqbw}relpC9YjbeYC5@Ge3o`IQ3bQAQ%AKhd-6th`e0yrX{ zJn}#t@kXcKD3kik8&#D(X|Yv41Vu2)nm!fJSqoT=y!{Axev9aJ&;3hB_=wE8e&Dql zJS12;;nSaJ09eFKrCK$LT5?xkGg((z8{FP}hbp*=pPAXe8f#rke|~QaP7vLV8nxMD z9&D&>9%(M5RO+x)h@EW6#j~Rc|L0X+MI~92Hp1oNeDZ(|oa=6ZOc;37Sf|RGlId4k zB%1`N<=(?;1%KPaYOUqbk?V6ci8{y8F2k?Nfsu$EK^nNqQ9Z~t@yOfU4spL1hZD`B zxnR7lUsGFqOIMyfJ?@c>WMN@xkM3t~kB%mtW23yy!T;FvE^~lBQ>E3f!*RxTD%-4r z^W9+E@Oul%BuKpNz{9#(g10r-3zolczk^N}|19zWBl3}LSup*)jrV#9SDpbt7p2HR zJN{*3(m9iQVIjMhBE50zYW)ay9JL%J??5&6h{Ay{cw0Ks=h3)gk~t+Vw9|3im1k*~ zi62Z{THyE$Tw1@6PUwLWIRS^22$fMHOuS84;a<0GLOS=t@ZotZ@6Z2zu&3)P$=r`9 zoZ=J5!X)=)qdOp8^yBQMGU{?m(yu&v7+;rkJ6Jrc zN0;N{AEP`{ONPxD{WRDx_H1mv>fN=(T4VH>pSmkj4XC#Rl?kVbME1PNx4YKo9^?h& zgTZn2fT~|_?gNbB&mk30@JQ=>%&|tx5UIQWkj+1>{G!&W`?oxB4@(qosAZ9m*GA8mRC!x6n&x-b&ALxGGHy41mz@;nf4xmJKvoRf z=cNAGL+>LRQ$0C(MBVXLd>miT^gyr6O<=4xrr@4aT&6rtIxXwPJULex>zyZ~3a5$n zuZ2vlz~ho@T>E(hN~f5Z?_Q;Cz?70wl8Kk1L}&VBLQ~LvHjMF3(bu3)tO05FyJAJ3 z$K8LWf~pg_#EEAchjcq?xz!rtT|gQ0YIGxjH@>MB;pcYG&+V-DyWRft*sy!gV!>TB zr@Q!Ie%7eRZ_;XLj=?BP{cL1&wP44{S2Vr4Kw>?o`*!+(02xk`ryl;}nNd7%F0>tk zx%c3Two$C4whoE@un7e=wiqgA(_A1HJSbK$U6dsOV0Vgr@_yuyq69GAgy81^B&w%vtj5BbcZN57rG-b*x=x2`t7n6 zwNGH1$6BUiYWz{#FY&G8j7Q6+d~}HiH%EU)JTf@dmsD^vVDx&Dk+p;MdpO3Y@w5}B zb6Z*#-0KauLN0um2#m#k6s^PulkXmO**r9^(FV8k0a-CQCSe_Tf%VFk3yK!L4}eK= z8jC1nKg@t2)L5~*A^3mCUM?K9?aUp2TJy-DU+!h^bq`{BHDDb7uJ~5>bT;@K5NtS= zm(or7`nQg8?)OCK8eH57%*bhiWcBvkQlfpE%CFHLrHA+Y&Ea}s!;#vr=^|oXIT2NF zesI{AR=Gi>?|6SjL>^tKao zkJs9der%yT+e@3OlBZ6s`7AM3b2qu?z3Umu;NIg+XSB=2x}yGMPtd^5WO;RlS*fIPVSyu+|0K`EQXr#k{2C)q_*Jnekg6 zigxevs49tdB|Ktm84x{pNlEuX;l($EM|wN5g%TJ~&mxKm5tMpm8K{wAQb_ zbAOv&{CM|T)cDuJFEU!by8^2x%?ncNHU#ejyR(c=+&|17M3%P+7s_tl|LN5}nn8!+ z^mTv)?OT9Hx{RD&7u-wVb6n{o>^*;;%iAb?^Vqp;B^lxK+#;Oz zAscXTL^xkC=v|Fnjw1N&&6dUOg@RywsPl#JK8W=r;busdiUaVv4D5jnhu(+;5SJjN z$qpP_5RL_zL(74J=dX<2)wb@yon9p6QWG6B1^3dQ@b9lp?&{Q2-c<3^ADgpA>tHB< zu*tn0;d@|8(;+^Te*D+wHQh?&u}^Ddh@1E4kG9{cJ99Ge;aEeloq+OebJ^7EMPB+Nb?=v8ks#XFGx7gGtBg zU0?p%P#@&Yw?E>S@yQq3QtygNwMHLnIL+lGFo+NS-gUW)vWmL#RdIO#RQD{~_`>=q z<+H>07Tw=oa5Ycg<`9Q<3^e+68;i7epja>7^-{Gpm#(`uf53>tk3VHp#lXUUcu?Bu zy3WWz*J!)oky~SHK~yJ=n<@MyvEJ6A#AUR5cYVGrxoOB8aTE4-4M01&5Ic<$#EcZb znD#I?DE7(1KE#?M{EAkr?;`JxQ`#DMI}LU|u7Z!$NXsaDj&>WfnsWp38`hlj{xpw5 zb30vc=@vcN&Ho7a!FPp(ql;22c(KT;yF}kf;)_83?YwO3?!DtvS*<-~dpQ)Vxl8X>BBN>Y{F;TdQlsi^0$3U#kPvf%_-FpqjAT*C4p|Xijx)LU-TUd1505|fJWlJ zkHi_?!uFHdY2*8XQ}MW$8xJH7(M?WLnvxp%ld?v9^snD|4C$A z;*+#WSG;E8W2eqiGI(5Lt_X_9_hE$rb_nZSPuKmlMYaQ-`oTVK`4M59d7`de0pfni zvK2}N`AaO>J9~w2d*Qt}mate{(P63X<0%hfm=5K1V&V#f^M}o=kA361b^}Mjj>Hv0 zxPkA8=e4^6tD+BfkvQeF2A*w@UD~X;^vMh#m{fh+@g!CyWAhY(Vtq(U3FCA;;Fm3# zpNk@H)?)2mTΝV8TG=!z{PUO@r&TXWed2o7M2%$>|9%wa}Kn^2{cT3Euh|5T)r% z7z}2rm;_@+g$2V~Tf$&Xup$0S>k`lwk16eXE$%8GrInZ5jG9t5?|YawJAJZ$9&)Cx zELhy6eRZrQ9=c@Vc&Mu=ZjqB(zO=Bq<0NpBlJt8Br#PserbqumjKb6A zeruxFx>0b=v?Iw+sYM@JlyPuNX&Z92RB%rxOq8OHHng;DX2cY>r$g<@*?P{s``bRl zqcXDcLAY7?3u8iGo6x1I&q?CcVUoeku?eMOHj`^ouC_RJC%4fjUyOYZ%W3pu-*Qn^ zI2Nn~Yn?YYm05|Z2Q{5i9ul^@B{kR4)?yViowWnVfZ3f1{5L(i=@vI715da( zG{VT)(d6u{_-knLv2gM+8jcDojtYd9`Qcw0sIg3~b+4m<1FN1ENo&C5i~gmhRw;$=G#6R;Q*{U1(6nD^K=n2+D_D1U(G` zM^}WC>fbl7x~PWo`jO;;XY5+uTM+hLwdy<9)z`IY%%_~@EjY?DgI zCa3EU-PMlrkvA5lh!l*yNazF*&FZeAElR0+kN^^C!kwb_kJcYi_0(wzQ_i|XDi4GA z%SrS3m45D`v-?A5zmj&vQ*_U^xmJ9kE53rD7=7r>x$w-Y@N7xvtRGP6X-?@WOV`YV zWP*ci;C9v$&zM(a3W=Y?B(4`B&dVXkiBCC{W1?-B#jyCt&bEtq0u`bs&a0arR~?7H z^_Q-(cojJ~cnNQ}Sc#n@3$;rjaVjv@|V$9RwtLRIlcI%0WMk=fm|m3#7NNn*Znli^YB2&6Tu5F`*c8VUlqdx2sYzWCiMvXj-rOrIHmC z>2>)`_i`Z_2DqLChj4}8O|1WV%X%v3ha^28Ru-QxaFiXE>dYikI%YW0{&JqRN*=4+ zs|KSt$KbJXFlQDDf|h>_IZI|?Tx8h{XpySLCP$XpN_LcimXfTlD`?@dbuwpi7DSe< z`p206rBFBd1xOL}=A(b4Y?+*i|7wZ<`yhXHt(6p6hLr5+prSW_1vU#{DUU3JPL@SB z>9l{>X*cFHd%|hPL%}OZ!3(`kNqwC%>=gw43eqZx(&T{*G-184x(h`s9-Z-GNu&wwT0k}F zw^0XGgID^KhOLia;Y{Mru9mjtWe^Zz5QryEOd?Li1}Sw1DWO`JEn1l2$Y?;6rC$Jr zjm(9Om`U3@OWX1>2naI>BoI^4obWaUVeq6mnW3eEpml|Tf(2R{XWTLaTBKn*NyaV6 zm&BoUZi2Jyrf_us>qe-MP9leig$%e`L^Y` z7_^wdtSo;i#7Xqd09Dd!dP#q&vj6`mkYCeN{N2Nk0*T%mT-XEp%TBH14J~ar^PPgd z+mk1a{c+v&9$II&`<@K1c8jy}pD+;MbluIX!Hw6EFIC9jt6^6y)n^BJX~xEUwOLP< z$bxaC;ja_Gij^1#jdJsp>-ruP0^D6e%hi<;?7buleNVMaJ=t6px?By{vPQVb^~&^Z zxiNS1Jbgyj8E0)2WXkoe>j*3Sk*t*g^(d7YY6;+5dT+-A;rG+}`Rk|K90B~ev+to*mlVlDOhWInb(OfO+>A5fE*`T1 zRVd~URsuRhwxtR}td!~Av+q@7^Po=bXd!Y_Weh9_iOmz7*9a3LZ%Yb+<;=vX!{#-p zo!DEGLcs30{<*k*GpyB9tQGG(Sr&T4$?lPZ?R7i;=LI|%iM9T>wMJCyKFM@r#|iSk zo@}qUE8s<|ex74B;gyf0W>S>Ki_Y->!fNs~hKG_#5lzAjIMvT)F+4)@abYCVGI$xP zpBGt8_~fCgJkBJKsUeqfJ>cbiG1`R>N!pL68{k2j$!VIAtKtoAF zv)={MkUSaFRe4Ar#LW4+|9s6@2>=Xnm?R0Ua4IAC`bqp^gZOMnJt++iwHl4H&7}|d zGn)7=cLlGQ)}mN{{Cd{+n^X^;^=)py+uZ)9iaM@a{3HG?0J3*m+wW!<9?mY@x3QM? zsGQjW6&;rxBIAp-8+-4VI%k(zW|u80n)d;U?|26Y{^lVd z3-(YHduX=?MVAIeavoFcNaFU!9ZqM~jl$uSkmnJ@N%H0m^_ONyc)>GtVz<~X7cgqt9{ zov=C+N<*rtpPd)14h8ORI$iwQ6N@`#R9kg)AF;7Ap~OF!}K=(bI92dI%*%R&?~`&FWiyVly&UPNTghKG_ezZVxSA%nO3 z$T9KyrLFy1tJ(?JKHdBH>`XV)WUL`&Kd=Z76>v!*Z$xxsf7H?s6N}{8$cOO zKVA3B`}(ll6C42*Sj?q1J@7p&JoKPs{<{tbNWLrFhtJ|ZTGDT2%^I;eumXuN-$M%% zrWQWj!MNG4U4UXZ*aIdncQv&i<@Oaoqb)LGLC#4 z0S)+vqS#*T`*b0c6_TF_c|fLYbt7-btUvZ3{W5PetGLMR=+FAn&*0L}oWi!U!+CKQ zY^t!ta%@$KRJ0HJY~$NQp&240`QBnhs5 z9q?){A6N)PtM$asd^ih~4B(cNdv+Cj4~TU@=5i_3%iu}n`w3Bu;XTQ?7$L7o(kvTl3%`DI_^&N`qoDUMO(bN3Q8%bYDf0SU9$n_ z>hX$#)SIPKnzt=Fy=qRy;7*NLy|E3ENGVi9=?UtJ=tw=mvIXXo)Mp?{Plqp0CwR&pM%NtC8{q(!cyJ z?xJeaMOEzc_U`BHCqktemtB@dDoCmHr4+JjXNxT>}_-_?$=MxA0 znl;;SV_CP!j$Kz=u@aB4GNZsSUioU6a=nDjJAp*Fl znwiliq^2V+Fk2)fQ7}L$%!IV9Bp7Bp0~LS;C{Z^vx1MwF{_Vc_rLHLdRcg8Rd09zU zG^3dlBRxWfq)g~_WHJNZD3EtY`P%~df~=&#ANk;a)(8D)0Y%VHBIp40^FQf-i%N!s zmsYMl74iD#&!IOJjpj9&ID1FMYG{8lb$@c$k}`Tpxz(Aa&6<CK?cI;tQa-^|`op zGetMvYy{iCS6qe0&k~Lbokn(T1|GL=28OlKZ7rb1Md~^@XdKwQc=1!IUpMS!R{{A&{eQ|KKG$3*bU_W7iJ$0&HsZf=(FHI9}E13 z!vFl+d}ebWwq{TqILUC)P97$7S7&!wW_K+rCiW>NHpL700fO7%0J0c^pvEBG6_nTt z$|NgzoE2QKAI0;VRQgd*vb&74yS@XPe=(y8dD~TrUZq}XpVyIbMZ1_e6*456(&*Bx zw0D=HZGm7xM@FDcxa^O7RA?ybf6+&P-9VmfN;3-j`F}E-b3?nRNW$e(5ks4{$!A?Z z@=u5)zrH2J`!TSLD{wnUOI-efP-SExR_JxZiFBaMb#M_;%vs1>pIgbe+RX0IKbU%D zZLP$2+jPF9X#6-%ZqBDW>!q#=(u;(DdTkWXp@+Fn6aiZP)fOyuqKQBERzZt&G9~%v zp6%!Ha?nz8_jCoVKdJ@)Zwkupo&tXzwvl-9E_Cv4>UD>=7Sn4alQ76r>*m`zrcePp z=-fa+dXzadwgt+Elq|&Hl~Rx*laq;7Y$XfJK}#vf#TB&hO1mBWb*=~KbS_91{?HAo zY^km};F<6mv5)O{-ZrT@P?_*rd~y?J(lFx>MtWdWht*b$D;6{XV?Z$817o{+4&}Jw zI36$(1fxDMES%?H#%d?=82x4P=H6$otIb|NoJ_!=53BuEU%rNlX@Z7HH0<@dw47U3 zrUFvHf~U($N(tki5JGCr&OD%zq@oT>&z=E19zQwDfQDjjo?v=5Qc?*6_&+Kd{~}V( z&5Kh}cLXZ_2_4nx*)P+xw?3+yG6bj5 z$j>wwe?$nrTZ}_9&DCa_A5PQgEzWPMTl&ebm2%8-)I*kHY=xN$l)rq3RXSQouD9TQ zBqe>yqTHSw7m08Ly#Kdgz%$P&Cq*J)^lmeN2P!Oc%8KpDg7j|4zf6FN#=nUE-piM2 zdbgMKZd)HC5zi=|vivA&VnRI$hJPrde2m0-o7=?HIul>Q?fAK&#os%abdQO7RUxT_ z8)jlO19-e65|&j3#gVsyCPv6VD)|2*0xG~tdE{-}Z>x7e>+hofvySJ&OSqd#xDOtW z4EL{nKjGSr3OkTR9}t;}y@t`r#YdQF5i#$`CiODF(q*=!7o&_9qrC3!ESgv|2~Aq> zSl$v94o^|ckm+AkA-5nL9Y$gZYY75)lMO51sKh4#I09B7I5E{x2eyD^iNr0tD%y zNJ*ZSKPt-C0^*1uj(`VNz~o?d2*7$4ab9*I&lG@rB=5 zxvZrPi-W@~vcXpswlQy{V}~ndGkO%ij*MkCCoU{?#_X6ZdQ&|qEEUP?69QU_t&bc* z>&q`T=GmOwzbjm#6qi{ck4DgBq8=IlVuP<*B?EQY7(sefq~yC6ykHVi5+-HYn7Bw* zN5K0(2nIYeqkK{%D~w)s2JnAW*#AYu!YKb=y#OuzV3PkP`nMf75Cn((dQt4jci=?Z zRnG18qbTj`CEZWk(y8C#G=i7E8(evR-5jUfI(?e+nR*nSzjT8;nIu6Sh_o*Lo`Q;3y zuDN?t)-@O^wE6jiOvP3A3`(z|Nssd?+doBY&%U*&m^K_U6TyPs5iV?foId6ZLHcs+ zp3x2z<(A<(aj?sWPwO{S+aUN`PCo{?Qy95;O%R>Da_tSuW9aw#^8hVd@1>cj(k?d^$m ztXpmThU*^@Vtc}VzIFoe@ToOa!}y?A^=}cvS_ze@-3!Oc7Grm(Mmn{MeXNuTd2`wp zuuJ7}%iA_i!iq{J_cmF_ltjYVsYkwGt^FobW4SLSL#`+RmkLKfYqO&@LHUWZaKPdf zseu$9Vd=Fi8ACNE&X74g=8yH8OVIItY-5h;hx_^apO+Lbsmxq!ab+gU^}a`z0~fll z5Vq9eSVuT(OM_NLe9Cp9`3y?+)S(1gs9b$;{&RS~;vsX5x<3Vu5gHs?%Qhk&G{@SL zH#!{q@H{vhe4C^HSrg+r`pdBG?0V1@%8g>3H)G4*cZB3_F)IOQTC7KEyEnDZVhV^l z3sxT@ONY|Wb9SC`HNF|>TdB+}`*!k04I^R`IRPf_RP_ixstOm=DvWiXtWn+CJx|(H?Iaop$;j=J+0PpKbY`RTuBuD@@eOE-Wr>e|vvM%3|di zl)nD<@z})pa$R;yBiqqKtx#)M@fB;d#{9;ikG3GUT2(E^aC<}LOgh-hfAdxDw>`__ z>`tQEtb`O$N2Q;?wCe5=4dT%GDF%!iPN`_!Hy!)k6UiT!lN{P0S!$yThBRk zeoJe~9!~>VbL=NAi-00|Zz8K z{6J-S)Jmc;A#ZIKx^KJJ4-{N^MuLJX(RPSU1Xl+{|I$&wsI_X${gAjK)o*!2<$A`-qX_YKgLq-zfbwYHLo$Sjqtb1&`6d!w{Sl_58 zIMKs7iNPF6g`$?_$Pp4&%<5IHAST`dwFN8d9!S>RGmqc$*Jwv~?r*o+6X4hOT=@?f z`LD@htXO2~!L%gMP>_2%f`8jUfhUMNblqx%Oaato}C0Y4|* zO63mROQv_<-%!FJYr>x4ZH|tC?`RFnY#?J>j$CVwT>niyyL>Q*4{;GR_8JE*C~7m7 zA}iqPJ0d^Nh^z_uARBBm9x-B5#XBN?=XR-c%(d7n3^K4neynha$@R3D&D`kS+%@4@ znk~Y!X)xK*ae>VXA0s)c>YCX%%eQi|vS)X9I*r^8Xl)LgjoLO>_-wX*6i+?=f|<3h zTzU56i|~4J|CIMLX_1s_oX4)^HmZfs_*8d zf`?IuX%@BCXD8xkKNM^@g(gm=@R}n&P>^}jxR^Yn+C;n5w^wASJm-;JkOz8-C7G2qy%3xFI=U{ypH;mq;Hr}J z9?fjoDUQ+b4LrX$D#c6J0T|6UPtx({l=3F}y!u%tskfW-OgDI&DI}E6>z4a7(eR3t zB?{4brwY6}I*_KY^3jzv?lH-ap+jMxoWSDWNlsPr&91_lh-76%a(1$EPO@^pvL_bx z4JMU05tTq;TD7rWaqwEWUzclOM8^2#M)e*spZ;2fD{Sp>OHkODpgr0Ga4u!Jw>W#98^prK6&8`X^cR z5nJ=9f!sV_f!c(eo+={Ye)x>G+$veIREOS!o?ooRSGS5(A^54_RB8eA$~$+L$kd80Om{Mu5* zrSHH@&Z3$iDSJU+DgtC>*OLK^3}bHcW{PkCOTfKqUA>2h0ni#^7!rULBP?UUZ%}0j z(8c$V0lS}dtyk76SAX5E-NZ=P9Db7nIkEB}Cw81WFHZqIz~0w4Z(AYMqsn7Jz&J<{ zQCd-<3(|mX2*yB8EIaNBZxquDF7y?JOCVX&<_3T*X%Ou`#Fj8+^uMmsjva8oK6sj` z7i-vLT zOX+XbB?ozR2tlE;{QJYpu|^;#oX_)>jU>2j!Aj?jJ3e?qn*^w=#tL_5ibBux^%c7G zFR}r&0EC*;WXf8Y8rFKP*|2RqX(IUhQB#cy%$7rkl3iMDbAWf#%s^*F3X~XsXJOsr zO=#sRsc@*EmR%dZ6D@jH08^ew0O#eVO-d6k>es9V{CGIDs~P(<5Z+9O3J_1CJmrBO zPXj(4AgBk3Vi&gQR}WNmr@kwKvNoBzHaToU89kxgYR}SU&th5~4XAYd3!ss;xsf%q zRoADhuDldNLaqQI7PMRuLca}xRmXof8ClExqx?^m-+fEx8Awwph9)5g007R&+F-(i z{09WzV!^tYu+Ra#=05uzWe!{dufN5QNS!-irOCcNNb(|uBY^9|twJ5UuF31?@7Mb0 z=g$xW1VER{AAnZ(0x&9k+c02Z1p%+&y+})%WQQAvAe;wgyKAQXOcHP6V7eBcK3^F7 z%bRdcce0;oYD?nvro`*n7mTwnf>wv;cCyJ>z~GDMECgFtcZcci54?V?hiJ*LDOy z(3jVP4x1nG)QkaB*os@JiFnfnlGb+{KjCYX4sT^&87d2jirH;8drZNbN^mpCMyvka ztzBi&B52Gn^P$mo1m1!2me7=3%7O9$*OXk?1|xcdvDJ;F&5dLh5e#TExdqTK<(Xf~ zNG}#gFBVusv|vLtv{;sU>Pytqzxdy_9sk|%m-4&6n@q`3|JLeOWc^M)DxeKPQF~Cp zy(qdITe9CW@#$ZB@k~4E+0D$BsyE2*gdd77oIa=V10Zd)IoE!%oh+ey7`|`sBnY4O z-!j-PqA{`!Pn`6b8#HHvwca`mY$Mp0jiEz6AwJSbW`*tF^c*2h1kR3aDkBgtSpT$ThZ0Sy@W^fXG38!M|- zDAuc<`ynR5+t)7;7YcdFsX+A81!;%2#10J!5(W^d+%;L7r@GnW@B?5k86nK8mi#akj^CtG{5QfHqCb0L`|U0cwl*(kk@@O$Tt& z|E36Z3;#tOSZw{>C;}LrA0=5dO=OmrC0+Mto%*Q3k0nZi%15wY1Q*mA#R`P-z*+;X=opw=kdu41tyEe>Va8=6{s`q4JNu8!{+i%lpK zwCO+&#bAI)?{A_@HXV~57#}ck^BAEHEy?P%!*Dd>fahqzEAAwokaTLx#C5iL6-`)9 zMTc_piktYwQw#Xkz)>1H)IBlmI^Z`=T-yRi!wJg~Vp#jS=!s1eYPSCp{ILPu{O?4d z8_;vN;hufN&IS?J1+(><_1a073AYobWV6@nQLug~xzD1!A~>tWm`@B%w5nm5GkNAS za#>N_4CmTbu@?!N*u3pJd!HJO>j)*Bc_I?j>`oL2_*Or` zq&GXn&Sc-FA2iW0P4Tn4WzC*krR^hK23CpV62 z5M9MB-`Cs{@_<*N5m7ECyGuJlLwHG^IwfWE0jjHZzYIZp<2;AYt-{Z30Qd~_5bk>Q zvFKr(dfv&A%?tZKE~1AR99~2B2oADZvcdulRYImpWH_^5AA&nBm; z=g8ES5W%byHM++UnmX(3h3@}Foipo{)Vd%@lRC>M*0$t@F=Rp;xD~!dE;`i_Z@Ro5 z6ce|oOf`2r?c9qU6bl~|qbcydRp2eGb;PH2R+{n< zt!S1a`QRpD;&FLcjNUV9fLmo{kpdk`ah(q~;rK2l1h?&5tgsxILMSg>-_1jQ%oSne z!By*uZ#unNc?llJmiI6|ootT)j9@(zD2Gy%b&2$gE_iZpZ-Bhw9_-JBa>`S8n*i}H zh_5jGIM3o&*(jw?34gN@xd8mw*e0McD1I7Of4Alu_NRBYn2FMmmK96UMo`zA@sD@C zk!4!;8Y~!DeB@Ak_}BMZP4#%U3x;ks84w^lxzh!-ZHxSLLq_$~fwOApFlnXRwrKYw zd0Z4(1uJ}nIIY112XSej6KgENb-Yn(C=2qP48Moom1X|nrQd6K&c`mO%Rfnq<9cM*_QGQz*IERv!!AAKNv zh#k%`D!Sew9sH^~qUicM{qd*6GvBkGv%SXSMkDSUd%_Rj+(;T(7J$68hs*QhZr$K!d-WqI2thR+ zLB0rMC;fZ^yf#bT#XOgsOp47?6%6uMX;2081rftj+!=_)I|e_nJZ}c{yX^ZUsJf;e zF6e4?aHt0Emf*Z)+@0v|WvLb&KD*a0lT=>&B30000nx2HTH&NsHS@usRp>g}i6YF2 zf_i}yy1*&et;^G`dwZb}!6UqRanKOvZ2aVw2~OUZdvVgw)3(drANE5gNRHBxJdyb5 zP|{Brt4DceK*8utM?};YUTaX)WbxZA<0PR%W>(->11%{5V@N{>4v&*FWHDYFDh8v@6}yo zE2w^yRp>L%eq37rro;n|S8y--&xXZh7e%7w5JOv&opU*l+PX&CBNjkzPK|QYtEt0= zHQa}%BK2Z*--IZc!aj1A$J2UbWOjq8oo)4rnqITs;0Im>rV8c&hX}k&@g@q=IZX7b zW*ZlawI%Xbt}0@%mJ3o38=wmB{9klee*d(i@Ymqcluaa5<=3;$e*Bn4)=;tJC9_ zlLPQ3BU|FOW{4Ts+Q-@<@-0h>Ac@pF;S)9nprfuGaVsoaC!EjhjI?f;$grB;INhXc zG!(BQ%#8e2TOlPEqx`tEM*fSgk@*uY@dTrfLl1Md3;RV1iaTGHYe})O37gk|PxnSb zpXW#%4m$bSZb;To1}bpJiWl+#Fcz6xs1cG6d!_+JppMnBmugQPw?g}j?7m&`$YDjd z8g?khWtkq+8jIK^B-UZVIn0#!jn1f_rTXr|Z5^9LEnE*&1J}9}PxBC{2`p*2VPufC z>-^)8GuZ2ROrLtCNl{qzba6jX0gD+o#esvyu^}qbj5Kmc)-=EtCH) zOo!p29ye*TCcoV*m@=rg4*`8&Z`B_M)+WOju2o2;DcK@>-+fze(GtE=?e;JnC4Ocl69BQU*S2czeAr1vr(Cl+eLN6O zNLZ{%xKWtBou}P@Fi>FiYi?4zn~W$O`dH+7>c&a}Y3hKM6lec?qf5W*+=g%BC&fKU z9o19#K}xy~Z}vFxk$7yxB6|Edr9qb^oIA5Mqpk8rd5v=Zz1hBfdCZV-a3+~w-S=Ph zYNeId>D+oDMPpNMXQ*SSykIf!lIB}V9<+xMT(Ln@4grukvT{9thw!Lbil6VGG1e=3 zAR)5SRiFPX%Sx!df;yF#tLIL|S&&0~Y;)W{3C0x&PeiIvL{)y2-s}kpR}v2KVZKDNIp@2vFB{JCmF7u{b?pEmLt}+lp9#}r zM=lzMcPgCT1}$lWw8r@dAn)0m+$a-RMaPr)U3+~0iB}Yf)K5Vxx`3mcfa&t)OyA7v zfNA@G(mJGh0+4E^x5dNHEX2A;ubnehVbBnOF%~eREcF};ArtwUJCZMCnD1vMw-`z_ z9klAjzV?>1S+C#xbxXyU`L45?YiR9Cs$y$5z`JYK z0=~6iEL^*i+h=|_>;Al7lHUz{H)#GT5!(6dE#Lhlg2jl@2K&DTMl z45^(A!-TjijBTWI3y&V-mZr|Wx8Q2-Q^Z5btJzNIhN?9>{1YMFGmVOwZTec2OY_{r z@6qIN4abo;DiBTT;u9neyJ5Q`u@oD05oBs{T)jrDvt=w#0IH|)ZRPtGV zJyBG6!BOgQhsyL_M;%E)eC14B&86)3q;0koJc%cxXgtF&x+pFhrv`9~mcsc9GhyYs zmI?F#{H_Lo-^FK(BXeO!v{V#|gQMXVr!kvevANq3$FZB(R(F)CNI5KJ6cDsWv9uQh12<#e~^ zbfX_7hd)ZDNflmMBmqTA9wk4-?GBwKlpD3ngVX{=7WZ3c>$_j*cJK zZz$EqS8N#Xuo8FRBL2x%D1kS~q{lcIt3}w)h;xPi2dUc@MEw`1+v#RkWn)(+9_NlH zulLrcpcf8IPn;EDKZ|&u?X}2SdrxUVb0-ZpeS85Dx=Lmox-WIWrj`3nf<6Z?aZm?M_g^CJ^bX!@d>_ z!b74An>wP-vuK@jNrW_()CmU5!Boy8Q%`eWJN}bqRhR%RARfHjAgUKRB)Jb^Zrb@m zXw>sQ1aUr@Gx_9e`G*d2Oz=#S8-Jmplc@Z>A`?&M*YrB+MvU2BG6uGI38$Wr&f=+# zTUFQetRmmaX|+&hlAHooUOEYnD67WNiMd~MhA+Cih4UX!=+_mu!Wk{1x#Po==M)L7 zlnA5((*Rn0tgPTGfDisoe=hhwVU#kD)X7uGV*bfMRDX5C zs+9-pf#CQI-=Ho*rtnhFSf*WF&U#x+QN{S1g!dH!<^cd@xyB_gV`9P?_d~TIb>>>A zYxrViJMW(M;gK^!VPk}H=v45Mc%JQ{T(UEVu6(VWr96O1DiUtCNY@aoI@Dd#u^SsJ z{^lUKN(ayNv})V`*aGHyt^^QO$fyq~4-3&F-}d74jdsRJ3)J+F=3M!=6(2Sg?jbGR zroV{_lr_N$irCjoZ^^Q0Ss<+F0D1z(dOUT_p6L7>v&-1y-Wdr*qlzdDGCw61d*+B! z9mdPP85uue!bKOi?%7pIoD4QJ9WlH(T;;h$E|qu9G-Z^%h~{W1F@k^ ze;EH_P*7r@tbl^`Ap>T$a`i5#`PPvxtVgC1j*tN7WJNx3HSRJC%|p(;k+$KKkAo#q$M zvTw&T`X6ZCOHyjv5?=jw>oVa3QUHo+dg-%3<8^EBxpuM=S zW1rm7Wmg>|VqKDwS&1w1V?)bE@Z8-hR}wxyisw7GKyXVmknKF!%ylOCrhT>2gVdU? zIz^w@BaS~q&dTnit8e0uAP(R@-&pIC+&2Xg6uSsg zK4}21vIGH<*Lo8iCbe<6-SAb59&bw@=sDzP`;J zv9&IAJ{iO+ln(*u5ejkh&{=c-g0G_Gt-eRaX9sT+snhRSEG8P#SNAXqvXXg*-!rG= zt$(F0~AkGo0`AX z0N8QlI;Eotf@DD&!F$9n&4cQ-jMS`9AN;E*ANhf&`R6JSZjsEhB0<#$1WS3FxJH!Q zuWr(uL)K|guQg<5)9SLZ_E|b==uzj)6LK*>RlxoObVY1CjRHi35-vVChomU!0`qIA zhLZb@Wl(ScRC&LdSg*=vJa4BT?U|8cbQpt7C=A#v6gAuM7M6D(X%w+voth+ z>*6otFM^X_9P6S1{jqG@RBqi=M$aaP%_dW~At>7rLcb6AlzPLAdSeb~{~sD0R?JQa zsL8j4$B?Ajy$YlD6+d=4XT)oxws`nv>%(Jq6BO2I}(>i@s2FJ)<<1R%{!X%U@*UGkIj*;jVk-h zin@_8A*)MIxorowO@^aNL_sJUhF1z^RRU_P*US=4u3Iy_`m8Ka#AV9xia*^#QNe@Z zRaRV4IN89}uA#k)=Z7ICQ%)Zl8YPBF@b6@M>V~)ZF8?(ebGK`$MYa zUTQ~F>n*p-2r%td5gcFgA~;mT$(nDaYrIA{<7d5RD`>!@(Y{lKO!<*HE8o&;!YH)s z$Ru>|;q`Z>@CPM~!a)xpHcIgw!C(sO^had1S^kG*a=}YRY25uTRzZXvv278s!ugTg}R`WF3qaohH0j#&#B07T4`dgu2@eJaX}4CbBQ_ce!YDnz zY4dnptf}+^QTaB5H|N3F0Z%5g3ADsqY&(B&_;)1@kPabWX>)uNJ6^G6D)O9zK zWvSi8e5ZIT=4OxPu8OCOP2nH&kyA2wnyD3x7O=?ZdWL=}i zF`OU4bTZX}Y$}i^bzXdQ=Mew$5#_t)QT`%(9y)pT^WXiNf zGR-*3r>H?h;=q^qoAI(cEEHTDA^(_FJ^Q(RhG(X1;Eocws=E2!Wgc8z`@ATu-cUES zQTL{kdU0)R1quJ#FEs3R<2AMXbGB+9CtJQ&fj!^32)v~plXC<++`K2(rOc6Tz>@wE z&w2e0|3`tZw4LCdiZnE{oqrz-yLzPS zzPh|eMPY8Og^6dnUG=8AgXe`yv!xtszPibaBfMwDDe}QSH9(_2NcY%U%VNt!9pqlO zqV52wS-Q9Ss(#v`;qXEG`u1Vv(~%49uN5;W^v(i$$86ifdD{c#Atvl025qFFZlsBr zyB#%myW0`F#}OM*o8~pi1P!OAZro7@S=WEp0Aj0JB%&5sK7#`7&p4X@YLEtmS5-hm zP^5tlZ`n+dKxl;6DA=DD9%@4Sc%H*UE$HPvk!pYN#`W5I-VUN~Cc+k5!$ONxYa!-% zpNv;J?VcW*WQgsAG-r_i3Hdz5o=l%^IEplUMWGrHsRdDEilhhc1HVgDoV{QC154Z* zU3i!-9=F*nBeYA{@%7619XgROY+MWwZ)D}^tQC(j6OUPVL5F%lH~K?53$*0`dC+<= zLc1|gjXT{5n&toVU)t#Hl?$(D{BUi zKh?ADh=Uz%apzfxugMT#USGR`fH8O+^P~5|6d&=ad@+Mr9Phj<7m52$BDF5ob>#iw zC)YfC*mn|||0UD|nthqB-XGXnbe{czB=hW)^X#H6Iso|#!EllPYQ(fO*ekspaUtlo z@Bvr%o?%rFp@)}#tcRMk*?i=13m#nG(+akSZN%*_mGShGneyr}JK^uQC+Z{;AQmQ=v^Iq05>FAFu*!SaJ+<%6l zzr&uAKS1ri66QhfaA%5GtZR3Fs-@#-|5TeHkqSHD%E-${eR}H8;Eg56v1z6Tjl!X^ zzm7`M!8UAiPo@UR7c#!xFJvIC? zaG2LP#X`@jaCcQwsLQmvE6LvFB9+Ux)S-7i$7y1Z^%-)M@0R{7 zS{3bPxc-1urhNhPZCa(-yGk>U;aB>@uck%ttU+7nOkCwm{MUlToabF{L&JE{*tYWB z>2%W%)3N8D77+E<9-=tU%sl=;mqQf8nb|+XOrJf`MUuL-+t!Z)+wO+U-GzAgVK*WP zZv3#@RovNG7>uabII71&BX5Gd6Mu@(t~GOq`VqITc98rq4_p3ULJgoP43D~_)A^eH zFI?&%0iAnZvjgNW1jGHy5s3%5UB^x746ntAZGuPY8{SzvjfJx4hki`EtJu#OyULp( z55c;NtJ(=39KWMRe1|g9o4vhFNP0*B8gzTV_Uq_GT38uKJ zT8Xe)TRv&1L$^=6rhf(4sb&b@#f+#Em-r#SoAmH|uJB#_-={&sU$w4(f8smyqw3ZR z1GlS$t+swENZ1Y>UL!y1O<{uM%K5UJ8@3BSm)F#3*=F=PQI7Y{ITfKTXjx|rayn7g z_0HKm{@=l-_dxS@{4%5NkJ!S$@K|{QG(i3v1jE_=s}WUW<3#R-GJMYWOpvZ7U=^!W z^{@^)3c^BeDCYiFYj-vY&%X40pWU+VR^@a;t?M1Nd3*)ej;YoE3!Jt1PN?^pX59*Q zAkq^1W1`otc0_;YFnz+;d%#Yp{L4`J7xj-GZXZ2}i{_|{<~r?!dj1vrE?|qnqb3|J zs#6fvDUWwp9yL<@rygJw{zr`>j~YWK9REpAak@iKz8O_;e~R~EMNc4pA^WHghXUqs zaim6WAj-C4wBRjFn3bUv5)Aj$Qv)}Na;#^&^C1b?^}62Q#kD&odvx(h)e+55eWoKz zLU^|v=Am@52!f!MjvIz0#_aNzH%ImxESNDXhoWP8{2pGrAUWNk>*YB~+Qs1Q`L8pJ zXSE*3EMnenf8cYEV{S3;e}+9YzPq3Chb^bri$_nQ%Fb3MGw?*|on1RbC?fLdY|_fT zsx-=RrOhVOvZwE8Xx?@>87>-r<+x`*@$B073d;t2css;?LeoW zy!&x+#@-;utvzqcF^<2Z5t64Ss{DLRhML1kUS*3Ozl1${-XpNSzMAi1N>*|?_195q z<+9FaUcqhW)JnP3$_|&|+o1J`h;@pH^@^n2jv$fT(C`f8PEqf12rQqoTVe)Y z?zLCLYFmBD7onMkOGfZ#D$Byu(sF8)TX*!g-fQ&jZ06$@AO29F~Qx1 zx#_iyUUu`J5+n!@{Ej66t7az>JlE=e`FM7dUu}V@m#K%d%~*;<6DoOfB1u? z^~;&AeiPKi)vH(h{SJkt`*b^jfKQdzGx#xz4LfZ9d5`r2! zOrQS@GuW54@_=kZE-Ei_~Sk|k;$Ou$OT^fb`-cv{7$4PO# z&~m?J>rjJ8pR8z^$ZtP)zJ4LQcz<~@-zq6Jj5lUH+c>PG@>pZmZ27Hrn1j`xsIQUl zsw6qhaP94rN$~`lVSW4p*J|c*e8K#+? zHU18DY#acIn{*dx>^(hUx%Fk{WoliN$o!MdE zTAMRN+SMdJ(k+2mK{K4+lGBNm7 zXB@>yf0vO#wC`=>Yhg&!l{jk>q?8S5bv$p+pnkA@hjQ}#Gdu#ey`PiiChX+`f^QyCAS>Y=ug)SCK|wsO%U0^a zS9j0TNVl;DdeZ2-BZ3hC-H1>+ipN5_X=jJc2ckr9zk{8yKae$z@<9h%WZDMIlobSq zaKS{uCrT&m_OWaj>YBM}>oPB(IBeLa$g3E$~i`9j(UlpOvw)(9G!_1LkikTk*F@K)sSqFl-Y}V1F`0NF zsmY}Rva?XtQ=#U<2W#Sf{i&^b^BW1jx!lsbQf+wG^#?sY20h8#(!aHNP-Hfp)pK{& zM-4boMGd?)%Vk2!jC}bW+F(A=)TGCg>pL*O79jdS8$~GV@w$)vhTfxy%rH54yIKAc z`Y@bV^Tz}0Fj&s3AV(O~CE*%9W|a8jxDKsnU0T>YMJpg2cSi-~psLvJ0laVd z9Q*<^yS-2Bg%JhAw&LR-BG$cvME%(3`O;M)Y>1YVI$yB#ykOx?4~GIp zfogDmeNuK#WA7M-L+wO-@;NX-DG6+09r`fs-yy{xGU8!c=Ld}?9oC-z4C9Pt;Sml6 zUy@L2=Y8k>s(7u654B>$RE~>w3F_vQ=DExj~@S;=*#q-NBk0Goe#DXI$ zF>E6-j4h8r)c29S;08@v#`Cus&jWL4!T!~Z%y$SqiX1!B`$POU75PL1=}DO%64oen zm~@1+(F%PDIHN%bbmZI<}n4{7dIG8TAwShv`zPFM!BLDC_4`tRFXoK5rb{IO$90<0r-mGO+$yL+f zFph&atmKR_{})28gc71Ck4piurcLXv)oK@w758pW&r<8uec0M|&juuD*L|qKE(q;3 zji{J@5n{!^&5b2uI0@FD1QWGsXR~SFNX5+t4RBrmw6zD&G=B59{PeElW;uF>d{|(| zyf=)97L#+`*Bmi&q>`(e%~Ndq35Q&-IYQ(}J2P)BY2NJl1J~~|v`KVQM>{g$Ak|^s zKbN+eAG!3@HjKXRr!UZSQ0C~3yH)iVhYDV~jyB;8@qdN=qTdqsm=lGYff*{M)RUnE z+i*J(PU+a4_TZtl$(}x+-(_C4H_+1H8=bxuYey+$!#~IP)p~3?krDGG59Ka+f|K$) z2$Qv!oT-dBb%=g@$ow0Da<5risJ|f&BH!?{LOLvl;2S0)-!$s_TxF~Kw|nEqbIb-m z2YTa`e0n~g?@#fbYL8%6Idqn^($Z*Pgh0ZQq9zyND0D{hwDw6>D(icZt1(pB=uo3_2K3n;WWT;dm;d zMWKteF&qa!V#JPy#>4$ieg|!pVV*sw!SZynRu0Z9kVJ>5dnE5>)B~JHP9Io*4_Bg zBvw~fxnb%hyI1oAuSd5cdZF3@5{BZXE>HXAhm$B!R>Sn@DT&9?p7@E8Pc))6`~^G;Itq2ox<8 zqMYSpVo=V9wK?B;h7jk)G^>+Ahk9%rz0u`f)p`dv2m&TdYy}9gikbz>s3Ihe3=kac znjf6yy8+k*7R@rfkr|}mZORg_fKrP?X|j3q7k6^3Lwknfw0toy;_y!;J@Tx&k*z$7 zPg>9BFQ>MQezsmN_oQr1IEtHW9wzVC(u_%6p04how_YTScx6vLH*GzDmLiv5%+i_; zHXp~|HOJJBS(7!nlpx44K&!;N`knLSS=ejR*eD+0_1W45c4-uf-;m>3spiR3=|b;c z2=JIRGtH2Zn#=!sF?c>>;nhh_YtjI>?F`zPZjDJ;5gYO~Pa*Erkxy@1R@VyJnpk{E zT2f0dm1nV?v#EBw&Al6I;%$d#%Sd=w*_+KoS7D#`T^NA(`ThzkLiyLv3#VyVjZ#F9 zt57g{K~Re zRPg1yNs~b+jlya{nJbd9OpS;Ss$HF6ix<4vei*{Dvg$()hDF+0QW1T}uMt5RS#9U2 zE-Oy#rI)R9;oprdm#MD8v>rjLRDZng`ieK_Mx-E!^?5Lb(A3+65m`V)TqKivgNez5 zjTAV#HDz;%12d~!4kI$x>@~dYo=5Odxx6&DiJLY&TP`(SPYQxHpk?31e|^X7aB9<~r(5=LAAu7@q6I^zizu){YU-t9ZzJ*3qbs(+0pIaO9{;4; z7e4!{?R%0km37^C!~Cro2F;Gvx>eba;sTnC@5Tqsrn^i!TojIlDMGSAj9bN;$ z9ZBuDqBI)jHxr{67l>)q(3Yt3jpd^!5bhTR7FS-oa!HW zjR0*R=71R(5c(Z(Y9PlahR%#;+x59G+Z3_q0&|N_Y z8YfS`JJO7MW@xmU(7um=kL}%HZ6JP)V8H%>4M*EKb9>CKgK;xqhK&Xg4k>d4o*%O@ z63c5BKQ14N!LibaN>AyL2g|g0+uLJB7>Ar z7{5q9#WYCl#n{rrf82=qp>}vK)9Tg zv$#A~*J|(BAjM1+jaxwZ`VIk~5``Sc+uI;fqms;0+%}6q zJ-PW@uG?(w=JQA0{&+!AHGwD-C3r-@Tied^aI5LAipp@S%5c)@uCQ&3B>NRBn#1Q& z%CMPz>&jYCh;D8Hf># znfuT|+@Fq66Mill0`HJ~5U7;sWIsQ%<(vIgQMh)CIw9b3C^Vf{yClZ6% zERUNLqV)NGNYLW8K<*9ZD&Oin8%{{QYUtg-%s@7)K-gQs;dI{5skFUC28s;LPnr6K zBIJn}W2qTqBVv0km@1&5IrUP?0{V#pN7w}{hHus`I3eo(0NSSzrJPj~XqK$7=~dKA ziBlpn4*IlCE#3UO<-{8OUt^p@&hGT zKj$juQQCS`v&Ng%^ERL3M++^=3oXI{XAA*nOPIUipb^*c5Y_Q${Lcc=DY4DR<;Ic1 zjiWByS#r==olTjXO_`ld)fZYs1I{=C&NeZ3i$Ei;Dd1Ams|FCqBjn>!IK^dY${N!)Q2 z3|efvG~RBurcV5x49nPzFYW*Ua%lMY&MQa-KC1`5{WIt;5n`LD$0Y~Z7M++rY!PxQCGqWs5+!_5U~F<|vy24h1AhDW6z`GSwYr4Ua=}DxVTNes^kLG)!@R zqh2TSe}sK3vx6+Nsp@qA`DbWFylYLoJIpc*kpBT%5<3E^O1sjkP6)A zDV})z26>etACg%o3f6# z-eS^^oa<)QTg?23^7vq6#gt9%X?uGN=Ar%Tq|q!z0JxsBuN)@LkehtBojc5c5j%br z<*(64E^eEr2tZve)W~B|Lj%1r&nT$i39&Lh_rb~^!7_XKc)OlC*=bxAM{C>N5(dTB z%R!l~)z#o*8PN?P?48}LC+SELbXFBCx$l>Am6F{2L_RN18TGP8K1-aFo^Rg%T@hyA zYc9BJWOsV%Qt7h(Xl?3Kw#&MK#iD%~EIGk3SbsaGztY9#W}&3mBscN}qr7gZhEq1# z7l9(a6vf?;`mXNU$yukuJC&C^jcdnThpgs)L|4EA{XXa%mqJY6qcq$3@QL_ki+eIK zaEGn^fwju2>$djUQbPxQ|1JW#X(xSytjz+sX>WC-CXg^A@et=SSgY+&-+phsaBtX% zY5Oj_&qcrlys>saqrLVsci0yNtwuJx1nO$FERWbn=NqDxt@kHlo_yIBttw!VUFH@1 zyw8#QzUH+9nD2i@1=s_lylL!%#5Q~PbDi4O-3IS)-f(DT2ms-X-3%6kQC~482snLk zW7h>&V~Xv0tL`dyK@IL0lm}-1LZ?S})i|I{$QV;BynG`TlxJR7L5E+SWs}L>hWGe7 zPOHZQ>q$BiWT)FIR^JzL?jL4;S=s<1K8 z$1(|+&4mK8iKTwP(9VkDMN$P}0cRx)S}q1UkOXdP{Ot;`JUYvSS24x|_&{xCokItj zIu=w@0dY&?pR#4e4mL=ce1D4%W-j)LN5r zzF6ScO-a{vQ0t+;c0OGcEayIpQWWMDeUN|x#kv$!xsm~o0+(R zje5uZd0l^2G?DDB7`3S90(X|V**gU{yg7fk%t3@ycz!stE1hnHs4~_$G^+U#fDajS{h8$s8fdQzlB$=l3IC11z)(B=wguZ0|DHIS>< zA7Oz1;@4_Pnj72Eg&l8>>T@%cg|J1FLtU5iVOd==XUUl!_G{uJ*=7+OIF?v}BV(n~ zeROzXY9ai^A)vL7&qovgbIc%LB&$#{L9!lW)u@|rcj$b5#P9e_>OA`LmsIPQS0?MR zhka9Q!|7QC9}>z$eI;QYp(u{r4jLRi;-~=8fVG69uqxnP zuS>}(0I$bWSDXQ4TXcUO3ygcs8GuZSDb$JQvjx&lp2S4*SF?`+q(E8_tAlW0$JP*H z)9T>g6+@hnizQd`=yj3%zR6S&O7jbdi9P&8^qAc0+x$Dm(uHufM#{jd@QKu}jCcQ6 zxcU9=y`$^%GZa?r2WQ+4n8hzWpVR2A|EBVjVn8n8w0^gijEIaSlUk_dtyw4b-NefF zJ&0YSIV~ajM|0HJXjIu~9K-J>oxEA-qONEvi`iaAiZL27H(vl9Q^e$6;0XGJi@fIQ zF1w*CyD@>AsRtTspouz8vnoz=GQrFEKkdJWZ;O@*>-`cX&gZ7kyhcOtc6YsF-L0>Q zO7gNn=&;$cfisC)EpH%BB$e5%H}2c81EJ>7mggxM!Z~xwZ(Fe1r>d}X2+b1V&|rP-64KY3u^nduDu0o0LIk3#ZxNumlNF{YoW4f zx8SIA?1GP`TieVI-b!E0^Dj zZ+`;9z|rhfsUip@he8L2yyLAUW(F9MN)T_NfJ$QdhtYJ}f;=6mPaRu2u+lRoRTV?hiSEPZ0aeThxL@v zK{|NATIM7kKNzG6BgEo(oXYS(Aq+Q#4k+=o+-|q-*CbdT?H2+vXOjK$OZ)ZM2#%I zi-HT`V%f(1f=sO7Q8X+Egc*m@^}u|AR|QUGHogTLC_L z(H%rEaTNF->p%&&lYUVM?pcdd~x&40ZdJi zAp{=Js-W63##%^J$S0l87Dt1bV(g4<*Eihkco$guqIqK=I)qr-z{&J-+zFXcd(nq* zJ$N@S>)gpWUF|lgVb(jUP`&Q%caD7zRHfm@l_lr4t0gBQaadk+%*~DxumA>zyFl=BxCCH&uc<6+bxj zaZ*zRBr0m=;|=2@P7iHkp~IV^$5$t8E>BuWI$WX_-tp6xfI|l(;1?ZqD=)+0|>Li zC#}^4Z?i!F$*NBigi;wbXs|&7X^m9!{PQ=-zSZa!cp7UxCu{w7>Pm%3AL*ZF^}*x0 zK5r@&eWAM|UX9t)uRj>VBb|zq{C>x84*fnH#YVn4QBRzwUK8s0%{}|b_;vO978qmR_OEl%nvs=eM@OeE55zjl(k>LM*M<$X8DSWiYc5mO&uQ>6p-E)~PW{&~A2^ zmPH?UomI4(1&UEZ^qm3;eZ!jRcuQ~mMaV*X2IXa-3_8B94a!Zs!iG=|8Z(C|GO6%m zRk9YFZ?E0>M$7PUbSIi6h1QDm{8F>wB%yw-hgTI_Zg|Rto!FA{ZUVD>a*%XO0kBb? z(u2hpERzjku6AGz3FggAH9$%%PDz*4qeAS~rzs#xflUv9F-|`?ZM&Wb7TspIL9SqG^cP#+6>Il8eW4L6iN}!K2u8j`OppXvbPrB`S-aYE?KspsQAj1jF#Q@wJHZV#01 z1sS68ZDA&ZJ-Ny%nB1)-qFu6X!H9tGT-n=o4USsYx97_Xt!TBS>UpEo z<+u|`s>CweuippU7+&;`;Zmj|Vbbv*=F4W3R_-a?v1O4p1@lUSE7?`*!kwn2Jfs2T z5j*L>ipD>jZN*Y+q>9M*V5bMuEc&9|4CZB@YYtn$+#?}eVAC`j{XzbI*K{i3qrXnX z4nz8NwS6jkP5gODvuPjXPDLhLm)L3w&D-!VK#uqozL^akg)QQ+HnZ%$NtgxhnJd)3c1iUK9fsoC)bCNgH=<)S(HV!AP|H(BR?-YA)e#z*B?EgQ(tJk7X z;vEjgSnsdxjdybS9|>?A*Hy!n{@UMd@!tnjTNOR0`z}0ux24WI=KbbA+3jXE3O*6j zb7@b04^kR#v`m!1Ao$i}#;lFRFMNu9KtCw{QxYnObyWOw5EE&mEXSgn zZSp1p77QMbqWf*x zE%P!L?nM5ZCKUGRcR7cQhCZQRmKddyBAoMNN~j>iN;Fgf{8;eE7_(+D2D<{3*&%jX z)^^zLaM*4|)(G*NOc_ijWnf5tk>AMhl9CZ z4+R_JSa-ZbR+?{hSa(cb(U-x-8Yv}%@Q9t-lGO~1>*g=~Wy#Zc#VH;3t$BqHs82Va zo2L{?9(bOD)aI>{BXk$P}*RP zKVqEnJ6;u{d|VUmR3QCuVW6JvGjSr!c`+ocd~Q*IbQAXhdbc^{)-OyJ`=cM~K`(EB z>c1-u>ewr^@3P{u72n)oxWCa0o@1U%we~0BNjL_S*ReX%y|ruRCmf) zUgt@?2AhZgLtX0U&CrCq0&io~Wyl%)+muPg@PIK=veC^KOfJGk(Y?3H#wK*HZi+vL z7S1Ovz}(*;jdpvAZTE8{9rDQh@CD0Exe+|(hp_NiD#7(0Vs|SKAuKLoZuXcN+}^si zM8Q|00>m|Lf-394*)2ixe|d~V319d0!y{dfs=kABRf(6YII1V z+Q4kDsh)(46hQCl;S0Va$U+NFn!zaT@=$wxc$Kyk7~G#TUMCg5Kl(-S>yQPKV{{_> zvti@iz0y;RqhG(Qgu8Ff_|ZB4t~Grr;l4}ja7JgNTNx-Zid!!?pe{S0?4sWh{Pi}1 zQS-%41b#CO$Vgf7Q@s?6peF@jF=S&07F?<1^tyZ~XlHA?6`Op0Rp_NC2(124q!T!J z2K7qk+w}Z>`*9GKm!ryV)KMTomkFq{AI;$Y*k!gxBS8aFS2RA*Zdl!JV0?gG(5Z80 zeL}$6mHiCG;z=vx(~LBZ1x3HtOyF^v=9W! z@8K5kh>xL7y#gmfLgCTdi!qNj;o*7h$f+vcy*A1q>tY}MMU5(Itd1O#18xvz?Z6@$ z>dix%qNn=1^m%K<4=w73p{WCufkOCLa2nZMEKp{y2FA{Ad9FXYrMKMAi@sf&@|ij> zu_*8m-Y!k$yoNOspbsHubk(8b!If$5c!Ps*+DMzDZJef!-2KRuJdov7*z~bP`#Njs zgmStlU5vB*yg4MF@?`T-&mE-;p@~CoJz3IRW_8H#U!Fm~F!Xlro&TQeuKFPsX{%H5 z_Y-T)piecPXHPZGM~Y~ye%k*fQP64zd>kI6R9t-o^VFxo2Pknv6dwR7^GxDlkTT*+ z&~?^0hX-EtZKIY{;|xhHX(9DLXv zqxx?nd6>h_aw2WXu~L=4Bzl;)O#p%hm)Y)tSA5xw0p)Idg{M>5XyPHq*lXAOz}t~f zvETsr#|yiTD{p7AaOUZtS$6Sm+_nh<*LWEHa6{0x=e3fYpF(gxVhwo3 zxy017#8fWaS1zpbpV;y}SE{3bv`NB=BfCN^T(V9Hqq^pd|}xoI+Ht+?n1wP~-%nbo8u+r%i^ki!XmUG08(8dx05k zPj-2|Xk$Lw@2ev%;aKh6OA^oDI;lNU3U@Ez>pvdG_egaC#>$U}E`LR7JyN=VMFP=& zh2j?lKaau7Kcw`c0~zLc!p6_Dl#jxfp0%=#oo5LH2Ea6q!vBAZR8LUuXTHr}_KMwS zEawDm<^=U?=k#lhCmq}-9p1Rlq+YQ-Z00=vu;)I`aBtNNH0;!vYsR`ZcCptQ=FB>} z^zV=XEY-D90bn0y9f4?df7K&_tYz+uqtnUOoRsTh6xpNE_O&PG#Q#7yp8&3X6q(>3 zF24a<9xvqyeO0l6sEy%s(hu%>yv2=SRl6Kx4MoC#F^#Pf27rw>6e(|&Ed3STHx8Zs zFADv4s&-WcjP==^-dA7l9r>NoUT*pMroHCdr>!m3w`rM{JekmXWW zH{(wraAK9=ae)k1rq92GN=gxj&gqv#yUWPYm$D05203H=fyMSF#27HB_KqwpWZ}v2 z40NWl`vy;l_z{nSm?elmeS~%02Q{1bo(6YYK+;=BKT;qq?(J<6f|xMFRZKYWDkl7{ zJOv}aF;HB=#=}EiNs^{^HSQGEI~1BBzz-5+t~$1B5s~8CW)@ zjIUbpD~oJ`nVrofCFLCqJZn`d++s1XkWJq!g00)(1QL-{1RX z+YR0N^c`cSA)jr?1Wq?3-(UjYmYciP%}=28-(G5IH8kh5 z83R@=Ice@U1qIu~tgQQhb=CVJ;q|B+T@%L6uUW)OIaPjVEv3&}Iew0E5b+{#%um;MN-yvN7Peh+9C(8gK``WuWOEr~>T&8L5 zippHeY3(?Bc9oj9D_4+&mHbY(;f#_;&09`G)j#mo%7{8~y;W_@N@8`>zJ6Z4a^grk z8aAh+Efqhay>u4d;QdrfO;#;7@Erp#SC`5Y_P9^lss9&3fyf|C<%vvKeSS9QCR?~@ zf0o8@mPW-tDAE65ys6B8!@0S_xyckRx}zY`%m{VbowJcqDYAiS@nW%rDq2E*%O^`97TmN?aS_T2lC0*!45tnei`#wcOb`(nK=cdxoI#Rd-~eD)ms>t0><3z@ zva|{S1Ea^v(slvH3P+~!UnQW<5G2_jeSa{ zW%C`U^?7tMvh2*~SZ-5OBIEW%?%JIu6)Om(Rp6Z64Z|Ss@4bWwVA{%YT)Is358o0l_W-2NdLv;W!V8Qx z$hn~RHxz`fc{-{nhB#c4C4tV+fr0{UOALZUmmCYDKvZco$rK%=fgL*Rb%>we57I%H9tq;Eu02#xOP138Erv6hq?0Vvt+!b zF-4AIRkXyua**!z>$l|%g$pxTIENKJd^aS~yDwVughcNx_{m!c#`YI-tJ!${z z#Y0mkRet4UI+)MR?|arhNvZH+-o7Z_>F0ArQ3hErP4~~Vb45gSli#FfzR}4Q0U6;H zXc`ls|3ScQHU6PcFqWF5>c)4a8ubQlJFF4E#;KcJ3G~$B*R}xz=!pe0s67!C%l!85 z66-++eHOYt+qXHKq75YhtUOT( zY2@DeCVQmoH%(*7y{7?V^`@!EACX=vxwpb!5iieiSkuD2pRY>WwyICGJ&o;Vl|3j4 z-j$h>n}YqFhLg(C$CE4l>-u>n z6A{3P1DFQ>E3gGpoDPys1^?B5CrX;kl1JjG27ier3f8^|=#1Qw+Mm(QYOgJnw<;it z&sBxLLpijW3x##F!T_cbpATLZ{14GKJhWe%nL|uBs{&v^#0jv!{r^J*2kZP4 z>MfD5SVZ&-jOW#fddVO%9DMznmebA2?@pJ3UCt zjVH>Db?x=s?e$1!bZKV5Mqj!;9=iXTP5no;=So|_UXQn?C}fADg0B$KScop!M3->X z6p7Xph3ynZ?G#!x{$($rOBia3g#V~U?QmE$h9Mfm&?W!IR%3>z+9i>4;6*bT#KoYn z;#GUiG|!8*&&}I2;;2jTkTSZW+xrMuPP@`$5S>Gd^qIlVWJz~%Ff>RCoo$$_gq53Y zAe&e(i8us@(v&PA&oNUa5SsPL!YJr2Rj)3XBbhs)5z1rM5bKA@9Z=_2RWaosHjY`3 znmr^}&y6L&?s^`qwoILNReL|zbvQrOr4X$$09KM63-Gp)b{o#Yk|iLggOIS?Shg#F zvuR*xb1^qY3-Z=c0*@g|vj*0@spmB)GC7TpG~uzR(M7rDiXP7ot{tsT9?`WWOF^Cq(-uOgV) z5j%wZ2hug@^mDxWbIfoDjlE=Rd$;(Vf20!SS))$w-(d#UH%CDrh%&w7c8C-N_11hd zMIE33-j!f73p{{sKSW=%_Soa*#}w4zcAqw-z1u4$%d#Qe4zKp~+WX?T^b-|2e;%Xy z!VXS0(ry#e^%eM@>2kb!SEbPe2rv0}f(Wm}k0KU$cY4U~0$3eH>&xrabZ$}Ow}slK zzhiyJ6Xu29=RIVuMN5)`Q+*Z~Iyb;o^RNirJZo{b5)KGOxT~ZIR6J246}$5FfBacN zZJXa6y!+GaFy=3R8>zo0g4i=V%n(IC#mXB|73rm7wHG(riS$(I^<6Tch3Z>Gs z*hdFTN-%g$<~+ojvB6o=A;3@fmC{id81lTe{Qq$F6<}2@Tfizv$e|?;QUV7gC8ZmY z1JX!JcY`ztiYOs)=nj!my1S&iyOEY|l=2_E_r7=E|G#_Rd;j;1GplCJ=D7FlnKf&z z4Xl|2U2Z!;f@>wlt2e1cZq`a5KM{*#EjR5QGPZJft?GU4m(q|Wzt<8|1k+bX-QyOB z5!xf|Bv$$w7g;2Tmu!RE2vvr;Htmgj|4PSYf3e=ZzI8Q=rwt}>!;iPi(0pkBf$3Q^v<{-w&S7BabuC2lH3v+;u1OA+{H<^9rq!I%_UOe zk15oVei4@31MA8(Hs_GBUY#<|)3JMzsD|o}2&%NVA6f1LmqdcG8%+u^Et&Hi4W+Ax zgJR6gCA-GBb@dGj&W&QcO*{X58C0@&pz}&Zz*tsd0<& zKVN~I&T!C8H6KZSGVmk>NqT$bbttE!;pyX;it&Dq64fRoeIbD?dFMlc{)N&$k?q;;Vog!G?sN=#DTg8_`J*H* zL00C-qOd-9!70jXo7&5bU}j-p6Bt`kf9ej>9rXH@$DVXe9Ln_vqh|WD`>Mnqbxx48 zH9huiJ=vmuZlrGIKt&$w+c~jnA&_nN4A!Ee#*epr>0T_K*N8UV>$9izL1}Wl3*AS} z_LKbO{zKp~`}2GILraUdOI^JPm#Z(9T}1r5R>^if6sVl|-uw<=MpH9+sLbZ9l+=Hh zdS;M={eelfcxZ%MB8d*ig(E@OZGkK@pd;;-zNi;hUo?j06)SEL4a6pjOvh zVoTVp5S$V1yq5xAvvUf-+N@`-xs}Y7YcIJlUNVOJ$W`#*96X?*EC`}}7YC8Da?Bku zII)!s$aAGfovsrFT!zMxv`PgDk+RhJ`P-E7)h_jjFlmhV$T=S`Pmc z(&=bPJDkTGXCn)`MSL5h`GxehdA+D8`hao&PQc}|jiGRrAdm_Ag9M@X@CTmTG{ezE;>}mDi=pp=pnG! zPj!6auIG{_z&9v7Y0#%mZi58k2sxTTzzR0WYM$H&=wt>;%{|>`%MEY1C)` zoS=_{sc(rr#4*M#6y-QO*=Vx)m@hN4yGa-c?8^Gd(48LxGfF}8ajWTfLPL?;hwj!4 zlDoFZ^<`OO$>S8J3mHRwl|`3%0Y?-b!UI2%yhi6=br)%>)KwbPNnhTTQB_(gUz z=ncv*#s)%nw6)-G>I}T=>;A{^C29YJ|DVhH^M(GV{x8S_XD@VR?F)c zSWMYQMp@vqre8sAbQ{EKR-e2^a|5fd>^!1t=h+G)uo{~SU;@rub9mG~Y2u7jMkGKi zyZO%7veH(RQFV0O;(6wi+Kl*})94_a)@^1DtB`2Q(|NEGbA4x9)O)+us(fN$_1G)% zGx0QVL|a4LP)g>dPRD3BAiS%CDLl7`2XwS~vJ7`H#VVBP+~@B;ZeD z#MjXOkOq-_xck>pT!IXXb&X%zzb!N3qRe4f1cMiY>bpigiRXU6`neSUh}iDdwol{h zoEDEFDH)PvaJFNCj_8=!L-*itcw*!+(Q~^kxx%!M6vW?ybM{7m8uPZDxQIT=TcniR zhFkR2mp_uWE?1oz-tKN0)qEJ5Dl6oUXf3}q0ZvIeugMab%1;Tl7L3>gxHja<`FX4* zT#9EK&0lH%YWh`vH9swCBJ!~0&J%O_CwCS~`)8^nwgGyPe~miIa7iKGk3|!+qe2s< zLTe15LM2rpU7mX9ev7=X zKHPdQO* zkAU8biC6PxP6bbKp3^OGK$tJ|sdle8imj5e*VVooSo8BwrLJQuN5D(CDm!zX&%_hU$j`g_oYYprXr(-~Dewsl70#H4TPgMJJY%y&Nc9!M zS%zVc^rhaZd4Y3kl{o}!qTqT=V<>(FCJl0a&mam3k>pVE`$9aa^P%qYTN9?7&mH`EglHJ-uPm~ zCt%wN@??OlsV|AD+!!FcAMX6T=;jW2r({dVf9za5GTkXxm&61hWm`I#x}+^Y_99 zvm)B^00*U=Xp!E~?&bX-tdc7H=Y!y+%$(4W)niDh?e~MS1cR!nn+Td13HzwN2UH!a zcATp?!5TYkqp?+s4tj>Gb+@h;xn)%hVZU7Ko)2b2t(FaSP^n8TP<(P_K`qWeSAkzy zU5`K&yiT$;UP^BC`xiuZr4 z13bclJiH2$oOGiKK72r}t@|9vbT;MYwOK+ZPzkx>$*^}!d9s|e$BCXm&T zXqA0}Ja49vLeg$*1?xZq!dpo3Q3Fz?L1trv{*Yef346juI32>T1HUv?jIFf&yHid2 z)G>Du=k|@EnJEldAK^1DU1=Ua$Lap0cefk-ziY;m8C&l`^x)xUnpRu9^qviBZ#h%>>J8j76t9aXWZ@(~VGj=2U`RNPErz_R(UJhYq9S5Pl zn;+eqtYCa76cqJ*cYPi`kzckodkk!zSrEURxy$5L{kcI{L590?$s5-+u)6!~rFDPK z40xF9V+DTo=kL)6uKhECQ1E!xB1xh=X(!Wt_e-wQI3LIUI+SF4kH7#y=wXvJ$M!_h zfAOS+2_;RmXV8Gv!T**fr1FLzFEGksVRZlIB<4JY7iwQ)#bqv5V})mC#xE#H5*!*q zC0}<#)|MOFCc8>(T?TAH^s8Lwt~Zc~r_Z^8vv>^zJ^*ZM-xkM+z>#|{D)7mzyoCwQ z?nkYFORgr0&rP*}Q-i?hUxu{-Y*OqnQMN6i{zPC=b3&{70nif%K*2=qt@||-&6BPF17bwv5v#rRb;|%7bn9a| z3H<|kvt`f-%4F*`@E5hHbCDnDX?m@Lse^^kh)7)4HuYQg3C?KvTxNAustW5Ov)}ns zJ+{&+exyDFGdkf*3uBG+gRhzbG8irmJ;t7erdOS7jeL6l$we6Mck!caurO!OHbJp3R6F6}^Y~Z1-JTC8Jea}0|j2Y!*^*-S@ zeWs!2A}MT8+NlIvs02v^k8lEy?3jkc|G}^f6KNZ!3Zs1*h)m)>`N2;;-LFh4{aeN5 z%~!@#dQNWbVRbP9!r$CNtFV^?CVDojCKiotrhew90J0 z=NallEWdh}z%mBWZpuv6l~EXNqwe1b>k8As|5m2O{rY{8wJcm0f^vfC)DOH{M;yV8 z`1k=@GcLWDC~+S-H45;!&E8^s(A=G7%+OXI(+%=vN0XcW9JH!Fm0xRE-Ay0bE;*1+ zXm;>zb-o1!CcB8iJx80OqzT_o4uRW8^a4p*z*ZJz^I_&>oC`F4r%!)t3d*w_Hy!Ko z+J1?L{t{n)1jMcAy0$r>)Driz9M2uSUh$eS%nBvVmq6mBE9BQsA7Wj%nT*zmEMg>j z)V#&uDekZojql&{7#*U47dUhS9EtKzll6}hPItPw=9f?OHJM)9>q_5qZDRmRB6dwzlMZE$&-nU zK4e39S94t#@o+fwz)H>YUO`N;_O@MPOP#OK^!!{9rdzoeYDPIQ%inv7WGG(GKC!2z zc13?3;Fw?Fqp1#D&8^CAWzK;uYgDz4h&Bie>7zYL`5rWLS8tKnJM7CB5K^R_7ooqe z1>q49ux&czn~tR>Fzjc(O#;G4vx73*L26`_)(%S}axjbPSui<=INLgW=kAGJZX~{N zpKxXNt$qt8B;ZtxCayhoC~35b%hU9M3vFN92dAlmN$hSQPAio}5n5*v8l36Ys;AC{ z_e0rUe}tgF0hgITn+V0w94j+Qb<~NkIa{onrkwnP7;2OfhLC+nzf~R8^CMX<+{7AS zje((prp>=@zX#o$H{%2S`H|Pa+U6~cZds zf1R)z7NP5fW@C*Ej~UQC6ZZPa^Z8R=Yp6wFrvWR~k#5;UGJokdCyw3CY%y?qz4rv) zj=k%Knay#eQ{-o2i*SsWGJOuUk1+(++?9;CVeXv3IHE~%3&c|fTD;vt>TcJQD(gjn zfXvTn)7$e>PY4jr|ZqLOuzsu;sIly zM%Mw9sQZb$9c_9Y@2pio$kS~GA8#u?5nqKaRwtj2Z5JtDJx?i5EzhIBZWotgx9^b~ zxc;*q+atGd#b&GZGrX>6*=n^Rwk{A`qULF`=IOkz+ZL`h#B)2ub1c3U{Jv@#J}PUT zhScAVepo8-p@=u1|1gSR+=!Z&ojT8WMYkS>eebBt57T``{EZGa-THB%Xu(Sr^v+d7 zKVegCiVm@o39RrPB8?!uLINL+AlxVv8w#9Cviay6dxog5)zHS!X@s3A-QMXXrEumrAU^7bke%KY=D!{jQBn{;Fa-vL!-TU^8DU~8I1dG> zV}JV{ZC#QuY)B-BuZjmn#RNI}Llc}v=n?_8;rGqDQKN!DV04YV11uELto=zv8T36! z5|3^l;Ng;X>d;yR%&pb$r8fSm5WO?$!6Tc~>*;SEvH5+6|D1WN3|2d4Gw>N4mM7;Pgo%`L4cuhyF)xJ$5(=>pX^&SNWh}B0rzF zph2#zqK}?0;jMRGR)ED~t6&6>9DtAACBeix`t>{>h7fntp_vf8qP5Lzt2i`StWerc zOP_i|H!38Z?dkYGA@H&g+EF3CtP@4hEy98V_6HJ?K1CN{@gY&=oF?m}6J91C{#Qsy zct_HI5*q~Lgj-M~shmH^I{EjAN0dT(vXPqm%VG_t77w58AmZ?}MrQ=FG8; zRPwQ?Px*oit>Gv)-vM5-gHzsBUx=5TK=S*4WFIT?SWV~fzkw=sPV51)kBwE>EE%8H z)cH>fzx<{}A=q#=xhp+MR|9omcAYb_O7?oe_X){mBjoB_!Dg!p7i3XiFhcU=U@kZ8 z_)hQpNcQTY+&+`yD!+C*eCb1d?ybQmX6rA<6nC5VYFVWS`bLAi{Zj^gTl=WDAQWO4 zn1M-e67NqV)87NPy@~pp&-ul-19l{+`rm&f>NT9(rjfd01$!4`)5ubadzz5X>@CO; z6lxP5?&}9!^C|JV+s}odulR4|GC!a{iwl6D+-ms>LFsx8+*lQ^Py6Hp<-5WBX1)Ci69NzNT+fiRVO?k~C+72x*AJ($JkO%VKeT@AUmP&CUk_XwAhKUC;W^SEJp-S@0reu!(c^!ui^Vq zkCq4UeG@7Dk_)G!U?gd2-SEsoVz41yrO+z+woP!aq0As6cY=oTQRn;KzW2Swzhk`r zB$SjGl;loq6NCz3mChsL~ zE|Y3^Yx3_Ba!BrN*m+1-N)^=DB~{vn<})}XpZM5B$HSAmpzbAOLET0K0bM~IT*t%V zU@Bp`j2j@6wI`Ww+p++U7@wmlsN-|nes(aG6EUs>`-P0ImZ|kx7KD%MB-NfUxNVPi zTB?1c9Cg1}Txam)?K6o=9eou$V@#BJv1k&A4%xG#W~k5jgHg9&r928bY7(o4u&gu5 zOikfLWNX&+7dksCOMVSE+>lfN_st{X7maRlD%N*M6rqp|p8$)XkLj;chNg;TcFz_Y zGHoX_nBsLwYgAfT2-;Z)iey?rnaW6Y&hpo0Kxi`<#1tFG6i;MqO=K`R)AKviYt=-K zvKSZ1Ocu##Y$-W!g-g@%?^?eX9Eos*lw%+BZhjOpWuu*Bqtyt4I|sqb+h}swIt`u{ z8$K;2j@TlOunLB&8iu#V8nwq7{gRs`-3ai%&x)skeat!+Zhj@Id|<=US@2aWljeOf zZF?jQ8?2KJ27d~F@f2R1Ns~nnH;@iDln###UyluckV(S_SqS<9{Q$Jgda5AP?ARq}Hc7l5{@g}zq;uvY+@dQ$)y&H!s0b`4`+?FY}w&wT{92`W!Ghy^?!ekj=HQ+_kQv-kZt%ueljbmYQ9Ix;F89ZOEyF7&@fDCrv; zK{q-WkCe2Xozfehe;|>O3ua1h(jxFkVK5#(`bNdSL`3oBk|Gx#&^Hdk(GlTzr2htK z1lI*PiIEG}1O8h?FG^wH?ieL~Ze)wh1b()?@<)y)%KJQKgPf`H#|>pS?%8=|ETJ&3 zqU4-a=Dok`i}Yj0pD+$-+WD0>#+Y|ft!Z}au87cS!I+pk()_`i5rzG!^x*!#HdhPc7ma@Vs1yj{?>MjazJB+?{tF~h zRj72_ZwXtdh2ka8)xyt@+s~t|s=^rgcMArH2B`Leh>NA7TcWcc>?^^BYw1Wx%QUo3 z{Z%hcguK5P+RpdYx|zi_KOeWf(SEaX>05p0OFM^EpM)M%Zd0Mk-;uYfLP-N%pZ<#m zr6E%TK0Y45xjlvO6{VbtXd1<9PU*aR7EO$z*XBmef!684ci&B(zh)UPPW1D_Fgn4@ zsYzH_IrzHTTUMd%t?=A@eRU7I(gSnTm`t*OkE($Ji_}?zbau{g2uOpx7Q^9U?8fV2 z?4`ETMODQZ7*SI!wbQk@oX-?qQ_KSh0FwKUEFeVdVr>6Hjj&T&BXK6`F@We?jKgN4 zp1n|01v>ev7;~2MbAcuX00DyZPS*w?fI)s|0qFOEfu^Wm9SOT+yyizxv-p=Dz6yXY z%eN%!5x>Onc%x69#(IoI75bxyhnF>Q`3sXARu2_`B9Ka?uzD5&AsngXFIaEu?KTTy zNqcG7&aflfAX-20FGaj2u_Il6cr$rX@_SJRQgk3FIu=ojmr#qpdvAUJ8!`}W!!~Ni zHrhv;+(**55OKZ`Arw|~u^cslPEc6iEg-~p! zOt7QnheJw5NQ_a+`T5K5rMt_33lRxe3M*PtPB}50;k!cTWbB}tP05O=DQui>Ka1avO_<7{=&G?nt{K{_l_nPJJH8fW& zyjLs%4Kj!ZnZ@1krN1EqQRu4}(pNDp^RV`L*uG2Wz6<<9oA6aka6>nup?h(+cxkuz zd(GDO8m222{wtQiKgfR!1G=})8}GYJ3_me(34O7loiXQxC=bDg@iR%hoD?J7Eyht~ zl5k{_xQl^HhJo8ECebb?QG_#C^fzQ68vM>5@y_4ieyZX9RCWJ#b^iy1Q~~d#+Qewu z#b}CfI*V}NOcF1cB=9i8NHM}%ekTLkZ^Hz?lQOs;4m$SCQ}x_;kGvTlg5wj1f?Qt+ zF(E-;tLXusB~Q^;r|K;T5Z#7xWLgLfP|7}ynV`gf!L^` zNzarbpM~>x8T}3_IjX`HRpEnwl9A9f_J!q-iHcp`8CPua`lRc5B#>4w&@Z(cZ>kb! zs$!a~Xq>E=aeYOf61=PP|03JvG=RSe7E`c<@!ytykpp71m>>duRI8#!S zWP6^&An{eGA4flr(Z5H231 zKttvk<#;rjo>m~ocgFB-JtqQDFU@zh0SMuUdOkq-C+m8U;KYB+`e(2I^3v$7KN{=~ z_^kF%j!`y4!r#TnyN0`H&Be&e&G*d+KG7oVP9W<}Xgx4#KQJk(oh+)=V6t^)vi)l^ z5H)lw0Y!aoOMQ+hnwvkGJ8*^Bz%8(-wza7CF_W!5lPzwy7HPLu%K>5g0pXv>WA@wX zb5zk4Jkb^YE6j*ry$sy~)#nzk$-impMXdB1{J#SL@+e`KWA|YB3AFSbM0`3BjuzV( z4Br~`1+~@v=7lz+%!Gm#g*&?lb{{<8MNzJUAGU*uBpa&Q`X*#LeX>gby^@Qi(ONk} zBu{Rt!y$o%uyyrZ>TTJl{JGpOIA%JwbzN*uO)6)nEjMQF`Vx{fOjSA6T5XI_)`d`m z>B;jAarvX!Tv}hjHT;7?idPSIR$?mVPvx=kj3419O-e*gN;sO}E1KXB#>q;6V)_X8 z?-+pBYemIZ67ZlTV7-Sn6%>vHfA$0^P)r_$B~D6&PfENn!H1jRcgD%mfMWV6%;--H z#h1_ijt%<#=L`ABd^c&bMPMoJZMsQ+QNevmsXF6AhM*7S!-PU~nvbKAohRXXF)(j! zXS@kx03{(iYXFGOTl?=>*Fq6rSoqPmz&Dw@4{CSW;!2&@Spn7x3pl8vCqXhdPnUyE!E#)q{Pst+MFC^v{ zf_LW^lKl%2!}x{N>7_j@yvwl{ESmOAFTiCgTOO^$&7EE_y#JNZRk} zZz}K?_5Y)|h%dS5{XfSmdzbCnCf=8VwFcP;^&B`0sEE?liF)Z#ze%VVswL4__4w{G zp`x7)?ri`iYDtI!Xzf3-u7w-|AMjN8znP)B)5n}j2_Qh|U`~Bd;hzdLC22`0+TcD0 znj*C%1_5D(P|*<({>cK+?*oI5UB5csn#HcR)oOB)lp*kJAX+YmAyAv+RRYx?FEz4O zo})2hb}x_{+vz0ScGDnBIYM$F=Hhq0Exks5uz@-H4fC0Mb8W%KOo(Kb z*Xd}LB_<5vQQB8${rR#oVsqvus;nbkBFJy7(|EgzUx2B3>&XOPj|hfY9;CVcol;MV zQ>8FYb3N?T!nF5O@cG`o63?hGA$mUb@Mn@QRH1NHXs3oT4Jf8jVMbA5iO(eejsbY= zIYG<0?BSr4bV(I=N%b*McQR0SGf*cylXO;vYN$ddHH?iw0Sb+y!jhgzM*oRHBiQTm z_d@^qay~Lga`F+cdnHR)I%mifV07S*TG#6gz=}5Fe#l$n26sLTFG+~` zFA*9(^%p=1=>40zjQ**958k@In0c?uo#}_KUWHW1zL<(i9L}ftq}|E8E&bmk%cYEQ z!}-gJ&M?re&s;719|+LVW3Ki>t|lBX*Gd^X|1Dx4u(pm-(oS0Uxbq>7)|`(Ad&#Px zX1Q{-!B~15k2hW%7sc>2Mjc7XN&sGx0-L2$?}iO%WIWNAg)_?g_sg`HuIAF234k+r!@mKOL<*Ob{HgRjnMo z6S7B)iO`$GsBEhuWyEr24L)qtWvfX?tYUUbwFDP!ENFe%saUl$fK| zD9D}XnBp|Llv|U-eRJw)o@g9e8Z<9h&Slj5C>MVGc{EZmaiUAYE8{Ln!6CEH%ayfi zYvV}vhGNS|_o-7^M-rh%;dIQbT1vT! zCOHx|WF|Q$GebS(Lw@c$XFBJ{_xcUW-leZ_3TFpI+iGQPT+EHsP-Cf@2`GGH27B0G{`>k&BlE-SK zFKKDm1ig)OID>p_C$o7^Yn9#SE(5F9*ev-P4zp4s^>d=KT4qWVy;bb%qn--aU(p7< z=r2+*2?`l)I(>e_*rv%C{NKoFk%YBBJ4@dEzOxwv9ZQez&va(nVta*NR-{i;W)-TR zBe9X>n>VE_&uwLPv#jdM>$S~VLyW%98j&>ld?LG4yhOphAZ;*J*;*D3fen*7c{BLm zHSpE%ZKNV`hQlmh1%9i5DPY$czuAsTvipfut`_|bRw!T~YvGEu9@jIryOi8%_3ga} zEk`(sY7pA7k1-~u7S~#R_tyQotyA~80k)}w?Mh3o)sON?)~8$BC95A-Mm;Mjex7yz zyn6NXR4`%y&j^oX&?qF*NG2O!o}o#il&-58ew!mS)t2mA%R?uk9`@O=FEMwh zWh{7tU*q33k=>9ZCbWA4?z!sfwhU-u3afaQ@l~jv`G7WNbG-OC_g0aYJ@S%`y2G1O zJvw?IPrju>RU(s(?VK%GLhFQWG;j+qQpB3Qd8p7hR=>9>D$bj*(2wLKR0vs)lyemP z+{x;wMv@zk)g_5hhVNwamOK)L3KB{im1nX^b|F?@B6J}zcC7{+ZEg9dC0_agF7cFG z&ob!LwbR^iMtt;Tv*`vTDmo!E+6tf08tg_GUtWyX*d(6DaHH4%?5^0Iy01Qo7E>k3 z(XkayB1{3EH+&)XkS0hnl=y5RR~#u<+@o)(%*PU%tLpN6n^l}XwiSv36C0E7PC2Q` zpH>^||B>S|gH)&i`-F0usyRwXl|{9!p6JXpgz)4Muh;GN9p>+;i1ofZ#u(DmZW%0a z9K>j@buNH{U!`H7_Zp7xId*q7m!6J_!reVC#8iAun4R+v#a zlaaX;ExMWbrY|eQpR8WcZ_i;KoV>{Sd2#v5tCce3wo*~1bAm&BRX`4HBimF>tYNr; zFG_IGBQ{P%<-2AGCbJDH8#Vnzz7Yz{#%Ln&49k64gNW;ghSC6#%CisqCT@>`x7v!6vs+Ce4?qWMhXt_wd(!2Rn_vd9M z#hvEnr^1|Qk0mrJ8*$4ryFX1+}?(|%_8qVyqhC8@!CWi*LI2KE8mnn!kUjq z&dB#TyO)1R=l!hBprplhR>WpLK5dNsVyrkST3hGHeN?~RctU(*&*S)obnUKV!Ml+g zDL(?*_JpOqoZ05E3c*dCY>u{- zZt#WltId1ZmkN#>+J=;$^re^0yewox^VQ+r;uX{M-F>PQt*z8w|6%j-n0*CMmYhaL z9l}~|F1abLBFxwMiZxq&LfBh6$2)@CD_*6Fh z@w8WjUblpK10z4Y?uz0$2isP$&ur7z3J4NC*1Ms+mBbKvShKa5)(;TP{AIR_owK%; zP1-;y!cZw9c4RqrgvNxGmzFC4E{lL4*JqT;16KTqk*tME1W9YUWP7?KTUIAq7W}Ej zi>DTN5hP?0Bzf>)3#Bx!toK_F%oJhovfBh+vp1sJuGIEFsGgUGY@BG+K}UWeP!XrLFp#13az4@ znbLZYEj)Ak^q^)pO8t#2>@UyjP0yOhr%N6(n1<9PP7+?e%9Cq1lGc;Kamy_T@40-w z^CQXTv{@&eX87UBpo4-h(0w#tRvTn2n{8>i?E)tGDUJ%dI6A`Uk0b1B(fR?KZ{8cL zpRa^7i_7MYJsv7`t0A_~2J=vP=mZ5OxWviDh^O~VCJoEhc@fTWp)Dj&z-y9+3ezWk zY2p#ER0)P?44Zg7?*a(E}W*v^9S3mZ<`z8Zs_P!g7f=sGOw2ij28Y}q=MOqJw zTyC5ZmdI=%Oum3;X=L{1*?7&}qDLH6eyik}&?4|!o$@o6WD=(z$ktakNHl3-&-J{n zMXe>1N3i>NzdV6!jHMdF&vS8SKD{H~d!JH-jMd zBYSf^QQ|h97ReVczjX6KM2uNmw^i#K2mLE)3x56!vgDpchyPi4GGqF{a@TZnT}|)-PE4X{rPw61zFz09reE8s5z@SS5hJa`4oV zrC$O-N!=WZ00OMYKeNm*9$%9jnwJx|X#XMh?=<|bTp{38T>N6Jk~i@51S|`6P-`D{ zf}7)Bx$9{-R64Ijl}4Rp?zXWnSGz|>5BVk$U2R%d?yP<7ZfE%2657#on&=K7?okZP zrgk}arLU1;3JE=hoK{d1bqA}JW<=qBU{*V;hZIG%tqfxBQw5LWhI^<(s0y7K1;pbE znPP1#7%o^R5Qb;zB6uFGS-lC*9re1fcn}7?lC15YdO~*5mLrd{qjd>I3mOxZ!Us3A zqHJDh>5#9^!YP{%pJ$WgPcMpOucpawb3R93ch`}bKZ5D-+$ zKDfs(OW&k}##1Yz%Wy1xdCxIsc=!@OME=zIX0BJ$WkKDEb;0qFKF&)dC*5tTEIENJ zw52?oi{dvOktqd1`=1T{C8w<8ZUwd5@LD*A4=sIN)0Mq2rAW+)C>(H{1;hGyI-`#YqDpXRw75KL)jUs&eFwR#Y5qX?&;Hp z{u=Djc#DByk4t78&IW7yH7&Z}Q;X+AFYi6Ob|TEo*mxn|wyE zzAp+X(;<85&y_(`JVIqS^YUqvJAV=;q;9PZ-|FT zV7{^f4b9C@S>VavV(uwibGkw6=~^cwBW2Zjs67sTkOc;r=#*-)S_#SR?;ADkBrN4@jaxf|STtdK4 z=)(hkLOv#1UrlDbL#zx*OTB=e!ZKl@TQ4ha6qq<({HW0Vxuh-sO)a_1o=k7$K_aeF zP95cIw=pIyH9YmM)wP6uer!+ahT;`jj~DC8XHnXASMlN>h6IE*A6WZ83HG%*xCLsY1!^suQ7gy3vf44+ ze4R$j9&R;dK2R!tk})gK{*QXNpe z1P)6EO2$ESSM3=Mrz76*1$UG=#=Td!%plLfU8-cim%RIa9z(E)HK_Tz-YY}x_c$cA;iCNI!AK>1*}c!9fvEe^24b#8i(0{$?ssc;=vxVM-N4(e&!Xl^^nI zmY=V459(XzTCJmHf+k9uA`>dG;@W%LSFB-4@=0o8{DWg$*u zU=qU(R3Oy`+i~C7*OulzELl@cQQ?9dxp1eSNacftv;^GbE)vnmk~@!Y!9LD%Lo9-Y zT382eobWe`B#aPYO%Dey-3)a7GMGxItxA2OUWe4S?suD}I@3bylA3ME>*B|Jee}d; zmhMY54s^j!TWpJC)bIBVOoJw&odyxEL^b%kw{$)s23`^qhD=_H9Vhr8WobBnP%agL z@Jkl!ou~_=+{)6BRKn5sfkvWvH!%53iBocQi{Q(Ak`PIgF_3_2JXAtVs>p!9rjbSR zTQ@@88GfuzGktIvt^JkDT}|DjC552J$LPQzUoxa#vQ{iN61+|YA`62OjAKR!BKAqx zEhqJ$Qu@^Qz?Lmg7M-#63U+?hLNsC75va8XQ~3zK^^eQRfFC|K9~Vo!Se;sls1-I$xXO*33Au~BDDLYcK-27NcWRkzvhy*5_HPEkO{9@s6dJ*TmYzLH(pLO#V5v#L4%nIgVh zevI-l#;eA@q%SEa_Dr@n9T)>bnV)Bz+3Y*r~5LRwqV~$eX%t_YI3E`E>ZCKq3 zcbdMEpseJ<(nZw|xgyFSWvtV29ed6JT9bv}b_*>@Kr&^Mw!$s8X$Rv8Juy5>Awyz5 zwV)Z%Lb)t-GW%QdF<4mUJTBCDx{Vj>7RE>4FJ4;w4wKD8)I3+#R_R7uqjSqp{2SUj(_Im{Fly^>)=qMu3O z2I{Vip(Ety+4?EyTc@9b5FbS&*|+FNW$~iSCSY9W-$}^8x~U{YURE7NDdkyriUc?> z6WuN!Zh__iQHLFI|rGXkHOZDp~%Bu+mM|Mg3_G9T93iXlEU08KTD>SP$pPFvc>EqheN0 zjHVxA_Zw#SEA9DK+C$Imz{l($-JK}cojAmfh{`fBUL7womNSnVHjh)*Tn&xpecF9@ zn!Vj3hhSt|hh0>oee1U6U}s+IJYH6Dj!Kc+Qz?t%kgBKEr`Df!A|_|a&Z6~0stBZo z)|wwJ7@pBCj~CpzIN1z&b@{0vJ+o?tD;Zh##ZI`R30!s&p5*HAbE>CZ8P+Xwr(u+v zN7MpG?R^sooshnE4{cJT?I=n7IL~(R!&hQQy{3Mcr~Dzp;Y=4f*w_iOl|n)v5}fe% zy?Z?^Yb4#IB(JxQmou=%W(t$-Dh&*Lg{6b;Xs)bHQSBC-cBxDWb^P133V7*$B@hd; zJy8;l0TH|8p?AlXd6tOlRpCcr-zH$z&bmrOv+U0mM7F}V*TC)(d4JRikxq}+2M7PE zCcr4yg59;OsBcG3fO7bmwIi*GGUSfWZ_t7O1LWW{D-R$5sPF$~tt#rX0+yQ!apIGA zqM-kpB1r4|t`QJ`!pJA@1_c;`K(7ZOchZ2uuC%6gKmZE)0O4O)VASie&YlmrseD!% z1PWFZ^&NpmPZrQcg&x9fm+awTMGB}F5SLRzkrSO`G$=Y@A>B8W%-ey&_9!t&Jz2F z{rY}cJ2CnpM*H{GNYPuJl5*12Vi0A`*YoU#-Jy%@(8PX$Aj1Jvnv>^0n1jtJGsi=t@WdeD{}pI4f)YgI2McLt@46|>*B7k=Hs z)WcebexhxH{mr;x{LmNiRppGQX-M^K}I74dQ%% zs588k`~~s#(Fyqx0p2`V07%}LC&6VbRTWOfxyoBF;i2vc+V8shMOL92pr=WTTr~P3KZ{Tz9)=~_M)yq?R3F}rsVtf>0{JD2_Fw>eKvPu_{+7_ZJ^M5#d z3$UoZu5VZcQDgua1Q|-CQ(`D7L2_u2Mx=)ZX#o)drKKH0y1P3?x}{+#1w|yKOYq&J zcm1FDzMuPhzw0`&_S$RhJ!j^ev-e)>x7OH_fK&v8&V&gi?2TCs_%tlY;ws^y`&LQ1 zF&P(g*|vAK4?46YD(JP$Xc=`zLmbUuljO6nVAhuo28*orYB=aSfu(iP$0>M#`eWkf z_GL>Kg#)LdtnG^v1C%wfLiPQB9$%}QX4x0=&DPDSR>~Pc?0Fl4fH^3(3l2!p?o#EUBAsZ_XUooQzt>L{Md)Jm>RWQ89e++$;X@ zBUvuh%VWV072T8zQ{J!3X2yX=e2S0+rZL*;5YG`3^dszpG^+<)LvkRk~Di+-UZ46(h=LJVheH;2qel0P%I?^WOfS#w9 za^rIG{SWLscZ2H@CNNZhvL9@c(#zoO6M+}p^~5Gh@6NxA%5%4q20#n(_sV*@WsA4< zQQyCE^luvIqYyRhF_q>CX8k<(|DOuBx{N?AiKCxhAJsckp;+E>H zN4sED96=r%B~6>!l`QG-LbBgaCYjiDO^r0baH?e$tjH{{==X4aWL;00HmwerdPu{! zaSPQ05%4QN97xpICeFh}))|BWq?`Km!+|s@YdfT>*BZzxsnSiF0*)ZBBx!H!yIfuzjkwf)(W&AADO#9juOXd6XyVr{NZ}3aQdD>i}9w1`#WQ)kPjcgfPn|)z87d5 zH=cYHjd|7aM^~(zJ;?|dTyDH8yRc8(5XGW?O$Np@PX9byFf;VpF2Bj& zR@QAj=pCR$^AO5r>u0P=9uUg|u~v?H7Vk@%H^ps}XxkEy9WR0RDEKJk2A`13i1lrH z4#jp$2TNdcx86@CIqK$${m{ZWb1K|}t7HPe#>0z~AexnX{$!g%s4LgV@n;K(y3B#S zPK-4h?FA|Pm~?WXOu-r*n~e+Jw1O`P<$#O6fD^Ow@k)G&W}*rO&j!wraR7q}gc_;i z1^Lzv;k&%t%{9)7xyLguJ)GPdLUp1SVTt7`8VADx^V<*0yS*)c#Ai~8H#HFN@6{d* z=5fm|?#Z*Eq!-oR2~(V`<`UA3;SQLAd2l$jG6m)*5!PTFNCxH8Cl+0G48SBi^*(8V zCt6R98{!W&X0QjdaW@ZkETxyqQ7>iUI%fPo44)UyX$QdE>UU@Sd`eN@r(ET}fv^62 zoYq()=7s$gby>oP5sM~n2*>T?S7N`cqZ14-cE4_lEq+MUUiCe4D413$MD%mx$|ut` zca8cmrHr$d8^(Re;zvn%jR7yDMtV&X@s|N+e!5E!`nVdi*5q`qs1N9s)~UGO=p4N3 zCOc(yP63V3!4ZY1mjQ2j9tToqNzYg(pgQmw!0|FpT1tUYa^-7$P*(-x#33qWGK?!sb4x8+#ECGfY{J_c?P*JIV&{K#?mO3227VLv_B5(W}WSftgasuB9U`cV0w zMrTA%4iioc#Na{0kR`bP@xbcw0J9ieNDLk_92Pztwgk^yhG+hT4GI4(x{Q&g5rdPZ z!a6K)%F`vDBX6d{s8V5_7C2Sm=Z}f9(0Q4hcdelAFFk%91PpGcQ3r=bp|9)Vn+!(J zxB6$rx5;Ji#*0ptW+%cMH-AjXm>K%A<5rxAm(lN1ca%+j2@Xof=_w?Vw2Ka;uhF~O zFX>l&wu4*Sz!%D?U%7kFhO{$Lx*Vxir0Z0q3$;N}+MqfT8M+f0%8|Y0NW@>*(%l%* zk>Ap{Y)Iemg_;az=u|RKvWM^~g!3tw44SAdLexc@iNRf7a>;T?93rEWadNHv{)bN^ zmx#%XqipH8V;{1$+v?g>@yK-*eYa+y+#3+b8c8se>~|?7rc%IGZzjnwok;8W>_Lf# zTkYV+7m|Gyf6gbgI^3xEOq;6kPTSfMxeztBp7~F`Zi>#YZ&u{Qhh-r80V|UoT6i&~ zSN%l49{*6t+^Lx@-JaQSXn7c{&-0Go5f3@G(zh}Ajz8wT(?W#;YhModvE}*gz#t!O zi`F^RX~h8rx_qk&4sbh43eU7b0)%44X)e5|WN zVJ#MvYl{@t6}*O@Nbz`^Ud|F~+l7ENGJch z(W{al8nwT-R1=0v9_{aZqnxjLJEb&xac@kkql$#vPFhjlRZ*X0Op9tvtFub8yGoPW zZi3rR^Dk_YZj5N>Z)u`2tuQM#6Ld&?4Q)%HX^xlRGja8#=^ulZfqpKGkiq;J=s7o0ORz3%v zcwZK|Hn`p9xdiZ|7)LA!>uNthvY+1epJB(}8@=hPXG%cWE{+vj{u*W(gT6=R^FZG> zJ7|zJXE*ZG3Evjp(5ro$z~bSn$>%5R!nQLr#{CuTsAQ^|zZH;y9Q_3P{KB>gLnXdO z2p1en$YlkY>UTAYW_XKqTis19%J z>*MjKx1(uD;yd-}U6Ovn!8o%{56JotlmKC>~#efb-?uc#g9W4;c;$H*)we zkM3cM?HWlRviVBRX|*k?M!%Hn`nacdY1wZ1kYQt?wobi4?uy&NjgKF`LDDigDl4@= z?MAYfzWn}nn<#=KPU&N^V;r_Qa=X(xc*pKy^l*pil6UOZSJQTxqI3o!X15=HpQ;LD`lq8Y|t1io{mDksx7pIT;(C zcmJS)wYlV%$(rjxBLA#1RDs(c%vnl8hTy-*UBzk*6aS`L?IKMhOT&BRv zFRZ+20p=;0G3enk*~OFR);ETHqu7JZEfT~LBr0|Z62)vOXdWzl4G~#$95j70+^b0I zhaOJ0=i+;4Lh-q7iD+fbgIUj;YnOY`ZRXxQk}S5jk(IpGhV-g7Yb;=77+;SU%~J9C zaBr*d@HnoNV{(?X=Pscx!MD2#Zv)x8@4*Kj8xt*Xu8r{|k=c@HSu9t7fHrP7X5NBN zf5?I+yvg2iMfp4HBab10sHKj?aqk{&D{f^-wk0^oi&)-QhFJT25=nqrE~Q<)&;60L znhtK6-aa#MHGiQ3K)d+h!`C?a8nujd7ksLd5u5ZAwJUOk-N!BGTIa{v9@B^41WF+g z&hS295xcXP#Q54Si`cj7fxrw416{fBxpCo51emP3Lqn)y>cH!}kF->SQ#dEh_)w?U z&`r^zJuHhRiUiK=*e)e9kakGHcwmf^IIZPGL!YJ=DJZobn0auwdQ^Qw^fCcsDH#Mh zv%gsQ-CnhTIC{-0*9}2lA&ijx_a`~>Q6Iu=?7IQ#k=T7)isBE04TFsPh~(GckQMsa3^;(s6J4b|GDdXs7(S3|}{eiVFEGJRo4dw@-0?|&@yzo5H z19IdeyzyU=B3TYTtI(R1>?e4cKg-Jrm&*n0vOGqQ=sCO}e>i&prg-k794Iian|EVr zo5=uRmN#+O7NNj?hq}qp_C3R#`6`|$Km^94D8N)qp$JUHR(50L#w-!^i>A?h3G;Yyy$zw%zcyzPO-w* zwflrd3R9ze87)@$|z0UoFJZ0Cgc>6Y0ZzWO^CqtDR-~^VMQm z`+{XI)4u6HzucR{dbL zRAmm)e+0FLwSxewFaY}ml-6cGU?z$;JLF(&(89yq)&(m1$}St-CGPU zNGk)!KfAAIGq~;HpPQ5I$2>N$sBE2MJeSJ#Gb20cYv3uWUtADY4S@+hXN`aMo4lrD4trAzN#Xin*Vd1|djZ)+&@p%w!7i_<* ziu{JE`z2;I(#^q*8l@+;Q&1ERKBPgTo?ToTgGy1a3m7Q?gT2u1ob$lye zA!A{EzxLWmtliv?U4DDdDSrD%ySY!c%Q!P#_(T6M1)ABqHlSufArNmj2MQ83j{cQz zD9k7X`uOd6XX{30y53a0p-8ryv#fEPD9c`V7W*<}jZ)_ksy|OgDV)9$`@9LVi&U`iXLN)avb|v9aVNhv=mvH&@o(5b^bh;L^j^fF(Zhe_S?sD+TSiZ^QHzt`C|~SG z>Ffjozl??=ILye#*W2l?E3z3H*6e;Z&}s>JTN?hh)Z}ZS>DNM?lQo?aR;?DH|BVeq z%XcTh_FMGt>V)OHfnYQJiXp)95216?4vPPB)U@sStaBn+^z%O)pSu=4Ct)+BVl(XQ z)9&um{@s&i(LdOw9Iw=!wFwS&W!`-j!z-_LukBx$zvt!NSc##iP+buB(bb1I+;eX; z@n9Wttn_Tet6A7*e#V3AbKiW5luT6hI8xmQIa@Z8ml~TM-P*oIwSB8oSh`zSdPjL= zM_H}uk<0(a2BOQ!8DRS@`ge7P9p&CCL{x7}9)Tp{_SVfC^U?TW#XlCu@!onX`o2ZzQ{U2Al5bm*v6{4Rdmv2~ zKda0LOBZ;Kzn=}d_ zQ&8J%4YA=0cYCefG4&gONePue9w!nQ-r?~_-?^3TPu8-S8FZ&ZyiiuH*cy*Y8C@&6 zu$`QGKv2`fhcNeeV;zDp_ijiw*9sLd6JsTDwWF6` zng%55NGL}d8PW7%OBB6c`)(H`^K#~f)~mb9ks?~5T_m5daS`G#iIJ7`1B=qw)|4zR zQ10V{q__~Wj=m6b3c~EQ)wY{+X7EMU*#)Pr&$VD>?yfKj!dudA31(G+`K+%Yjbws3 z&FgNf0Aov(c_N2Dy1l0Y!h1P$yrO%Q2Epy0CeDjWFpea5VIQF3QhonJ1t6~WS^#=p z#-#&H-Nv<5#PIj}_a!XYT`jAYT=FW_oxyc$)<4N~)<2Dfz!SE;P$_1*{ z9b)4M&6$m?wHX4Qd~#bQ#}p|>P5(BR?=C=Iu|U~E-L)@k?LQROs-`?YKWsSCdk1?} zfKF1?qzEiPE8%hLpQ!c+(8a;bltf2vaQPSIXK5mD^1ZF&CFop1U^nDueh4{6%vn8TDsz$z-uT zwKE_d`@5Jh{3G^)#kDKsowI9pxx%IInq?an<3F7*wh$%~Hr`Rz6Daa7{1u&1`iP)W zxJ%tV2q$*!6~LQ0yilG?FypuPSnf;39Y<{aS{X}W{Q5{GX16yNaZ>eXXK%ONbaS*C zm>hx~7`0E{qXb8}z9h!4F)A^6N8~k!?Rnn#{-fc;f=Ughr%g%nU%OL(ZGups)6Zc@S(^e2qKyX=hpYDZ{hu zey->%d)>gxg(nBRTzI12?($b(LO=HLXZ*bOSimKFpgma-eLZ#hroOB|0w+1t24|OF z$>nwAj39f1_3LY-16}DcQT2oTkDb>T6RkTT)aj!KY{(yJ)$d6(+Qo0^PjfLxl@xOO zqxZgwnXKpW?;VJloFh~4X--hG$! zhhqB8>jez@ZF{HxS_Oo7Jltcx%qvB^3m_Ke=|Ld|Lm7lr@SWcK;$zqRj;EK_*HQAm zRYDutm${}IWz!9HkAe66sw;ZPu`bmGJ$f1<=r6A{)xFqqlR!O0H?VX1uT?;Z=eu=GR-saK2|uC%O%Dn& z|DXU{`CoZo{>a_`t#STJR{!X_0%t_oaS0L1>=gsd7$KwMCZmHQLM0QS%wL&XyfTLw z&%lgz|H5Xlz=-z#mX0Pu4O~~?`4AmeMo-7yd%b_?dOs+A<8WK%a1*{Ek-^j>$GgXb zBPMVk{)-;|>&w8;7_h4)Y#RW*wvs4w|b|JcHn$maxSCf+4CjO=r)4L!E|%&V&^kl-cmU5eiQYLA7^(i z4!;Kac%0RhS8YyKfQ6-KB0B>3*-uei_J_d1zqP47w*lYa zet~CyKy*2nk2gphQKt*0dgKD35U?`27xj`+V(F&co$wmMkwcGK3ZNX$QJd}~SZ5AE zb^6!HN-;?21Hu?>5CXAo_>3mh4Dr&G;R=Is5_NmpBv~$i5?!))hT)()@Zs&$qgtZW zdzK?4uLW_oSm@RqU(#I&w9u6aKQPX3EJY4A-hYlU2KmCozQD|UR; z9qbmc*kE$M0s^gDl;n<$mk==w3G6DOQn%}#fAHyj5|~D8(j#?fTine7v{m5s0E+Yn zTKw^$5oMD)J1>$=p7{-H`=`E3osy=9I>op`Hd9J>4F|POJ4iJr`u+S?nti{K+L2$lRWj>m?n;WcL!jKr(dW~9+BsKryo=6qvJS?8P-Nt;ZwZ6PAEKOdfcZYADG6cHRGR=smGJv|;Mi2|IIB$wPAu3ZElS}h7 z5w_(cM?G5m;A>RJ`nw-qFQ({%=_BZ)MWW@LLIs5*=qp5`dxq$O*#WgDN83*qya5=y za;A4W?%=^P8DrxdD^fVUh5cGWXEdqYGRNvo6iT2 zj)RT5>@E-Qse|s+mY=(OsVx=jp7XBu`> z+;{YqOAH%9XenDGh1FacqibUU)saDh#0!D(Y~%Q=1O)eh(OO^*ZjMvE_;ffHW@*A! z5S%@cE`f0|+cSZ8osDoy^MqA*96;&Jb6EL-VO$F{j00c&;W!$^AID8LPA#JX)fx+^DSHxqAdunbKK1$HfB3SgttfsuhnH|7dJr6)wbDK|W$ z!>hUzAUYX-A59AkYGum(2NdAhZ5R&5CyIXWeb+>lT<;4jsmFM=qxtR*O`A@a}SGw#<;Q2Wh3Ahlu#)g!2As1+51~nqHH#JaSt1m@ixh zK8*}3>mCQS4dK>Q8q>d?xeT5^3TYvFaOKP~B<1;6Kdzio@YYMnWOG9&V_lv*!-XlA z*-X{zN3!0MDe8^r0prlnxLZvA=8B@7Kmytx6f*NUX0>U#sOEW*WpvdxEu5>)&a&Mz z!n5Yvw(f^RZh%T|t~3CY#kOr=bEU)v)GpPbPPR}XK)Ng_6E*D);G>*p%_#ydVS8=M zO*RaNR*`fxKZi~Mq7IxoRa&}>EEqa@KJlf$PWe_A%8In_6LSH~=T z|6?j&Md4Xda43#`aH#5{(|L`_>I!D;0LyV=Ln-f9uW- zxfn}2wXc`BzvD}|@t7o^X!$cq<;Zw^aXKXBKWv$gOjaO>~z&{4YU*EA`-!gAo+I)yq91r0U*FX9{H6>$LJmre&2Z7<+aYL&^~zh2_`17eo@?pPLql zRPSt#NaRbkqia34Ki17NPw1z#blU2wTem+#|40(W&WbPYNgB6-=ud`vrWvbxZEOQv zi0)$(9oiXt6CJNNY&Q;#FRb6Ng={|a;2RSY*ZQe^@6Ale)eyd4Iuz7Tl>1JrCk93B zCCm9mZdHFy*P$3vA3%{nr0oPz&-8~?#{&XQ?4B`OxBpXsIt2YgwFsY38t^CoRNJ;o zs!>pf0R23C!cI{AM=cLj_wkEp0KOgtbphZP;}dGyJ;U$|0NnY)kO;E5=;P}eJ6F;#us8b$wpH$k)b?-=bL=**km|0G z&LrrYfz84`%G^FGxn3GoFYWffS74L|I4a<^Jv_BNLvytKbF|<;KiQo_X`Mq&R!BP& z^lyR9!d}hHUM;C!I;vjUafMX+Un>6D)1Qjz#oRYK>g_G+HPj|Xt(8b#zYDI5JBQcW zCl{y>uC>3vXKr8DkdQRl|As&x_pFiyt|UdfY988&H$}pSm!xE~@LVvVocZ zsH~{2-V`_tu}W~{x-emUcP@+eCh~wpAn0d^?UNagjHZ|EL`xmTSXubgO6yX#s0C_O`0=-{Xkdm4D?K@#GxW zVIpNO>n!=?j_0kNYB}EO`CNUFo4tdmF4M;lE97-X%Hv^O+wqvrPxb=xu*ogcMFA_~ zc{C%Adn30-jB&({K9?#nQRGVnWw|tZ$OvYlFUbqTA=hc3zmkX@Y*sCL{kx+GYpig4 zZ#_Ir{XBu(fSqpgiQFP5DKlKK@{G#W$N-uEje@FP@{MK=6@cftW>M^S09;LQG4w0z z9bmpX`=vn(uY-kG3qb4>GO0n3{n|Tl*|xBDFfZuCx=J~m+$Frem)LVzKafB;kiGrTvL zCx*UH(J5nq9#Bcla0H-0gVFz5h3OU3*K)U@8d8Jxz8W$bGypzP-i|3yVU>0h-8^zu zueV4R+evZtK2LFdJ|()&+Ew2Njz>j=-%<|l{(m|ZIuADhowd2j5~tsk^_H%7y@kQo z6j#^Zl(!&g2QZSoCN%-$TZ-#jugO5?;nT=JC$8Vs-aVr0SkN1Xx1L3(*G^#T#rbA&z3>O?d1K*C#vhd9JkwI> zr^J5XE*4IAZO6w)mQ7TV%tPjkBnvj1gDSg+E!*3Ke3t16N20O^f5;E#RF17iCGdGAs0wpjJoxa5fv5xTm2p-ZyiFWZqFwNA%t8R8jfrS%?0+@`d zH~X})yfS8feQFYL@GyTw`gT~zPm?K21Rk=YR!p48i7#jY*2>tZj^vvw}eXJme@4?j3xUR-p21~s=4yC2Ae z2$u$?b4qr4A(6W{fwzw3+qndPymu!Lh`MEaAMOvOqbk4Yk6z~R0^Zs68Zn}e%yRo2 zdLK`{4+D9m^>PF`9%Y#mJA?*^j`4KX zDAq69`R0U-jfL*-FYWKsiZ&0VT&Iqj5z!JCB}#yn1$Sf1hpaZoOBC;X4K+@?{9M{} z+SCe!r|{*U)%nSrO(J=l4ayD&x+n|CMY#Y}lnKrpr56AjPti-5kvzRgb@FnY(r)!D z1`>YwLA?Xr_K}%rtrHR+u`0l0fV4Jv(U#KGi1>WzKIY04%+B`i4h`fs4GBF)I{!}A8soS&GKvg_5J3mxaQ>dbqgOaxE)BAcJp4P5%M5&&Syt z*$?M_C>$-0Bxnvr&QH1X)1*F?g*QJ3`+7JsS~#({J~QD%V4}q1 zo?PkZtu8`TC!0~ug3KKi0w{@Kt3gaiWG>$?j91}shUX*ezI8ts(2i;|?7Ag(yA9o0 zzs>l8|HgEG^5UdFuGZ_|k%;X5Q`En?*i`9-ZL!bJ^I<51BmdSm=a!Vh_{+I6(7C|CxS(dKw$R z(0@JW0B#javw%dhg;d1Yirr=7qjny$GmyrWh`mE}=<{xXx!_^ok;Oyk7*IkXJ$(8< zTBq}w+IbD{sm(q9x zfVJ5k1!v3ZJ}DNV9WXI0tG7=1HJGpc*7KmBb$YRI|HyGFKFe0?EuyT$8jg+?(l=G! z2hJdl?w-UIZeO9|7Os+Raovf0wYTJ&z2&9=s38|l)t?yqWg28IK>$+qhD@cgDlM82 zjGLCg7^jqG0Ebk`H>b-xFh`qqS1_78ZE#sZf0GRN-2l3@0_Z}r%UmmZ%i*oc90rQv z$-0l$_&UE#e{W4VHB6@zt^shG=C);_B!XY6hlI+U-gCOxefFivZ|9#FNhT;Wcav7S z30J?tLYdUuYbWW8?y{XX=7?ng0MK)CPzuZXR3i%B9Ti!?Yw$>!2bqRD;ERLJdjqPy z&3e|r`^<2SP523U(-}|A0LeijUwGDh?q=)dQrG#IXU7Nrf-|)#i#(T=`%A!XkCl`$ z9=Mn*YS^mM*t#yZ$#P_+Qm^8LKC3bxJFD_9*3ZItedN5M^(w;C4fCh;PN{BXu8(SL zJyYGdqN9OGn>Tt4X!QN#^k{1=W#u0&34sQ=ZQm{AUM-F|tIBmwJ&gTxsyea)n19ga zqjpT2j;V(ywV;!pg0UFvn2qh&*+i!KpG`~?G_J%2wZ`i_q7$=auA#Bk_GDzyx<`cW zNu}N5VvAn|GxtlG!{H&zis8$OOM)@Wf-!D?%pLBEc8LHz|PvJL#tmafGCqyss42ye1KN5QN`e9*2MB6@Z|7UXF(yrNK!(RtJ3;gq$ zu+ZM|$IJ-&N~HBxFeQR}o%5)q^<;j5etqIex>?MCmhzW}-x(9q3&l%5?WvUB{uy_dahw9VK>sY;#%_d2r*5QoY2(K2G)Ro zX8@|3Ax+o@QOmUrFuZU_!V21mp%9V25D_7^UCZB{fPNf{d)#ODJbAbZqKxaHA9<}l z?ydY(8q1Oxeg_v+iop?eAbTUe5}+U=4KabjOBW#A}J&(o6R z-VV^Ut|iSfcU#$we7})wJp|FZoOKmhmO+C-e>oL*Je~LD7=%nwS5edQSBsw$_DY@} zb_=~NzG}tH`K{vObH79D#eTbX2EQv79qdIv(iA^^V7h!NNb#yO+mZK+zGB59X$JJ{ zV!SS_r^j%v1)}^KycVE+ZGB-`@AJ9*p)6kPmAcM-lA+kVml~un%L$$yCxzPjwCEu% zXq~4u-9@yVbLhs^E zlVYs;3y+bNlSzhT*MFYQZ*gjDB$yUA+YH=^UbC>*d6HUZyz=SAhTg&T+BqyxfCFPH_ElAr(lS=m`A=_je3&+xLf(EgfC%7 zSeLB~7%8df8R4h{ea{ z8HR~FG}LDs#Q@lNcA56o=kkr{wTKu526uR;RxKC+;x6RgSPuhu!SF4RcU;x_pwd}n zNS`76J)4df2<3?{`da{#0_*o}kjMcXAlXa%UqGHOM^7gZ%!xUoNJmkKYgUam^QnY) ztioS_xW;XBt5Zi1XirVPA~zPJfB158;BLa#0PXx8!*uli$w#JwQw#9z`FqagioBY6;&Z*Sb^Y!DBcU<>xBs6fkL#5Q zfn4wQZX#p;=cy5NF(?^74@CO^`>9tleh^54)87>SR{ARwQ}-^A3)A%1y8~-@%Td?I z^Bx$cc^*COq0yA>FT6GGB_j916W(q?q2r7^9$(7bMxvY+2Ng>few>^%`kZ{L#b5Jg z;m)3D+fq&$<+*vJ%+crKM_7(;las?o2~3od%;uDo11LuYSRj98_bDH25E~w?XK@M) zxw!b0@I3E_roF!nxmU=q23OV&II&o8Qq2>Cyltz7GTI=Hq1s!OPPz#8j(^~HAYSv> z+fOMm<`GsupmPZoMF`PB3Hj9zk?go2T2>W0cMZhhW8tfAo8h3dQidRU0oi6E zNtxP`AP%z^WRD=>v7H?97i^fhSZf8yZt2nWDHa3C;5)uDz!k0M zwE`{;L*|LLT!R1O(rEQK!zYJ@A={(h7OwPXK%x{fO6ydY!K;kg2r>i#kvgXZgsxU( za-S8r+tZ|a<}pbno@D9qSHIkz3KBuBsCJbx0;E0bAV@J432_0BI<*LSl@Xbo8n{pf zlXZ|)D!057V?~_Q;;o-svfm5luBYFnk_k5h_O4E=R@zMg=bGY5d*CctrCKkV<%;zK zg)%9@b$*Bqp~XA~{A|exGWl&C9UHo}moYNb-vaNLcGluOdyo`E`kM_%>RMiu3!)SC zmJHZ;@)%~~&Ab+lJID9p`H~kuw;_Pxl;`a;9RQ0ujDxPQ6LpV_WN;6Di?knkQd|~Tw>bDkIM=7y_r8q4Z^7mO#A%nV_72= zV{HSJw>|~Pr0NFP`z-6zzAkR=mrEkXi(P|}ix(I^1am7stT`qXOFdcY!2Hs(grC?N{zDEnrqVGF8!7mW3P&R{{oHAZAA)BQ3tTfIGzJD0Z z>pto18i~cgKUV}Z26sqG0v6B`)e0>M^^}q`nbB)cGldsKocXyyPQ)66hB;)xb54oI0 zdb+73Os5T7hv=-YXUA?ytFy!%rk!prc27mL=9Xu1G8LJaWcJK!OfJA8lce$lLDR=o zNdh}Y(3o&z9%v?+2S^!pw%cIRx#a8kE> zYD}18Ch_%0qEhvA>u-R&UftnZkERh=hKb_uTan32*xI+JbznJ>fZO>2jkd3GS}>ML z0tJqqXo$6@eo)pH1NGXALw)edHc=L6JQyDgxo)o$$~cb{!&r-|VL0o+oI2X_T;7nH zFPqdPK>g;#JQq6=Z3h7OcY|`G_&mHZ%l?Nt=JGdv89Bd4q)Eu5Q z7I_od7Q zZWijCt?8VxPPPb5wuDrdhF6!GY!?1zFX^1oPPPdC77Yi|o1vzgp*m-OXFmZKDU(X1 zug=?kNnSITs<3L=TQf{Q2sSNfeHW=(dO9LN+py_*|Nj2bXM>u;kj(;<#)9dR_Hu*3 z|8`uPE@7=H6#BbTxq+sw_Jl{IX(Itp{tw5A-%_r&+9b1@RI{3$ZkpY0n&k$6XUEmt zM7)6wZDfC=WJ&Wv4zgBH@Yd47lTtT_enPTNn+QNQwmlg#(}2&Ex4Pnu>G_=2Zu*Yq zJ>c@6F>+nGNIT-b_u#_Xp|+9p8qv8}bN^O~iMvX9V{Ub$)uYCD{5I~oAu|<^|3;7H zxCz)zZsjO#+M|o+mENJ}tAgi6sT#dNCjLLi&aITL-%SV?AW{Q3@Y;aisQPX(MGd<) zdVDKq5NPBB#p(Gm(8vFN-1-bcaApKnb=#Ms#+wg))?O4paPaarX<Kl`+F zUa(s!Q))r`bL~xu=o@=s4FO!+wyp2Vi^(f)+bJb*E0ly2Vvbe5OwA4$Wr$a>uepMp z5Vh|Vp8l|c$+b~ff?Pm?kHLUN*e!rk;&|`IAJaWbiAnG}ziN!htHu4Pd<7HgzLNLX z$S(y<fR}FT4*J5v?yiUY7vXdIUNSetCi(?AY6Cc)mwy z=)pBhoiF-8#BhBsVmAeQ(VobL6Lq>y;>o1|F?{y_09)}a)j@Ny{qeuQ&#}kcYn5>#!k_B;eGZh*OGlrK+E*$sWI$F9Qh9>v7 z(P@j5hvG@u@o#RMOvLusw#fGHAL93ziY<9VpP$HJmd&MeYzgVNntkYS7)U)Y%K{(e z$1?$%w9CNTl%`~Diu}ma=|LB$?jEWhJTUplYOT{%ui>Di_?OA^a{ebM(!Hk%yh2%h z_N}jrAIVY-l`mBGM@h$TFMfJMIT72+pswMCWGkdbc&}=0_uu<|mU?m8KI_%&9mwA= zX770D*l+Ll3b<7_4oT773^^=bwj6IMv>l&J5O+L%?x&u@<802@itGO`od-755aA2yw{Pf&HGmfx|StyF^f|n!8$*O;VnB`qdwBqv~qP}pBBkI z%QN9{$Nh!i@Z}#{c&*zjITc$TK{QyGBreg5e~2V5V3KNH4*e{t8NzveR>?szUgstcAM8&B&Ooh z&n-5pJru!z&TlV57F$^sQ(Z#2Ki&S$Vk+gjE=-$+S7uMH z*ONwChw?96hgJM_p?}*PxU#=p+@H?_E=#CaciqKdY>Xk@E|E)6_~Cb4TZa{TQQyyp z^0U0gWsVuP*Z~?wl~-}-mRN6*4Nz;Rzkf+wcjGF~V}=Fodc%8GI#BBj8-_Q5*JZK< zox5sGyB`}tmbJbxo65Z&HHOI0Bj7ldOvT0^;WO-r6 zY7RH(=%6z?AdY+|M2EaZtBG@N{<<1|DEW4wi}rxG?(p@gU3xdQwNRAzl(bQD!8J!BAxU{i{(H8YWMO19%(uap%WTA6`H zn;SQvSSbf&J{xJh_Mc&<94L6oRrsNe{l>LQz;1Z!`wy`R%^02ks!H*v>&)Mc*xtf? zi1;@jBskKG6s|-aEsqK*1eGhB%jL1)4$^i!l%9Mg;mBKp=PNPe+{G^)c6Z{ig#r8# zwJk>n*?`z1o4X%g64R&W(DUAxYqEfl^Xoz%7O0V_(DC5BfDNW_GY>N*99OeU7qM>+v;Q&6{+(VscUJmP-wBR6~^Kh#=g~*573nF zV`XS(WiWpmZTvR6LcX_59-*6Urjwmjq|Q^MuCB!b(_&#MjD-}&#{Ng~|GRY`fx=tV ziw?|-^=Q#L(odc{$C|z+w}}tYlVN#e>l%`QSO%7i5L@j_=R{=Bx-aj`8p&1fbwJyR zB>J%fD!cJMh23R(KZcX#?D9qX3fiNqA^DEb?T=>`5I6rziJWCqDC;H;!MjqI9q~-# zJ=)2FxZ^!Ko`rCKdYEN$=>v^@unhJ!4K~?;|3lbU0M*fS+a?5e=Rj}?7Ti6!LvVt- z2X_q;+%-7CIk>yKy9al7w>SB||F63B>fL%(eP*U*_sp4@?%sQ^)jh>_GyMMXN%9d* zw&5oISvlepSLh(u+s>g*w?#4LL(6dywg;J$I9UHa!I)!oeVkcW>Fao05utD=@CIC# zH5_8mgb)kR#x1ba3oH`m`A9l`>eZw`9F#Z-c~T7U*r`OO-3uaw>9SU#zhxs)rOBz7gwBn1!ZP+$6p`m#tP$H#6bLpyAszVy*4I zNVL|&4y*jWfl*6fgt&XN<62?tHO*h>JVj-3A+9g`bTT2@ z%D-Q?J)-Rym_tZr*^AMEkI=ixg>)Wo6v>CYrzD<;1KDEviG{Srk8rCf*;{Eu>gF%Z z8={c#38cK9BnkKwE^xRcL9c+3gUVjSgsE&m01okp%2-jDGMH99ag-8SCQjU-f z8Wd%-<{_F3Bkz@H9Wg&wN~g5F&O{XomP0`^M*%s)G!vG-oxy0=8hVURu>mrb? z*bBSVY2^s}dE0ENn*2yqc5SO#tI9wv*hzx?5dK(xmuL&3DstLqRSg_2A@5cG;9QYI zlab0pL05}sz$0?{KBF&KI!tWRd>wTPB7;A!(w7!8I{;}WmN8R8LMsob0B58k@%^0+ zZH@r6-UDgvgJEfxormW4YmIU-{a)l&BHr5h=YPtcn^sQo*x7Vpzuts(BrzvGvGtHFwn5Aes?DSrOWm*~y#0ejF0r3b(R&<5Lo zP4x&N!TR$7=zL*ES16#XgTyY-Kge}dzeE2}mW>GojZR9_S65>9B~V9Gm}a#^op;6M z)0|Dd|B6)JwVPQdHLFfNS~W|Uy!aC!tRGNS4QYP_xs?Wj@wd5 zs}2&TYp>9aryoJAW7ffkRqeP$-wwEw9;mS&{~U?^vRM4##qa#BxXZJ_#gTLVP@d?@ zg$WvIxq^IcrMiKxw}B6sqzE~8_v_UjB5GivO(5xj1h?;Yv_S@Ckg?7s`=8zTF$N!b zH%Rdy?gbKMuq2)E%%7#sRGyovViayl(D!>;tTSARG!1c5_*okLfGlb6Usq>LDK7KN39O7KH+CfHyexz*Z>B=hxJUA*r zo|xreiSWYHEHMMlP2^~DprQA3k>4)0r!@2~h_W>eFk%@3+TMtMIY4d$2-r91U_@5ti?6*$giKLYudn|N`eB7a1*`E}( z=hyC2Q5Q)BZygijE7E85X!>qN)i>)q>W*Zeu^0Fpzq-uI`GAKH+S~fyU-BH&o?f(F z&ZQnL7J2pl8$LdTsy&5r++vmAV%Z$i>YUK7E~vFEskKy=yVjPw8tPtH=-TzQZO*i9 zhW}S4kRu^ITaXmG0V1-hq!7?d6Z|m%RXKAEgs+2ohWkOzRa+{!MYsG1`Wf7Z zwJ5R=UF)Fq9KL_3rT-RKgM|W zu?(h}w6zV5-4cAb`J?fu6rbmla)GxU0sgYkL#~48sb_*Kq@PgN9?1wz3^w$7v^hWI zQrr&kLNxH5s5dk=x0p9uByh`0^|gOvER=y^)!T_HR8&ZcK$x<|qO(e|dm?vv zUV-!}b|GgX&Z5B`Wy3{VLV6N*x;~g7#XK(?1wBrKRTTyZ|HeJ9mrRmvp~X8Ss6c!= zXz{b+qI&XBl62Ctk*Qz^58uFeuW!r@{H}JDiR5$@UU~6_kagt+vHY;dZTn4_IP^_^ z^z}EEeogAljJctQ1}9y5EmRD1^suIcv%MM|*POoAifWfYA(aJYsO9aAPB^$&BUNUo zr!FQqyWS~{aa`6qvCZqsD;dA-B%P6;j1$ZyU3r`#oh`ke7JIC7HLRDeLX}~MD+wao z0h@mTZ)&_8C{Mg-a~LQHeiy$(0oHZYd9!=W*$`U4WieFy-v2zRowBv_ni5dLVDk>Yxc9wl(-AX|u|FvvPLsXQsj*7z0^(}}GDkty>q z7InC8^|J}WGH_BH%fv}~2Z2QM|DJFTzA0fzp%mHDHQ{1Z!vIlIaS<5d|T^`hR+g@QKF{1ZJb}?Wi% zj>^%G%Bkv~tms!*+$ub_!@T7LZ+j{itr?N;#Yv4(l5u4!+ww2g)HxV_nDMXa(>4&ZDJ@thK?c8Z1 zk>z3i&q&Ldq5FL!k)2>a_{`(Nh)A%JBAVi}cLw)0an3ZU!|050LVhr3=;8e8upY!P zc-YMaqxNo3Cc>XT`u1_Q@Aq#dwz9E(Mp)(g8xest#`xQLQz*}-l(=ny`}^8f$!E{4 z{)A1qv^p)Pg8)C0WR#s<8LweQPNOQ3?Ii9sH*fY6dteGc4$!ubpitSI50a$6fn$ns3!7sKkuTLD|(Fahe1&`NCziLrwx2>1QZhV)-?{p04nP@K?6p-9yWCU zWdC}4rgew|O2hQjmlM%^1H|04wl)??{IdqWQrOpb~n_#cVdwc={ogdUBqyDMo4AeIq@9tCyY2;03dHh5E& z5kb$R^xl}*wo;dI^xk}G&>^31opQ3-f0T8K+L`C}!*#2zd!Ax`E{k~+0{fz>*tW*v zkb|_wm*Hr-=)np~W=kZF{`|0NFZL4&&y6B%U zI9iSGe)T!%4{vfP(;x5a-EYW^Zi&J+89ULbrd-{D&^Q@7v=s)08c~>EjS6{1C3cbITHwN=~e>KWNm_q z$=Tuk(gow?QkpQH?+IO|7zW5{m(#)537kPrOb?4#D8Yj(eD^+=jPVy=DP5nAR1kE1 zBC<`S4qf1{oM0`?9KuL*&{3H$NqhEoeGO4PR$pDJ0-iN3;8a7_rU)e4-0cR9c?XrD z3(Hv*AjXW1#_ho@Bl-GD2eL~5_x>Bj0xGV@_Ygu_N88T(@wi40)U&ExE2QL#&$;4ew`9=r>#lgrb7N{N)Z@ccIO?zHcQ3bT4smjKT|F zq=K3YWATt;!bs)o5efAAxK+wQWR0-LwLWk|fFHf~dvu4M$c&tC=dr>x6!7O88sDJh zy4o2&din@E9`WEHk)#`pA}|l{H}w3a3TW@QM|K4zk4F#r(YEIO=bH6g2Obp!OCVB4w=q1XePBGa7y~G0zEQ z&x!E+tfKoY+zUaa3qh7m|NKpVlO7n^UYOZn6mTdC8127eXhiRNf0HXE7=^~lFp(?8 z8>NsW=aWw(4zf}tcSn!>CU*eEa>W}V3o{4Bj_6D5evLH`G)jq;QHnJeViuL^TdQh% zX_#b;@6*UoNic6x43nES2b+*z)md4;tyD93d&ON>n)Bu{d7EP?GTo+RB(}GEdFW<{ zX|)KKX8>*m0Rn)jUXT} zA8WqM|ML3r73K0_6fS&{u_zzlym)cTPY-18W$ zP4#@LLX2@IC?v+WeQ^Flb;%*U8mG z6f1Fb@@M?zm1jvD@Sc)Jo0xHeBv8IZ_$4_Jx*H&TE*L8}DUJrGcmRoVW! zptFmK13H7rzxV0g=5mFPmm-i1<2nc`s=XWY= zfNPFQ1P2redx8!L?GV(5fJlOqWu&V&pkQOGEZtw6)FKzTD!N_YGGiFhaX0HnynomB zC9wFJAK3q}z`EQDhFJa%0ioQ%0tt2SKJ)-+8^u(as2~Jo-`_Xax!a!%Ip@wU3b$|6 zKI>Wto^IY1hOXr(JQJ#1crb^q#nE`ni+%G@-kHT#iS0d;#A&BgdCmymyvqgh6rMAb z|63|b?7~C2_iU2NI|_Wyhu3?ifYmOZbI%9fyfedW7ytH5=ycJXh>TvEeelF=JXqht zz6a%;V6H1jryCa!iuWV~Zi6SjI3_4QyIbXJh~PIKE!qT-LTTYoR1i|Fpx#$K!U$)G z)U%^zpCHA&eZDpzE!gyx|>Jy9Hnqnz)HL^Ty6{%)C z>JzZy(yWBJTEbMBm{c=3-kD8tNhPl)EM|(HCQm#3ACYXuCDGiPFe!7Kgnv?jy8c(e z;+Uy2KrkZSS%vz<;9n`=T>qXPjrs(F`ozwvjcZ3(z9inyT)&U;0x1dko$nFkHDCCR z$S&u0v1h5d9uL8<;a@v)uwuHiX`lS?+bdt5#O_5?h#8;hWipxPirm*fwS`qArF3)b z8HX>R_|?~iw}<3>SRCAOoB77rIHKM@%h?z?{|@9&izU(v`r}XMSdhrrpcIDpnKAeA zF@iG9@(j`B6?MA1bkC5%xzz<@+WVs+DXy-meo1?%gQ%=uXrGrKD@5hcuhS$=(^n^`JLvx(kny|{6FpZ^N^(9fd z6NAqu*@@0fiOverJRhZbiu2MV^3rFg#`>nlEX+*}%}r}-sw-=%HI^3Dmo$V#YG>S) zN_*v^@QcpCf%wI-TLXGk0ib1h*2(~ozgt2%f0_nE7oRosTmRz=&PHq!Ll;H4Zoo1@ zLjRM^!f;B=3n{*{i4Hqd(Gn=4Eu@l;__>Uso>zVu{1Urb#VdH=#QIrYIgt`Ck&hc>;K8<*@s@L^h@HBFOmx_vk=1a`sFmko{P(42{>*LAZ+vA45a~pk;D<>6x zP(nr&h6jGlld3gjq1ft0k7~|3#z9cR-rnu+oDO>Mmo@%EKm5vY&VVAIH|4|LM8j<^ z?AzIJ$glq-1vt3&k3_6X69N5eUb{RA_Vm%+mt>Y-IT{q%Fb*aUtX}Ka0hEPf2doeh zwXn`vO-gHXOktr^3rTEoqhlzIswn&C0hd^O96z$Uhe27D-7~>5dT@3dt+!jZduE^9 ztF7$oa3=8Ck0_g8BdPe_Yw+J-8AbGPW+m>^Oq@6(Q5QrL+%J9G!(m50oJ6H1qN2xEgb@N_nFYo{2-d#i zBmNIfzBmVL4vyItQ_(FZcD$-<57mD(C%c08jl_((5RveR;*bZhh^A5YCIGNtwknmf z=JeLbiwJWet@mObyW84v`cY;;Nr=05=H}g<4(RAaEYZ266$kM0&SiDj_Q2K~f|gl= zN`Inme`)$yPdvrNHSgosM;CsRYM>;iCj|w4H|XiN%pKnPCBYbg9Di=L?$alV(2DsJSM@wttxwpM$=*jF1uk3 zNB;yCu}F^%!72)xo|1&qvgS3M1SUM4yi1OmYY)jV8=IB0+a!Fl;9ZJJX#FqSz0I2)hmRt|W{@uf<`ksl4 zS8{0O>DIL>Ibaj7Gjtxe^M1U#&Y$Yfx7ho3{oXGoLXzfVT)35tBEU^HGK?MSBPaV9 zr_BBdckx$l71QKEpGZPPh#31Pu$2sJ6_bLoVRlSJ2+c=~2rC)o#b0JdhBX1%PE;GS zzXRqM4YD*IlD)d9nK*YzX!?lXgaH&7S%;KQQ64msLEZyWJVBTNK+rp5tB{Rj1;DpO z8OnbS0XKTgp}+*4%!n7m#OW7$bH_p>U;g=JbCsD!w8)ArTuMbO4^J$QCPxt|M=?R6 zC{Uqjs8G4PP}x{HR9`uinWmSKMie)}0F;m&F2xisr686E63e5?QAEtirce&wraN!T zpK=rK7YlHr5f)OBG@~z*+G`Ey+$?oml?H+Q{Gdaba+eY?0rU<57pqI}_GdV}eg|Ca zR~7UWeDYNm==`NvR7GvdYgR&1SFIoboK}zkuM0zq0fp8 z)NMV6Kl;Hi-NKau3)oB4o*FUxZc@#o^9y^Eb=SLDx0&S8K12!vfED?a$o;J`1t=BTcoAF4Wo*eW$4q zJVlB}D8A?k9c5EN3QP$Ei6M;62_aetpE6)E_W@(D>2}JIr1^_D(DxK7N>4DT8%RJw zaYHPV<$r(&3`A2O(!ZIh7zZ8ou(-=wvJ>K}1`HI{3eHs(SVgKh-SXCCt>@F_ZYNc7 zQEk$SF*T_(AvY%yDtlzZUlPPhsUxW><1AF^r(A9<^^opW`m^)P4a{ciOg^ClaOfjT z9TgD(>`G&Mpu{fRK;9aQm2(~QNf=1fmVcK-6#o-h(qnt8nMo0trru0QMk&hSTLkSix>teULAh={Rmle(@ z(bAlP6TOhQ7HX?mc>PoDV?h~|sRQ#|@AEhvq!x3OFekC|xJhyO^NXC0LDE4J@2>%6 zh*W6$d4xqURYkF@PrQk#tNLGftdaWR$e}_6QcSr%jubF#%aEiHO;F?u0sX*EAbJSa z)=`P|udCoCzbPp?vmMf;rc(184#e85H1PFz@K55|rBn9VrR>6IFM3w0*i2aOq>kI> z6I^<4sblZ={N1hc?>kF2)pSkE_$Z%3jz)+mjn&rtyi^{IXvG z-@Ffz?uI^-Q-a_O6WD>f+UXoeNM{AysUj)vYE2P%!W3afXBQ_<(f6YyuuCs^a*}`e zLwceup0v=Hx7=(mL4L_kCk#@E4L|-$gn10O0M1VG&j;^j!<}qJd{=e@KaEeEQVLn2 zF0f1WC;;}X1mJ=th1byYI4xvGe2??>2@*h-Uh;lE6vXK~dAOp;9_o5i6RGahe|rT! z^zB00ZR1~m0W|jrL<}V+X9d|O2!?%0U>yGp*Oo;ISbS3A>vVzuo?TOb`PV+6h6=0> zx{Zermiwc!9N-vvTYqvcDhl|fjt{F6=ywJg(A zdd!_;QI^K)4?v`6|rz;Q#{_tz96k;aRu46|5taK#n^ zdrBq%KbG|3uS z0P}YO|4z_Mf;+&pgt9sSnk-(jS8OEEV_78tPJ$W_h$Cf(pC8r$z8G;NO|e&i_qcx% zz=x8Y2nMbqkA%^qk9&5CeI3$J0&3|qBR<-3VTbK46-i$-hMqK3pq402-&Y|{QbVfm zX?%u-Tqnb{%84jwQT_ZPUj0GA00DLLw^y*M1d(46Xt~*zw+6+RYz%=a=$2%Y1V}d{ zA_dk&3I1QA5;aF6g4>V@8a3jU`)DxxlGqAob1E?oWqCH?>wPgqJ%*n)q8={>64 z1M1j0N!lez+AYb~qd8>b|CzKQ%()}X*&Sp%6l5ESvXP6@nbHALG$(>^sxDQ@_56FS zq=ZZVT)HbAOI_oTvu|Zm?BX9oakR(X7dz>Xd`Mk&>HTMqmjj~$bxjYY1Ea+QqaR~Z z)nZaPNIA+$Ic!9%bwsUKga5RI{%JvLbi-k!)5$-k+(ABXAaA`%hVrDhJj7 zC_Z!u-F*oC|2*OUb%h#28Km`t3>5_$kq{qranzDJ80pE*QYr}6Vlp$BKDv6`t)Ace zT8RD@yMC8Lr)eNU%6PR*e^A&btX!Q7CL>2dn~DWT*71sHI^>IO*HL)AS&+g1iOqP< zab-q;U-DIF_NbwlEN_1iSW4g@3weFCTTYw(jX}D(ScGZsSsnIiN~Y&XE-$+D*9s?~ z_N*Mm+2cVhdkIq)lT@tu$5(klkkQN*IqEZJPp3CW;?}5#IBkdeGbV{K`#)n2sW~=l}ak@Sugmag{dx zF7R(*or4yf|HuJ{YX2!VIrO9u2(NrKX!c0&>pKSHzM4|;63z;sAxF68mvyiQn5~Wp zxulG_U6iAsX7TRygy{K|pd@E*-}f*r-V(^IfO<{BVU_>(c$QG`2)L$VoPtt;D2e|^(^yL(uB zyUBE4t`NwzuibPnZFe^;JL-MW3w>zGO+5c_q{Sbg&T7SBBCr$Lj1kP%Eb8!Oec4F@hpMus{8}Q9$O!&HJ>%wSG1R zL@>M_Nyb@9E1w;AGx$#khnizshPx{XydGT(_sGw#zpjRSA67cDofui}UX#@tMky60 zJvwhQ?wUNUBwD(XQDti9b6!;P8Wq^A9v6E)RwAG@-zk_PO-($imdDZ1?d5x##qzo7 zo>eh(+6gMAo#sDplTEqK&3V{BP8`H;Z*STyFn=3HxyzE_xtp#{Hiz->al&FX+flj= z-%iLFI?8er*mfn6Kk|~L-PcPreKi(TQs*~>!#HU=*eLsWFnvMNj$wH(?14Q9-yhV@ zGlh&wWdG-V|CX>c-k@|SN^7o!_m~n2T`WN)%1MPdpT|C#=+eoSFfF0^UC50?=4*Td zI<=Jhek9Hk#7v(We>mSV)9N6huEIuneZG(0Gfo#v$hbVraFspVnSiaRmog5KJQhWx0Gi5!-krLBvb+-Y`w1?z0}sRSvIb;(J_0y^%qqmFer%Ikscodgxk%#n08H4X_@RI_b#{#Pl$4nU?(myo6%=?>r zq}(n`lW$GjYz!o`S6(u<#tt3~pa`Ug%NLkt-m+fxLk6iDGoN^Vh_^1n*`ed4#m zWh>@Ak5(uIDXL$mS2<|L)Jb+WT2NB%mY2VDdwD!xc)y!7#Y-&dcfPJGLD^oICL+M^ zJM2bID&R5ANx$;4$*XpKwmEC?e7l(&`M|AtRen8K*{>_Fk{1o;eZD>+C@|$QJ-95J zRs!q4-KQhA%`myV|{AGgOhEXx~B-g}w<@SVgaZ##(BrH5@VW3V~8 z<%+VFLLe+KT3(Idg{2JXQFH#1MLYExx!*g}vimwx_w7-hOjF?1{b(9XfRDZWPC#2h zAu7my$kON;E5qE4g0B38q+fCgg`Z%6ecQB7Ut5=?VB;h`*U_yM)>;Gm&DM)uBl9G= zhE}SXzs5YoYH7Lo>j^u_s<)H(?jJ(V3tXN#(@I06eaD#s_Y?`WRUKV%!Df5>@#CRq z$8324g64l75)bga*}q@%>i>D8;I2JK1Ff}aKHVc1wrDyG< zzi!U-c9*mo`@?#gSm!)=%L31ix$*@;nf%d~Tmst~d21_#_H@&KUoo6VN3Yw1(EJV8 z-6E9{X@c=7#kjSjb(Z6Hev;CyWVRa^?we^%i*Z#6+XkVot*WGwrrlgtS1 zKvj<^yEiKwYB-k|^i1-rvK41N_@*fTly0)r0dMfe_>H3H$dRrhk;Z?{mmDHeIljPLSgwLz;>xtSiFpeMnh zKQU1tBC+U51HXI5WuQxXsZJihr}&C_cb=w&&)VYk8qC~;x6Bu>-j%#{=Gsx4d}P+& z{UPxS%x9GOyK|DDER5&tgqP@GHr~=lJ2E5oWyokmb9kKnub6=}={oW>llmt5)jdPb;&jt3sefeLml|N68ObdtU3}h#bdp$DE zL!|HRn9^d(gEKQJ68#&nGwi;5HcdQCn92)g4ta>dIL7R~fFXF44hqHjpX^wvQt&B#Z%oPdE-9zbJJ`c{O!*+Kh<`+N z@?`Isu~>t#_|dxzTs~?Z-!J;JK99|3XDAj4IX;Ne;rZZ>OZAE$1D{kNV+Cc6z&shR&v5k1sFPn@$;9`Y-{} zPS_9M4LRM!>fH!u{t&81ah`GTmp~3W@-PdO>`ep}c+AfO?ZjI=<@W$#X&Re@y1FNrwM;+5MO-bHftl!?997j3SM} zjMd4z|1GA_McB)^j-CA2+)JRLK)}9Inc=2Q!OLP`wW_tVAj zc=%V4_7NWhRel$wc;8u}h~loGdo&p9M6oD z%@B9rcO%#Mjq)fCnKQ5IdVg>(teq1%KHIh4QdlY(tfo@H6739KK_&+E^tsGNN-{@3Mll{iE9n zaWR2CjPxeI)0vx^AU%uBW#2~dwu4ujh_TV@Has8yELNE(^Ct^2kp%vSgRS3D_~DUp zH%ff*hoiZc%nR0RO?qdd_#zwAotq3LbA5cY}rT45+zy}UCA zLgt}0wm=da_RDtU_;p;f$0C8iOwo}(y`rNYH{oOOWCLyr|E$ZQemC;rsN1SWV+ZZULsQ<*lTVp zzIhQ1d8oI{8&e|&9bg`~t8I%sGwEtYs~6Dh6u6V#3|#d>0X~?{g3QgI*B3AVOH{?N zU;f)y!1sE|CQKLuKqh5sM6S1hhiov9UupY;;TN_>jzT%ID<$GcopNy_L4aSXfMuUS=_WQ>XK+!U2Ssmq!8U5g^tz1Am`4IBg z!tSL0$HG2Lv7sKrF#`A{fvbh}Gl;l~5F6rHiX!>|o0>r$6i z_{(U@^vviP-awW{v5C)oc2{k!Yuo%BTRADfh^{NtdSlQsS+7G3cY0!a@B^kBCJ1Vy zE>sak_~dlx-4=QQuw<1w0Dlse5&?W@`NVj}^#ID^&-0Fa1RT+e@u>Qi@-bx0w@a~k zi}m^&{w|H}f{tXYn>Rzo^C3^oLmKUsqZ~2hfps4Xmst?KxOXxUR)s%0&os6 zVgWN$svZHmAD}mQ{o*rB2YW~lz=+VpbKLm=uGTN20H{kCu^kROlENCuwEoVF5SUsv z?Qc?AB08N|M~Vc9w@&>CtqcPf;$ku7O!-hB`vhG3rsVaAvQ0V10Gv@xH~2$(6-8v&FK}+s1=GNf-Kb_FCRQshu!q9Y-`5*QA@qxPF>?C0RWx#|65Qmn+_t&Yl6VNYnsPtsabm5z zX&Bcr6qo{)-Q_NJ6^R3GjFd{pu|QEw&-7sy4!o#cWHex-eH--0R5OZq5Zr0eN8!H6 z1z|2RPOb)7-GfPecz@8=IlmG^G)a>&K{rWPn;^1=dJ7m5Hv>{rkIi|PA50jXJT$s% z5n8^(zVw@%&-7Wl2D-d11-IYEChfO1wK zc=0mHH#pAamYu$7I}iEn6CN!$sY}iOwn~@`z-5(GLUhZN7yup?c(8qYI|xi!G=IW{ z=tkT6dt4p0Op5`sC$VCX)Ek)81F&|O!ZE!<%fr{QUyn}%GC(^|ctU8B=>_ET15L+) zc>?Y3Pp&|_9@<=wbOb6Z=+(0J1HhyjGE!dvu2=%#ic51a1ts)cBVHtZb;}ja-%fy| zvg9Yw@TvP-$k=>azf@63eA9(hI5v~h z8k$F2d9*^-E3=3pxnjYZilLgJSFi7h{&J~HVu4!>4zF-1%*tzprwA}n; z%C&g7t%8Im(-GGJ2w`zKE(}CrwRnFe=b+MUrq$Q z-pCU*PYk<#7Ub@S8r^gJ6KcN`x?zU1BLt8TI?(9W%|1XNe;360!DJhW(1a0=HoIB} z8Auk(BL{#V=`?-O2>Y;%f%u|est0effxE`W1P=$Em6WBu^Mq7Qx4OoMdiMHk_Id*= zYtir4vkQN~OMk$XjViT`Duxcl77oP?jk4YCd$lr3BxF43(_h$P4%uciync!Ma(ejw zrVd<0KpfrAc;S$p<9IxLD;yk>?|#knabq|hAHp;4#xuscH6;U%P5vIB{c}unJTA77 zsj`q6Q6W%VA@EV(M^)d4z3a84>(wgY<=fAfMcAim_@`>L+mBP7Ut32Oi_cTUj1aq6 z0N*Zm!qvQnDNPqKaW24e>4?4W1uYnF@)@F@$KvA()4+GZb)zY?g8(v78FOIT0(TUw zeGMMIN~Z(6-(HEIDF`VB#|-B5&SF;Fa8|tbFsJe`N8?7a^pRZEi&@o6v6vsXn7>FR zGh8Ke1}xtPmbaLtG@PZZJ&db7jMKOoRR3GntmLs!)r(d2btsd=W>;z`)TN{N+ZLh&CoF zp>3#NO5UqvZIxF;(gH_5Fe)KFDglv*minInM?{Ouud2we%IsN^>sexSuP*tZK6qXk zds!K~VMwuKNYULd1=R3gvEgXsrR@nCJ1;^4aK8IMQR6f2@yC zH_C$Sf1ACL3NE=D@dk%74fp|$_fBh{@#oZL*IaF0?^$}n%ug;pPs1LID}`0flLMz> zwW%V8QSM+WmsDpVILByCp~I*dPU9+rqnHU4|;n{b!6O!!$O9_ zLIQCx5^&-W89q@ne8Sa{QPh!PZuynl@=HYFV!>g{Zl<dROn^l;usOB>f6%2C!&4(P1nxt2Eyy~bhUdt9vycPPF;hW3KGOjh%oZRCO zljn%M)Zp;0cBU1npFY7(VCU<~(^;VbQ3bD-S3go=5uI~{4prFj!rNEs3O>9AP9fVq zJvyksc0#v-gSM(gfH}F zy|4)M+>x}QXvzUMXi1+s7y#u$_S8Qg4$%}P;|BoV@L~c_V;!I+0P#3rMt~N5lsba~ zR5LSz^5Rrl>P|d$c;5m-ZYYZl@Bu~>`j-W~NQr`I=ZI*X5sp%_L_H?<(1+c_B~jNO z;RbEy2t~h8DiuaJ8gwf@M>A$?(1xPoBeBZq<@{pLY~~;KXTqoR6uk0dZ%G=46u8j2 z4D!(UxH|lhH@s!mEv-KAIqk?BPEoeULw4sS|Il0bdc76aD(NMC;8q6``s#AM6`!p5 z9U0*~7xZXobeO?CwJBv>d%vL0&uBR&lYL@gGGMSVqWuMEgckQ&yo843FP7%J zz+jyb5rTZl38=sWTd;`YEmxcY>mww^q>S4T`|%yoITF1KiHMOwuu}K__;T%w=tUjO zeGD`eyfFM_Cr2l2k83`!4?Gl!t@j7$YnUUSgPIciOW`4wMJ*+PO}o z2Rf<{-4}t~!&^ww_$3Gcm|Q=roT=1}XgASd8eKjr?ZaWn|s`iZ_iIVJ>Bu@|#K4T!w`;pE|-k zu#Yv5Dd;y-(8Moc^AQrZKu$bNaetEZtla7LR6xZx8<~k@pc)R>Z{RxP;oM1Y8UdZs z41B~zhMF_(W7PVko!p38GAaUA2yxqyIcNkV|0LdmUF1i)s z<-m;Xu>F~T1AuLUR?6ia$>S~5zQ;;oaIBQxldYc^=0XeP;+;7dp3F*{zQIK5#y9{C z3%`^Rn#{eWtW$k&9yXJYkO(j}AJ23-TTs-W)d(sOpYG3HcX)2?GA4v?tyYz?O5S*d z#lb)KJ>a8_(+Vy`C@Q>`P#PtKZcE<}iNYU4wTrGP{m|MLo8g1vG;M6x24bGueDYrM z@0r){80PLU*GqPG2~~c(QM#%~=%`QnDjzunsyI}=%K%mhP}j^huv%OCfQw#*Fgo6T zfi0l_RQF5`*U&q@(B>vTB`L+tAyj`4s3|}e@O+wjdup-+gn_%a*7VEpdy)+CBr3jph9zhMB= zn`+sKIUT&1kYmAj4D{}MD}&$0`{CkpE`#+SU^%lp^oX9RA~b=}MiZgwjyIpFv&EHn zH{C#FY5onjk>^b*VQ=}ZMBl5#t03dJzvqf|F&5-F z-Q94o3X^T;|1g@Vw?-^YQt6BM{Q`Z2@&`2J>?(%Xb&iO34i2An z^xle}dr5qD0s?Kqb|be({cU%Q%ddp4Jbsn4p7-cvoc<90XBO+~z`6i+B92~JS2KijHG6r};3Y~C5WiFA&Ors%VVu1%LDyAJy@~@` z6f!9z$gaMOY};uqC>Prm;sd?%(nnZ-uY9~GM8(llnZAr#aV;Kg6_2%)hb4yM${^JR zVM>GJeyrQAhn2onox4@<`ps$YuEdd-Fr-XFj*I3-@mBK@S;9~nP%cwXbo=y1s~R2@JF{XqJhPo8w^+!`?NhqFT$OL4Nif|8HTMEMW!55 z1C@KeJ#~8DoAXEm!-pt+zXvk-;fvqw2uaZeF41A3e1-ehaqTx}2r|cob6M&i=_aKN z!iaat8Z2yf$$}bj+5=5A$w1ACxF4Q6$Ofss*hI+UFUI4+h_ks`RT8PU3mZmGcks&U z3>roXmYskuV_FRh5*mns0o3zIGG2%kVWbk@q}V2g_1FmQ0Y8N3#)iirgcL3TGhM&D zHN5}8H}mKLz|Io9&>Fl@2kNJO)K3BUFJkatpm4KMKEM-hHe3%Yc@H`pIjt-uRR(CH zCRr?j*ZO=V%~PsbGL{i%3QYpj9rJ|TEi#W4236RL*5GQ|5w*+>KLjPzN{Ac_;53+$@jwA9dQ3fafYcjwN^AG<2gMTj<2>dI5fCxG=9eeWj zVpMzLP_ZEjF0ATup7-46(UjxIU*Y^(KU_@l_tDDt8InC~YAx;2tM>(lBjluoB$3!p zn&$o60zWnrHPRUc*!;TcMuMSvakjTE-2E_VBMHKwIjL7s5tBm)6e5 z0|suC7o_(&QM}MFl1x^^umSY~v_WW~5J|EY@+p!5E!}9z=NEOXu}18kGA*Qb(MR@{ z!_+#0@^marC81!6k%&6~E{{p-!!M&7EmMjti&;vI>RA%J!hkQL{ ztwf|OC1}-Iy-h^w=Qfx0woX|#bBpeJ$al8azn6C}>I%5|8}Z}!`HEVuEIGPucMm1? z7leI;M(4=wLK|wVX|sbSE#rYM{~-ewbsA|WLv$sWNe1-%a@~<|W(Y{f#h#3sdDqao z7cb@drV5c+IM#m>8}kchL4hHmlEE4uq|G}J_(K_{Eo#igTzEIH$mov+le z7i9*>lzG%+0~t`Gu-qj-_VMN%f+CI&OdAVSGHUYKWZtni53B zm15$YDBTNgM!uvfbzMiSj18B7N)ST`jec(lit4&{D%KQ5^63z zn65j<)4CCW!o)!Yg6^Bp!I0H>U(jrgoNf_TR9GuBAw!ilL#I#O>@j)Te0ed63dc^h>v zD9Fs(u=~^1mJcxiR|@IJ^SZ!JD?uvNYoZUEt~O_O&rpgQ%O~drvSaS9k6W&{2=`| z5xyY+81WhG5iUStK$mXSQ&5bB&B5i>;rxv$m$}ti3qeu0ED+9R>-i z#V}2;4F-XQTvm{VE<0S4QJRU(de2MUodrko zp&R8ZEVl{k9%EL8k*hIlO02;xqjZtr_okb<)23+3Uq?7W29CmW$C`6u;85etZaM~C zlb}T?Oa`W#ow!yj{y;Jz5Nv6i{YsTaILFhVi@sZJ>k zpgVU#294#gu%Ec>chzAfE*#SgB5jvNm`IOFR8{^O#|Z)kqUUoQMD$4$XKzDPsq8;H z6^&A^gTXO@#n!a3lO~^VSqFq9PsUr|bqaq)yM-c3)R{pRJR_f}X7FL0;~=EJ9#fqm z4tNm?2VZl&pA4Oc(2%>w^+*udoQfvV4DQ`6E{JYgVCK^$Ym)*ihP8IEHa^k^iT72o z=&R5sc)GON-+c3f<}zz_Fa7SvWm)UcP7pTy+jTuK>Dle4m#pyMX_Xv0*0OklocEpq zIDUBgLHbT+H(h|*`(0gRc3hNC77`Ye#{^}P*$npuhBofRbk2ZzA@6hUKJchf83?)? zf{G~%pkj&)*i2a#-TyVy6Z~)jTh+-iQcdOASuz_KpKm5m^$(-CFR{5eR4!{gpL$-C zTc#E-Ze541HD{JZxDOJPg;1U(Am)Iv^7Luyn#a#1kVbu09}I1oAO@ODLhg4!JtG_G zprybo!4^<)sDb0XPOrbZ@oV~3a@ny9^~C*nV*1?jNJ*9~+mnX7-jd9P6QO1z8(IE| z{fnRHU{X7}N#VXg@U9JbQk+aA|MT)QjbC(xQEz?CD@FZ!b@8@KD($tJ_ZJ8 zl-%MF+hh}kk}PExlzv_~g*Rc%6z7r^-^GAIkYnTG@r_XwQj=f?r`yympYKw4%y%2^ zcPX<@G3l+CYker0HO7|<2Tq*85L(MGyC~ucMn8{>wAQFI3}2kKsIvuzpLH4WgQp?i z?TS$c?5@{dGA0)={j4*2_yYbl7Kb`f#$>9*&0|ab9PDZotKw;K+R)tScn!#>+yT4d z5%G=ufs^)dB+!h^od6zI-H>7UUL=!{R?Z&-{BxtUh`E1#D*|e0*S<~k}@l>cY9vZmD1yk`ant_cQbb#ioOWVBm;^HMxUfpy2nKVeICU2 zCv||yIUz+WGoEOK;+nvNn{bYnvs=H65w_QuobcK%NespX&$ z0C()o-1tq_*1&?;pmj=U;m}%Ei>Zz<)@P>$X8oPV3$OOcbU>ZHi+PJ%{&x2YF`y^1 zPI0p~UQIm;Qg1nAQ%i-B24hw>dMQ|dgLLsasDvgk1yD_l#)09Aaxot`TM1Cs!K>7{ z=iJ`y8Nv_;$nSdi$?1@%e~20h@=o_NIpckY?K6^hehh=bxA`chRhr}6$nSNk);Kg@ z3Jg3@)LJEg4ZG(vH-n3ZN(~FMdfza7aZitu>xz?i#ptQYdRUTbTaisvw|{nAL^ux? zmcrJ4&=;E+wZd#LR&UYTqzKdUr`#KHZoA0`O~PW=`B3osx(km|Ts}9!3vwgkn(NRVuUnCz!M!kgS{X#Y zXmFes8|n>|Q~Gc^pqds@FgfzSUkOAC^*)1UO(XYgG>?@B$rl~7=IOKM7x}a1&T$O`p4Z&B zyBnUjB`sZdJuNYv)RaN0p~Mz4BC|Tg)*+(H&p{L6KQ$2rsmf#2KtyIUB5S*ZA5a7_ zYI2D!=p$xyN?%O#w?#9MIWIcMQtU$pL^qdBrqT{=843>@y4Wa@051}_J`JK1Z4In z^3KMaMc<}|*u4zEQo-hUhGzua@=e%ZK@F6IiR_vPA>ceua6#I10*Z+Ft)TEOScTi~ z!d(F)#rvt(K16M0{;gKyR%KL*0H7rh`hbrsYjU}H|)4j(ksGA z5J1uBQ5$*pPVLRmQ|u1ak0AmtX`ZiGmF0GHnY^G;w69eT>*&gVid|q`t30-()78;s zj|L0Rb`u`-$?{Pf3{4Wd?oHrVeSt2>tHogg5^X1l@W*Jsr8dHsvKWH$t&c%v$8uF? z(L(&9(_*JL#^CU1SdM{_eCS#9M#+=@xWr#|=EriagFNK1YQ22*(OssI7H&Ue`KA#Ij5P!? z))mwp$yI%ZAe94y5XYh#%BKaDHj*)*u{~rp^bhSo8C$E0A)umrtbbC>c)F&N);J`3 z@8!xDP1GKS9P5#hv$wTU)6h?lBMJDy&0h7pyhD$lqpK1tu3NiMZXg-zCtD~wR+cre zw$UrJ0&ClaN_JSICw&fZwtUjTHQcp-8&*+B3J!hc9~D!BWlA1CzW^kQ90Yr~=0p}O zeOZ5XAENL$$NI~-dEMdPZ}!i2;?Fm6vdBNgA_qOYndxTL{-9Ijn=D%E_ylK#?7qT;EO8Y{S#gUS%n~Fgv)d+Sa{Qj4d`$tH$Mf?|n_;RkI?|YeU-k{$(kx9~`hoEJK?dWD)X! z4l4KoBb%`F9c>df5^$Zm+-I=wO8_%kP90cX`KBNtvwIuUbiZB_q6(oG{yp5Uv-3Ez zYihxDIyp;q9+`AAAbY-#wc5vb{ndWX2Vv&iYKI~O3^_ZXsIIZU5B>y{@QLhSDO^xH zE22!o&J88B~J7#ktSa>1FK%vVR3fWKdBGD3J@WVv1^zL|Xy>+RN?G^JW}h zrk8T)aK`oGn{@53jlZ1Regst@Hg1+bb%qD;-2oR#`;F&&t984(RJv8(;XctHADw5C zb0NN0XH0~;;T(;Iw*%3yW7;=LDE)_|#FmbSEcKxkDBM^?mnuMuAcdP^_JK-FyP?dJ z)G*oKg`gSi{WHQ8x&Ki9vv+uZpk_XMS3r1f7TvBYFGxz4C$7c7;4PvL9TkBnPKg;8 zDy}6P->Rnv9n}v@N`x6FPfCXq-D&E?6N-EXMU@+KMX{U@OhJLkk z5EeKZi{OM5ir#?uy2$xV0%-ORr32i11n0YILwPZ z(jW`WZHzW@qL6W>7(S5AIC=oEvy{!hmd)QG7St~m6cCFM6N`aDOF~IYf~z4auOZ6R z82vZ03w%I^O!=P?|H1#i<@^tVVHLvs;~Jx+7J)GpLfmAMjA`o3tvBdh7phDgV*Gz= z0}Ww=7&7)>0tF3&azT4$1;0GNy(b>T82d8+0*V#_91lKO4?e;c?Nw2&PLHt=1Am0y$mq75p>qqE^s0UcYJ?$i zjylLk48y&W0x`+Kf)14VJMv8Bax(f~Ga{0MDc@p+?a0ed<>i7Vy+1d(lK}%BHO`g< z6!7&o#OJ+#r}>*Qm6r45qF+@4*H-$SCY@Vznp?BhZ#SNc2l(yQc5vZybm6mf{CMs7 zamNNy{{~XP5O(wsHgYO0c`7aBo#x-j8tVWV99EUYiz{)jW(}ebB=?p#wyCKR0$8`F zAo#U)1EG`*3EA!G@>`GxJ0A;H@CTZ(4(CfxRuQ3mUc62XraKt&SxO}si}qRCZVUV= zgdDI?YuJ1}p!FNPll(^z$>mn4OONfXbh4e-#ps-$v=4pW3?CPg^%{5 zKnE0)$JE(*Mg6{{;L4Is328M34J7MfD}&a*Q5pW-(R7wf3k~pOgzpj)HM4&0OjH#u@`|V2@(C+=-giIXT2AgmSaZa z_Os$-Rpqke=*^AX(9!@;Mr1#+DQmKC*&6j-az{kxC^T z5r6=Z7gU`3A6$Tet)l-|!u+j+jDO1+N}l^w*l)vOVRtIrLrUC3L8fH|CX7%c;#?zQ z$gr^VurOUlL|R6K)-0_b`bHk@KnVT8s~bH1qEFZC=L)w;u3JM*jvogoJO^=ZljLrb z7_ZsCJ^x4jijX^_O&7Qj#S(JRNDaVjcD!-WY59Bk)P$3{JnGkL-2>de{^o8aU!q5d9XHdBU)$``E&2z4o2rV4QB^z zw=7Z^_tx3%S%+{OkCW;XH$s#0(IX--nRobp$*QGb1YWfbDUop>Llj|Y?m!k zuKF9C_u?JL=8uY#04%Aquc<7j#~SOf5yZ*j<_pT>q!lL^X7*f^a=RluR3 zDWv2>hf^kVs8W%3<1lV_!8l!~Ot%()BnpW3k7UqoMf_N&03vHYHWw(x`H~=?^gnK1 zqDTJ$F>It<8ZE#W?7ey$aqdXCzt2CvfaC1>_2~A#DdyZ!aDE}Lv4!#IR&wnox&Hy{ zZ%rB;)?3+_k%+okQcfK28E~gBk7deafbEH*Ow(e#fi%1>(N7+8Abqg zvWXHg(dnn&JNVh%7U`7x?;26PU$o*I6*%JOhossHu61sz31YQ zt#RKoM(A-4+`Bcws_ZP8hr@3tE;Ng%boXs4ry@YF-v90f?0R)%IWWLz9eQT?xit@{*sNRbzZA$p3Ihhyd|ig{!J7hm zz+yH3Q=gYfKX12Q7Lr^B2Wba$ZKW?^L{MK6eF;MMS(8dm|H#<@+oK5-!nYx=w?!6& zv}Qu6l@_qoLaqzqdP+G82zCcGM&7$oYXcOubt zjhH0Knv@w?{#w_*@>CWK8N^>Rhzr8X2o|H!Tal$Oh2@*&@Zqh%C{0ua6W2k#<_XXeHPOF z-Ll)NGHvjd2Q^2E592w6(*^&uDFkD!DP(&9%6VT$TR3}PJ(?VRa{v4-g#$~5FmsD7 z2a+hp(jw1kz>VVW3sr@Re(ioa|JY<&Cx;d15(<(qNR8uv46N-cdM6P{4?jD(TvPQ#h%>7%`>*Q&Ioc z$ceMtYvz~Bz^E9d8sllXQ=P#RK{vD}ux+H3)1l}d*O$=B_AA8n=o5vhrU@scLV<|% zG0SOX5B)q%peP+NOmP`_ro4F^@^B>N3OHRIU^W|URbki+jCPLu3s#Omsc!7SQM8Yf zh%xE3j{8fL#P>7HVXDS*H=)%TnCKj1~m^1sF2IRwoEjua_Koj$H+*|S&!#H7o+Qoqg@8nisKU|IZR`+A*vhI<qkUt^b)^K}m0!~fz?65$olA#|5GJ6cA#EhBdXvi)l0ioforlTIhQ zX1+nujw{FrMb(aTPuoGi--mgW1q18Tx;e8=k8x8gCZRse?HU(`n{q8_IXjn#FelB#N ziWYt@JT@9p+@5wK;w}J zOfv{fBjuN7fP+d=wJh;>bdydf!0>sf5sYNc49miEghwLwfO_dZAGak0q!{b(MqZUoU+S z@8;YeI#y-Ef!QC*#Fpr8y{ZTg53NHhe=Gu67#8(GoH~56Z~8BD)=x|-9MOD~5}TSM zY^rbyzxnr^#WL35t1Q%M7*8vA9q9yT;<)r9ePF_+Xt!NB|N zAY!D;d61F9A&n&W+P%gt^{8xNhp_@r2>5aG#=h zg&?KGC8Iz$F9p!WT8rz!hKU82DxCf}th~#aVlp5`Yw2`~0(7&q#^mgr z^6DzFyW7ywu*|2{Td z88i4i!1cjYFzV2x;x$&&oFOx4GOaZH99}T6$bOEc$@HOhe9WNo8=RT?F9BKbGx-kZ z!ZZd?tIu?=cz9tTmgv75#f7-!EgJ zzd$tiqEBKriJ-qeHG&DHrY0RGR6}(%P0>Su!A%s@MK;hPXN36{epp8Wp9TPEZF6XC>VbJ1*o3usgtbWMvnc4Z{s?;k2z$J+tbDMn1U`uX(AtRYqq3+q(0XJYP5GkW0*i%w zG8FfZPCtd=gc6vG^tfXLM6Zm*mSx|v{ItUd0UxKE-tSCS@3KmG_b6KMT{#MdT(45O zn9D9budX(lGK)6O9TGn^&C_lj-dZ)?z8l{NrjMQ;JU!Iffk|nKS)-(5$(o+=cOl3t zEc#q}XuL3A!c$L`y)X4vd&1!8mbl5zZn@fjvg-B9Lb^N{?oRiuI=xWxj?D>~uDqJE z&rWW4B1xfHvg8Y(`0`$Y#3&)R5~|ORC3XvzySq12;?QvQgn7FYvIdep6&%TE)d@md z7mhd^0+4s#M8Yewg5 zhS|!(7ObN}{ZR6BS>Bq31QVN~ec51He+;+W9E zG{z)~@X4VFdV34YRdf9IS8cVe2zr35<+om*t#&K>ewnW4G{{O{cZ|`y{Fb&>Z{3QZ z0}5bdp*qIA(P08EeWu`%PoE|rKt#P2VFHLW3few!9p7ZfTTX_}GTr(&(SE7h)g}~j zh5iQaq(}|VjniTbo3Wi{L#2g@)^}gNL#~^BTnx?J4!2ypiLpw{M%Ic{ap|?RRN2nG zypuDYLkGylo+)n)|2jVLE8qi;;*aMa=|^Eb<{*4U39R^8>n7l`RH;yG$yWKy0;zL~ zhb>m!iuj+(V#9s8P7wOMKNyxS509shJUkpIf9<>H{%Brjm(_NAS=jpB3*B%`1ZHOIH6Ft?pjqtL9*q%2Q(FgGJ zJ!{8fXZ(n3M_8J@!5HA72p~J-Rg6ZXi}oQW048aEM5rsT_;(&}35e7=+D+zB8v#H3=q;ANp>HwrCFQ6AK*2MrKGv zW(*JuBmxi#W&sfO%sld$IVr_7F~zjFY^8{7Wqg)VRF)B=$S|qMut{WwLS)7mu|NT_ zfXLR~dG2AO-k16-l<8M{q=QxXOO>VGg$-g~I%yr}cpEG(^3-eG94Bt3FKPrF=5!q9 z2pcTu8!RH!YkG@S+ly7d7pv+`P#a88mv29>*nU1`9|B;fzH6ucFX%Uwaq1Jo@efpg zkmUSm7EX7k_dgSmd`i8(`h!sOj*#r*7ilBS?|tciZzKEo+}6e@B8o4BoxQTRFTE@2 z#fR0^A3A`<#>R*qoCfW2QG5ei*Qb7QaktGq zguMlEH;2S`NNw@kVUIBRsDJH3*S5>K+Q~s_o9gEdJwsDlVmFO#`zmg5l?Oh@@!yB2 zp62T@BoxpY0uaLdAfb77he5DliQW9|c%78jqOHbT zALV)fba~dZDPQ*#om47#HbY@c7;UAW->lc360r77hMTxX8%L)XNx@+OePuUqYre{+ z9ksmsD$FE@J65bPb^k6>tdKNTnIu+Of~HKArYu2CCq_+&xvrD)0dQ@C##S*30Q8Y4 zKEQbR{}3Dww?;j74KIlB%BDd+m6zG@OHWCoq1WO=BKchSdXxsL@gPdZ zGhIHD)j2Cp{(#~=wU>Gq&;hst)N}!|;Yx*(N`<6CDkK0VRQQT3M4KxE+>B$AOi|b; zOl)ctY-+|l&hBWxd*ft zpknrf=k*Hr-Pt+qaGOmTFAFx6UY#;QVhC2bT4DH_jz92k_pa;szX9#GWxQWNJ2?HV z>&es~r_I3We~@`+?_m-zs+hl17twVZpTi6McnlAgSuMDOzK9Ufr7_)5kS}`*0eMo5 zZlPra_SIn|q+s=-!{AdCiI8Hu4r;X1nAb_}vy)?;W>~bqyDgSD} zXg%dvj!R=w+jr7I9KZA=py$8pH3uD#gq^re+o+%mZ)0~`Ph%eDs zmEcnA)sspO$Y;<;Q`8|1ZL=hr<2?%QgB>jX^$SLLPhBv#LUU2*jZ0L2@neuOd`%!8_zyxlkPB$))KMH}4*U z-_rqx1*dc)3IDnp16s(hgqDeb7>Z1szFLs*EflOFGT9U0K2Q3D^Z9C)lRqiz%xL1A zqquBOk8tvb+wqTAI9JL&QBl!uRsF|RFa`QMy2(oXir*#`vNSSEFzBLrXYSuXxD7%X zKaYQdm_QfBmZgtfH3@C&n>|C)R79u_K}Z_*tHvuuRUYecK_m#$Z-VL=KClC(kixc{ zl$OKTOmzm9N;Y?dN;078Oek+uE;wC2;|eO1p)7F~09vG*XUfkYw7Mt9v;}QHgCJM6 zsGSQcGf+f%SWU3VLY+U;ARX~5-Y22y&s!Z}z`dXM;`H{lotNi{L;jR|!A|qBIO%mg zEONHTQFOGW2H9A%JVHb^8et-D;2VFmsb{=;6n?!qXoO+}{4D-xDo;RMvR|((LWBeX z{}vCqK;qJD zXKx_cen8xDK&B!ODgqwu8ht_ccYgm%7*w2PlNwcbxEv z^rKkGz7i0TBHL0Z0#z^DQk)JIxp-P_d>!MK^5(oc{MzV~L&BfujlH)dv`1*PM|2vi z1RAT_jlF!0y&X;w9|0T^q5@bEc(p9>syl?UGlVk~+c^+BJ`_8?Geo>QM0{Bwa&#A^ zy<$&p4+E!U+gr(y5!5^Oy1-K zcg^bO6L&$P+eQLIdDy83lIh6@NT}%qWz+7R1|RUiD^e=^L%m6RFCkZGc$a()KC%Yg zt;N-yU9+sv&!fKU(}~ddiYV`VpTtEe&T+o&#*tg1*Z#ddr>c-CK_d4QMb`GQxG{4m zo06PpWorC_VuW}e>-A+&98z$knl!&@J7fy;c|PX)?q+P+hGf~stsMBwO&*(4&o^|Y zGz4)u0>E_L!*~FKvssCqIXR{>#6#*rX zfJ^a*ls||79p7K2070+*TPYEXPlpqy#|RvliK^!KE#Hp5R^wz5p@*wE2GtmNs1`q& z`-k%El=$^uW+TogA7@n#TMLZPbVn*B^4G5oDk!WWudg z+3nh;`;yzawe_}1_~2TWIF1-YoD@SG1CbOHku(T43IM-PAHRB2C0=k-3yznvJ~u_0dyx&Y73)irtGY{V&}V-?t9Us&Z$f zI_oZ0?B$GE$13*dEmQosI?<6ZgEM7oQpViy<`N$o?+g{Oq3!ETCD%7=4j#}f3-0Ws zJI2|Mo5ZcOBGOX|<;vW}h~1@vjyvVOz&^~CwoS5idlAS(u#d(%}?VYamHG&HKW z1dCJZcWp_54{L?aUCJ#7&DM2Gk7ss?AGyMa?pRh&J^+!=3J)+A{;GhKOOb?2QNpEA z)TJ=tL^&PE~$DV}eBH21G ztHcf~Mh_N9a?qLR3~DnxoIfeSREyFRugI=NeEQ>8;G)s5@APMfNrdf};AxlJsL64X zRg!Q4pTjH~kLSAEY7}2|%-9RTixsvD3ns+ZvWkO>7@0K!lSY}#?BV`_Iu2gwl#w#Z zOrO+rza8c0%rgaZFIYi@8CI3bW2vSV;7V4yR;LWQ z%qwUKAV>WN;_;yN{CRc?r)BqecKXRDxO+>U%x?^CV966`Q%rH*8p}8!@$e|qpnjsc z3=HK}b9nSn>24KQIY*)hwwDfF|7CLwz7$jn%`jjDJ+5 zt3W_z{Zh5$xd2WDp@QekDRHCx@x^7~HpOX(^2RyqbLR5V<1we=YQ_|POsVDOol+f> zw^|bvNavE?O7a-hqWCJPp(uIU+RD(u2!k(ZQ}mFt59+zP$Bo{vVE9yYQ@zIFLy1-tMQC_UtTW>+ST`w|j&-ieh*jH~6X+I}E zZXZ>+ZBSnP&m;qY1wi?4NK%26f(V8`vjvj<|A{S-jHEL0-?0_h8bq~_ZL5!eGLG^q zkR3jY2*Gz}0nQHgwX|G4K~Otn6`qwt>kC~k`tlagKElZ(&o7)gUebv{245k*>6S*~ zZvm;sz)w-n0miqTGcGc-t68K(oCb9UhXyAY@|fbC*??Ot-Eepkitg8_^)k&7biJY$ zzx=_=o)>-~=^|&}Z-mq|AcSnkzc8thtE8Fn8maG0TAmUCig$|h_hpl8D(H@{Y8{l@ z6wf0*W^fW}$4O)-5o^ccXI_)uZ`CNY!|{m{wUM*M1(ry${3{1RtqLC1K(FV*pkSJy z@nfL=QI*AaoUpPNe*yd$(&=pZQ_LuDJosNzHN;a+NaU*-D?n81RMj;3=^VwT^x79E zqQ>aY2v}}ZDy;=@7^iD*&*3w|R;~>yW$rF$@2*PjZd-1TCMNFONcPwUA&xJ;cE7|_ zeZ?w9U)^|)jj{X*Nkyi0+cM}aX*FT+%4n^w4l#*!4|uIk*jrNIAqU5~kMDPJ$7*3x z$JT$6?6n%6y5`_Iikk^Mqvc=z6qFtfICh{j@5Mm|HC8?a71ip3S^cGvx4#(%(G#+< zQ2Efe%E4u8ZJEi0J}pu!Pb+`sVTZl_A&F1i`4XGA`t`lIaa_kVl8QgP4orKGxaKHq zMhsdNpY_}y~pQuPy1gTJ`cGaRg+uHb=_$(Ns06%}GaAc6y} ze3+bX2>uT(5-a|0;JzYYbL|#vWL;4I0jo>yfA|Bvh4JHs=&Pd`Hv`dZlwrwK%`kVr z&~!zaV0txza>RD4Do_wFea(n^4++UIMFRHcZS5!fX86eBp7V_hi~e{++B~cEsc*~( z8+L6@=L^xn@Os&4#`93FVgvh_?}F%~+dG$MJ(V(CZZX917^`LDuM3|!24CsrzZRGj zE*Y$ODyU~hY-@StmCL2It@jnX9OC6w)JZob-YOj|*&M7{tkdix(CjlL?oE#fG118m z?})v)Hwbkx=5=0CE#Ghz)C=;h!W8;qjO*R3a1x%v2mglY`VF%dYPsmE<)XZkpAeIG zd9_|aXFj*$df8k@*!(^neb-uPrV7_&l9LC^wp8Q zCxWnMq!F9$uk}bHKBtj&OH-2eZYH{O~_r7Rrue8i>_jW@vWgD_A- zqQ==j^xl}2q5-Z(1Ojie0{x@42n<*)%M-b&etge=fAPLFT=4nGC~v(}(5~OyolAe; zZR-u|_pG6kpG3#6$w?GP2R_(cC=6AM*Wa?x-aK7v=@8r3T+U`)%4Y2lvy6!KkPvm+ z45AkN0z9NUdr0USE;=D39t7=TQf33UY%#GZ5K#(RF8UYrMMatV4*ktFDU1*#BoGSKZ20puP^BWkbi9@Uy{sB z2AS`0cd?x9mxtXQ#d==%Xx<*CdS3N-x&@i-@Ul4Yu{aRmH2???Q}6A5)!S{++fAdV z%c`e~U0#M)UWPO^i83|mZ?+Tg&**ax6#o%D9UX8Fi++&s2MJ)0`d0~nz4w2R0D1%e zf2Tu#zufb+?ud4J}tO5 z&zsJz{pPA1W*6e}KsAr1-c=fBW&YSC;g~jo&E+1Y`*L&BMwL~q*4p#qCM8O{;t-Zt z)$h6f?&9)E1s0ZsF2Rbj^EYR=uZ2?>K_K(+DOAUlt|z8$F5(VE*G#>jjjD69HifT6 z6}a@A!rdS5z$iMXH+vz7yhnWnUiNi%Odr4qG7%o}p#170JfPXgu@-2xit%UKpG~Yf zjsnK>j13_K>GhY!ZrxriJ(HQjJBP0i^ie@)P74l>5SpjOp`y7iy>Tc2Ust$8Go==zN0^h z(xSU8%oySzH;m+2UD>Q93WGPxVr1e)nhYN?qSYdQyfEao6nJoh490C&grzIzJA=mO zP!XTMvPLu~1INkvD*^Q|zc8ke>jYlI*Sh$n8ACNuXpvsu$RWU{po0YZX~hHgIu~kp z+s{&E&1rXBKXfT&Of6HyOkKiEous;WT~&QeRb6$}3*kZ;kz+*m^9$+SeyGQxS&NsS zU$t}${TxaXHJP4me+8z$xhoa<(gm8j%#h-rcW+HWo zUOYb5?%D_hh`-2z`J{tiKe%y}@mtJyUcDQa%D4O}#IHnN`%obzS1#c&LbrXTJCl?d zczNsmlz>m?Q>DW-aN}HwAuafLr9X2b1k&0H5J8H6{W`@&&BLq_iXivVCHn zDG8r)F`x28Sp8U7eOAPN8pM7JjA(U?=s9BY1^^<`4i9h^p0-2EGez=m2t?y9#D#cm z+ixY6Wlf=97r1*71|c4=c;bD zU(XRd5ddN+JY8f)FFfLZx)aaeOnfz8xup0_cB8d=+`HeCvT(t2J+r{QkL%g=WYaS% zky9U$|aR9N%E%B>rk9L2wm2z22LVVjXrD zp+Eb=aeVc?-7ab&_L;6O!P)snU>5Y*m+2bY>!%Q4Y|U?!hyiZ88u{s?xQu<`SlXw_E7@^zZJYS&i3r1qjyTVS^3w=$1~B^2Xh zdrFvVoJ6l%Yw~*=hc9pY`t`lvwyd?DzS_W}Xyt2_d6>z}wA5I2)&6+(oFT^7yXj{6 znenXZqWI}*(kkXMYqo%73z%f9P`&W{j>LQW< zjzqx}NWr1_GY=rC%MSJTr8z zR(uZX=@Dw|S2VMZxz*&m)vSv$Rd9@~&n}<7Gp#IsH&DoADr-ERJMw1FW@^Vq#i35+ z=BKy-nrK)22Ho+F!F(p`mj)MIC?X2B*hV4C9cK7fUK)Zm6sysqBA%hFBAllPr8x5i4gB z?F~;mw2Wu_I(0jHQfV8RfEz|VY+HGz3|YOyxpEp@$|?EKD!i2^@*Y;G;|anc7v&7< z*Z`AJNa_{)c>Q!w^cPX<@53Z22z_UUqgKvmp0;N@h$l`!inHfvrgeM zjiT>8%4Ed`D`*aEU{CatA zJGq-5*_Y|@TOUcsPzU6>nesf0axT+FPWc$eP$ly56Tq?T%@6TYzNiNjYJdVrvi>be z2)uSP6IQY9#v#r0ZPnoR;>DH7&0@zU?JBjk#f0Qr5)TnJCBmd_DfGe92EXH$r|lOd znyZNg2LodV0}8*_O@6Oay4hki*TFT{;WpPjSx`e+Q1iDe4zMh4XI1K8Ra#0c0Pt6I z<_C)Zh#t3U^4ow&|3ShZB!E54UnMLhnlAna37|LL|93j{_sjj?_Fn{(mGMJ1Yi+{( zA}%e97OPp5Ah5lGROW>9mF_HcdWSNG-n7Zk6sY-DB1;J18f*KLcVc!8Z3X zIBo7>LW>i&we>?LPU%|NAF4P2s<{1;QpY1DZLb0Vbgc@IMO?_D31pEH*@_w23Jb0l z7q0dRj2a4z+P^~|d!YC~LIr`m(M0P*XO`5(%mI3xoPeKXXcDEbIzGL_qq3ZKGt6G)xX*M-|JuN{l3*` zE4n&IiDL4Y_+4++b1x3ZIIPm*H=+q&JKXZGwPA3%L2OuVN*JuKJWF$>xN%mJ_JX2i zXJdQjVFH*6=b?Z_vAj>V?6CX2+87T}AEeOSCDrc`YGN7Q+U{7CIkm_3s=)WEK&;b5 zt<&_?$%E?THL|EQv8c?(Wdr)&G5Ebh>2T5faFPDh9@nb^-m8MZs{-jS*!7m2TOm0! z-_lc+#BY`x_3;?=IGAo=ykHjibfe|1OjsHOPC2o~0L5*|bSd~DH_<4P0j-#d1}2mu zu!U6PO;K8QE`kWt(+#DZ?LPY0EPaMyzOT*7-ZMxSy;Pqh-c39>$^?JL*1FT@M>0|` z$faKBN8S*NywOZ~EAWb>C6REVaA+fOXsPMsDe2@NDZG_bc>6l-K}6aEwm%Cjb} z?*iY>fgSPoA69+{Ng+jt|7-;q^7$((^5&8CGRskSnWUm_+~vfK;-ih^qm{H|hu^b) z_xYM0Prl*$x>WY% zhXCX9L%;?0uZxLV;$N*BZ76pc)aAxstwD`RJNXjb<%PT*USEl?6cCkP&-CJ8Hce18Ry%mPLkXUYpiIbtcx> zgYXBj^eM_w`F5Dqn-(uo=I#0M?wLI z%*Y$rkvCN9oz#D1AH9V6xTEs8YJb6goujS?%NMu$B+xVo|J7Ia=WcV~aMy`()`^9{%QV_S zGIss}iTg(@a$jCiF!)-|9Ull+qwTiAmBI@WB(mh z&$m_Y@)d3OzyyR>1Y&Zn=5~4-*Qj(C6<7dnI?Tt(`j{W8H|{dQl+HQ z@jDQW*oM!3WnI9x0H00qY=fw;#6fbnn@o#e;@cSSqpOn6%FC+G3W#KN|K^{3|n37w6y#!a%uv()HKvLubNB+!KWJ_+|xxLpyrT_M&x7}h(i z6ANS$3wq^kB3DFV8|4SM|4IOnqmEbn>#|m?utn2|VwSxo4fDgy_QG!6N*N{gSP3?9 z1>13KgC0|Gp6s<3Y9{n+l2p_-tJ{~lvl*SWjU1MhV)^qwRqWDt zB&nqR44n9eYPc?9`3-#osUraau4)X+S$PscwX?Uw!Bq;(U!w zi8#gCQ*jDU{!}~C%!9#T@yK9tcKi@Z{18KS0;wyaGrSksW=aVts#h|ySCTq4f-*JY zkvN5vIK`Vk3A6$3za>x+2rP)V|DL_gG%fsR{lJ9p&kp@9{oo>gyAE(EIP3&}OYHX- z{*MK~n?(mMCbL{xAbN4vl1b$@@Bb%E#nD*68<0 z_rdJAGnf@x)XInq_I%qhf8EQZGGqpMU8aia9)A>Z2N?3o=M>4iE#TGK;ejmp)s@e_L@IU@ zf|=g^l@I`ppHRGkU|x(LBHSYBd_rC288un!J9%D+*4ek90mmS-JMwLpNHjV4Nn|i) z=b~#qTedJzwu8lrMQ(fNR@ZB`@*wgzRkTZBbqWJ`jH%+lpNigM1{yi1$N$aO5SusdugdFqFacL z1l)fmfN1RHu<$*t3N-~hTa4%tgcUa2o34W&v3cNKD7Rts`9)zZn{Hi{8SP{Hm!o>@ z9JdXPtpz6xUD3YmOn8*HL5LX)?(y13dGe)k&#=B>jIJ!grDgypDygBjnP0Fqcl8cl{)DZGLVPj$nDBU>NMe$$)$VK`jyDu`9e29T z?$o_fk?0a!lg6`3qDbU8jMMrVucAjGI9Id*8Ica`G$Z;APe;zZ9+B1_&{8DArl6Cu zy9`0THyx zK^!agFSr3ZoQklmue&+i4Ts0wH;&@BoQ*M~upbJ)E-V|s)du@f^U}U%7i;YZ51^l| z+*R$nMk?c9OvQUg;ArAEfQ_96cJRAf$^Mdc;6`a62%4no+fE*SkDXG6@kOAeWf30M zA34HAkyyy@ikJ(9Q_fx2?9h~%5x=ih#d^Xm}|CFyXI&3jAOq%^x zhWrByk*PF6Zop&ZWkU4KQEycJJ+IX5m)BbGJf*X-3LMkfH;};I8bwk!cpRcaxcp`( zfgFkMn?@>=-+)cuJHqp(G#wQFm=qFdvNkNx$In4XMQ((!2}5_F(lw^5nF#r$7nfr zz>MWxcC3sQH<~z6ce6c+0MTQx+(t1f+{WI>Y9txJ-N=e4Ds}&|M10tI7qM8;9aoRm zh``p#tbR1}jUVglGiI8!t;gzn$IbklcQD}z(gzLJ4RUYFV8Um7><385N}ITwI~=Swm(||hF<;;R)A+e=+=L|8gM}kS62gA!KDC_aeKc_ogrnj zbZ!P8aJ=38wVJ$kKZ!}0}J<7y1+nNrfC8%u2ocM9B6@!fZ@L1 z2?HLcXG~Tu~(7u>7s4F~+Gd#wjtzh3~>+Ifs85 zbeY~TroYdf2{+k=D_b?dwK@J~E{2aAst2c4Ckm-N)fn62K2zCriMVuwj!pa0hE*4Oy*wbIryspQe;(dAJT2<_4fpoBIF?<(N+c zG7|>|XRF`4qgpud_ZPJIFv8-OyGHD<3~0}r`ZM~)G1BXH zBvAz+L8NZMjzL1ik#@3D_CzynEm7Mv%GQI%;TfmGZx$E@i*KPOn5Ae5Mj%e$UCAZr z^x6afosLX_D_GZ>APU<^8o3`GSKH*n7~o>b^6kVmi)0*m=&VydY{Qp(@u*~bWU6(J z$7?d_rqeyo)@K2CsQqT^9vvHc`8+kPibTD`0m0vV4cPheCf? zD>x=|g_czPusfw&H_t<=W1m>X)2&6k{48*OqS>0MLD2)(aM7bc4) zjU{i-!@z>Y`*j!YoJepgNP}280Cof~=)~7CG#So+N_J_FKxE?3ft6`W3+n*!fyNJ1*zN^S-!+N$CTx^`j)%?DYA0MS@r9&ao>D zdb)kikJ>Mk*V861TrX+vKH=}}dB0~W%u4$H^RNrI+7PnIgiWT0BN|!D&MTgL%qp-* zeGrMd1S^DFnaX3KYiSW)-x|J=PJRhO*w%0I$0Sr(BvzbTr#Og})*x|s&8KT9=}%1| zu5c90ev}rG7qd{fv|4LCQuc0|!KK1P7P5+%4iTYCQgj_k$82;`AkpgnPbdVIT!~BY zfunN)>NI4;)(f^6aSQ$Cy>m&{8>Pq;Vknl}so-!eyjyib`UOs-BQ|&^zDh^^DGhPz zEdYmrUb_kLm}1-;L-ymZ)yWk!7z{1j<%qBl3q9KyNjtO0m{KUIy{JumAp;*lwe5ty zx$zx;ZLHfi2YI$IFZa2?N(~k9y&+%50EdLZlsdx*fp=) zI?#?!_rt=K2vCprvjw33#y_^;Emo1c>biw);0~K*%HJNEWx8|XVec0Ey>IGDs>RTV_e+HTr6<)FgUrJi0{p!#RIav-DP`Qw)~ZG`77#) zha3|Ru{Yl$I)A<4{1wIds}H{^l;5<_)elTd8AMP8FE@JPxxbv9-57AIFox-zmASr} zn67>(pglEfL9gSLdj4x{{Jm#Y!XMnCy{bM6OiD7GRPUmPqaSiFpHFlQ<`=wQdUjwD zvRvopdD!Ft{}RicZq4gqqu@;2$wAJe`WCe^iko>X6s|Xl!Q6db%i&0PHOe1tga>DK zqJ`)Iy{?G4j}ypd109%ZCDJ{(UWNA7rRpRt!28`m>JwqxVhdbVmHfvip3L6|?5vg} z$x+g$Vk0gy3amz_1~O?v^5jNS_(r@hW8;1FL0J6tOi%|w=^~yD>u^xF+m{dN53<2F zPX3^7Zt@ZHqt_rdzB5%8URnF(%nBWwcWl=YJlE5&>^p03(F2s#S7MelK|Qnj85r&W zzrlQv$}|Ucrni)?_7!G@KXoBCzcABpkcr4aos@BcfA8um>e-dKX487 zOzsfe!tWb@F!I%quZ1s(<-~PLp%MCYJQd~c_r40vb7M67aR35HoYZg`)p?AxSXzUi2 zKZ;hF-6>&66C@9z`*k5%OiQ8O9zX!m4NzY0j9s2ksHp>>@Er1% zZ^o%k(&t!n=2+t@mk}tJA!Td$4(7fX%!Ll-Hm)i+tt#s|W&Zl!K zK1R8XpN8r`DQD)H2Z?xQ2#mkd1Pc>+>WRH9&i%qwW=ENm#UAe5XKMhy3uD>%DCRVv z?=(QpZ_dVV9zk64B~-mJRJ}7)-B5zbSc2(ODqc}4-sqDcKL~SaaqAq3U1rE ztox;}V$?3z=&48}1JK)qn*A1RcF8V|0Tg{>|M|sXPn~A~Zd+rZVN;-?p4cZq1>|a~ z5k#sHmZ}kqIg(sCl6cCLgvyl243Ve|k-oTX&_A+|UP=5{_E~7KrT6cHLPuB1aX(7W>avMo#F z6)Si8FRpZKp#-^8#-zhhAO%=HU{B|uNu$=1BkM$<>O}bFe}U$IX;d+6QZbxm_yp)X z?&x=1wZlNm!$3w4F)j}=yjpU?|IQA0(SBwJs$cx9{YvknxTx-JlJRU<9MM$3v9&Gy zNlvxH#2urS$+Ye=@j11tmFPHd4T?lWK8i%Sxk-sGH z<|fl!J63SRzTA1PRxDqLE+peTgDbC(Dhx9bNP%5Dhh9`pT^F{+skV=1OWdxkyeE3Q zCtozk(9+aSv-^ue@N9ct@8?|)43EB(Rf#d3`0bZBxbiS-UOQb*nT?!^srkn|U(l$z zfNU~?qqT@?Si0i?-K;x$y-97}5r8k?>nq{7iZhcp-Q+qX`7CB}Y9ete|eG5!x``V<@ z`s?!*^mwfn>mj8yEse;=h*hf^?h}vU#1$CwZ04qg9!nqt0AJ`(3&i|7Q(54m+{U{B zIyWJ)t-79xg*!2=5;5I2m1 z+H2|7aw7{Qx}SqIVu|28J$Cx3@7z!?j|jHp2fKM{E_>CGaWkQE_TU%r?Dn67-^sqi zF(_cSMD}^7g9-%T@UuN<%cs{NW|m|P&Q8MIgIx<0gW0ue5OJhdy4uD z<3EcqeP}I_46|Sp$P)uvudMtcVhL1SiNvS3awc4<7zOPsE0-caSph75B*VWKDfzu) ze_=)a(bcv6{#t&v0(=*_vVyKWY})q*pRHh_ubX5#iBN>@n*%DOI(KA+pnyziT1{(J z5Ot(^x5fg|^x*m{8&nYSJk3PJrfbS+ETmcVJSUfse?vcG=|p}8*-I#?b_T>osE}@^ zz#i;;E3l%gfqTc|em8|wBg%w-tsmv^ZmVSg>AuCz_hTbB^}feEdL*xQIyD2mGMG?3?NH&`5D715ZOb%59OF1Xi> zp+G`ihIXnXKE>{3$i>l)FYDZz8X1~C*_8?dNf)glYtI7wfdjh@CLrQ@GsN<_+ZhE^ zp_R)s#DCU*t%#T{@$B@FV<+~thSpSUIxAOgFihF+5&&;O;o7499GyRY9IX?~RykD= zy+9%--*}K@?K4QQ*hMf5U+|5<+D)S}eF%#z<6G>^KFZ1QZVIO)=|> zWa+pj$CL#@qy${6!27{qbB8VQsC`@vrKEt}9#bUb{eWwa#md=Ev07H!Dgb`VDrY1N zu6*Ll$mzycUcQ&@(7u{z>?o&})SuH$m+cTg$ZO;%R|JOTxU>6>9OLu4iEF&`+KVZ^ znu56VI}*N}ki{h~T~yLE{LK9vu0z{Prv}HN3<%HbF4h(`^h1n4LkDszlv42v$@`aL?~Gq?#leSCt!oey7i@;vnKV2J1pm<87*=uv(lwR-b$oXj zEs}f2vfzV}Jx`<0GuOGw@sdMl@H!@dLaGv9f>4?vd1#?uAbET7N1fO5X}TDBLhr1R zlK3zN0gatIHp}x`m)TYDD)@HD;dklR0*7_nRD7$mcO0gaj`E!Mhgn=z#HzFPtFy_c zl-Q<}Ft@V&ot0iXD+N0%eXgQ!siL3L!kyQ`-OdXHamBjDaxAUaG{{!|b z?MR#R4hKc_``Z*qZa0GkwBZC0BgpJDPymG0Bj}S~AqGBH8T{8EJ(TLOmn4`VpUx1G zn+iZLx|xH!#6Y_ zt=JVW;=g5Y=v5g7?wpY(gE+&uDqX92~}zb1VTl&EyPO=S9{9i+Y- zMC9TMVJr|fNQ7m6(8gp2R^J#nA3nCH`)+kjkMxwu8Shq+*YL?{9E)p;Qgx4cbr1cN z3Fnjv?p9GCOzjm+Ed-|4e3H|0k~8P2JnyNzEtn0+C1ud%kL(0j62E8P7PR>O57@7? zGaS955JBIKKg9viCKvV?RrbdEgctormmf@Y2JpdL{T2x6PWCWqBzc@0xTWbUlMTJi zsQ|rwtL8B=cdB!EyiL8n@b!ji_yZEw0qha?dP(}EC)7!nw;$W6f~EU!nH&dX$_ES` z3Go^Vbz3K1Ta0t|9&IqIxf8DW6RvH~Y(R&#gNLFu-q0{?%av;{E6}vFXz8yN9G7KkrqlO7=3i7qtd>(`epFD3mF9;PRKmw#pJe!`7#%^YZe!~q=#d1&R^??YcY395aGgN(m?tqtx3|gQZ;etXS>Pg{!>H-B3YrZ zPw%)}AyO|u5qjCh?ze&W)N&31UAs@ZrI~{BMUE*&?5#}vt;_(}Krn3J^CfS|%)evD?mVp^JlrhSLD<-j z)7hISJY65@L2VDNrdnbmQEV)3PaIJ3IDe|MeYAWMD3qK3Me8{5@d|^Wh>jh%XLH6L zZc3ku2efvs|3@vpc1{s6^s9JC>uj4{v2K3IFPFLE2BK9f44Zu_6S6vXakX>Ip3MS# zxX!<47L?W5rUQC`h4lQxdp|6E$Uls(owEkgSKJfn`Q-^$(vzSK6#ixaxC6BPYaAGK z|Mt7_OW*&dwV$r%FT92w1mGoGkKJaQ_RFX<5wLK)E{0-qeh}Tmx{FMx{$&eaf!hi^ zUd*eVwP@`<&r|%i%QZ@(u3P1VB(~0!o+J!b=lkez3dyKIRU~te0UJdB6S%mqs#{4a(TOsFe&*liz$q9CBUl*44bE~@Pr!{p3 z!S~!~>rTZ^%fL@DsVKzAee2H`ug|ZVYg9+mlX#2|D&8z!X>U1BhDeI^kcnZaP zC>IU;H6cUPWw?j>NG&R!@17L&>|0Tx6bFFJtYujVWm)-2=G8&0&ccG9om5pEvtiDb z?3Qc?kUSEQ6=u(Sp6umuj(AFs z)U_VIr$t;c$k=Ly@?eviXPZpdV#(J2CfUaqwU*cz9_X<*$q$)ld4gvHXM*vYoQbaT zq;|pd&Flqh;%y$sY^ici7nU^caz7_v({`jvT9rQT8MKAj48;uOC-r!-gT7x!VQEZz0qsRwnmCPSR0E^$>6?wAS z?c$xci9INpdi@o_X8IFh=;ta2)P0Z=qxp5D)^e$IS}ud$+`AnC`Tq&jl0BH%e<=+v=uCdWOLQx)QhY^8?Y!^45bg{B&ZiLU$MA- z{0}0`F~_>7&LNOd6a!nRM`GWvK{u$2C0zii29|`r1naLIKirm?x(<;wM)OCo*w(Pcj*+oY)lK9Km;?0D9Fwl<3mBHJv6pd{u z=kQ~E>1Ki=knKX`GT~`hLID!9KUkp%E0=6PxG%LGMS;QTAkutt~pRh}|<85d7fwK*gqcFhK7mT&C!of)Y>9nNMZXZ$0JQGKqmXn0Gz1e>I?a zy&o5iqI)oUbH`-K(<`i4hS=*2U$c}B z1w9GuNZ1;M_W)2}Zs!gb#1~m@`)tid4~M$6{H1}SxE_G&1UG`apb-wpTBHKnA8%b& z36#XI?|U72G7cI##;YY!XZLrlK2u9lR9;5;{lj#(neuYPX<}gH)eK;xG23z62g>^I-xT4099$w@}ifpS%XAP6PAG)yJR2fKN~Y zdGYHxhoNr()(2e`IAZ(xNSQv>yC}t`oE_<7KmctjD;v=h{96UJCLh{qXOOyda)G#f zb~|nOKn(%t@$VUygxpFzE5;i5?8t>9owN)4l4JeHrB<#m-#Mo%Ht@?FvMTf{O-izK3;4h8)_muGg>cRVnCmzdY24`XJu2>C z$x&5de1hYRLD|?nI%v-{G)=KIs{2$8@7)>)M2Mxp_mG+heYWr7|2%LF@u zmpP;sIWGSjg0IpxW8?+lBoeccFejoBVN~B;g7rGX=GnO3C!P#HSh$7Ia*{toBPxU4 zB&pp)&@@;TFw*bhk%RiJDO5v=ycC{{=SwLubKd6_3Lq|xr6 zA1WA>KRt|`+ueDRKMl@zn&#W@=3p$RBd9@_4G1hfCNekX3M@d;sTQ%TJ!XW9D#J9-P@7j2M=c)K_=L-yV!okOS z+$&ii6sh4ZF^rDCKpPHcEnYBL@_8%!0{#YcO(g_ZrEso<{rP#5s>Jx{c~{5g+A?X& zDAitKMnU+AOgHer9cnMt(;5Y0;9)2Y&1;uQu9Dv^M~zz|t!`qT7z*9*1ihE3EN1MF!NZ(wqgee=&Mc*Gd(z{zxIB^su!LX?Ly>0V+G9%M z!E91$c+=XQ2OE5;!i{mc#Vs7d4yBk|1(6Oq7M;YtYj;>=j*K>SGbS3&4NUrLC&#xI z(Q2P@ZCL0ane>xXCCl=&>CQL{B;cjzVSm<^S8(cv#j1T2w} zMP@YMOq|hT?4~zspaj69%Q6J?e1s*4jqY2jN1Gcv#^+q#2!gX6QkIaiMZWOS#VXV2 zl783Nvs712^8GSeT)+o_QkM;Ia1a+$-jCacnX%($F!s0AZiJ0!{=1e*vOxr5H|;S% zl#VyU6y5U&BT;^LWywBKhMS1plz3l#9h}m!M_0X$u?z1ro1YPUO|QN>3LJ1#a3h-g zu4N*`BcJyw?Z7zt>g5{(O#qV=rpL9&J&)*Y9)Og;_$n>(+0j~eQB*)uDppOac0!EO z9Qb|PBqms6<54>{8MQ~)GQ+M$(+HmqKGPh`b>>XtzU}0>_w8Dj(Bks+r_&BeUz(xd zV2qZP3JGEOK(-kQY!fcA4xpgnJQs~9LT6}%FOuhO7vhf+;8sKWIRXn_eI(+- z5T$&4(zcA&aW@cp8;VI|!{PzpwF$4LP+3q3Ui;6M!oIT&1aF zf~n&m(pG@@QBYPQa+V3GOXHLO!Jwwac zJ4ukOt0Z}q7sCf}7pFpt4$LRjinV6eUy;=apM9S4Gg+4)#|H}@CHonz+f-n(A4fxJ z>jA#=%n}q2X)(c}1@A<`hMaA{4JgO$I9gp9I1F-(m+_)+#;v5o7%C@yr4c8_yhEC# zTZ$SUQX3Mvv4{2>fn6@bOwLrZrnl+dA(%EbFIwQB zyKYG7eY>8?RRe`xEE~tZt;Cl3YQ#$$AT?E`WLml`xWn|YyyyiRpu213PTjyA4d(|S z2VJ_oXXpjkkw7Sx2A(|Vy$MpYZ`s$&r?#2y6}~Z{k_YR~N(ZbY=HQ5|u>83khASj>~-ygWq8DHDD7-4h*U;8egao_B|fdqsRznuP_G}bSouOoLme|xIxxT~epepJSH3i1UEQiYOb^h&lTyL(u3Al#9>P7DxLFpTjh$9|} zBW|w%ky3!PN$F7nqPZNvOu`Y5#u0y}0C{pny=koPqZ<7q8~x>wD5Q}n_~?RZ>4Lw3 z-XA2TCraasN%gZ_FX;Ub1L4%0wj4RV_?jOELlJI9noYC9OXNp6gbPR^KDS3}rlFgC z+H&WY9=M6YwEBkB7lK#rzP{=U90jvJ0BX(IdZCY|IS(>2sS~{%R z`&eho`?$xwqGpIGjxMQwLY(#Kmk|_M9kd&5ciE}LQ)Cu>urc3E4yn8)*L#puNLTXB zuF;#%fb!OLM-X3*GRY3*Xa#KvI0&P}P0j#zXK%(N?_*+B8OVaM<)l0&3Bv{<{f*HL zr(rc{0S(F{1F{>l3qC;j!@!v(MBccNi)z}Vx_2qUBJj%zd7^lY1)ejW4pGhyE%<0e0Rp{P|9RleuR8y&y?+e=ZPXL-kN$%VU>_*_m&6}j z|9b=f7gzJ+`VFt%y1#z+{*w!BKi;%o-?#KPTb$-6xjQy&2R@ildv~Ntr?Vo+ES(7! z3kjZ6b!ab-+29^Fqe)P2lp&qYZN|5+d4tqcUfJb42l*CtO5YEX-3?mXhb@eK<*ZMTRdc+kns? z9)MG_YaH#*HEt%|6(k}*lYRvr@i7%xyK!h0!&5y{p>Q2JJvx* zUq3rP==f=fu=|a#gE4P(WKwc$Xtcvy+JEtank5>pQAUPQY;x@AirJg=AGM$4|Hb~? zu`qS@fl z*yzbY;-LHK)jQu!_ull%t(c+K(CtkC5wmOD^y# zu%F|jWY60<(9y^7kq31AgP}`#pfm9=jMxFIe;hJQGKm>WxGCK)cuebf)NqeM^t-cv z5e9scFA^J8WBo3RTn~P<`a}WGnT4*XNzEO#ttvk`yW>@yZ`lqMa$(6g1l%@toR80N zW|&})lBOPtZ4%MSk-o>;NWugW*XsV|$a<}PJg_Rta!H+}xxGQUK9vj}Pscz|n|7tG z@#wn4l(Hk1efWNG`>)I9ZLy%k_diN8k6wL`-nql~pz`OEyw;Sh*3{0U7gYXsNo)4L zBk#4uSF^`=Z+Ov$w2bWX-?I^&vE?`OX7fM4Lvve!^0q=Ekz@psq@Dd;G5fpCZ7*;D z{TKjakI>S1c*Dtg!{-(r1U{Q2qiYE@`pAKP*K}5ANr-;i|Jd^=>{yH>;(RajR3he7 z!f}UGX@_*M?fKIm6m{`Y?mSicJeBV#jQ%KWbwMZ#5a^Bkn*%_|{5OR(7f+}zo+SO* z($&BV1*)7PP6?GowWx2ISn$Mm8H|_^UYUA2?%*ly;0?BEJOxB!^MuOgNm8{~Otsiw z58UeUa{YE0PfrEn=>_XQ$-S_Naq)1R+g3vI$X1-u=%V{*4r&3-DjD@<(l2ZrK?CPd z`QJ3?zUL~;Xr$Md@!hHoRjQ4W8O*oKJf>qF^pWB8li{3I=$ciKEr04z{uG;ekm#~o z$xlXUR$*{fL8<&HF0&G9TozhfR%3crQ+k$d=BjNby=E4lW){*_->-a*SFFU$O1?jd z|G7J0t;wy_YE@@)`P=?Vj7I=)3tog5-;$$-g8UOyQy}D+e8am ztO|N6?TedfCcvVUYn~7cA9XoqH9gO&c@hsWKRjx_^IW?pVB^6j$$N}Hdu(3XAn zi%nL(s}!_*>H8r!@lB6WXv;#>{UCgLt&PuuTxUG1RhoMn(MRL9D$@o7Od(CVSO~@+)7{CF_!HAN9}Tf9jU= z#L9u41Vc8ETEe5e-bX0VrE(zu*?(*h%Jun1J!`7~T+I>oJ4RYSMzO9h{Yn8h zZ_y@Dkg2mGcP1To9K*cNF!|RCFS?Io&N=0Jg_`N0wt=&@fn9Tv%Hjp{-J%8eRle0KlJYvo~Vt3?viRF5I6`%PjKD+AU{*@2yinWQZ z@@MfscE7x5=Kyz@TX{ijOoZTWkLamX^MLtX3g2t~xCa(V;K1mmB5-(2@09ROW7(=` zHeKQ3dHh$Mown-V(aak76Y;7=KrQ3eRybaD6X;00#bOrC2GC7Skj~_sPKfDCWl{su zPf)LlJk|?Ji#=_z{4X@hHaC?YId3AY+e_#=+b2&h+^=eLb&A?um+LN)=`P~u?P2Ea z*#y0SKyTy~Q7?}sC7Q$~nmBLfuG`yCjry>uKYoo*)v5DXC|DFFP&9Ze+ni7*MARcM z%Suvd+%{_r`m_W!vY{=N*6o(smzc2lM(p+W#xqI5A?jOpKLU|L!F0UVKer|EssyYXy^ ze8psrKv0BG!H?@lW1hc9E;Qe+eH&lyjZUnh^-W$XDOsV55QL10k=bY)QJ%$?(5)1m zb~(Q2O|7t~ienIvvx0X=6L)69*Jz{_Z&|?L`)Csq?Cop*}154^5_O8qKR#`JJ{8+2) z%0FuhO~v!Yj#d+oA{&%{kPl>A*y%=`A+ zRl0QMSnl=B0%f^J$b^TtwjFmPKY#fccKgNJG^&$S^ThN8i20Sxv^y%ZSvYuyUqPu* zE%&wh!pp-nNH){sOvRI!bWERe|L`kb6J{996o65;NtmGOJX3|u0E9WXInC$BVi-WB z^qH@@up7Z><(W)6q{G8JoPC69zjJN7l*viTmuG0#8^=H~t7LyGR2h|Pc^_%ZQ$n2+ z(tUoofG3hUAEkjJaX&e?+4i7Sq7E3(g>8)h9bktJ% zI641)<;4(*p;Y>qUG-B1=zgIgq$+JJH^%1smtBSy*1;XBu+xm&tvd`|aR-CxdY(6S zEn<``4Fz8}FlTDcJ>AF;`?7Ec{=?4Nc<7e}mfF$_CaTaM2^I-j-jY31|}@WFbKTv~S4 zy=naYzMzGMw;=M~7FLB8I+Wj(5MJ{2Db|Su+E`HT zvO!Z<-XTYiNjjuiMzDjePxO~>nR}*}5k8;T&?!uf@JeqF`Qy;ptlunAef-IuR5U1h zw*!x^uI{NqS~WNk3J(mK*5lDF$1y1wXR{uVIUUD7&^VtnIoscTFm4giW&6=8G!8o+ z4okO%_3MW&$djzxYs6=G1~lKli-*@@!&3^$(|WTaKyD&2U_cvgOZQ9FqU z@XTR8sQho`-E#}pa|_$kVNm%yCB~IUpP!@7)xo!3mB1Z9^L6mQmB7cJ-J~qZwdkOp zR#4!oC~RdxKJaG`Ep?8Lm3{v-LHUzW6i=TvnL1Ym+xM-Pv9i|dIHF5$jiNw&15<)% z(w5Hfr?!yU^%6^a0|{hJE?4D=q08l#a$!?_ObxDp`PbZXz1-bf?rpOc+V+RhaYW5B zp`n*0no?fH801jkVU!E4BgK>Pv>AWrEc#mUdsa+b@FWUpc(gtZ)pHEcD*1+9+3Eq3 z`HhpDIxm7M#ndwuPOAVq9U=Z&{pRPV9VzE4I$qXoU!_l3*m9$r9Jif=;@}MeH%1?W zPv)@0y%t^49viM6+ zAvv=iE6m#jwQQj#P_=X{Pj8HJK*Wn9kIgU>wT~F%zoj-0)+fbgyRf zR=e|7mt{C%DYWz9b$5}9UON!xk7IFIF&lbDlE`u)yYP@#vdv(7H(jqQ(k3^gQ zAKu;qtg2>h7#2k&wnzwWO1eS1K|~q^l$4Gwozfv74N}q#A}wq}y3^KpC+=V^iJYB!C;ulAy$31eVy|X$-2i*@RJbdZL{cWU!z^iPY&1&Xb?|RG(HD* ziUOnWL$e5xHRXbOo}<&ktETzWy7RH*pEY_88G0U9KQgXPu=;r-E6*&LE-s53m%?=# ztSx+zChE-XFF$|b)9c;L=R8-8ICP=&#S5J+{{pPj6WTW?*U;*-9V8v*5WmiWu7&=Y!=>}TzwyEEi1Wzhii5wwq^1bjg8On!NdZ8CS_M)d~iCB9jdwI{sPHXyk9O<3F1&@7F>+<21(0(Zz}2^3 znb>lSoDKSadb(jY3KYFRqFFjgvKs`=cAdgW>e*6J3WR=kDr2Q6@9w%aQhQfJC{&ER zv^U9A>W$I;)|m5M5UV7%?#v9vW#;SaCkw%Zew8;Js-ZCmcKGEQ<_X&^T#iWvJA{(a*{fk(W? z;2=Af{=`Vz{#@DG zg$Mbq$+=t92@)X*9#40-mZA;AvhR!b8L3#D%2bje3mJv??j zd_G}4T)%hU>qC-8MD%*NVlJOtE}vl19K)|<#@RU7y)Ok4{V)mbFiF}I+t??zj+~9p z{@V)BNa1e;zrAqJ0x4!a{4YKIsRGo2ae@5rNMJqI?Y0Jamh@Fk7+i^@K6QH&+hh zgdHwb(*3GX7qA6u8fzY3W#%_gG1fdE-wq!yUsP8RPfnOqMaNVTPeNs7 z3!}mpgORBG4giB=#1{#+P}L59H@DlERxye$$I~gO@N30lnN}}w>v<5M;#UMKz2iz~ z&2xQBAh?1UWmCAh7%tT!*e_f;imqkKKSpGfw)))Eo&URk|EZ`R9>toy$xuye%Nhtq zt5}FldOK?Pr{8wlggJSeGk6G>N;!{?HZ+e6@DYk!k&WtQ##lx3Yt;qr3-KRWMR_0V zU%k-wD&-{-VimRPn7=yADe*k($!qe|Z1S8uIW#^wq&+=^?;RzvZLR1(Ms4ztW!nNF zTZOvyChBqdHPZLn=EB9ee6thoW{zBZQgmO$jc^sU9{L<(w|Z1to^4IU8>l?BU~xo)7m9qem+I8cXjLt|ujYTeT6FVhd>orAaZmyE?!aLkN|x}h;p5znFBOef5Azgm z^X8abu57L2uYWz9;?=m&RTs7~K=kivZHBxSLF6Z{v9aZAQQ%W*jNG_TF^eW`uuZU=IqDG)<4 z9ttXXbJtd`n*WqJAPAtG=HSh-DO?aVuu5}X-y0XZ13DeZYqgV@;R8V``Y*-5ijVaT zscCH;nJtP57nG-OR4`hQMN#`Se_Y!;PF?@0cINk_z!8pmnbV2ZXIl`)OxoaJddvvxbSZgkjhfz7Ef@0G_ggK;UNQ?oVpo;a8`R&Rfa1QN?bs_zda z9$n|pm~8GZp<0GPOvAz=IbOMcy$kH?o^6;w-es-H`;boUEZ(AgP<{L;>R6ySpM|h5 zBA{H$bys5|4J}34L9&%E949rV!yvTr!|PSuuZ4Q4CGJrr?rz+WO7buirPd@8$ePot zo_Q{g8na^9N0}A3mrVVk1$2zLKN}K{Um#CZ)9>Z|Z1|(*Uz{ZN>`}$7Ndin2x1HxJ zLMM7B#Pfw2OCD;+dQQiB1Wg*L&rK^6F!yXZ&_+4c*a+sJ-y=UHVA^gil8@>!HQ+9g zOHS8VLvkuw4-x5un0ym@-r}B0gJ{zvetB$D1|G1M!8h%LZ>&pusX5Ua%Fu0DW(-m? z(m7)7YplwwSLW)}^mMjz+N=nGD-LXZwnJsZcq0frD*}4E{Vwy@sgcfZ{D03!e>Gu>upyAHev_q5_OEj+hvwM^Cfbcr#%CpT2l})J z`Z*Gfg5W$hUju-Y-|MB}9sE)M?@w|i8k6x3EaXNCRo?5}F31O%fQ(#-I}h!~fsp*j z=J?F|A)`xOh|nx4GS?k!)IQ35Ka%#-)}5S5&U*X=zxO_g0L}IhS@h=R-X`s2lQZ|i zcJTSRRg11rOn=Vw9ba-q#GxreX>4om$kf9%WpnTWm=X+snCjok*b$hah>*d2m;vrNTJ!H9U|K14JLsJ#GiTG|e=( z`N=K1$*t8F%bL(#FelD$-NhyHgr}GV?XcJ>VN= zgijWJ<-HF1xT$7eD?jsINMS=rpEjYMyMFhOsdgqU_heR&w$t4Ra_s7oeB$B}M+$ax z9T;^E-H)m@y33GS!L@SOJnmQS!-Zc51dpDi=+OqLH)YhVtJzl=nccpy*X;(Blelnt z^|(uMpL6uCAB|_v>pM{C_Da+xhdA=!uUjZ)7s_UTo>jy9qgW^qRZRCQnE*6^15(~C zQdn=qJ4(tM_|Q z%3V>)ju#y?Kf%vgQF7F}lmMl)c+qECmo#}RO40G6-R37T*(*x+0PwrS$?6?F{F7ex za|R740a$9hsO(?SK|=tO03>4nPQpqwUa2Ly(e{F@*^ z6aYO`oXaZy?(282UjYChnSaihH}g8I=3zfMNwauHoG6j!BO8lGH)w9~)1bcNCk1mi z0=Ygd{}!zk1GOryohw--D?j!EZ}M)%Y9u zO?c$@41izh(bF>3dhgG3?8tU2vg;zM?~cwM(nWJl)c&~xdn!2b)(oa3k_V-?oB6A| zf72Dy$G5GABC1xB5`7}7+{vvETR!mb32J}KEZ!LVp{b1~E~D*j-@7n|QPP5PuBvok?Cfw0+AxdWy5?7%()ttx z8sUP;NnW#WlB^>Wf}&^DbWQ)qfr%d$Cf>v={z` z*%(V_%>rz8bQ#a2(ize|<&HRFG3nr2aYVbn4hq8%iE4wkfCr+8NMC^0LddUerprkx-LbOO=4^Z0HBl#0M1#jgtUgjhm2I@ z>Yjlupe&zUsIKx905CY6df4;z?0p{iMP%VOIsRD&KTuNS>!ARp;lEc^k*t)V9tu^= zGDOmrUgc~{x$Orua$EEDWEpRLHm`qnAJyY{(k6CoJd?z@be1853~iQy9O6#Tn|B8m z^DwN6gqB+6F&F_PJ@WL49AD4>(jB<4e;UbzO{}zEU*9dpZ7K6InE6(A_bkIU@e)CK z#GCgcf<`^cSVCFwhvfWIk8>rM_XJ8UIbbR`I210Hv`is~y|Y{~&Kv7lM0y1Z%&%ix z7exH1i6L{E{2qRbZ%{;_5Djv(5uh*5(yAQkl&wJJEm0a)0&HnT*VEdg#>8p;=Fvy!*!oyg%)A6mB@Wp;@n zM`YQXkU)<+Lr!SzBEyk{*Tk&vV@M1z0XGqyAAy?)yMTpZuE4_EJd=_!X)FfWeLaod zje_+HwOn7*P2*3?{o zA0g%ayk(%^b>@|qh06%{Sk!Qdn(F0d^TlV;%W3jUH$t_h%l%LxiLu)4%k0PZQKL!G zQd}sDR1Ro9O>AW~_kB3Zi}!guYJOGebuz*U_#?dxGCNN{8?Z+URzkp5A~U|#fZrkC zm>syi8L|RfiN~z%g)@8ZeYMRyoTAZh5Q4XShQFhwG}DfiP0TnLr5P2ekZ#^BQ+!#Z zf@J9{;%?sU6qNi0gr)6uxI1(t zuJtLV&>apCIpiKS2>CjJ8^SU2*s%f_yN>~jMBW^AU5p5`Qi77_V?L84R%H#Ew0_C# zK-Cr#IPyTnB7&HDA7WDhnOXk$L^*N#MIfht?|q{}#lUQ6ib%(yYyXt^3%M<#wkZ%Z zE6QoB2Qd*wR%CB5lLvM(;0#!l>rR3>XIan$Qu%r_kz>Tnk(<~$LkL8Kb?+GjKAyen zup2vW2P!Mx6B-y#zag_-Y@wS>z2ISegmZ=xy%{~9AC=?Y z{vK)=e#I}3ML3|chCza75wE<+#tK^PR=)#?1q!zXczESREWO5?J~e*MJY?;AQ;Xe8 zXA^m?vTx!%r*W*5HsB=Zzy&{KkJ*KQx;LoI4eBwCsjZF^sEjMp#lLx4R{{T~IQ_3^^KgA$;wwEe+#f_6O&f~Hn&%0sZH@E|h&yUm@pccRXD^&;qg)4YOn86f`D%IV!u zD9{Ea;Y8ly+e9E5VyRQWs~GZaN$ISon@_@m;V`E6=E4&1FwlEbIcjbORb z)B4JInx2;~DCH&SC+K<20zl3`Rv~eRK9|U|HSg^IbSV0nHo9|Rd5fjJzi@Wb8*Ec~M zXQG;r15Hsb)Jgzx?d0G+`pF`&^5K@StMWf)NOmgCV2(r)%@mFSiB4iiFjccgsW%?n zto#x-c!hEGjbr7NdH=7~{u?C$%qE=jltZyjL4nFLAbxx(hQSBaPyy*iRCm zDm9V;9%b%Y#BgY77!V=MbbaE8&IaqIMDBfPocD2bazVXWKtiNAKR_8Cfv}XAUi*P~ z$A_}bjxf;M4Z2)ujPbL_Zq`>+*BkF1;3waf7YMq#92Y%b7Ckr4A-y~f^?U!BmYlw( z4woVW&F}l{yQdU}o9_^gerSONL@BZbqw!`2!O>tp-bE-oi`|ywnP@ZGa!u}?_Yn%Z zZgZ*5!k)ij71v|dt!v|N-`%~ygY6~6`@QCh>?d_*HyNxE6C-Ogfto+#C644U;}=!@ zj*DxiC7NF;VyLp=r3ZP)StZUgj%Zt;271J;+(_Nzhn#`sd4<9 zFJ&uRblt4+F+AK=nY4;?j}wGrpvvEOt8IO|FlOhg-Huuvh(AM&H$$vwXDMT6$=?d6 z{FMwr9fr;A-*t2~JT$*j>cZIXcH+OQ0S5j5TEYG|n!hP8f-Zlj|El%B=V z3Lq9V-eYn2&b2iB$0T@eGJfR>0eWrrM# z+5e1%#-BG8XX@>*#QuxKU)%krovAUrP+X&B`}6YgSDmA8kWqWyUt%-zlKdr3o6Cd_?%nq7Z0 z@$&R6!{v#-V{QWryz#ng{Fu!aju6;;P=<_8I^k?3fM2w5Y-vcDyfV$rqTcsue1zRT0lq+XZ>PA{IOLe#Cx**VmsQhZM`S^85Qz zTM4sm1TW~J;)x}nufxX*LPQTyQ0Y-&~E3D`@k2djLfLDlp6tGIC=xzy$|@M1$dWvEmE>P5jAiN*@L7L z>{^BbsoPc(vOE@hn&OW?4084p<0*%$AC8T_e_hjlI!EuC!D<-8xf>UF6p0tup=HY` zS)lO#0HLDOJ?n;e&|kA&HrG)HwCd|03_Ly?-%cay9P$8>0nv&Rk=o{LsiPXZ2TCr! zIEN@=IAHT8e%SxTTW0A-)bV%g@pN7Jl~9^XmGSgAgAx%Bj}H57pkorCPh(u_;5I?R zF`mx)Rp;^HrFOB%^hE8zmLLkOL}VH&+g)i&(vEvDRUW?h1(^e9?#Nu~V2W#G)JZY; zpteV=mG{p3@$}3QLD5Rwh5<+psgP;qp5UE5sjmPv&9Z+^E8eo7H*im|&)R~G)LKQ| zy~%2=LiVSon*Wm!I6cjtzpnD8KLMP{&r?{VTzAF0a}i9AZ{30ECRL6Rh}-!h9mKd% zM#h#K9Awa;;oE-XPyW_HgE2wsqpSi)%6#1El| z@LZ#$dGzp$1!D8ZoCELpvbBG{dFlA_4_glB;!g4Ui-#Oo`qU;TDjh7r%cP`6g#L*) zgA#92nha8!JPX!z4A#7BL`Y^t7?3C#lqmUMf&YO>E2W88u%=ybQgu@-lIY*gHF1mx zf1?2yPWb{(IYkExe+LUX2NpI5*5fa};$M7!!JvP``!%LNHNSp$>manKu*zlg<;6WB zbP*zV_9zFJpHat@0>Kj4OS{!~EwXy=JUhn|I6e}+Slqoli1fZB_kMNZUDA9u-W-u} zba%t0iTR-h&;GP|#8X_GQh5utZV(75VPqRyx`wpPK*d5J9rr;p`$VkP%Rcl3btojK zFK7aYI$w&j1eOOe^FacWUpGAld%4h3iJGuh1C^uDHxe}yps?}&E^cu!1qc~*s>3aY zE}M$Q3~`q7Eo4J)B@(@7hU2R=XP1M+>(rR~04%%V9#Yz5e0IIosNNxE@*B>JGGND6 zIU@0wt#vhpTs~+ueJ4*?p6W(R# ztyhgRc!4wNSwvjKEM>x0uX*n4(Ql-(QAOaqXB3wGE&&f1D}o|m!_GE9g^1tnn1MLO z1u^4#ZYj!5ry>sz(x|MQP)*J_Icay)#K4XO@8jLx=fPV|W=V&kpA_}EXFLd}JqHE1 zVWF9K51vy4Z!eF+fe>ecHMA@%pq#URKEHd_3=f2cy~SOAqlzGt{vHK+DY3yV)J;R3 zq`ZV5>MTG46^E6>>#J-+d$aR?tMK%m&~vZKu`9-llaT3{&OvC3r0Y=xqF65sF8^lS z;Zc4c@L@wK>bDq-Bg_pLfS;*}#90^QOn*%x>AEWW7e=MJa^1_6oM(R9BB)$|2?!2a z2EPu-$z(tf@Wjl_0mGrj0xG1%Z@GJZL1}r~B<$+_`N%eVyZIBBa@~z-(=_@u1?y7z z4eZtoB3w>dn+&}4&)_-rmW_;nfJcOl@!K>QNfj3`=-g~E;P-g0f+o(|vcV0t;1(nn zk?pX?h^7MiBEmM%s4_;$xj9y2k(P?2YZks`wH7wb*D8TUXC`1s;tnDsvcFtm4@~A~ z#)$&ljo7zgdB?8JY(8mUMe<@!Rj{qg-0EC+QQjIs`Y1Az@HuI#*3cRC5GJR4s~(9< z#$nkMpFV@FCIH#3f^)Fd?AAuQB{Xhm{OHD*mezDan_4;-hTlI*?0lY`PL;D&londv z{AR1&(7O#DJ;e$iPPqz)JLKKe z-1TpD(}(sRo2`T}8*oOk^ZPv^2L5Qi-=zmnZ92pRJhkzLAoQ5IMjLVjS?@csD+tlW znU}YS+6lyI;dEoKaMosQD?GQKzbRW|vH#lS+}Q&w;aIo4b#9n38$LCTUDKtAA-!!G zq<+7zkN9JGV7YwI){uzGw&ksvZ7-wgaqM|gC9D3yY^@cc;gKJf<{Li?xSe9TjU0zY zo(+u*%Bo1qs?gIbq|qp(rE)t(b354&jmQp-bOY#rTk(&ir0EsNXcfrPxGSrhxc?}E z?wtOF13Hb#jZjP$luH&AG~Zw_-}shYkOdNeM*m85ND}|l?lz`*Cumy4GQ>? zu0ZS^`zYEm=cWp^9)v3oWAopq0%juVqKb|k6c?Ace)k{OibC`SjJ|h@_EV#IH%3Cw zf2>JEmKqX&yq{8P^A7M-=I);J(^amnmqDsy5(LU6roPyUPR`8tzXicb!ed5F{qBka zHc_2eP@$~F#p@DwV~HKc5T4i4SWrJ0CPpM7J6h*mJh}wHiw?a@BdpUhaqIa$Dcd7| zng!61pZGqz0mRfR`g`y@v~S<98LFgwhSM=32HN_~gnqml>v2`EY)k(t^F3MN;>{^ukZco234TPJp-uGy$--5$gk}+HeLg-T{48VH$K7azte->NE=q4zQpFRueya`Q_-8vgzcV}&*AnH?I_25_ewkmJRAu~X~ z(I7wZ7-C1PZoj3bwvxe~bYsrbjWlM^TYUNcKNhyilH$ z{gt`_wZ9WgRdtS5b+-RY1?VG5)tU2O_5r#9b$@LE#`dpC{a;jof&J?t{Jws03&1t~ z7gzK9zWu))2)N~R{}-1$)Y$D@wtDcO=q2ro8164}RiTwpQL~kY)!Rnl)WA*$U7H14 zo288~w4E@t^wW}EG?i5u|Nnr2b?k#NQ$ELwA?Vig><~$-dzPe~r5*2CejMSiu3KX4 zofi1JMf;oBM(#s~A(i^7J8m6cDyT$vvIpeKr;;l{s=zm-BkEG}UDH_A{ zRR3D=S^c93x^w#z4(K$7T}iP`Shh`A0Ar5^WA7`?ml%)$H2PPfLz4KXc7M>$=G-cE zN+a17NAwZcHryfdLw&FMvb43N~_F7#kc6!wV{#&DC67pxj& z$p9nr{R7icTwme=dHPl+LpmK9G+Y_Z)>A1|Jhh4@{h@oN%=!8d#XS# zE|C!NwKhd`3p`_}c<2IH1HiGk_@KpipS+tjtSjeoNe=f4EpzCl?+-1L|FAvk?(Rwg z$)Sj~0x@8Y2*6@+CKEZgv}MosO?1k-NB@#YGRn%E(Bon%AEj(edal{N;+<&^%S`|7 z2|l>LB$7^czCY1~xDW&ZT|q@aSW*Y)XlUWuaXEuk*S~ovUgMe97a@5c6<;f?mpki% z3Fo?OFGN=R5rx1TLJla678k8G26e&SZE)G>#C*^`(#r0M!E0L%kDta+#OzS4PC5wj z1bg%u>=`K^GqEML%&$ATD0Uwbk9<+y6L|xpwC{Cq4yS~e0RZc)HAP;_(ZAYuWfiCtr9XLCAJ^FgRs&R-yMAl

UGolf)lQ05r}p*Z!h`(O+s`Nx<2C9qIkFojGMCvc2($U1`f3QkkF zrZS0h@n#WD@5+808fFaQxM`6u3--5rsT_t&PS$>6kT?1nCfU_#o)DHrWFvQd zVD{JrsbdVpkU_F~=(+9wiIdfv&tSQYp9&^B_RRKQtK0zI!BZ~|XtujK&^PMMetC%I zCzSgXA8nd756f~Ctd0pe!4rmYW|MTzrJ|Dul&ShGq=8ZwFRg%saBsoUIFW5!*-YK{ zqu0^On$fQ(Wp!KU+>Rg8b)%p0vxff=dBL7Ke(2*Z>J!%--(ry=XJ9PL{({~oj@BnG z4OKlJRsAL2b4k4CpJWXPK%)0iqw!HoMOBabFDs1yk(4vub4|SGld=YGoAUU76pdvK z{)7X1 z(~i;i0m|1_j%;b&8l`IVvGnDypBpCJyrjZlzcEg0Z`Uki*Zj!1=d>mo0wbrN(0%81 z^X=sIA5@+WyOv&z{;o61{Kxxi4(K`;;@QAMroF0Y^~_Hq;J=! zf=~wKNM(i>jn_4$ncflXQV32vRa>$^wZMw5PU$8gM1_+#CthBi9i;{Ay%jZEDVV`( z3Plvj9>0&Q5_cOTrOdxMLI=aKFkx(?sL`dF{T{|EE7VJbi=H(MM32hQ{1;ncQeuve z>=26lO)_%124)!?BQ!vMN|?#>2txTG(>OONt2eOk0_+woq_1@%^S>*Vd;GCO>% z`H>q(YBS~hhO>>497P@(kO#^?o~62{av512Zp(MYP81$sY*PJv`GMSS7Ws6lx0F5r z&P5EtV=e)qKspxz=fJthm?Us60*K@u`+F}QrL~$|8))K-akJfdOZge+5S7}TMS>z< zlj0jv{ZEvnK@+^a>bl%JOn#YnpMNsor+TXZ1i(Hu#9L;I{@QvRqLh3vHs6SW4?P8tAmE62I%|l{y{s}wnoqpUqeJ5WpHD9k$j8ip`z?IN` z)A+9{{zU5U9nYN({nHr!yZiH>FNz$VDCByJIoGCs`NXg-J*Kr{3fBkZwm^|&!VhUS zX(I*9CU1H7r&?x-~H=}a_iG4 zH^pB9G*$MubVOMPsJw<@WB6kNIIAV}d06ueMXz$uA6{k@LJhADJVvh@ejz{AdcV-W zzD9Oqxlrep?!3+RPW%DIK<#IP1l2DWLtL^zY>oJIeXeWgyJQz_)Y1xN^VLIz3YW$X)^JH*htG-QwVzL0Fe$xHZB_c@9yU=W) zqx((T9Juz{zu@|tkz5uZOj06Og4m(5)eP9dpTy$!SdzFekT(I5K06sEbk zUmcygPyj70%#wp$0|^AMgB$+Xu^#nnPUUfw<(`PGD-obB^LDP7>Wqnao>LOW`AVSYF$%i?lxgGuYoa5Hx_0CnNVZSD_z;i9~ z&Sh10PFnjEI^hQafSuQKakQ<>ZZA6`xp;b3co_zU(NmrzFS_!Y@J7MQ8Qsv<;N_Y= z!W1rj#aVuvnop0gsNdxR{SN!2Rz?s@X!*I16!NPnOWX_8N7PKvL%Ca_G6&1Nnh>e2 z&S&Mo%9f=AYWALde88xt3Rpyz;s8he#cZh}u$m^X)kkxt3QTD{1T6&gMbbwrQo^Dz9Y1v6!xg8)c&f4h71$RcL83IX7+N_+hj38_YBTx6x#aH%7=( z=iPDS(C3tHS$C;lO95&KJU5tGA1Q~4iC4+N$I$&G)N-n<{l&6sHjg%4(#D{8nEf9c`RstM0T@sA+Ym=*JQTKPOI3;Em(pu9+PN>=vd)e~1?3=o zwiEmT97ef4KCDLMTl_xz1Bg5*4wo{yNT{tv!?r&%mK1A%VP$1-Z+x+$;_5x?<>rd>uf!5EUHwsp>=cX`R z=bPtJx%Grv_k;!qQ)3PMVi(+(RzBY!v!_78gTxCx`PgV2 ztjbEPu86SScL!CXxpwG&1H6+_9OyAIWJK+Ev2%36pGnWIPs^^)*rf!`EuhdeICOHE zyQ4dIr`K(q+iiR%-hi>~T@nF!%K6+^2M3EJCRNneyg@lsM z^-A6x9x?SQI~lMv6dRKy@DUTFhzWlV-XIR}740<)Q-Hz%3<9&lhFP^iQ`(^^?1)u% z1YKB}Kv)^ZpTGe2XTUE!+;EB^NRYo@Y%Q=fG4HXz{ z*4S*;ZSpDY@+sek=o%`B^rlGlru-9lgA#Zbb7mKFbY0C|T+Q$4O_AwM1td5JB{=_E zaPMM{f~&cMt2zFki2M^cn$D&V&GOQOpn_{BzJf= z9b|P>pJS%+JT)!7B%v#Xgr%zN()}XL`Wy4N=8ez~UlU6*$mWFBH!w$FF)l-*mn&-+ zl_PrxNs){rE7*sQo(*%}c`=uUkQ}{b8fNI(>v^xZ7!yM)xyntN0g{kN>KCP&gZp*y z(01-<}RT3;D_AoBU3=9j7Z z8W)Ii+3J6~R_8d>RNgx+7%+r5N-CF-%L_QjMLG#mHdx#*SerLay=Qo=ov!Msu0ZcE z?UFwiJUu2vSra{-RNHDmo0rRcf_S>Cpus7(?H$BR1JU@&%guL__(_AI}le092gLVk6UakbATs?-rk$fnUSN7>@G zwiT`;=3C#$K|%iAR{i=}yqj+Et6pY1^k%NjxpkyDCG$cDC)U|(ee9ATEfT9apJ_I^ z=X;oOb9^g!yg5Uad-F1$GC8d#36VdN9j-9~X%gugseuGI4uIn!TFR_6qB2w#A59!Y z()C|3`;1vsNKho%SYn5_=?O0IaS}@L=<45P13HB&)Q5Oeb}-D0n%01-1+k z1bzc3qaNHW=YwvLaT~J7UWXHdn>}U#FSaAvDkZ7)7-QMOF83YrY`-th3haK5FNHE1 z<+@^_<69e^33IICP+@(sMlRlY*MnpZSt6_1=br2FQryrY*3|k|gS7XAkU(X550(S7 z{gZ>>F-nLjxxp2X?X*;r!1C2h*ZfAR(8A10VdjZKlt8c0^;1zXBSYmWU+G9&pBb~y z>nzq6auu8zu!SjVE2o!oD$O-xM1G!J;$2BD8{rCRdA#sz%4mK^kO(t&SJTBUpc#t> zq-;8#@1FT(j(kLw7a4Q&y13w}nr|loT5%qMfDIr=RQj(pYV#Jg=(dU;4cq|ZsPgW= zmBN!y=@r+Sn{lT9Ij?BjkmSyF$QTfKeH@*7Z4I@0c$HEzh6ag$%gAxEL9!l+jgoZF z^og@F3HxooUQ3Q#(>~>}-X@#j&dxy~BZy%hK|AjP!r8G~4?$qGn+?5Si)Hu7Z}E$d z5;5?5Ix}Lh_dcpy6bOMBd5Fk?nTFOa(|*9J5{~6*1%fekq~6A3AQ+3x!4+d&cr!WX z#y{@2Uv6|QsTrS5S#?)j>gc(D)QDjTTDt&>2M;hh*5Iz;U5G^!7fDN^<~-8#>Q!^5 z!4WvS*C~cNe}f-}_@3sg)DM+Yd;Sut_M94XTQd*X> zE-0<#t0w%l3LyOx><@Ioh6?PMbo!Z_RQxV}tz{{S#!UXLgDKeeX26QR)Q<`&bAI{) z=s($CT7i*XG$g#A?@wn+cR}~yGxh}I33z*@+aP{E@MTXZaKcx&kW7hlho@JEE7Xv0KfS0KMicb0lu3SMzk6!n36p(OHoM{x;fi_ln$Ke&Lk|~n zEBEHlY$V@ixvB(T^}DP++67rcZS(*i=PKLN)~8siQ1M?pG__6saS`7+GI^4% z<-5;bJK-eNUTL!d1=U3xF9qwUhWP>C%*2Wjjy#x>3`gl% z5f}a_4%w4jXkVhhcH|%4oJ^-16yOW9bGaLeg1z7$T8q8puZ6%3siiY$<;1;2N;>)9lT~Nnt@{U^=)Qn&K{1=0}ZV?HUOYsJyKNNW>*7h`p!RekL zDOucw-unk9hrLm0e9mqx=jUT&B*2dLK|y_(-P31R7urf#@xAS6+!m8wr@}a2ar;TjT=UbqzY|F|GmKVKdH0g1{|& zs$$!XWXMeO1G10|m-h6W;YsKm$5}|)gKqJuTW?k4T;@OCsDxfaWo=otKgoy;2N2BCyzF?TDM9 z&%Z}R_*tVT;aNuDcuIaZ7lHv#zWe!aBOJevbb!tAMFu#XHlhK(jzqxzWdv2Ki%1;) z9w-e{B9(@D`_egd1XOXnV7xA^^}c1pr=dB}>65!N0&BZ5vaVOG3M0JEoOlf`P@z}; z2OUs3b9^2hth4dTkSXvYOi5S+&Rtaqaq$7X@|&45{AW1ny8EPe0QG3UUG`BVaYO~~ zR~d%Nq}TS@;bal}diMgUSy72X!5QV*vxo|gx0u+{m~BzM?NPpV*$Z~r6u{Dulo4I} zUxJ@UsOtAb@dg$>5g0^sTM8fKcTe@A$O7UFW>g z>Cm+P_w6!%2>56D@sP14>@+`Dg5V5Z8R(|%Kyvg`R7dHoI!+!aTJ99lBfayj)R2gW zHh+J3$u!Y zSUb2ZXcpB&51Vy%Jv?N);i<*8?KJc@a0M|c2dfB2k;j~ZTEp^Ih%Ax*d=X~(dl#Ei z(A!7*=>@z}(-VW&`J{w)6LuQ%>KgLmFH@d(@JhA6#6gKCZ7SoKI*>iV9Jk6?N*1dz zv8yq`DJCKs_$xrO@Hx*Wp4R55pc0Yvajk=gG3`{btw8pu;7o!-e_p zz!2i;L?EV^8X*XEPmnR>p2Lo z`vtu|jdd3d`ITToFFRnV6d)02g!hUGVVf#IH1XRt^~J}=_hDIf;S2)N04Y5-X8uhT zZxB#wR(o)qE{2++VCnE(x7_J{rNH;w{J{min&|Kw1--2YwQB(VAG}Xk_Z^6xVQPHK zSi*c(^VqTG@!et^vSOS7W{E}2LA!u&b^#1Jl!7{xm>M$J8ZvDJ(d`7$b^%}Q0%&w7 z1#~De{v9|NTO+uQ;C(y6`#%x+C$JCq%d_)$A$1wVT&VscWmh#!?i7dQO5y!vXG)2- zKwGaR5XoA$cm!C>n{PM__$vUf>h*pyx02WE);tZ>bJIHIqIbD~j4TSNUO zW8#QzC=9$Y+!7xfU>he`4Mhix< zO&G6~GB^bj5GXpEScBYGspNozL|nnb6Y}j_aipQboG{JgDKxt7jAFr7po-b3zWyQF z)?@Szh5=5r8xN8gnjTHy=gD-?pa|e~wd1r#F%&-nA|}&5yiWw^?Rj~@D26Rxz~bpn z=`{dIQIlt0l|Y*8!lC%<$lxJpAns@Immo0lfNF6C3ThanQf}~8+rZ-rVsvp!6&;__ za*L;T3K5&(NyH`LI4X)&h)|c?usj5oM#t`6$39Ow|HDuCG2c7Be(#_W<`59(z)*V9 zh99#S(!CfW>uO~0YJ``bNS2-$KyMO6Z?YINxfr79YUJ!{MEGyPr0I$N^mIY=bbluD z&tOGwT90t-T?pc>{9>QMmQM5=p&PhgpVe?d#RI>l*2!0Gycxd%{Ovm@k`%$GJA1LQ z{GHrIUphmL=%{Dt-fh{zX=JA1k*U$9LI<{-Oy`mdV9w%$f+E;sd)e$=6 z*+*OHn`67pCGmZk|$U~JdMR_w#ZE879b1PaaP1{01-y2x39z_7ftlW_y zk?=0?-yOaK%PrYiBAcNbFp8TR!_|(>N0tpD2YeqL9cX2%kZya_h*KXYl__W$)H9k+fJ3vBxER>g1@adt! zxw@ZBxVr7$oQ#)mB|+Pfm8{jwQ1@g6X{;t8GG)0(KhYv@4fKRHH~ zvD!qKQkSeyCEH`5f?wXv8xEupYD>c6%H)mI*NG)rffBMFN16taVZT{5nL!0CNu>Sg z*0Lrm+Kt=UxMyyYxV-vL_oC6`jew~m7QL$kqJbXKLG=IGWOI-N9<&|(_BBIZg zoT}Ou4iG~(ATbCeI3QSqANT2 z1eaFkE-^)EjC{+iB6RDkul_T{{X0R%*HCT`nt|Ajg7B}bXv*AkV~;BJw?FDA$7?|+ zs#7hm1D)>9c_YZ2Siqr>A%l~fw>r|I|NSU%gJpL}u=NG{${K6u5yHS0n5UI0y_E24 zP4Ao}fo!AyGR_nV2U{r?pd4^fR<*pQMEGpCT4#H$WIpS-MA-YAdfbmK+?%CU8Edlkf4_TX5{1@1HQjfHC=;n@-qv;TNQ!gXUHJJU)j`;bNe`x+hm z@>7E1?XXX|s!ddC^z?|XSTp81r;ilC#5`uPbb@GG6^p~S{GpRHVSAov5=&?%T~?N? zC2nlDRry>)Z2fE=*!~r)yJ0uc7@m-!t_Xtn8QRT<(=2h|D_JqI~&_mzB!V($>oSU6} z43BZ3!vQjWsx3Vr9XGrJ((!A~Y?S%k$kqCF<&|}rx~zh{wT-No61g2B;;Fbmqu;Z> zm4EFn3-HIF*N{&Swy_xMCbM5rl&XcQmx_RYOy@QA5q|JC?gV%+-2j^AYb;Tp>?CwD z0kfxHQ2OhQbf^m!Ol8y3K0(?uH>M=zCD=8ounC40VkB|XQ)rWy* z*VHlLX_a@Zj(#|6TReV$L zV%|}R{`zudZnes=MWfKs{m#5mlT%(>)cOfv?>~Ey8*v6J6Ihz9->4BK6xwsAjyxOA zK6~j=m)F_=9zK!AxD1u+;6v+jFVjJ>1$nP!92GI21J!iB*8ULt(9DtUS?#T`V9%GV z&L-Z1UwDVP>IE9BQ}*X<6v=n#JR7EmJ(G}@?CB2{L3n!59uw)(AQrt{Sd=QEV{@k@ z6x^Mh0j99+!HK5eRH8k(ve}B1;iP(5)16hvlgrGM`R?A``IXfpwz`u86&tU6K_7)c z&esfbzP}}xnezuWUjHGt`GxSSRTGS$vv+rC)#c@0JxI$oPRRV%Dab+kUHhN&KidAn z2j!X*-I;UH$Ih?9Kj->zAqO8yYVwcHT~WgBOzsDHpK?=bSW7EqyOu55KKX~eE)21y z_w)IcXWkW@0Sv!yc0gy?QJM(C^Qf<4Hf{RmZiF_rvL>q)`#Y@JOf2>@77bJ2FoTqM z*atDwyz}%ucC48`O2IxROGU<1rp3k6O1K!6r+;QZ@I$=7F~lTSAoH5p(ow;e@!~|t zqKaxRR@^#v+@5_=`QKsbax{tT}p$%Z_x98-}{|&-t+%o*L|%u z>zR9=XVzY8&zgCrnwA+Bf{kdRd2JoiK6xTi6bz5d5^>W&u|{eUQ{O!J?oyPbCe(Af zp-0$E+ve3w7Re}e;VWx!d|(WY27h6NJ6o1y^#3^a{U-cQrPM(e>^a>gY_^obxtzk; z@!ALkI(}b*BU0GxPwfAF{I9gX`5EQC+c&J|%2SNsndKkY@Vi1Ff-kix9D1nIL&8)xHfyTJ<*}lMkK^yQ27i>4? zg^QiQBlhgx3&xOiQ3y8|=(OhM0vn<{?#SKlsIq6g`%KY`$^C^FQ@!T?F_!BP%Gs*W zmCdKjL%{)GV*xakJQh320%lUsc$d`NEpmCr^2_yN;SkhB<-p9OxCRMvT+?D&j)iK% zd!N9<0{rA)7RufjCvfe~|3c*>`fx1xUq$0bZC_>k4b!)XJ#Wa zU60b>TPNljG@zPLbyrVsCHijCPJ>mnVf*Bdo07|*z}k0?p)CfUl2oiFQ2)KW4d*^z zjwb(!A}{0OQM}Dq_OC+GPZ=AmHp$g=?bJ@C2L5vYd)R+36lbCnp8Q#Ww{!XJz|RBA z;>qtc1rG`iG{E8n2faxb?_3JEyxRD4j$D(}E_ItSU65=&xRzaVO;4qpp7NnYGoVCo z-F{mN@X)dgM37j8gCwMZQ94*j;KbeAZuA?yU|EHEzvm&l+8qNg)=dg2XV*{i+{X`7 z8$)*;@4FW;%4a7#-KTN9PqWIA@QouOal$fc!qQHwRZXjP%JIG-fYbe=`^ldEMkAo~ zrkLg#fuXl7R)nnUpuz@Bo+yqIV>>WXxpaZ`1Wt7Iwg?%UzecU@zEHGIi+%*#JMh=C z_iD~#<0+C0sbLIBm|#ITG}eXJ^(V(JB!Bqkg1@-VwdA6U>!Q1}U?=$Ip5U8fhD78j_ITE%bQWa7f*dANWOo1+$=j^TNu#a-; z^4K&6;@-wxjGo_oIu*S3oALG5g-W6rd`&}=h3UU=RXOb6@ z<+CA|3v8z%w?EV$#o03-_Xj+54!g(de&{KkA=Tq~( zcn(=B)f=E}sx9s(ix4#=Msse?CbN}7gJ#ryYANR6^>_g}BI*Be<0JkWXSVNYwGvYa zr1iB=K?VzFX4p{XI*skR;RJfyC8K5>f=M=*Nme}CKqA^8UAhclD02#6ZP-+3_IY6X zp7yF6EvAjAaic(k28H9%iFJ~I+uGFCX>F3g$K=E^e5NM?Wb|=CHEX7h;>+*SmfwA- z;2frKAbQ?JAa=lx@DTdgqs<}CFiE11sUf=14>G1A;hl;%n1)Cd3+1SL$3D-_JI_vM zK@`Llgp3#Oh!_7ZoBreTki*ED!-!GcJDa~KL>5G(zgfY55tn7t0RZW_K5FZgQ#X`Uxlqf=AffUi%)O-gOWji zk(O`edAc~%G+5PQ$i;nJmFU+Hmqcb8x+oSNSM}nhpq3DC)&!_24i{MMWqz5O7;bcr zdlwx|y_^rSj1uWjH|<>bVu_AvszkIa&xM=HTC4iT|ArxX=ER2sDQMT_bPrepJs|Xz0dwn#?ob zii{l#$$#77Rj4P*(IU;!3Q{TxRw@$DeG|5!=oDcf*vYx-nIB4aN9+zoQl+KHE6b5G(8H5+}qTDQ9 zd8tG*`2pWICZF2KBZ*m9J#q1FesRc>%M#PZhyk>v+D~+5|E`1&^q0%0v=C^5Vy7;q zxX$T(Il2Dsp{w*<-)!VHtG42;xdx_sTpS9a#$Iqf>VolhK89*yP z8H+fIo@g|%DOibmOXd&33V#@3U`JeeN6ci!8?0Q2V0eeHaT)qK>Qx)gvRSkA&gH zX`v|wGHoW5r~Q!9XQEFqH8N8CShfW2sCuR1v8ci^8RJL-Te_rbqm;{{`tly;2#8YU zFD9{3KS9^L{dw}B41Eai``cTB0>=_l%yzZ(|hy$z{b};MTlTM<8v&F`4aW_ z47Bhni6&w^odQP>MpjN$D{4O2F5%46-KhtDnBwtWaz^sV5bx!dm~x-xK(r$wLv*+i zO01P%UGLk=R^3bYH@doK!?!9-vd+y`uf$hSM;S##;GP^@Ddcxv!P6gH%@$MP!)w*u zm9CXr*RJEQr$MV9r_54lvWw?aYO^!LaN1%DZHQD2SP25FST+ zJ#i`@zNNo%5RF?OF1jo9bm-g{SHMj=&7N|o79ezO4&dd%&dURHDkpm?CobH2KHPd@ z|9MjX`5@8VV9{N17kh~`J_d=uC3$&J_@89Jlu84%|5Ync`Io*9Xp#uN+dIj8^IZ;+ zaq*hgu%rIo4;pEZTOK~jmydidrk9Ubmp03sG-=(WT{OjAG=ooS5GOSxKWqtq*zzoG zvMz1DZ=29>oA~Zm)B}K{9SuO)z(m3NoYr=|`?%8>Fg=s?Fb>Fu7HrjmB_u$#z;MXC|;A2oLY*CGyM`L({xIeBMXWaHZ z0J=3{#bxrf^(by6&%nuci^BQIhfX))_N`mqUGp!3$_Yebw&0^LYoB;M3A7VMYsG&j z?*+<^9Xb{tl@tM-mcg{H5+en3e>H@^#u7f^%sJMqy=djKR5v=}zDh&K+bqBd5p$;S zf(4e-i^Lp|tb*nT%H%_oZ{RV1`ttou?eeA6f>jU4yQ;V0wtqYIG9cR+tpgGM;rU%P z`db%t7;*U;g_5=3!D${+4k^$md|msU==Vuqm(lQU{o^6JDGzLanXWW+;$Y{fYVgjN z?lx^hshuHu_TF7?Xj7W7Hr!fzOm)#(defKQYNp|gQb3dY6=6YWijmOMXVbnPmo%Kx z2nB`^Nk-!G>=N?q=`=(I=di7foAk&M-+5JfY*d1CaqEH~YQ!UZHbE+?$VDQUdLAL) z1kOnACqI@!XY!AXsgHFrorB1N!1~Xt>hQgw8RM>!jFTyWoAcQ>O!_cPL!maBhEM2jc56(KJ$IuS3xFZA=1!}3uoSO3BfWS_(Gio>!& zot1T+72Et5p7}3?7PBN4vj{HpU@mhcF;@pM*K$xi0O0PvEm*KnsgL7CWW ztbq)FDX58%RhO(%%KUlSukCd8d|v2R#4*-ma~hYP^SjFzoc>gu zlwHG!NchEBK>o;(TVM1`BY5>S~4;ZJo#jkHE9z!s>Yji#+w%NJ(>a3Zg~Qfp`rp9-p4e! zkJ(QI=_P_BaInO1uyC8`u<*%Nm5tcMCw`updRNmYHzI4Y=q5g-8d}t9AUfV?f1bih z$j7HLX(6K1u_6Aw2h6XXQ+Z5jqOELp2(A3WTwi$xadu-GH*=c#~Afj)>o%r*T;?um^oGsjBVwLRIHsU zc(dN*@zkp)j^vFcXchFYj~N5`>VYu_kb`JY5RHN8zV)#U5dE)Yk*bE7!5c=R_1i;e zdfT;1>wynoUy?>*MO*X)vX&oyXmScxc?BsQOo!U3w^}EzT1=(pxbQ}>I5?G3!58?SX(CTMJ+$Os09h?aGV{%$JSO)#|MmuuC}!=znl28C_K(c`T71p zsb2$T$%-4u#(ekY_qyZiwg?#q^u~$-66(##5DrobJnT0y+;8c~3yZhE1!6^tNOZM4R~kkP^|$M9 zyCi>nRGT^GuUywzL|+sNXbXhKDeSl|`3?$B9`J;P7ONTEBrY zLzJiVM7$sli~cO8LBaeu)b&0*w#}IjR%xxYSgC!-V%j0WJLa1M^6c&^or0PRyh90n z{wrGvM*S92hSq63d^B-oJVObI5BsKoyx)Jt6v$UatvP`lM8`ku>jKfe{wv=S zjO0MFQdV3yljNszR6%Hb_e3NEMp$psgs`ETA^q-jBtrNK^urEAO&frd>-iv?fsk47 z_)WFPXS(?C5YwpbRAy5v?OZ)9SJ>|H9oeHn0`;%tI1EbrNr4Rvo=8JUy1xG zxCCWXt`qrjaB1@vzC%dr9y#}S$DyK`;@akDjnkidqCA~vaRJKw+a7|%z3m&A2o&%;8kkLRV4_-!8V4hDPygV%kZBIl6_ z2FUzasEKiJ4V%FnLX8VPJ_Z^}y)}eoJHM~`Vr)4K<_OEok@-CE@!l8?!`?VDHloyK zNymEUthZ* z?s$BbU))So@pNq+)C7t%T8hOW54~MkHjm=Js-hU6_azD;q*R^^3^4g!$rg4o@pRqX z7W8|WNUSz7H^YKv+WgG4vq-I+O|hP=Wf5UkNl~DW`)*lZd4UeabKa0~^!5vUWhx5L z>|>1b)EP+J^2DUcAAhKrbPr}Jj;)41gOXtU8pcdG_G^YsGl-LJTHZ{le1MZ~ql%L* zZYtZzAU!QMC}71@iM{OgkWspB(wm#(+@7=L&!~{IAx5g*KG0KAh5%2r1PgN;P6P`# z;aEZ$tS{ldN&i%_LB9{js;R1?VQU-@@%ZQ;fi-77&mY0RiJ$kM#J0yE&=WCl-Ih3} z8St(ci2AXh#4$TVK4j`CT9!nfH^BJGXOkOVTrJ9g)UnPPqJ97?9vjgieFHgYXyz?s z(YrpF{>WeU(iu3+rGu!aPBB(e(;w8m^i@OD>#>EX>tS-%WvtS_xFc>kc9d?V0x^F* zg&siMDtlK$*b4q-U5EaeIVLA*TtNz&^$NKiu{(TFw+!zQEWutrzA(iB=_q6cE}J)I zocdH=dEv}b4w+tJmoAYxDhlIhyw#ADee)_J>C^q3&-BlhMvBY|41^d{pK|GftL)xw zW=&xn3404SV3AvKJlsx07{`!wV4e9;>S?`#yHwHWz=70xlva*-ky84b+#&igfVIdC z7u6i6zyLRC*sHFb7AV#8CU=$YYMQN+0rVMCuMb!G87^oq@W)8V!7CQ_$*;+OPf8gZ z433ds@xw!Ok3Sk4B2<1nwv11B>q3$ALh&@4Z0Z9x48o`)99W=j%dUAxw#sR3?FC`v zetGbCei}#B_m@?m9|ZnsV~8KJEGb2Voj|MWDKr5;WD~$|%)p81jB(S~?Lnzd#bS6|K1o-^Vt5#iQ$H28j>>vAFNYhy>j(ZQZFiW`tuwW%GlgnZ za>r`jc`#mh^lT!KMU}8ee&w5+U9`O1f_h%AT3&9MigK9>o?!u=OAx^l0&azaIJ zOhqoYi89ng*=jsjcRY6~U%CA^#0r$#)$#%WK$`zd_EbQKKD?Vc_w(>u4mHWK9QHS| znbjL4*x5i}`+!(U@m(SBU4mR7Pkezr)|T>Lj3uQwdcd%v>%&ugw?j<8seCpHYF~=Z z7h8(w+JzPcrBnHTK~295Aie1;<=U`sY{XNT1ly7Xg~)R@g8)S|$|%VnlQHF=75O|85RZ2`bf5T39%2ZeFSeCWK z^mlM-tF3ejz+b;CK)>wIv9J>@ioY?SixV;A(&87*;x2emjeovpZF(7nPcI&bClQF3 z-d+kYq&o#*RI+7MVp^_hSFXyb&cLV6K+G6L$`}=d-yHMtWFMWHrI7zb|s{>e&|$xo|Rell`|1rc=x6LpCPQAq?* zrHh9Fyr%2|$PWFM9jZ6_^8M&bR%0q2V=6+9Fa(jL)ISng7rJBv^kw@ew)6Ag4Q5%n za5>RjIQlZ!{gZBYJUO>cL#UwcykNL?EMmUk^MN@>rhT5GQ&rr*1h!JUDnP&svP6ZyW`|zcgMxJ2J>w1NEb(MQJ7H9!E9~un2!@ntQ|29NpQACK1E^{CP!lS zA8KG&vSV1<;4d2CFD^1PH3O)9@B}EkaTmZ4<$(dpgZ`T&y*Eh`?r_KaM)n^h(BS>f87WixDfBx$K54nLM7feS`_a3C&&+&G%?M0Uj!#0?=e& zQfFYwy(E-*Nth7A8WS?C&YvB^%Kb#2<%zzfNVm=d*E?D4hOho8ka^d?S8G}N>fQQJ z#mvke-^|SADr0E^MU9%6>EZU#C?+|xbzNiWXbnF3Uzy6e>y`Zvf46)22Do$+R*@-# zBW8)K$YSl!Hdv!ET2CEPR5&PJwfUd*K_Zwa@Zqme*T?-Who|WhMI%cHJ(mQOh~uHU zv_D?Sd2e0xL@-HA7Qc4UbtThA7FRs}MW!v77n(RzmEguAC&Vo$WR#_CzIo77kSGYM zsKgruBg|EHXWuL38fT~1qi1+8-kbbIaI3BuVdmnyc&`B@+Xp>niPsWCeE8A<=#fb2 z9WqNR)YQEJfs3D|f|dME*X_ z(5hL-hjCOXmacF@D#mpI*;_P~Y4_(3;Q|`+5vnFsrUI``t%9=)X#xZm%9e-^Mc2#iPjg1*zn6{7 zU!5dhwN8{8%tq3+u^R&U=x3-h=O^B@z-BooSrO}gMsI;1ULhb`W6O#r}u(gF9` zzlp4dvn3p&O}2PvA_4?IfVL9D0e*bE^;kYg(==LXZ(Trtr|7=RYLy#{M7E2=&gEHD zEp&A!(0ZpK#`?IO$6mX)`g|#&mU(5?)vAAv0LZyPG>|8NXdv&eKG*#t&;CF8SON>? z=`rt1+i4ZwD#b48c0VFe{QQMiKOoP4F%N`tS=+}VXbV5fSlM z@=Ol-97=er9fYXiDcm5WhgmE}=!qW)h%v0~*Ggq#mzE-EnScVT?nlo1-BGkmxiVHz z{eVCanE*H-vOh`wwX>ku_UWUFRmB8>WZff|fU;G^-XwV|5SrSj1q7(GVEfkJ3ICgk z)6z*eaj8ut?N0QsLqiIVMzM{3qhvffu$IF`^wmM8T;DFsE};qS3m5EH=%Bq(Ahg`X z0KD@>KTe%D$xobrEu6=>44H$NH%{U?HiI7M#Q8s!I-NAsBLZ7O?wlzBAD)q>H*8m! zi(ZD|26_VMRe#U$PU4QL#av=X1h@CqypyY75A83B&eoTCzJrvYw`5~kTiDH`g-qpb zJ!sUJ7QY`(;GL&*tif#^R2`)U##K3Q1k#%p|9nNDI;sxq{P>p08y3j&0?(&jXg?u@ zfFD}Nh)%4MY;o5TyLl))3cH!`gMfi)vD2%8VBi(?@zas!CPKKfEUfUsl1L2D`eM7l z#=6E2)QEwLFrRzj`3b9BXX_U@*K#|gG(~=_cY6bI(cJ&}Yq+|A0iG!lnT(qWrBLzE z#7sb)AbopMqu|thl(>+7jhwJe1S=XnO{Wvsm6q&g zJ}ei{@ixc`S{73u~kaD!l5g<|I5W%qv z^1$0md98{o>8g~9>0HZh&gyXS8?-&cfwSR!HGELOX{ zx~75mvfp633td%Va!HK^;Bl(99=_hT0M9ZnouA$d1(bK-(2D=MhSjHDg0L+{^@bQW zg;CdaZzZ}^Ft(wi=Dk}xhYYx=LA7(I9Ro4d6CgIx> z^6DbD4R0L9lr+~E4C#aIRYSa^^e{ErUcr+3lm=&Rf6DsM@dmhSN!T zU0ooJ7jkr}`CJ1!n}WFW70_GVF09PkG$^kGB6}Je8gi4-znU~EV~>XAQ9JslNx!Ms zN(^o5+*^I&j=uax%lgg0j*yCgvqK+Np5fJDa^bFn>@x=!>`ji;j6?N_*!IaI!rjI$ zlm5o`-MTAIEo=Bvt8v-&2`eW7+>w4g^`kt&M)Ud=@P!yRF_&RTsi%(kB&Z@C{B%28 zwdfQXG2uelZ6YVC!n}h6U*gEoscLC6DNTgTXenBqF_>o8Qy&LFf51$wkZq3}Iz}A& zf}Zp^^##EK$4!m*AOY0+PMY7W6LD(c!5R-benh;B^7Jpupr5D7>3;*TwDBSUBDEV`1cp1@u$yok_P_@O2QXI!m|rX_1tmk5TVBxnMU(LL~pRlsIGtAjGBOO@n}8L&$j!eF{Zldm-U#jLjsGByp$v`RsK*wJkYHCTXP(F#{Y-TKZ*aY8KehR{*}4^redM*_hXMC z_nP-CHw>7LfaAl#`r7i~$y>?!nESqhbP^@3j^z`Msk!H>xj$IyJVk%+c2VpqP6Y}Hm$QzF-z zw(#Z23d|Rf8^)*LzI>#H!`aW*f8vpp1l3D@O4y1|OGL5dsaCaczXM%TBBgD57rG|4 z>Z%rsSp_mf|p14v+{LmNNIvulWrvnh8ZocPkq+5WXm9W#`58rQ+_l_pp?vFZ?3UQ4nVF- zP627oD#C;FDLo4+Zx#27c6ubD|B!#loaaOXE@Y| zD~HIclgO$EQ8S8%<7Y}@7!A8B1}D@daGfn8>B&4|jehnRlGcWzMG@x;9?Cqa*?;l~0r=CD z0z8!01E5Ljq)zIT;}4bP4^4EShdZl=FQUh4$f)Y^Y5{3o5t z{Gq?`l_at7--dzhfPXZf)T#{um$Qu>zuOQ|q*c|_BtR8;P3BFd;P&H2f9jGH8D zLC=fI`ZDJsxg7D)j9j*f0$E!m)x9g7`gXx@4XnSh@<}k2@w|^sq>P>-siq2KMP(eG z)DuWQtDZv_kA)VCwvb97wG19y*l9I4P{CP>lrB%jb&yoOgkYG~7wfK=a?}c(v{_~9 z`gBdvmc8}(L`BIr@;dl%Zn}O!+VU?FBU@ETNvp1#adTDPSqo_DVbC~v>LN0U@4ck6 zw$#^S40{{0jB+xQyBn_9RiqZW8|0}6_;{v{yYv?LhOyKrNBDEL&NE7P!^2&ZWsY3m zgHTt4yxfs1E8u_-^||05HVw_WAfW2~MW|<^`!AN>0!^fAastEJb=<$z08{^_mUc20I1*@l_OTcl% z9y~QQY0S#1=?>ND6iIA{RKDchC!e$)bp_RtN^eKU${&_og=b53YnP3A8zPS4BY#=VHBJG>Ev$-bB1pfzt7f`p% zBn*F3*FXqR6YI@DX;;5(^oMV3!N*&R_+MDRRsXT`zpMF8n4Sr~*6?>b*NTDopEI)1 zS94*`t-|uJ`}mLK*V(N2eMjI%agHii3r)A2-rzfDuy9X6a+>bIiaTUM=gcR*uu)1( zyQK7jof_y?eYgg`tht(v!YO4!?c8P#BL?=9RkOoUS}69{*=;A|thd54yw(i7j;=!q zx+(aCo&pYQ7s=&E=y~e0{{vjH~wg zy7sE?ZHr}wSW%Hn#clA<^HM8U^^)Y6<@&gQhSplIcqaZFveP=ub+biBo@=;45Z3wL z&MkfFG^jjK268}_?~SJVWVnzMrZY5|FGjhQJ`n0B#|dLM^vVHalih_jraOoC9qakU z>_0Tw`>eSH`K_4T4nl|jEfb)w&Si1$yGb>B21GweanbT{Z4{4rMuQ9n0%|%*> z**CXgHT&;;1b8@i=MV7a?$Fd=P}g9{*$~Ls5G1TI#jG)L4{5RtXZH{!irO~= z{FRI+eff_-)Ls}U9Gd!j? zVo?;*4B*f55#S;0HULcv8g&broEMIa7fu2iWegf6H;y>VZ@l_Hk`4Cet;!mbqdL>y zEyc%8*B7&v5@@(9(r<&~;{+KXfcmJbpf_IpWU7eYQK)s7;fgv}oKtN~C+;bTl&mf= zpM}hF*=fwZ%y*obD6m}?6?Lq?;;gZPr!6HFwW@QO%RKrzGcC?#j;W>s4jSbkYSKB6 z`1s-wZdV?h=&>qxFNn`O~9PsQX%)HOdY7(gN!8_FpuSQ>F`h51;G8rS1&N4s6ma^=~IUgK9Q;1%VY#go$E$E)C&e&uwAgp3iMj4AvZtuTNv8+6ofhekF!9;|HK5J-yq= zz|VX_QzPUqeSA0l+!J8@;(KL{JN&1HMcdkCkiV2`x3SS&BlL^!j-B~(ZJ(J-l{N8# z83-oMoS1{)_AJsq9t2l88)p71X!{>hjZHTLs82fFRtSvUP`0`1=DYtong_{~&N5Y> ziK7IWEwY=bq3Be%&zY+%L__R1NN-o#is>XAUl>V0u$?I*#|s4rr>l{6K_?L4`|)`k@jjMr+bpQoR?Urcr5cb}wwT1p3- z-!}dliKf?hHZh~}lT@($?Bw%DZ3Ny?ap6I>$H#?();Z)_C&4o0{ZM0Ou`ixkAaLx_ zik=*H>6#V~LzsWH6c#tr9q(5;D1s5hRYSNR8HEp@fg!}rjr3r&(AY~n&V04UjOu%J zA6roAgYEAR2tL~)Y;;EOu91jnvBXKV;)r1K#N@HOyBB?#G1AsuuJRe9(}Z?ojKQY~3&sU(5$(?Ge9^V-KX%a_72P zwxy5h{HZJ&T7J7<3+bagaeYzZ%kc)3?|zs_XP>7@wdi2_OwyDz8dUFy4pYPPG>>JS zqc>-tOOU5u8tH>KaURTow6u}epgsKB@vTkINhS4!yanYOOq7ovxUy1%-0$qm!mvyl zeTz3!!BC4`tde<7ql3!cNR$OUQB-&kHdw!dIA67c`Gsf^==tCI09+t`{&>^^(S(-E znB4N{@VsK!?qNT9Ae4kJ7R7Jz?x(@ zSYhmk*MfY3;|*cTyX3m)9o9N)LHrx{gY{T`qP5osGm3G~rj|4l-Mz#hc{Bvvd@#kZ z`|iNW`10m6%O)A%Uz99@8vP)thUp!+_&8hINWgXOaHD3F!1_W-gc-c+^&SkPXg37?F+twGe9)Y|zBvw&PU1v!}3qyPzi&<{3qiAX&L&KSz5 z6Ma^e%;@qH#jd7g>gaD4Pj5+t+Yu$|EgFS+B$oZ`B=RqM4P=p+B!6V-;g}?{td=%m z9_f;(!E&sY>|ISmAVf{b&D+&0%L#n2aDW$6ui0(N|^g0stHfFbts8j(3LUrS0Ge~8wkxXg* zMN`UgGqp>z(QeiQrDCt*=vZkzWec}vC??X#O%lrG-Q0UGWb`wO-dEUt;CysdO;3C= zT^sFS^ATDuQf7^TCCj|Y^HiaC$QTSp;vW8ND&2I`gG&SaL0TROBaO7IfCVG1Sd(`; z5s8k6#}+OV&iNBO74qymLhg=>X9Bp(bG=!(O3rk@F5(kfPx&Y3L!}`1F$|x)dZ`V^ zqR*lU0YfA`Ip)t@3deNxSdh&pb%jf+BrRG$sd$p)y@)8st#*i7@mq0v!5`80;fXP3 z!3TVOOvZqGlbNrRxjc3j$emrDE4K$b1n?7$69gFE?(Wr0%tL&K$XWGb$ESU=wMHt@ z^#eYkbF(6Rml-~05{%TS+R_n&ch&?efsTTh!iOOym`=%OIPZvVNk6aP2=Y+&Z-*eT zD>@+v&Vx(&@fa%-wvO`)o$pypArAIVBm_O_PP&N}?IJCEiu+RrH8OICam%c4=8ox; zO7mI0g1DR_6bw{II~smwKjKR*SUvHobqH z@m+FtY;4!HLh?4#1wOg=YP+G=7b^Jh6xDw^5+7z6c{=0|dU^`w+4sf!BgQ9nym_xk zOTiQx+UV2W6fqE0mn3#EH}X*9ooTT;kxCdu1MV5Qz&!Sp?=b@Hh21MibCe#lIDFE? zUzo!Of3k#u(x_`kwKTAP0?o!y$*V#_QT@fYJ7pqr<8R*t!hd)!R3A5f9EUio)(hf? zCK`&9A)P}cOgdqv#b>G_Mluj$S9ExDou0iqe9}4r1@T1M&W+uNvHP+RI0d;o2wzAq zp0`Z48k<~_1BW8fKHdz_PKhqi*k@Wj0%3%}MNlaP_aQ3}pI|NbI>cVPPltpYlFsdkBGc6sLC|24)XAg4sg} zTGEq5k@Y{A(3nn5Qc=dmpO4dRF~AZ@aL5;Rt2tVN*=Qb6I6JB=7qPfh76}?_v#Hb! z`+1FPFTedB{cDx7WY76zxicpcAKBcB6}9Xh4;HvgE!&i&b(S03=9xC67-HOa$SO~4 zCAus5T4hlAL0ZDu_x)-NC1%5KuzaOFs&i)W=h08X0#h|SEBcGOnSwxv*~J3^8Uy`k zad_71>mwrwj?dNr%S}eHsf9tv0dKdKKeFkjrI$Dy%LKm`IL19W!heB&HZ9h;(eub) zwB-&qcxkWc`f(|9;l%m3()i?BEdtA@*^?N!>L(`g&2Sy#q&DW^3F+Van8%8hI!JF zlk<pHmIaJdcwZyW2TY{H z)1QnpPT-bJ_(D_>pd#=PQSgKnvJA&LjswJ7{rEaEsx$9BBbRg~ljk3Lo+pYBHG5g& zw=}j*?n@t+b_@J8Gc1A-=j$);6V`E1W#z9XuxAieibSH@rr7an&?C?j_Dj#Ac{8YmY zML5`+9f`xPkr(Ea7q)Ll4X`RJzalH|Sl%Ow)njqaQw_djlcM(`6@Jf zD<*8e_4_gN6-WE%)qZ2(RmkOx&{fCDp<5GM!A}{Y_|TJ5t@j{jX(|$1X4(@2{I|8Zem7Yu&LQK^VzJ=ER-_;HTo@>fAvH zFc@;q8gSORiu1>rRdr74Z2$N;1lxjX_IzaJ^}}A~CJqudVdq8Mr|%tpV07zwF^B5& zReljQ;RcY}c#hIqni4=mI3@^>_IxR~6Eg_fm%cqhAQYFJgy=eAh){H9Ox0 zFzagiQr|scydN=TAzG=W0LR+gy4h?2Jt|Ic1g#H!l~4I9pXvdZi()8l!m(*ma=)4#l}1CHl-x?&J^S5MLJ5|QT@L4jP%MaT6qOc6(e;) zcMHao{V0CQQp?M=kgYp~G}~P$LEE$feKV;IYk} zp9bSGO*T%;cY*`~sNgM#I`nKmX)gUmQ|JuxGv=&%4CWu2aNR5DhLkqldWNat(h#RN zw$xU9Y<42FbJ5m>TPlKk6TkiV6%1~(mZ*`QzR)U=J4ggNfL18;JHS7D+8?_0uy`Y> zD@)#XcVBqGgo5-3?(J1&j=0pFIfED|Ix*u84;7m@Y?4{cDsj6Z2l{4b-nK{Ey^@b` z=_GYPD)WN*Y9^X5{D~lkrkvd%>CgQBTWZWi#6Rs-q&)f4tBUJmMi!&1*5aLCw@mqU z@VW-P8=q*`JZorIc=Wm;qx zfAvnmt4!4zb8(-nWn2{nM56!v6Acg^-!C z=@w43ppgH>rWXMs=wc;o1t<8e-kZ2$C^mGUmApj(j0hO;|3NaC)`FTBEMT`)4~B=J z>mPib{1DPy9Hj&)=`P^;j*J?Asi47HL#qW#2*SwhoVeN2BnKww7rZ;O$z)?n#EzqB z;k_ypaYlT#aAb&OPQ*4JDor?b&N+1+iob!@`;|DqkR_(|7+%|J?Equ(ecaK+=0L7v z1;f5+O`xFw>DAn-Xt5M;-lxax=#OoTj%{S2bH8GR?3N_;GYnWTd#&3)ChxeH6S>PN z_?O2brB|KijM$Hdx9gD4CF)Fz0PyOg9OskN;^y2lSk`hWbYd#gZ z>FHY(rfxq;BuZk%CMR#v9sjZ)q=3Ue7G*V1vA%{Br?hLoYjsKI>^;VM*ZOOUgYxPt z*RUm%&gmmN_eR(|x3F-^REsS#!%TA=+w+A~FHk_v!Td?)8iVsM@tp`R#>M%gevMyT zGR`q0Lk>MzS>07CFE(!}ou^Ag?{Ld_8#xWtCr3t25SWPY%ypq+#`cWWSNj)eP?`6zG>aaL4@iJotpD=vDmNfWCexC$q`%#$LZapc-IvR@Nrmc32Hgdfj`ya@peDv6lM8C> z@AJv==flsEVz;_t==sdWS-vjzWz5FKVWDR7cF8Df0{;@s^M;uIL?7uXH z%JU|~zQ^WyUr^Gl-pJ@{&v8_|RQKW@V@`4NhDV22NXZkSU6Cgb;LEM;btAs&a8tK= zRW?kg{z;vJsQ?unOf{n+0Xy9xlS33Wv-ygf^$VR?+%xtpKaRJiTw;%z&+BG_y4`BH zHNe+mdJ1PE3wpws?VM6_;k_8EyA%ejjYkt+-{~edq_PvT<)8>AS@X@y%ePyB-*@Q? zhYzFqaR=Jb+u3a7Ao_iAUugL=P`Mjw#wTnIy{uTiJi6^>T)dEMNOxS6wMSYQyIH%i z|6Wt<`zojqr}Zn1Po7{8*|;Skk$dyJifVA{-11mTx=S&bXXJ2iJ6~)!QT&Mhnqa5Q z2&9w>OAbDnMrHX9(;4eh3TEWLT!Qv{ETW;S0Oe5P5Xv}_^1J(?1icR=x2u!srBCgR z=dYyH6(@fUmf98fLmj|9avXh%5t}rE+DDe;mNXkDXm+6;k*s)tF_LdS5Z%!=S#fC_F>oOYwR{{bAZT%>^-rY$4_#15em7?8XNxPa?sVsIC*npft-hlRf`NDck&ZAY@Aqs9Z% z!{xi+VW2{sxI)8#tx&y1#wMnkWs)UWZzN zSdCoZ!m*^ifn6Bhq>KH7fe5{*T>d4 z&ek~C_w?8RFY)Ex_H~pt2o_3Oa?1L|70^#cZFHMwhmF_vInYTN0umq)vtoG+GGB&( z%nng$+@+>G6BSv1kVTw0gOAHGwQg*#XXAU9+=s1VK4BlW*@SCNLX|JcjxlxUG#(`~ zK4ASdI1nisTV@R&g5B$US+=V-$PF=Z6qwHY)!O+D8_+vW6r5P^r0#)6doYTAek(nN z_16;i79c)w1+l^Fnt^8pCK<5&7N9)&PGpl zW^(h|qUFd5C$2OdMZPJve{c?K5x4x16+a1X!*SesHH17b_xV&xStx0vtkmpHR7lo$i7e(S?lo9V4|W1U}klnL&Hbi z2?Vz4=Hh}oXFl3K9iU&U8KOg1-fVRR0{EK~a^E@%xCiFdb}sM=3=|jR%ZY*!o?o@2+I~Dt;tYxU+E;u5@6d5WsGv(1?Jdr_ZTIrJVwVYOLz!9i5P3K$#uztFO zwRe%AtT#y9DOH!@fv2CqJVS@#ag0GXaq|U)*#=EC_MJ`GO(dC#7)_B;{+ea=qc|<} zEqdN%U|pEnsv81p^;x-jSQZ8TvNo}GHlle(g|+-OaChBf1Fn=y*Ka^}kp(A^YH9x` zrIBI3F`RjLm+HPPux%_-f~#-Vu|+*eDNTe8k9&o7$iD7OdTRc+?hW1wx_&@xh7A(? zB!2u$_r|aH8dW>hTC*|Ft<2T2QZ7l}JoX}Q9%QkmN~s@TNCZmzN+%$6@GTv_wDwf;suc;fZ$5&%KEo~f{^v+r4O18 zp)hQz%fJe@#AGx`3jF#sx}eaq(NcU7dxfbG?@I5ko~OnukIVkxP9NY-p9Sxn1zTVF zQ-3FU2C34riwAsOSW69R=!;RxO>6%0ml&hz`?AqAsJTK%hw7|O5Kq8#CL(ye$Qd}x z76~C00hTSSvC)B1mnW15ktU{6G6gbmg=zv5mRCU3#FeKJ99(|J~C_~WY1DNNZ%9(pHCd;End)mIdApo1NjLWEt1 zwXqm*KeNkZubjTQd%F{?%Hib!SRG{&@G=ZKjaq)j`5}UVs$$dR^5k4DYjkbfSwgd$ zd2DIriT288ajvhohy;`~rirwGU9$YSsb=x-VPF2Hi74hUc(_3rEPPafE;?2lXf7w^ z0kCL=i9wbfltI7s9*$iz6#S=!zxXl!uHqk`By)II<(~3k9MAZ)WlGuR{Hss^5*jdnJ%U= zL7t^vhtIp#NPuV2EmgnWPm~7|$NKuqGxKaNgJbe4Ux+{PCB5zthX2B;LRC2ts>TMT zASpU>*vWhL87N)V+rvegFho3z`HqW*V-W16Ei=$TyPkP)2VFnYcz5!}i#@;;+sHx9 z`bI>8&ge(WqWtU$!K?HdV{MZ6pAx21`mB}L**}LfNv>%OxW`kIM3vUC^LgNuYeOBv zHkWG@yPz5E=)Q3uz~tl}UakdF+X>}8LXF#**BObBzwIVd)Vw+en=$?b4mBvc(4nnb z&ZcE8>ZrO6c5a{)c5dMenIdK@)p&^|SNJ8jRYKav??032Zkbma@Y~$E$8MQT*QdAV zPa7R&YG7llpF-0sBleDBsN|<$7b97LNSnV;Vh-<5)O8MS`YIRbe*RXojvf_8%i4xo zD6ZbQh}M<>LoY8Xu#Pd&x52M^M*cRz;za&)aa^^V{a zuT_PXZo!_r!I(i z@*S29m5Uo4{Z6MQm;<^yi3qYLE?j9;hP##I?ZVLIaz+E>ie)Kv+uiOfbiv+Z*pj32sMy%Ecmx zS+|ns<3|ij_s%=DIM!kgdiNPPpU=3D3_L!R=L!zFLQPS4V*KEqowCI__H(_0as8v# zijmQjDl-!uv{{q#CyzYkPItD^67>&~PYN-T_4_&7rHmg{hN)kw126W*JlsGvEbw5i zj90(mYF68-rxmJk7?r$}1Y#rHNgvGRE(IT&G+uo$jKu#o* zd(_CKHF#q4Kwd2dbvH}esY7!X6&tJ~Jf+odpDOERhd@BZlg~fzZ1wrMPdN-!Z__a8 zRu&sizQuCFJmtR~1V*`xYu=-JzyVA77WKnoo#2_a{7lWzZ^Q}8$L_KLeqUST%7>T8 zz*X z!{6&}z98VhW;S&Kj})eE`IrI(UQBRQ;&%Qdl7-tSJVWrKxji~ToY4Cl*ak{jJ^g~m z%b|bGx40u1UxL#R*!f;IlZ&!nyBtk|pW;D83Vb*?63qeGZ^yq2;V2;I`G`T_4(g~R z95WaL!zDF?;k_nw#NmwTWeC?#|0gt41fFARkP|WqJTTGw?BN4TYB4zoC_rd@BChHJ zoRiSw=_Cck(=^g(6Vu*W&sfuz+Dt;P?U_M;5QZ|L928KKfe4P(eEb<)g}MWlEHH?@ zUNY!l0;-IIESN0avH+X2E&+ltEDNMqsTuy@(6u5bqU}5nIVc!J>?2H_i`^oCrVEynq5>G$m!FU)hiN zgd2t%nlZjev=%jEAVuuVGQXJd6Llea@-b-EgXqa1POpz2Dr05#U3<1#_5eMzdo_ba z##EdsXkl6FvbDjgc5{oI+Bu8e4);R+hkn+_^YH(EfUO)M<$#xzGBZ9$ZEjzjzlJI` zVwOby;QqsPa6~+vNWIA$P9pVZhmRPvwSITk)^)oI&pq}2Rg`G+;504`;;~)vF!9uP6w-wDO(Sz3 zUSCULgB7YYnW7ZkPmlO!3kJp5*CS|Aq`Z4b1Rs5zrEk(4&Qo;yy(;drnAWr8!dnw* zxvV)$r=uqP!<{97A+LnBpj`w_Y2e)?%AA=gHD3{-9P~i6Cxn(y*d%7T5d?dIKeL^B zdy6bd9(lGi*Ab9W!cRi_GT>)odg@r;pcoC}UFqGr4Hh4ksriIACv0f=gqx2@zn*Kn zQ++D>ELu%;R^{H=t%3Lb3~VxKK+Q*j=Vz8H95|$)qH$~E-rN2lc6!l!Vll?l`<5ck zzG-_f#=glCJ5tcQH!G5*%S&5srC?9{kJm{|)uk5>U(7J<>`k~hyilU~nmmey7N}FN z)|;e>p}5;MjZB5$eb(A_7w}pTk42IO->L51guf`Iz2hc}-eF{hzqraaIAk+&*z5v2 zGA6YJaQRV*yF6B4_y}X=i7&#T4eMxJVO$WXId)Wh-zTp(~?qqrd^TjEAsMqM~SZP*1Xr?G-)4s*R` zCZIMHK0Qj=le)A%WzM-hwVD)u*Wo4;nH*(86jj>SY1XWQ9&gssLEDI$LTm@*TVWvABJPWAyae z4aLsQ)LOBeUe+9+(Haql@Rgy!^Q5=KH^b6@sndr3mne0BBpJp5#buovr0v%*#?qmi zAd7l3U=XQ_9AB!vjCJBE*9ic;Ep-QQqaqO&>+y`irOooEt`0*Wd)NdS^V=8*vPPT9 zXKr)ul@r)#uYs4~MxvFfq=~&*xdQ*O}_LB9wTEWX* z6JoLH*k+7|rhQo&tWtSfIk6?0=9&8|inQ3#B4kPA2FOiD*fQS9 zm(uJBz-SNd^FFKO4X44hX@{I(YEPEM9$C@w=|eoq<=-~p4CZqgEZN4|xIk0gjJ1a{ z6We9_m+eh&(%J*WV23wdY#QKKv9*%g!MHsrjWR85@d*S@Ulk!hUIOS;I|efA&-&L> zr3~R|N$r*?H;VC%@sp)l(NA@7Zc9qa4eZ_LUI-W4+@v;#{-Q_f!52ERWstk=d3}iz z@OnWMvy*gn<|1SQQAz*7w-WDy9WZixClqI8U@#fICJJ#SLcM0ueSN)@ApY*mywC80 zQy+Impaf!(KkXOfDQ9#0fzXVGUt1%vITdOuBh4c)lRWc1lZI5Zp+GM2z1n1!-C@Y5 zE!Cd=)3c^+lG089fK-;sumM=^I)fdkXqL+u1#f{rj|?->o0^sor!-1@YwV-^mX0@X zIUh%`84Zz@^?dRPsKl>`26JJW;OR>Tpu@5_^hSDxaT~;z#5GwlwZEC1zB-=N+&a*8 zG1D*YOJ|RK*cpJ=mjO&29;;^*DD=9oU_`$EOs;LzH#54Az(EXb6Z^ZdzdXdv!2CX=*`kVrZx5+-I%9Y+A z$JJp(quIh$l7o1igppn2XlOjRW5VInw-nh;f?uP{)1&RQ z)`$hsN%n9&c;$wVLmCOjqR1@k86q z$!_$^-JbBpCePJt@pncm^P46txCY#^a8>NrrKkd0ll<90S<>_Z?C+?4CCho(Ya5>w zb{?cQNJ?%sbfx)23MffUzExl(*0{eaA@wJUWcvXrBZF%K%Ne$&N({YQ74g#U78Qy{~IE#Lfl)6>=|VJFk1(81MYl zZe8VfNRoudf28h7Gof-eSZltJnr8~RUBI3{mjP$0a zAJllir)oEuanax!H3;)~qyL5SH-JYifW(y2fI&z+tqUEY?bi$3O>~f1Z|R8r@Pw zWHFYMRwE|iU2#?EI4GLXYQ|{Z6HoKJcl%E-Lf{-Vu|Yl9c!lF`c^A{ps#9uX%sDW)&&{p0v|8 zZ&}ZG4fQnzZ5LD{50m*dEO>>7fwrN483#_go$8dqG&hGS%%y4hvF%tx-t_m6Li110 zhxPU18$KS%u4SPneDHyxS7Rv%RxBUOp;|9A5_ctj zvsWeFI2W*l8Cqou)9RcEF|abX>M)KnU|t$@J0Ma+wkufT}w*|B(qb>(<#*EcUamFQK0WD3^JE?Am$4neQH2aH~W z$lMP*oU|8#M6Vi81b()n}4 z&t(Thv%!27%;k=MX#q64LS<1;l(9RrA94Z^%fqWb=^9%jI$S^O0h#E~P^}8t=!YL? zs)f1@a#-NL@1$~nC&K5$+8Jy z3b}W#on&ruoQ_4VMxIT`kEG2QcFnw~YS01Z>$2tJF-z-7dV$oFy5i`dBJPdL@#H?! zju3j_vQ#ytTvpl9ky0|awOH&vk_|@95>?X;#kWELTSMB|<9uPC zA8cPIApU|&ILzCpCeW_TleGeu5;ncle_Pt)6!3BkaiY`c*fw-=~9!4`381$o>L2)mKMeI`LdeNxT_XVs9vE^ z)7oB=H&^>|xy>%3!O39_19iDt0ZS<@04fs>{Agy4_-z0Ovk#oV(r{~-bdkxnzudh+ zM#bunr_ay5Co9u}s97X=rYA%jVx!}SWCu^YueB+3?>wdph;LTCuTH&hb6&GM%`J}{ zHh$O?))jGdiL**vP}0X;Z+n*$Zr@FblVk<_H?jikgCFg#|60ge^H`X~&mn6iY_&En z<+#Z?uYT(@&~?ZPjd|`>jbg_JnD}p{uT;!f9szJSWVEsoCX2p1PpRG{Qd%`0b1g0& zUTcH(W<{A5b)-e-dz7n<@@A(top&6`MaA1Yjy*adWwot-AB#*De^0&DDrY+*XO@cx zt0pr}mRl(9Jc%#oMuIsW-l_;jir3RsyU95(?z2Nd&gzL0)7h#7#EsYBk)Fx2Yx7Lx zJVT5<#h1qQcUxDxFgc;Br~?Bxk;&FX@A!OD`ZWE-k+1O|28J!I5fc;ILe=ONSnh9H zNUG75goiMCd^NmY;8YNJ?-wqdOclYWn<^w*=S2bb^}SHO6pcIyI=3L;?ZJ#HNhM1u z__pCzVo~-^InuAD<&Wk+5vc`+Z8y!k5ONjZYrS^p^c6Lo_ZF=M+ifb^YTzny@gJs(PC-n#h{5NhUsu~ajZ|${4 zGI^5=6Z?z#4sq0D#Dv zG{a&~q)?bqeff01Xs`P|B=xM1@T2w{JI<@U$KuMyzqf6TYlBIV>8xn@bu@^A`A#`? zWrEwL%%i_9XNH2`ubXbZ7`Wf9Ch@qMXA6`@!_j-dpD zEzg^#p5u|8@KwydWn~}#8r|mc-etU%Vx2q0;gIRbITCX(jVj&s6#y(b?6YD4aOu>4 zjA8T)j9qRTqbXV@6aX1COEUrP&$`=nw?DSCpect)gY-6ka@=M~wPE24kqSpY>InS? zAKHCw8PdQbW%*lEJbde;_7G^nQj8#r!2je;2i~g`eyQ%-<;d`Fh~VRnT%NQ0xZc+v zscyCn4tc0Q*pCNgWr-7%&ep}*%T%vnMCAOhmI%p?);FfqP ze7$3~6Y@9>AmLx37b(}EQ{71IJMZ`N#py+}ySm%X{M*h>6T6lZyIb(taZt3Y3qdJ= zuL+9TJjfPYJW%_tzxG|KQ(Y2KjmUOYtNp(=htH}P{gVjLRsNr(PUPAR*5oNf!jV=M z-ANe!PP*`yT?S)aRZqYS?@isP4rXBzoanpk2?^_WN$Jz>#)DHg-(OHXDI5Xq=f~(W z+(F6mxnn?9&IWq`ZoOXcqX&2ioEuPBbl`!stoSVM5ovir=l|_vddFLbI~I6@c;(m* z)-kfZawSKqAHCqm$QHrKCPNvaKpBA{SwtXN$olfh`SN8+lCgYVotzC_`Hg?gAF>Sm zUXOe8B_QNvCMiz=00)$@-7zY_7FTXj@$*~sGIPn=00a^@4#o5*-v0Rh&G^(SnUw&s z@CuL+-r)MRDF2A^?s|pVrK0G#two+`g_=W!8t=?E;hArwyJHl)V=!mS2xm)KQGPj5 z{;bp_P==NJLCMctGiMB5HUD1{&_3p$jfa($Eb`X=rOAKwsxl=>q@B!)C5CfN4BW1N zt)Pjr6h>7)cyRUJ;fe$CsWP@j68F2tJ#m9YDX>n%Ff+De&xMoRA>WW#VP1sSm+Eb? z%B=QZ4caGk>Y7AGO;-81=&h3zGgT!?n-{Zneo&5$!JrN_pFKndVu|@@Dd20>_ranKGU@RTsk+1bL2OfX znn25Iyocu}9?Xf30kUVyK|?RJJcRGoD{%ons*-ytX}7OC9Y?sY*Bupa6+2^WHxu4F zD!Mo-3X0^4isX`0j#5&NhDU5hL~P1-I?8oAW*OCjGR!>>3RBGQ4M_0n#{ZIl_ObtL zJk0%WGvW8YH2J&NRPhY20TZf#`k&Xv1QpSR9%2*&5`oPw_YAIU9`B@|H~(ROIu-tS zC*tu=2d-Yk<9gWRdWxs6q)%Oiz4m#$_A|Tei)XGIFHi6>zp8swLQHZ}t!$ghbiyD0 z;p*w?AnM?pTn?L9-d+cv@=76ZEB3}d9ZzAa1Cxp6UhOc>a$@}f9nZwdGkZ{nx5tCJ zDSKl|c4v0%O~-adv~3^mmknz?9|9a@C1uC zqc;?VlMqu+nawp(Yv10mxwp+P9@H6#>r=G$c}jn-f;wozNL;VT<|Tex-_@%BvPPMlqGW3VWNXfReKvf3yXFbA`O&k(TsXae;(v$$UCuvA0d?$u>|n=a zaZTYCI}hcaa9y#>P^t5(IU&Z2%_MD32iFf_{i@7+R(Djs>XmpJK_$QOWKg$i!PxBPlE zN8Zdi6A&urLAM{6Sh_o$zZc*mSEu?I_y-(q!a|=BL~?kyHvU$+iRBVPc8$(sz^cF5 zb^U0-Uim>314(C2evm0@^e{*7z1;ARQQJ0EIl=s_8mjmj## zg8QIETnp?}pW3#WgLUM4Ewh1WtwCpl`~|tRt~Q`HWDe)UPr{VFBeMZydZxLhC>64_6Mt3>4s(*sjVEdpjBUV@ z4If3yA9itqrD)#Qv-_`eqPKcg9!V#yT&q2{h`6EbKe$oN5f*5%Y%!16Fpn_jlXK*g z<4d9wNund`$KTboHn-cYRcm?_l(cfn*yx_EE_yRpK>1X+vb9=c*IZ+F13ohhigsld zDCL%NpqPzEn2tvb6j1gTP^PMjCaH^x6gu;5+c|331h4*Ub3mc(&dg9W+< z#GvLVbBsV_19@KHNG-4r6mR`GFK|dD*~1*k1AUyxTUOmLyHoJ&gRiamQfv zqGGBcN(Q!rEv2SbJ}ZfO!(mE>t78&B_HM{I;SsvgFO~1OGFm$-P47sa=#XR&7GaKL zIW;%kZa=-v^S<=57#d(^@eL6-k+x(>Zni{gdq7K!hj)ce+=C;U+ci0|NUpG-@U?Xa zqjd?=O0a}a84T_BZhhsZ}lV{C;dO8k%8&uu-tOcn(&TA0W{g zgKE*9;24#!l}D~G>Mx5vi5kIDBjw>k(dFw7_J5@yf>o4B@KFob<3s|Yydjk-)~e*P@n@m+NeAk9o~|4R!*1WB9yRxH z{S;)jMbtJiBPszOG68{gV9sjP)&&7Va>I^(Vt9c?;#9_*;WP zuAoea$vvYDHS>1JC&ND|Ekh+pFYi}2d2Z;I8ZZ%eM2~N(sc1Zn#%R*B71v7r)x7lt zSA)?FA%gPvZuoHM+D!z(qTpQ|)wqgyKTfty@a#gZ@0z*(+SXMkuJnDZa%6j_329w= zu<}tpFZC!=6+2q*BDgpSsDOR`6bXgoZS0;Z3(=uv6iI$Ns%f+*;>DyuX10 zNma%jM8DjOVWj0DmJQx~q)9Irv@ny%NPmdDSye75*kK?G&DtZldDtBX=V!AeMV6V! z8|s|}`$5P2duVV1UP@-XAdMkWoaCHg>H9tgMaSBd8;O^b%MuSkC@y%0aNDnKPn+yN zo`iT_=&|cmldAI@u z9tE4|4Ol8jsyaZIE;Psz?3K06RWfS%u!~)X>LbZ&Jk!R2r4uuoia4iuHYGZ(-}#e( zw3T1X`V^UGa_{UCzxmkX!`bK6eP8#$(mK8^{pT4SzrcD*C5$CTI|`l`v*Sn43O85P z3OCK}3pd48YmA1$b4j$7ILngs{Kk)H3oXPNpH8Oi=aiDsCP#T$LA?ql*b&s~&x?g2GFpbx15-^)|V*7}<}O1)&J# zmP7gA<^mLz3JTOpeS_9ssJV=75@8U1OYeq{LH|LZQwM^mpqO}F+i3Yaiu|MU7h7a( z!%&2?ZevZSDI`lgfAyHz(vA4*HEV+mJLlK9_*cCO`Kv^KZDkTy2WUjILI-VPNTad5 zTb;YZ{zT$Ue3RQ=;hK7sY=V!JY{faVL$|Y#?J=zJnA#vp;O3Sta_m^9=f0elZ9K2p zqiQN>dG=9rQKlJp^WxJDJM5`RN|+rT-=l{$><*t(TYoz}4VCIrl;UFdN*=YyN>QH8 zvlkJsQ@mhp;>C*eH=zn5;R&XBD@`sP!Lcli^%~P=>xIEMWoYB`uDSSZ)^MC;X&@MZ z4abVGHO`~jkqv~lgJOYySH*rP`O>GIYxNmUMl36(?kDK2#4n!74!KD8BZ!RDmR^P8 zT>33swfUp^qf+U~u|3TWB|p8F+d-QbgkjMb)aGLnrUf6mIz04kB ztULWiT`F`%Q*D=0;Fw_kqXR_eTY;a4StMQD;da@;G9j{Ku1Iba3pypb zfeC|hjvmQD-2190gRs-LD1J>#$fONYZC$A^&<-;Enhp?4{%nTXRgUf^Ks%_m&aZ4d zp#SVa2;pN}$EhnE20_3&pwgi?aZreZJOblRJk}4FWL06UM|Rsh6UUguDn?3IEzg+ zcqMcFNL{Q~X}_>hhDbd>6GQ#Y*H2`xWQYp#eFqBqZQMtzDNwZ?Ssz^ALn_u~b`3$y zI&?ha;m+ikClw zD*q!RoaK>*Z_CBvGk+rU51#xPqZp1NmXKG6JF%COsC-Wkk>}Xu)KH;QyjrwI<-ryN z$PdI<35DG_SUgXhZ!V-~7NbX4*p51lF0y~1A={`gZ6&ly>hixn35XgOTXclPOnqQ) zhKw~1*9LGs`6J`xaro8Ic$Mo_lalmiMwYZA6nDGut+^;@TG*SJN*T^!1*WZofrdqCI|cP zo@nk}&>;epJiLyfYNjwT=#ca;LfBVtlm{YK-j^dTV`t7&n^nu?9}7O@TWE8jspDvxG?+ybZa}wtzJx5yZSQIJJSt}L%>j-mTo#6*%{@`% zZoAhtc7e04u}*W@SWrLS*!nwZuh2(fmzRfq!ehJ*Eyj5J?MGoOOB>E7#Zl?0nh#D( zjIb=zC(f7sS~G|U@L;XKC)zx|1vrK|7@Cr|G@9zawtzVm9@5M_Fy7&+XsI&9+78s% zHZRmJ@%`My<=ho_Ajxqf8|+Ku#rkenqUin8*Q`vc^+Lj&%GK-odceQcE;47GAd z&rR=bvE-He?PX<)GZ*cArpF3*L0%ohbgENM&vV^LKvmSdO*7d_`edh z-=E)ojaw{k6g)ohSZLMR01LiB+852=TQ(>7@KF6BLPUR`X@7sM#*wK6d*K@tzDTHK zbvr&=Eyc7Ya+`rurP-(FZC2Mx<-c>yD|~sJek)z}XYr=<)N6d?0MiBr=af`-6TL`6 ztdQjTrewZ98gzc~q_>8XrrDBuMYqJL+3MVSw$)OT(SvnbD=yWh2cnbeFow{Hyw>au zP7q$iul?-c#^Y``ww!|hQ*doGcvBDu%c`ju)4N;mMgf_WN-L65u%h-eypjy!g;^AL ztaX-LRIW650@mWyt2QC^ubr(y{3K9;!A(VluoT3ElN7{CC3t$8$xO}kVKamiMS8lo z7tO<`XEgBBW&54Ly^#63O`Po_%WsqS;S>{zZ4q%<1e6oAUbF6^(z{@6$S*rnKe*)D zhfik`e*2Nv=+aUBH64AEc=yGLom(eDxb#o0ZpLllAHT&&w6VM&XpRsaddMNHe`J8f z98p2&s}pa8uJf))1%9e4;@*ZS8dD<%f!GOMX@P2)F2 zTk{U}F~WMN>9I)kJV6>i%ruiH`NU1A<&-qdoD6?FJLY#jB=xPz+~b-kiu3n%c_8+Q zyFx^t&9IQLm0%d)bxDjMgusWNc$83 z8Ia8KVRZ{U4l+&-qG>LvvkK6dj=0BGA+NjJ6wvY+E!ixwqxutCogYl`J>zr-^hJ;b z3VQPwrXL|(aQ+5K*(s7Moee@DPRo9D(@8uk8K1kQjO)^>-ntHTlKX{V$`UNa1`!h0 z3LT~vQ;Z=7MWrqvX{)3P6bQiU%af}+iK3F$bu$mV9vjUUOcJ&Z;|Z^CPYQcM+4~_n zOCHa`BSxeOOe*URDJNHq5?72e?2f&Tvg?HCRQ|v?k(Rxz%IMDCO6ZgZ|#-n8Ll29eSVifuLg2 z%UUdNi~F^|2EoNb;RBx##iSP=QK*ww_`+=RO(~`cOuf+gt&|dO)wtHi+~Q`;6C7#Q zpK8I-P`BT3Q7o%SmOyT6lzI=4^Vb|h$FTs>FhAfOtP$Xgk#(oJtWyMb?@{NaxnMh2)nPvYc8eRt6PhXbh1K;36;MgI?}Z2n^oCj-C<5+6sKRYfrYA zG`#R~dlk{Tg=I30k2Y=u*FC1Jp!7vN1uXC>%FH$jO#m3kjRjRC9d^7y8jy|-NX%J_ zIkY3=q=fWEC|X4eCNd95`!D1DVQO**y^7XaZ)KT)wC>rqMu9Lb-Sfd~uji)ukE@>; zndb~2PqALljx~iyhIgw${Pk7zI;Y~#j$8(p$#S|Y2XneVGBw%|T_cGc_D(P)B zUk!0~H~;^&^58pY77o`jSS94p`OGl+M5*{?(pv`$d-fO6R$mw<{rGAaEbNs=ekCdV z$9|2SjBSip7p+|%Hg@+-dG4L?@?Kq3_wVBns{PUgkoW(^lz0BtfqJ6 zi=H$`%Vzz_ej3PMe^tTyJxPIyq`zA9+X;@pJNqI>g33bf^O}zYzL=&dxfZd@M#(yGq= zPB4KyiKGGVgShd6+@5|o=PYkfQNi9~^!Nt0`^$8$9UFNpC5Za~V=+P>;}=wC{wNM9 zs-l)Sdz}Aep_P=*yXb$b$XYmc)<>XQ#_J(_W|4nBkEph@*J&}O;=ueen)D>El3KXv zrM=ESD(_J`GM>v5^=fI#h?ga~&p=--|8Zb}WEp3k)PN70^wi^3_T8B0qB)YH^ux-` zL&`5J)G#Wdjqg=HGS7Q5!;CV+9O{hmCm`t;dL^|=KE0|8XydD%k^03v@9!3&&hpCt zASc+3NpY6cNAx`A2R<<1niXL_sfFuLi97 z1}C-)vXOe!FWr%s`Pwxc4Bqarb7G%{thOy@7jPUB&2;h}#! z{XqDLE$mshZv;9V>3^F6QfCo{hawDN5#X!tOrx@mgq{D?-4ybdKD#kq_T#ty9;|1i zI1Pi)4>+Hn9*7aL%t^Gupqsr`U%HpyiAxD)@n9}ztBo2Wk zL(K0}0b%Sv|Id>q>_3-(u>9{A0b%`ir6_N$TiS^~l%kU)e<%eV{(C<{a{NMal*ic) zZ(14|M9GUDp!*YjMzNBLuFUxVx%H1-sL4|VPekkmJ_+|HThIsPBUfsitZZY#_ zF>@Z=I0GuSe{PAB9u5*I`;Kn5kAK2TK*rWIh0QN=zg?k0x*QKG1PN97O`4NNjFl|@ z-?nABeAT%X21*uXe{CfqnRU4ZJc8^~k^IE3R@}=z2QjCQat-SJyrOH!fqpViATUp$ zCChz4mKzep>>I?K36;k zGBk_zDVTmBO%KU!May8cU@3eRr^#X zBb+g{>d^n|Fl%GUL9U^>VC>>jJ^U;I@t)t^u~;78*U2hNof(QqvaVpE$C?P8(g0Fj zGCDRz5d8>1#b`me@CCL~DtXc6T zJJ8CYdt5>EhAO;4m3g|v0sR_24e^(IoClkOefxuD+8o=uyq?jdjVO0pFKp8@b<;Ec zgeA?yrAdPzeUl)4yP(IsPX7GUHS$&!jdVMDHT+%gWzpbs2xS~P)(~y89S)nE26V}c z3D#YEJk(m_caDMvv0cFJWKN&n-W!|tR5Nr=-@ofxo%VE++TJ_1>!T%DmG}7;Se5r= ztQRJw!1uPD_k>>JC`*6IGM#I`Ffk<WHKGBmcmm5dFnibM>X$ZAIh(l;K;8!B4rkZ)^1JwJ}&nZf`M%Ul(R;8UWo z{-i;PG;i)@^7Iv>YfQ#o?G{Y-*x zV8U$K+Cw3wh<0E?@@`utnPT(LtpI_U^pCFAhgSPyEiBRfpGMJ)c**A$IvvRFKWNAN zW#|a$`SLTvFqSKV{j(7HiPq9gAX4L2^MqlE{!F@)74JODksnVc&uE=ub-f(Pi%z~>?^5^eev;TOZm{!Bc;n4b_*csvk zAdl27fnTMp3P6es+$m`FmwVIQj!qLt&LsZqdM%T@{vFYcJ$lMBk-oh<9cF%EH>K#K zpn>Cm`ddIr6^lLsOP)_j_zvcp80+RzReGRjV+`z>n|;uRh7LYoPBk7>`eNG@Hk-e3 zuB1QTcBzywy|3fF&%LgNa9*shEI7TDZ&`1noX}*>IeUY8`DbM;*5O?R%Hqr~U88qZ zC|3LxWn1ZrF2Lm0^bj`#;vb7EzA^xmQW|n2Em|r#S5RfAG#LQ*KJ0>g04zG(Cnyd0 z6i(Lr9|qhW`P%Gp3F$2QA-f{TIycBES@4`~Yi$32!cOQ5NGlTU6R0fMrC92YqAoe#$EfSq+D008ShhNO(oztQE_Byd_ zg}uwMyQSr^>Pu^xx;ox*f_)5C@vcjHUy<}aT}SCDX4iRTePz=7vi~m4mbKlMWRZJ= z{EVI!@SrZ!Y<zzvy$;kVc%?vO z`R#t?o3C;5|BiLN%(=2uO;EAOa$to0e3NF6oqz?odLyyFt2J zknZlT|3c5X=bU@bz4!Nfp5Om@hPB_d*38;_53^_1yr1v;4yErs^o_`%ya8t(n3Ays z-E*Q+p;zn-`FPHm#DEIj!31AE$#2j1HU`UGTWivSi(oo4kUsFP0TXOjAhGEK^3F(D z(~PPufL!_KYU1)Z$o46SnVCMB46d0BDVY*UnSL3WxEYyLnVG+uQ!@SJ@aXwSU~(Vz zd)Kl(Wy8C;lIJA%!)GL)W4gzc9QoQbvDn)kX-GIp>Vk_=LtA_?ZKKk}ZNhI3SY~fHz_j_vIuj z#PKWSqaAr}Odt~vH;h4(B!w_eGL8AbR-ngXls#$xJI66AW}4TjVb`Sn!)~Agb+4@_ zI%1b{@knlUbTA#;`Pw6#a4NTOx{=(YxyZcNC6b`r#({3P^x<()umRoPV=E17->y|j zpIh(d<^hMCZdge3so&!YO{0U{(ZhUbMIaTAAQk^qZdpjqApL8R;o8Q}wqFhXLp*&$ z$`7r;dJ!wQh1w=ZX!J%x`8I-I(-Zl_zE6!S7k^u(emJg718JilP2_IDlYM&j2h)0< zL4_($lk9g#<|%HbxNDC@x4C_~)*xSdnvGi$xlp|o9ugLgb(L9TT!+txbvi22#y&r{ zbd=asST0Od3n%tLoImv9@VO;Q`oi(fD`<1W+M4Sxszv{cYSG+@`0$fzq5h&;i2rM< zh5HB93ZMB&weCKo2#wQ}}`UKUH{5CI9OAvpR%h>2~PXhK_A{j{K7NWi{a+odMP=d0j_a(iW^@ zn2!z4;yndCO4x9>HD?$?o3!$o8jw4v&U@%74iG&AyriK#U2&$zJE(Lq(bt<~uNuCD z>(Yk0p5(VYtM;k#0;)S1RiYN;l98fM`FtX>_H#>F)625>g^nzNa@D~}}8(Wk*= zoGzE$a38sM)!GWXILEj|UYd(M{=9=8j7QyS(}RCe`#O$c3g$hGa+{X%26}9gMypK2 zCNs$kx7%KyV^$eojhmJ9wiPRF%i~eY3}`f%j>JGS4zs$$C?pf(lYGRD;?*AD;{ zXCr)pXiKfWxya_eF(Q=+R*^xR!jx(toOLOyeIs#%|8%AOog5;*Y?Zn*zuK9CCL=_y zP$2bKgXAIw?E<=k5Zj>h7`fzrA`Ft#XHMjf`*oApef)`_Jw(OL-Y}djliC(+4>Tb{ zq>{QD#z#y;SLO!Q@v-)!0`t`_7wOk0%!|yQ2PApR;v)u95h@c}E5ko?h+(3y8)z@v zNTZ8l`adSQ-(4;ZaM!GdP#yqFgt9LiEs2y|b?hM$jyV(SS5^R}X|6lIYk>8ki9<1~ zRPnz01)KHX*Ee_q+?$dN-F%D5o;^fH6guE~REn>o#9CZ=drCdeU%XF~h)=f@e*&Yf zA7o9PmQbvc&YB1sLX)_|`m)D=aPu^h5YIyC$Gm}k0hj_r3M|}!t^3_cgnW?f{BBZY z+7w_J1!-(R4UrBQy(e>?*DP0$9S$abZqnw%7&-8PT%R9H78hRc)n&c4AG&_YXmXo+ zfVq-;3&n(y13<~`A;isP;K;p07LJJtc5@G^|IOZVdjHNBcyOo4)f@m+%n^Lsu~|=~ zB->cOc=qv_v|~RIeHa`7^0m%bKZ>wJ7v-e^%^hA{8^iI}H#@FfNcul1<)~V#s(x;;@DH@P|aD*k{cD`(yE;q4i zc|CN#+;RjYbKbg(b(cZg6?v(-EStL5tU^7sV>8r^_MKP@YPJx}@09_p73P*7hJ{+n zG+NVM&@ZD4cn{|$VX*CcfQjoqP9*vd6Zq2c_;;6 zY@w96xnalyG+s5EWjSRWLsQ^~Mq_qcBp(Z*H-?!v1kJK`JAT)6#XzL;DIe~D+7z3~ z%j}vhf|cvcnwev2_`^3)crf`!ZF#IyRJk>EC?_R z^As}n4lct-HX_u`@IrD{geQy)+qyM$pEG5*6XsL9ciB%&%;S`)zyk^$txHa0($XOd z8LMmAFCj`9f_Fq-4C5Fwo?!wJ)+*z7eEy&0Z1|tanZgdgw-s{7^K7E?m}-AoUPu&< z@iuhlwh1cuVH9UJ<%@z-7Ho4np|^PV_umy4UFm0n5X00`7X4>_uSw} ziU?M1yEAF`K6QWP>?`=&d3<(#0UnIW6OwB|rM@Uy+2Q*hsEIB`XR5RE|m<#+8z zl@jl~_5nK!ZM=?atcYaxQfRKc`hSmGDu+i)lPZz zUL82rNR68=RWcRm(F8lZnBcYvsR75UBu#M-<=jmZ-DxhrsUw3`nlbdMB<8%X>4yE( z2m=2N34z`LT`3%RsGn&&P?($};$(9ku>e)z3O$%jZ>wEY#_?O;W;0Wat>3=EF811O zG*ruum%QLulk5WbDY44`8J=zY4$sJosBLDTRDhnnjk=*{dLWZSd0zIvr)M|WBS^V)o?v1qsbSA~3V$4M)Lyf(NRp{` zIelI2Vn?ujJ$SkpRnUpgC0Hz!EaMEW99SjR3LaM7ebWz_Im8o#n^F$Y!_KGo4_d)7 z-aG2qcmQ~tl~l5j6UYI20%WS%2~|^=P2-;>!0jX4b}M+~VvHL>JcEgO+5U7gK1{PO z9jF(;rm~*7)IjL)8%4AKcqGBn5?tK^v)uYJy#ho9=IW+`IcAz@+4{b&+=RPJ7C~+f zOF|234j&5;S=rdEw?4;nwnj#Q6QPx!CY`Q|mOr%{tt&Xy8e@P{?Zx4vbq+7)Xt#(V zjZ^XL)l+9}J8L9uyBa(b75yKFR+*A)Kd33tvXMo~P{0rdKCfF)ec2wRr^Vt12-mO+ zr0{7NyWd0oNzjZuRQqd?S}KQ$cZH7$Zq{~$pH$TXijDi@??*qd6*tOA0_TjMp#j7Q zD`eM4h*8FaU#BnSPEKOApc;RJf=73jml~Ch;>ofE=QCZ8kjm+xHpsI@5S^BDfQ)h^ zam_U?35RIZ#jS?&2RoDI)@(HPkWCAsy|(*B&ybkg?<4UWZG^gMjJtXFVD&lb%IyY8DC%xzxqOgSILw2&V%PxUlT@XTy7RmUUz zXEPuUVG6hn|5(YhtZ06dWXGCdst3;8VD@GRQuE~xWkoyIn6`ZAznN(lW{UU9A-9HN zFu@xH%Mb>y^E(?!is;)<*F0T18-a1iLw}+&4piX!d-W2&QNI>$&(a%)E<^UX(cU;v zBgqlX!%3J`%Qv9=;Sjj!>i58zp@O6SQ45e0*g?Ra-=N$Vu)j9y0yiP0nM;NgS^f9I zHeGK(na0Z-P?n%vey6R7&>#Ykvi1}qizK1K2iWL$e0<>xgj}P3Gud8K=xA`;K6hT_ zthZT!b1hr?Sh$G8W%;cDUnlr>!$W`ah({yWX~b{^OHZVt^$Dw?g|A5UZLEFQ*NKa^ z*+%61i7$irH=z&MuH$kuh~C^kkF&Nv3YfnEWx6TxOnoou#@pflvzgfjzX@E-SOECVPw`m4t5?o_AIy<-mQ;*dK|_D<>6`n&HTOXZqeK#;--;jZiXT;FN^tHY zgNDKrW$g7uXq$|Co(C_a1W6eO5VEDWjWVh-1NMzFoQBTx+5&a&*g6tKfyd!{=&gnr zxg(m!e0-xDE~e7;4=zS}zQndB*Lr7wZPAilsP#@i8*Lxk)Auh> z-@m{im4pAgX3tmfqw+oig-9WcL3SDn7Ky8ZH8+ojx zN0!}iQ<^k99@G76`4B6CF%CI>&_4^G5_(%fEt<{RC4KHL7S32+Zn=ssL_v;INlrmo zZbVB;Sx-vYol`HIQx9V#OnxNnR|n`*C`$tp%EFGALS*BPHOtLHe4QeL2jr|2V@-m& z_wT)lI^x0DXCmJuUuDJ^6m0?#-w*qt%zaR)hcD0{zQDs6g#Wu{s{wcnaB$pB^Ywb; z>h&fC7GoH-^p`EbDBW+O2n?g>?xy`|J(c{($%QXI(@4^fOH54VVh{tOiJV zB1W%m*TFQ)`Y$AiF3!X_IAPHBGOdx@S^WsiGT1V6sRyFIKRC(PQjz?Soi9BR*D_pr z?@nWeDIlbB8WCr3t%R==-bQ;%hgqi@G(-b*$zLQ8@5^`b|XDtHMs0?FB z#-pU*lr%(QF6wC7JU+3k!*ifHyJ)KQiS}0uUFVU4WTMDLJ73UGs@8x)NVL z+8>8x(8dPKR#&N*T{$&VmKQaNEA#SB`6_+|AY5_VBjzJcm^-5H0 zva&xj45}n$_GegTUd#(bBJp;O`A5YZ(nO{3TcKbM1*UIOSJgV`FEh9aJD)C6!dp@d z$FYsoC4mg{`V!ziu@B9`n~e#_A%-oRf3lFmq!fOm<_F+6(qOtUozT4lVA%y7#|1&x zPHf`rx}?DgdMw9<1}u7()ud;KkBZ~Mv)g_XrE5ngZAweZI(L9eWg5pi?*~^~{j)Z; z3fXwzFvkWVW%A=w4CUN#ZP|x`Gc31Za*;Bzd?vZ>-~9?I(w1Kt7bRiE06lX`rm=s;F5I|S6Ndr z0Ac`@jq7^9K?e=rVdDUwNm)mgYI(m2{b}8-gv9qEb^|Ia$u4$<=+p>e!?vE_5S$Ng zk02d-uDk8$1!bcLQeJ$xyuQ=~SynG6jwRhk(lgHBaVGShIB|w_8(N_?lSWe!1k;}! z*DZ^Dhqc~0RXKA2$z%SRoK@PELrpyI6vH#2i>>$1x#pY66DX2V$zfY|6Z2&oMY0e5 zEjLRN9q+7Ydc9}JIho?hRe7zBR+|dInLxHTf4Tm8ovG^Y(P2K1`0k$gKAM=qF*tt~ z|ELN(LY=B7LMV>;uO-0^Iy>>k0u#6=aPBwYOlS5V;0)c0r~{Wjqa2#A0`FV&p_!+y z7SZAjT*dp2Y}#Or&M=L6LRQxR)e8lDRW{^33)BVLu(7ABRP6Xh0$(<9+tdv`C2y@$ zj(bYUZln%)COv3LfB{n0aSKQ-`~2AMd|N>GNY?!NrT!-~Lt6yQ?0%MJqJ806^y`yD z`}Kyk$j3^TpKfPq0&d1=n}0@&rBJtGw{rEER4F`Fa8!KSLaEH?qZKOUCH>OXovADC z$IP8dWfJMFbMu7&e?6&Nku?xXLo!jtcBAd*81jJStO^@9?u^bOxCX!^(~@XsliT_c zWb-}@@1Wb?ii6tH#ToUEUH*7fiaf)^sUeO2n67d;(4;mMx*F<^dwr6nn!!@ODdAN7yOJ5`ztrIB@1qxpE|4# z6Ycfo%g%cxvHKNrwAlKUakEHWWBWJYrPmUwXc z@`S4;U-YpO3`o7aAA&DEGakfdB44ZxuC-5sc#~>cWD!ehnwI)`fkGr`fJrCl6*@jf8;D1^Pua}_+6qqn~4-)o+D*4bBUT20uG`API&}* zhgy{&G7Q)6XJlA>2dU;K8=%upMFYC{%3*OEA6jrFviK18i2_L z)7W6;M_%d(LP_`pe+>=uuM2DdLStjwv8~&>xzoGH)NboG{Eqbz)z};OEn5Q_E;z1t zL(`$ibqUph-~_U2&LLnDwhKFd-QxW-Hq1t0P;WnL6+JrZ%_9qPMgugTw?s5#_4DVo zOUvMy<=*U;eP`1kR_ekrXb7&=bzLq>vC z?v#N0y`*CFZm608p!soyImxi8D%@q{)i9DlIdg^Dnu*EhAYVyVP@2e;Pc)Hpxc^wfDOXKdN*+y+F|kb z#R8bIvDz{Ol$Mqs=MqV+O$THTI4J))HY|aoqvU67SVc~X_wTV`hnOwpICuTre?;)K z^}x!017;)U3C+;zm%LuE^C76Cb@YLvvoR4Dv6qw=EEG281{>2QDy!bWdl+zyT1hkEV&2^`I$erpeX8Y{}V7X`X^v^^e@0{S*>xv zNx&NpV-bbWMtB1W+G)~`equrW*Vhrsw8E`WMuwo25m3p zON8V(W#yS=nB7S9P%ujcDEg!y8?d;c+SYv+BIhC@{@Lt2SC#%m6 zW2G7`{%1JYYXgB%^#1wsOV!XvFBuvlKap@*j-(7HrbilVrw*097E^uDM8p3`q^%2D zLH!nR!pcV*5|2Pc*q}2TGK+=PPR|4S$sVnmteLrz%I z1IeVX{)TI~hHF$Ym54EwkEGN^!>_gdgxWSYx*qRL0ffI>+KpYAoxwWn~2 zyM}q}fb07K7s?qNqT1+#pJ8AamJHPJxyGWr9?AGf(l}g_O=?X(^;9GOAZDt24z>i4 zclnj}6iMh0-t`3_4Iz|ld+3S4knfCK6Wo26)UZ4J;U&h(`ypu3(s#z=JPbqUrQ7AI zfoYJ&d;<^&ppP|3gN$O|ao=K3?e)pVCdflyTz5Z}_zz&F_tvTlmXw1=@6gz0lwb~m zx|qr%v>-;BhUy|jxTh(VH77E>AQ~}aIwko%m@3EkdkqM0vLb0b48v5>rYe#L=s+6_ z1RI$Pu*l2Ut015q_@iP3^)QU@{hb;m(M%q{;lry}^Lim0=q3Fq1~ zK3#i2%2`WlUAal4DCZ<%<&t=PcA316B0Q1nPr-k(ein;x*L3<^@!AZ)G@Ck^@uo{V7VxOHm~(tR3j7xc(Vj1RIc-;kw39?qG;A~7v5)IjBl+R{%)ox@aP7}c|2?y z$!x{Uny=j4ZAvS10KQA=z-(keH+i0I&k*@=b9Hg_Y<@QdAhfGJ0UE0^D7ro)WU7x#L3xdZLUPKCB)mG^4@rTD%$j!zQ6s($;cE*#ng3f+3ioTdxwF;WD2g>~Uk-ML})Rd+t+ zMn3Olzzsosnb^HE7ui}Ve_RAHAGHJ2s-=C0E~T~4Xp7w*A{locPS!NubYekNidYJs zNs>9l?a@8euqUButQD()ay0AN&6VT79l!Q?HqQ4uh_2vtMm?ec-e%2_Wis83e3iTe zZ!+L@&A(0Dgj)cLaUFl~bQ)KurZE%QVxY-JK0SH8uT>J4b<5x>m?WNFFhdc5le(?g z8(C#VHDYAYpx-Z_hXZ)xoO*Z+TZa=0csSl|5W91PirqDh%sG*7RFGI1OI2XEs0mjZOnH{=Dz)Th9DerEz1Xz*KfbEZl*UQC{nL| z_5c8u021(FU?cB{N^hz7ru1ZHxLXee82I0+aplU#^9 zSJk%`Y%1XHULULFwDr<4_t~S01ygwCM)9(hd!LcF)9tb&hs(4vrlj|YhENiyPE9|X z53NkxzFV_6k95o7B!6f9T!Fl9w>F@Rgz)qj&iG#GSuGjQnZ63fmui9nhGWl;NogZ# zyjxi4;74(oa|JKmV{bB&Ro~Po3HHydSVgdL@_j=U+i{o)@whMZXFkGUitmanW9C_7Z;NwTx6Hq4Btw(9#A3f|fEF1kMk z&Jx3_A8#Nxv;nYyFRDY`AdIHclT@@FWEoL`K6cI zdbf60G=J$!8wwDMHLQ3muf>tK`LRWDWQewCF{Mpw;*#nx4H9)G-qY#TZU#Qn06k(I z9wHs(mMA2hF5LiSXv>S=OyawBCW-6I29#@(c3Fv1XW!kNxmK5P4=h8RS%d`%e>WdmCTu_@LH68XG@KQkCki?n zSAmp*RPembK0&AmbcG*+q)x`*1-NE)&`-uZf|zG?@B~VKHhKhg?-n3Anl!c&>=c}q zu>REIj}c*S%#v4>_YpBC-ut}hjtboSD!Ks?S^)&apE-#>%eNR}v>1l7skpPL=mm^? z@L!)Bb>dVymRCNOA4jKvIHsj=8EhOyOBg>9sy9IUXbS{HNAf7KB!~_i$J0r?Xm(H_ zZU5>`?EX&P?VYEB0aln+fQT<)WQS6nvA{_(VjNuEl?eP5eZAoP1YBfzyPhI5f`*4i zh4Z`m0zHe3Vf*G$57>4vIX0I=ls>jlpImL#sen=jF8Xk9fy|{A+GMO}X1)xDQU)4& z$p_Dvd>M454AkFE1$7Js_4H4l?b$$@s+P41S;5lYL!EQ2l;$e(*aIE(vx4V>xx#|E z13|`pL0Jd$cMs+nF3Sh(yguGJwq!D+j_2Q!!Ltq;?xjJKfGSQsB*E8@dEdLPPr_Z)Ur5%%6#a`lyi=jL$d=8aePy63K&Pxfre#&iD_@I7m{#|EsEIqQ+ktONPsak0opArgYe*{OEJLUqoT5Q@b^{l)NDj*vv<}A>L zz>}P`h2+nwJlhes$c2oJLi$pug<9G=a3-3Qn!lL}!;ic-|8P28uY%4yy2{}hSEAhy9j7(6o0l5-&ZQg@YtKq%wUnl`XNqW!Fcaiad9-9$ zKz6+)Q7r9T)fw6PCZ{;T<5pNvGcF>tJSu&bu()z~aN%8#uz#*Ff}W7zLR^n9d{p}1 zDpZtu-#o*@DZXTjiz}mwbohCMJ*|6iNo-`gMi#gi@NREpLsX%$c0{4WaF<7!b*dMxp3;mmB z1Mx18m4f!*sQEsfwsv_p?o7nyVG4>|2_ZEM__=?naKq0rJnuNG_)wmXIx(Q?oY=fA zH*@^_LICN%n9isd&Ny|N@O7Ha+dL;5#TK)U@{OmN9{a--ad{GtG%@~H74Blk)VH-~ z72uC4j&-q2%p?LQ)*UBSuX#zUS)aIohp0eV%mnlAo6*EfKHJ79zC*VStjmRx?9`4=0LPHts)FTp(iEcLJ0I!Aglp)N~I1;7+*_ z9tccP(1{v7D4DcS@VxRr{7qvU+!I}x4+&J1z$=NOeYO`@LfKuWgCRLgUW7giJvCd< z>y-jpYIY(pdqwEw>^dd=ZQr0)7yCp;)c+S#WhRwQ!^kx<#+Kd_b!46URg_k^P#=S9 z5+@2`4hwd%1cf1}-$is&Kt;?E{x21<*)WpZ2*uCMFyMu=5Pw!Ge-0=QFT8H!n#8Ib zwfO|GDAiqaK*#Nr3-djn^|dCx*UHGDaN6uv!Q|T5y?inE2AwMTY4&Iw^uPlCs7?Ye}uJ^jzHBx<1eXz>|$@w5k>Xiyd2^1Ze3tLbVq^JiZUd+5X zkAGA7?q%1s_UY7qG<2FR)IRUaoJ$+@&hk4Vh>z*}iuB${B%Pq|D{8!oP&(avBe=h5 zj6vY-7D?wx8f1F!r?6fiBvoE(&%A7OOA&^NP}V!W`*Ai@R>5EDq|A zw^WZ>^azIe-%PYPs4rexJ!OyWR=|k{`(LiMkBS!OS{}^xeb|3_3Vh`n9Reh|HJ=BH=-{2Nbvc zFC@W@aEfv)5YVQQU!v=)w6xW28A5|xm%rGJZLt-jz1P5vj}%>|P2Yc&wEt)LxTLWybpx7s(x$>hJ-it7^fCgzti?7&__K=AHI8X!64u=Xber3#;W$^ z#lAg=z^aQ%aN*Ci7oqh^dFhMAY5xXg5YW*=4_a=xd7b2T?cbt?QI8W zaTOjqk(a>v$+>SbzUN(B5J+a4ajzj}9rm>WwhBUNvFWz3NXPuxY%f27cni1E!Jvr& z*0^KM#MtA+r+1e|kN2;>ar$@3)Q4g6EnTwRtI;Z0ghn0rcfg?Ab#I;ZAo{a}ZRXxU zm|E`&;-9cbFq?4AVNxg~Bp%?-4?iVXyT`f&xzgl`MFkovPrnU zVz8#zn!H*FM4{Il%Xa!67RCz62@6~1^gOka?iiK#R+gk)wA0hR(grV=b5vS|7GpGe zKt357Hv6e%y>iUb8Ht;YyuyteY{!`FSg%2|N4-Ii?vrg{8^{4l5~ zsrhiZsAm0Z{N@wZ%^!%n8>#Ve(^Nk4DB2h(+W2mUaKaBMeF(5c@&27Dg58ZHijIuw9n*jI(%M&8mDQc z6yLcWm3=O}dFc<$<7JNH3052C!?@d1!4)nA3B3MLx__(n4S43&@g@WD&TfE8ZE!+cF?fC->+GIpUT)$sd`Tu03zk8F#kBq2D zc{E|Y>d$SXtZ2*1GA2AKnUl=$)_UNq43f7}zs<_c>^vtCK*CIH{x@dm zBS#C&M0juMxgGkqCp3RH1&y6~ay=R&XEL9LWD(Go8_6zYK1Iz{xl>^z>y}0EG*>0; z|FkRFrCr{iN|9OO?Qlw)yg#m5$peew6huX4zh74QfBX>OHMcjGA#W^E)4HFgb<;+15k_+nlKUwxs60c>wYpO=BI{;M`t+Ceqa+YlZT*2o z&S%6?UO!+plmt?Z@=CnT`P}yZudCWJ$DQn9(M5$ZY46CXAdMnvBzB>+fbK!sNO?Y- zxzWcg#GNz(s{KWhPQir!*M`j(S-4h;>S z=c_^|c;de<{=jqPrlKxBgMGrQ>9z$Fd2&+ZFTQFCvh zX&!gOHV(yJ$MsMmL@i(u_OS~2N5$Mj>7JL;#Ii{Crm{~-YFVXSxS2*ttZAs3Mry3$ zXm=Kt4IAoy2}Rc^P1mR!R5KK+iQeca)#%8Kvd{V7JEp)|ko}Tg&(rZDsAi`*&l|k^ zrmms6b6=$5ih1tG6WCa?48+|vGQu@763+PgJmV`;avEK78i7Ylj7N+L_P=z1z9kVU zW)x0NRL&BLp&^=~AvYPhP#HON5h*DVsm)dyxh5I8bG3}G{OFvV4#q;SiLp6}u%&*U z`6oYQSXz)x-k%L5@ZgL2wV>M+lQ)rKG?8vv&ITSa{pjydjeqq;PNI)qo;OuI{mv*o z(w#w`Et-WMw_v`|U_Nw_trsF&Oehr`s1-#N3qAk618ihTX+z-gBiiGKGPZ>Qu7!bc zTm193cqB?2I!c=(owj&bTf7s?LQjI{4`nCgx6u$5bY#Vl20ixjE({jDme71w^v2p3 zjkQcD=j^EGg%lf|G#j06`KG@bB5=@g0rb*!86z$=pjD4hs`*UIW zyY2q%fWLK2fwzU67xsEO@lRr!nfE^3Ep!t~KCe}N!^87A;g;*ki;{0=4H>6osx>;5NkGd$2IwkBYZQLtu z;S>MoC;tCO9g-`WV93866})2WD>eqta zkWYcwM1$Dmh7AeDhM@3FuVok1 zxUm|uBU2KE+557cCNVSi)KoJO{$a zMc(vKh{tZ5FZnxtup&jaq^pfy1+%5vy4C#CfwF%8#DMO4Npy{ihjzPzZiIqT8W+Vg z-=sqc1(|Jk2N%9c|JDU8OIBxdZ zE*^$NDN9EwOW+|I?IEg+jjM-?t0ycT_V*p2kA8@N7%uWT#kx_+u`I#D_i_Q#hiE!|P&_T~)Bv_J=M3Ru7bYB-OJ91`* z4@0G~K-g>4A^hTcPeh5F53lY`W4_je8|F45ejvUQ4Fs-6#UJ!ZbDk;O_{v#9kn#(^ zSm;5Nc`qU^vTVStnjm*v;#1k;vV<#h|4u(6(~#^8Lo1BwGmbn?BcG!RFIxi566a~` z`P-a3K7-Hh=ER~P)V;~)ScmS!hAa64r}yhN4`JV|H2GR+#-#*(463`C8sXv>tKGO} z1&@ZdG+bhYh@^22&D-W#RmM{Q^4O1?>+$HJ!(aB?w%5|W5O0RE$5qI zGdvhH=}mnMQQI+HHd0GfOG{M*KaGDa>Gstv_tnvts_6DfOXzeK6#F;=LNuSBnQ!PF zW=#*QO1!Uh4t$yT?X~&z;ObM(aJ@N|nip!{@D(<6TkPG*o^|rU$3swFK{oXGAnnxH zoLMGLZZu~WgmvPC`yVZ%05tVCoSv~n!|lJW4|_od*?p9;>jF^nAFUO%@-w; zbmab^RLjCGqC722$Tp9vbmYU30!7K}ld&fOvv<7Q!+~6&kP4Cx-9v5ct8;V30(s_F zt0*s*uuZ+MBv!Zgrb@89R;F23^QJ!zluBOcc*b#OeZ}kV5&WEl$73|9!tC;gN^>TXRR)0LDL`8DqW7c4xEmZCkekB9IYzr z1(7N|^K3%|LRHl@!HWwcZVKJ9w)M7t{#Gv_6=2_U>MfWpXks`HQ8YcO1qePd@^+aW zM6zpQ{p=lJ(gvOG?;d;Q1eaP2cfxE`>82xA&5Ze+Z>eG*Al0DIf-h7UlE=S&ZCqRd zSoU~3OokDvlBZvpWko$hk2&FMS0Nzak_^Um&YF~RR+S<|%ihARIp?yT<|1`2XQi(C zM#5EgZV!fe$+A*Q#+SVoZGrk@6kt}>A392N?=^#9o9XBF5LFm&cxBh!f5*%;nf-0DP{JB#pamhS^2k+iR`oq zBjZ+8Bti>ke~^wb@QuN>Iv=e%Lm=D5$htLt(BV^s4hJV|U3He6n;={w+1MiOY}L(| za^<2xubJodGpIJlzA7Jl6Gc{SD{UEVWpfrlqp#ZbXN(1*hQ92?4LW@wMKBPofK(1E zrkPIU^ecERWHu_kgeufQ=W+-e;#rm`bJXn(?r=v~f`&)Dh_By7>gPSN(-cpX9f;#50iM^FoN9L;V0y!9ScEH&g%#&PGRR!h&aX8# z3o)W7-i@wOK2p=q+o4)M{G8UH51-8`8gb?GgH`p^uENJv8Z%P4UjdN3or9P)Q+|CC9fYr)ChqeF!v^;G!D&p zJAai0UljL=R_ONWH({mmunw}O7<}4%a}2#SsrjM{&Dl!$fJ^Gdk5N)5CKWC# z=PoO!H#CG55Tow8^;wUcBxp$WYP~E762;spU}_d=%h$w^R~K{^v#2Od=a4te`lTlA zJx7*0QSs>EL=aZZR$UbaWvk7I4pIfRoT%MK{9tixQu~4AAUSnz=bTDS(ipEC?j>jYUHcHnvfb^}<$QVKj z@~XJM9;LEUef^pEc{f7cGJexPL8cTQc)$-IsIXZp#Y>{^A%Tpn>pRlL;21jeM7Ik7 zN+ZIE1vbps5`*8u<6~(pCOEi^r7u_VzvKRRl6BBmaL23%yiJM8E0AB>rdNCO`Uro0 zuj84)qJi64o%8uoZ{dMc*5&78su{5(tIm>JH%UP^OY_XOt?IxpdFYv%nPuROBcA0m zyCF*u$od(eon?s{YTjQ#y=n4AvYOpODXu0=xC-nN-d3EO9WpY{dn>}L4OS4y75(Zv zP_Yzm4|S0Jo_9^|8X$gIO{2;P8i?e1vsq^rOKZJ=*=>Q{$?Nk`LFe_Fyd3i0#l@|V zdvM!UW3b_QVjINj(VO%GPK!vu@Rm2OStKx&0s;G1T{Ozf20J)I&h4#iU2X!AE7MMJ zZVIX1g^jFgDt7M}Hza!O-|S2B>yJD67F?w|zYyn?wjq7VD*Y@@dzO_4?8hAqgQwuz zX%z6(IgL|T3>mI_&z?^o?@fTueKA#WaimmM*u#MyNYv72#De_Ti?)YU4xBtfctEC^ zTho?5)4V?Hw%#7?ivJ@(^?X5Hp_4~%XZYXsw zbOm_N_-gIy@UD1+9_?Cv5uiwaevKFEM)mgM+BrDk-eaYV1jIrX=>wiDNW} zw^A>O)ItYuyBVu&y*SyBbzMAs`Ccj^(tmJ#9*bQ=xW~XzP)Qv{!_8)FH-}Ak-^3pL zyDVQd_^*SgNj=*WRxGLn+9lkftP}f1XSPKliWpCD{Jmtb<(URMmH#oN%Pj}L{@ z$N;iHmKmZyubmRUbjeu2I);ihq$z@uHN=r6;|b= ztcoS%b8FD`=vF0(ZfqrvomB!cr|;hne&Au+h166U`OPXRopX>FCnC}WZ#TA~t@yD$ zC>S6`ZmiwvvyVdnDaS_=$*bE>;I&v12jQ{s$Xv3JcvUxFzdjXlstU1-&rBXJc&v2f z>W#GuE-Jig&2jiT`|L_A_Q?W-wKAGm%{B0xY#C0wglqS`6l}vkvN45d(pF$+R|qUb zFBe%Kvx-@LBl4jxwg5$Y{*V0TEX6tpan|G@OpEg$w58rJ&lL1M)ErM-SYn7N6%&am z32**-0>I-e_@Z{dHJBvc>mqlo)v8>6aqImQdr#PWB->ktj`B_-NB_cOcI%q(Lb5iJ zJxq5>7#v#^_LH60T3nRK=spb|L`)ROc-r|Nh+f=Ox^Uc)nzckA1lUboh>m4sxClC9 zeBzJ*nDn(c8W|y(%iPM z-XO)f$(6M+SIuT<_w-TwlKHvr{Di>wgHmZOmx=qaQ=lo&c45W|qxfzOcJ;6;E#@~` zbLXxYEK~eyQa9$el=e6@u~=ul4Y73JFE&R=s)OA4EibieCS$s%&8%+bsVkcbd!GI2 z_Eq@CE_SWGtQz``yX?!oQktihk*emy@V$}=ga<`$2$1scp1(L{yxp$rMz9bv;qFIZB#9fY*dR@Cr8k!87lw#^Y`RE9}?e=Ad zjbPTgS=eQ*tY5XEUq$lM_}7xDNZqKd|2vyt1*`EY#N)9!i+!yE&zsf+kx(=Q>8OdnUw-1bSygG{8X^8v0U{^4#lfNL| z&`8tX=rOgb2ayP~v1c?1nL@|0Pmg2+*%;DCVbJ3g9O3*T*F_!(0aVGxy8#|~q5-Bw z+dgq0nRbq^45Y@n-9C}*(h}6t`j%LvPK3H8$)=5*=*je6ucSiu`R234@*!Tbq|QxQ z$ozGQW7WIKmWfOb@l?`QvfHTHd85|1KPGJRxDI<}6RC87)5nunEMPu3|Eh20fKwf3 z!Gnb0bJOTU>Ne6NPM6NgE^G@BT#@x-S{owi*f9K{C4Y&dzFTJutuF<$i6CBK-6Fnc zYSWpA81ZgSUcXM+@JFF4hYgf`evOH>wrYtb0DDoctdIfFTq|K2efmA*k=;>mOmA6} zz92`T>e!)rMp=_})~DX{A;X(2)JH{G4@Cl1E0)ilSLDIPGxsV^V-c`r^XJrVsZv3E zWBp<}xJ_49L}5q1=t$iop-O9|$5BoUE+Y3vnJqi{A8w-u&yNO%*fh7*1R8?JxxW>L zmWAy(eGD#Wx<9Cu^QNSB+%R>Z&e?X*n4BMvr^dHn-uc{m>b1AZYM$~$=*p*vhDxWE zABEuY$=3GPNW0#~W9t@NW|=xN0s1fSzq$jJ>@{!ft+!TvytVePkg2>m;>tLV>+YXE zGO2vw<{t<>rgW^p!wS^e_s51KQY%WCLc1LL z7{D}`(*Z|f4_uh0bYH$b?FAFpiFO?@3GPtjm>jOeJ^;@;GO>0daZYZkN2{~q=BdVb zR}ri-NozLc#BDuo%6suvQ_R|*$HeUT@aF3FLG0IS8h9mA683k1NFV}hhz!h$`_N`y zUkjR=4;o7b=`MF)GCt&f{qj@$i>3S_<}6i$p-*=+$?nAv1#>}~M#K#-tqt}VM^qXd zdcJQ@dLpAafs_qWbi~9EvArkX4JB79CA$>QR%{57M@`3eYd$`{K9QbXw`wrmw1{Ke zZ5pvA5LFt^+fa`E&NcfrYe-UToiZLs*@<_08+(pky-a*}t3`Xd|{#rdhA(A)( zMYY=#wh3D=h8p`i{D^JHDjd%&aBgtDr07`VU8~fjZkWQ=lb1g>rWs35+2C~GGNoqH z5kXUxWQ9l;$;c9qIF@73jlq|n#VVV2mrBDv4^KB|Ma99=L8hOTI?qO# zoc>`U*9ivU;l@Kp4)OEp>(zC2?i|g}q=q{OmlqDT(=*oIZbxmmzE<7Nyey;}6nbP` znY6E<@8p8@X3#Bo>?Ph6ss86Y%*_5gi^PJ&b;XUK>BrN8BdhNo_ns7lPhDPw+D7cJ zdDkw-Al>^yN^$+z_4zmg?ddUQ!sqxk%+`B9EL-2H2`1ZL$aUXqwuo&bn)VddlX%g} z%{|zP7khr8W=U?&c|1xu*xMpSU;I#A5PpfyP7KPlS4edGYhO9d0 zx-c!8!>1Vjku^@!=TiEt&_t!9XfZvp%I#0#C#PyNi-m9gQac2nAJJDW{9_rF)}Yn$-$THE#H-y`7xtU zxWlL>X1iw6IBGgL3bt9+8F&Cg&Yb79QPq})NIcH9wXh2p>JBoNRN3!I!ml?B8zwL< zK>Zq310;PaR7H`8YuTr)AB%%SB6^8e9o*Zwv!D*45kzx|8MD$x+4|0UW%`B~mc3(G zW|-)2c%m%MCu?Va6r04IwYl$2I&$wfOLyA2pmGCyQD(|4h_G7@4ffk``&%; z^Zxbue?I%n>@{o6?AbGOX3vUQGmtphbso&X+_N0tvoGjnk+e;XYka7lXOf+3XkyAf zgEBZoW3tKz;w2gr?)TP?l8VvuEX;J8cvge>LDiA2pC3W2ZVOn3YYNX4+pL%nRKhJ< zY2&|b-D^Uxo|}STj<;KpTzp}!PH1Z8_MC-!G|ty{?PrE+2@@3AoITNbh%Au)9mZq= z9eM6lMGS+EJb{`)z&2VlE`)NRb32woM~!Jv^?UC}z}Q?^T^HvqmDDwH{u51_N}>a+ z(`g8%y^m$vk?sD=NUe7aWN(^5ivI*iW#35(Y~4xCdWD-DDi^uU&-R2R~nINb|NCJ=kbyk9uZj%A|mDL&ai%%g9@38m?$qs zK9w+0_SmpC8NzE6ZMoyoSUdk!_WnEg5o-K5EO(Eq4j6;Wvcr|F8~Zvq;bidY=;~B} zefr}%&uMas6^@{6-`rb`E%lJBHWsSNXtnU-4SwO{l8=`CIMG|>kUrwsN_5w~WZ#WH z3~mM?X}Slbcx3$TXSS~PABLxm9^kbZW3t9Yu&6&G;BvH5oh>-A3giioGF_*~^T(6x z#FEc-Hbs6+=C^S%$@Pn+OoD~HVlv{*<0uw3*-i%5^`RsOPU5D+dhVWGmxRh5kV-73 zq9m=LD4lpMC^vJKhOso0T@0d3lAJFYwq3rRoZLG~+1-}107e*1Bl+Pgq0q+&fDRWHr2@y*=k>eoHL+!eQR`7(M5H6v_ z5TfIpAB$y@A3NQVro>4+%G~%L74VU2NUCYQf zsYGpqQ5`jcb_$#lBRf1(2BlSV0}cY{$en_@1tkV?1(KKUbwA~XHpV|SA3y|8lDy7_ zBWrlQPNP6&&mIe!+Abu9jBPqvW}UXDl6fc&yjJlKs-GJ7dy4dMGVgt3^3qef%HB`i7@E*zXq)CH4id0 z2n*%np|;{CFoHicX)}L-!MK@=&AQ{jwlM9*_jS6xiC-_s_-NCjM!}1eNtFq9#w|O= zNE=ioh3bDr;Oeg&*C+A^MP(@A<)mxj+Owx~aKP(8Z=kl%{Z%O~(wojeEtS;e3tA(W z`0qle$j6~Z!I<3-({4ilRHf%kKsw)=rgVpXK<{D{BI$s&=NP#l?0*HS1^JT)hiv4* zbIpGKa0LyI>R-o5dEIQ(U7-`#U0auRT?<5bgk-J$l;WVbaIg0^%-ykq#DA*PI>_MV z*5DnEoR1K_1Jm1?76;Gm&Z^5hDDB1jqs6SGSX*w!har2#1#(A;0g`V}Jq;RooNFn> z#YG^5AB-{3H-7N%_>F>T0ajT@>wv0t5@%C(E?w!*7bhOiz`+OlkFR@)VWtZVD!=^L zcktEZwj+iYktS<;teyi!O=H-i0%>$Xua%;LVJ?6MRRiDIMWDyPfcx=v^U=m%{~cKN zZpLJ_=rrix)-(KbbiC2A{U*$?WHURi?`26LsJ!?O{u#*AFb<;M*>S?#%2N3$)rR8k zA#?~TC*B9sS=4wvo_Jko1^e}L%=mBq0&v2d*LFA8hwp4G(v9=djb+5!eq`HihE9?3wJIHdRIv+Qs{=20yRY+8 zhQR!s2O2iIy0NoB2)%N0%QINmbsrh@ma{&2l9G}n=BG}QaNT_@QUVM#A84RKCG$~A zRdiRx9&(asoMf8m97!z(Gl8urs8iy^@SFD0TmnD9Ixj<&vnv-FHOTXS;V?h>!?<#0q`?9n4b?L1Y=gtuoT!-z zQJJh9!Gc7)9N0pF!0cWXGpv%k@Tem+FT;C~V#QQ=F=gPls88}h=-^xX@w07P&GU|2 zrt`+QnZ`JoU9mHC4|OjO_L|HxnGtpzTJZ5E-KCEERtyhn_M7DLP#>H;P@D86Dfn$I z5c73Orr9D=9V}#otDD|RQc5+4?^N_J_QK(37_OTEP^gd2LJ2;o(LGS3nu0R;A0#zs z2oLS?9Qj$wfTm)Gf{t9I9i1&s8zpp#rHi!{3p$?%^e<%`FGz;d-GfQ0P*|R*1=R#H znG8u-;ctZGv%wZ4GI>+2yyv%b6IJ&z%Sby8i1O9ve%iQH^^I1yW>ecU; z`e)5tqiB`vx!$+U!$cZi(r8Ro#nxC=oJAMSuqT~9RaP63yDHgE&dnW4Kox;E{&o-1 zv8*2bgiszaEd0z=ueXKV5|(>0BBwJpch)eLEyeab+)F}O*vM4#>`ZE2KQ!f1m0?DW zQl_cto4P-pe$l}1T4jP>E-jBp)l}=rNp_K!d?m@m!@@Q9igXQ)ax0u42GQ4O`YBiy zPkP$aLaM*6RWoQnbsA||GK@}wD<;DpkLYBlQsiFx*mw!G*d&At>9|Mk+?=sSiRId6niQ_P?>o0nni`!Rl2x| zqYQXKgcbN{5CqXb$3btO986Ddj`Mh#@tb!lj0t;9)MVd!4uTInZF zwKB2EvX~}_Cy<^~BBiZ?s|arCSOVtWuiURY;)pI%wo3|UnQQCt&$Sqi1;0maO}GV2 z(`>#)nba8lREK%?i6x|VBP2P>T=iT&^WhYRoygY|8(Ef_Dw|NLd-$q z+N#-_o3Hb9RO%#jp63-FvOs=%qvSJ(%*^h(VH3vhHJw+6*i$O(2ZAf8iKZ@3uT;;b zJ`VsBluR%GEtlbDkmGKdxY5G zjw#xFzkRR6hYH8bya*A)?jI%1-FI*uv)kYn9@zVM1m&b$9o_G%=XP-Kevq+$|7p9q zoLvk|dXZ!0w59^=Ma!j{vCFlQ8RsYH-5%DpwT1dNyU$e3dE`Tw`iK_;@Cbq`3ga*P&T0;XD zel8UZV(Dpe%x_vOn<-B?Bj$@b3vYbM?z(gBO4ye>^fq6S5=gC ztL=73vR9Ee-0&_QSHYO^-5-^2;TNMU5Gj3jSQI>?(`f>#2xeC*8JkxS@TqZ*88!av zhUry#-&R~!?`LUMRC>ZjPB49b4G*hN5@UYUIIlob#W?9-mUxI#6f6w)e#i}Yv61$i z=iD-?1Pd{%GOGT0-tx3HbX@9S&tyuT$`uW8(~-yFpU+TagmmD!2x}=an2)b{c~4Jf zHYLi9`QY@Gz72^hHr5Q>dI0UB4yjy%wc_6f-}pA--Lj8a1pjePFo2$%g|Qj{*oNRo z0YF|60Jyr|_-B5&$btdZYO{Ol2<5kI>c|W4DlT}^$mILVueOd(41R<6_n*VYH8{8) zNyA+WpXga({w_%)86{mrfw7yKPv0@|%K0VsHYk<%Zg)BU|V*3}CkjU=PFnT8I0UMf!MA`nZC4;V(pU*BbBU+Xq)z)y*V zr~ILs9)Qgh<{#E09^O24Xq!GqN_n51rp|qx-Jj}?I!FFGM^^uyO5C3sYqQlKu+_(G z_5$h=)_;$P@&t(I|3VM4EY_9=&V2<%5_+FG++2A7u7sS8X&Exbs8qvY9wJolDeYKU z=yHp-TrQKlmw`9)mP+7$h+N^lfGmtHUEp)inXMRZIFlXd%!Z|Ni$zn@x_=h4z!2%D z*MgS}h1Oyh3txY}S*uXE)P19HgXm*AAA)V#INKIE`-QEuf#BToP!i#I}_;}GdyMH`S8-IF5=M0oY zhrvE ze89*)a=;HsBW+#XFaK#Ut^qQKtK$iBKd+fL%x^6l1u&S&B6P%z7*r3VA5IoVu?>H0 zv+7uwGYo2$G9oX>HC7RPSZ<%ljj+O6{o!m~bkb3z@y@lS*}qNs*U}@`#+A~ur2Dg< zwbZCYTc@})Cc6!P&mJIi3fRI7zgQAe;kD~swrpe3ey-DhSGyQ`5L-uMP5LT#TT>E? z?K4_f9Zg*w4a;Ka{9O(Z&7 zBzhR%le(Kr_Fr>>62%vj%7Tsj!i?Jfne>=^=yg#!nQsTYtV6K(_Ey2^ zg+0d?UhExF()<2o_uCE0v24i0!|XX4>^a!FTGzT->xl2?klm;4XGs~uekH2igXXra zXUOq#%F~un?u$Krl%Z{7ME+vpSH2kb$c+8YJz@P+@8z(Z{Z9LZ|7BPKD2d>K?2!XR z-8Z4kYFN(kV%_h~?5~(Envs{LV)_!$(ZCWZ<-9 zpdIet6X9r@C0Nvth8sD8r;>!=$QUSWFn~2ThP1Taa8B@soPuC#?S-u|@|Fffl&!bVTjB zQdqH|7;w@3Yc5bC9Yj&PgQKUD!~CB~3G26V^-r_Xf)T}*0^FM)l}=&?KIRH@O1m?f zuTqlW-bDs;1k0Am1Vl6G!9$4RrOO{df*%Yy1j5XzbeUaV9h9Ig9;={4>!L&x;z~N; zc6<${b|wf2$=5fT68}>nE|4E= zkRM-zqn(Lpx5J3d0sJ>0?&*59gI0iW!`s9H(q-KOr?)FFtbDRKzS(S5UB(cPT2`&? zc9Vn3b)V!U8M#2ZzXtO=6FDwRA);R+qKP0f&JY>B4)Tdka<@H#DS2`&m*PZ@aDMNy zMdsMCfsFmh6XDXPi*J^utJV8$|I086P_nCImZqhR4g3`jSeoulx-C>!?*A>EaNB0l z6J-D5?EJ6c&y9ryu(V>H**t+JpF_&w8^RWqs&pK-{m+=`Q7d~CD^R+O^9awfls1bZL3Gp$A5BgMH+EM*rkuRq>qgtZB#Y^qJ*^P z3;zJ@0(NQN2Jvg9Ggr+@?{_htN$)_z&MYm{JFI#)uzW}d;o+<=A zW#?3!Fit9p?t4qF#hIQ$blV-$=4q`8o^7#`N>m9CZfzK;)5M<)%#Q z#DZU?5pF648=oLi>%_WWr6X=?+CP9;ze>uMwbuLU9x&pTd5*T?hAn4E*X?$+hgf<8 zZXW6293nz0ChXeqCEWl%h5)|pu|cUir{{IUs0og^?rDVXhYTV_u<3PG=qe4JBy=;x z5f_|m#_h@XIthb&_wl!SG?y#_>04ipgPp&1$F>K`zkkr%?p^g=G8*76CfwQEmKZob#KGfCiO;KN;qDSEqX_!9c--Mzb z9prSz^RPD%HovW(+t{ygguId%@m`Bw2TY&B-iZJlTq`$mG95zWTFO7X)Ou|W6kLjyZ ze>B}#2DgY7Os8YtQi<4nuF_cyKRx^alvePKF^RT!G+V}8dw1@Ja;Q$cqXC*fm>A%d z9H>t1J`DnhXbKn86VJ@?>bawwAx93|Gj@7Z$K2rX%#yc{l>Ssb)?a_*6`DdK5n4(Dr79P*wbgb+ipUc`^emy?6 zYls5pnITNfhnr~r>={Qe>jOInN_tQqq}}eM2Vw_E3l@&#VH_`eofdf^NenlDWh=XOTPBhOPp?f`%Jd^R zj(*{d6SbzJ5G;+PrftDA3|RvC({QhW@kwKmWtk+5!=+Du%V^3z!r6uOEMK?JgSqO8 z+-F(adG&7>GSG4&&2nPhq#oHjX|b3fUC!O}n>Sa%xxptu^R4h#kv8h5CdRnF%acky zB8FUYwQ6u2v}>Wq>~1t^v#d)CZqfFSo$$p`S`+$CoKK;%I{4>@zLZOWGpmN-a%<47 z0oHMaQN2bl$`J96&(s{9?E3AJrLfas%i~#-AENnGO+h_>zs&bYpB#~X3VfUw`vBc1 z1Z|n#ULl9Wl>n&f^g1O=Z$VsD>_9>hzaHGb%c3}T25_84A6*CxQ*t*P z?@gP|GYKY>hYJQFZ7tB;m*i#~(=8rTxcj_g9fw}G2$v3?vh1wlquShZd4cXf*LLx$ zjruH=5l(};C#fw7?U90-<3j0)pm|d2@w)2qgep)673g0GfRoFHR|Ugk!r(t>;PMZl zhogd?B2?-*3?P4y@P)NHK~Gxu%liGJ0p8R7OIjDoG{LBWG?~?brMByk_Qm)|{7~nN zP3o{g`@Lt~-*wT`-nr-?v{PZ>z<`~|Be6jb3 z2uBrry^xV)hA zbn|?BzolVFLD%}HcuBLUp@kvhk|czXw|0=XnjOQfox?C(wfiB3{$YjwH{jn=h}7Oo zDN^VvQe2Z3Dadvr+yiMWsK*;K!t>_@8TZdsOdzQBQ6H3A9-$6AC7)yH;&mXH%Gd4m zaKS%6IgTzGPyPEv_}S3$v!PCh)>NU~u66XmtnLpFe<@M17AIb9AxW!`J3%f?w6}lG zd|Q7gLBN_$W0JS?pBv# zTRO5?-6hhva<;L%%RBD#c0q~PF25-VbIhuVGsAgdW!wARgu}Bp58KmQ@shQ0eo~{N zu>Qh#`GfW9cy{9CSBj-iio%pZ_8iX7e6(=l4h=FZ3VhUZXy&(c;=--7Pv8`Vd0jt} zVUeLP0mK4-=9iH32|ofmRU7`h2v&sQk5~RaKzy6mXOHy&>ADd?<7volsxS;^KJzO` zC7DPpIU__(sBGnRh81Wd(0O?orl(WwV)++plJIS8lcKN}&MA&OqG zn0I@Gnozkl&rR4hI}9UGOH7LB_aTD2z`Y{GTOsLz-?2`!FiVpgbowx7YOT~?Rn^IZ z7?Y>44xo~{0Jlh@wA z-GIFe9DN2G#pCsT96&NjI79Oi%O;v3#UKdzi-K|3d-BJt&wJf{O1m!m`ne8YmNqWO z4iZa68<6y7W8-M5um2pU5Is}s=K4lph3$Mupa1hQ5_&wJnk3Zx)AaY4iOLtC3bKyB zR`X0;RBIKp1C5gm(z4PF_#<$uH>n!zcw{{AF-c4=Z+uIEc(@^zCl()-^_@#1CeS1` zG8F=0v_2v5M(m*l4n3pNSExW!>axCk{JSr7^O}3~bvO9Nv)_l?XD=-HtAjB&&4aJuEoF(xNiq;F?D8#jVCluP` zjc?gJ^#};qb^N`qgvY#?ir|wGkCQ#18qg7ypf@W;SnA)g)JWovSt2uDc4Jvk^$FRF zlT)jvoKVEBP?jV?=(i<2;_M?Q=KYZ2*k=7K&)KA)KpTem-eBFqY-Ml z){H&Kh^2n4vufs|_CoLv6XSQSy{8(UN_|usipwg9qwZo&U;{Sv{yKv4N@%cPsgJL; zWlB~V!jwHgFoa?Ds?EewbvJ}SLG&U)@C$MZQ)KX>^?27k-81ZHFcOWU>iNsCTcxHg zv{Mi^dH%dYv{jEm{Ggv0$26hR3UJP)uEAJ4H+VZ6@c_zjrxz~N18Aj$;RJZ;MN zoN2*nwlzek)!p8YP=PfM-IhvqX>PHh2DJZ$Y6wDav~u-6P0N1z$MeEvm!?^t(?4P6 z6YYEXv@Nmmb^1OHk@=#lU84@QKQh=5~+$Jkc`N%x=QzEn7i~Ft%&FBP0l7#ei2-8ZoY}k((*QqAVJ_ zi|&%eeJkM`_>=#|(I3M@Jx89W>ZynN)J3|S8v0#=FFU=NlCH2gVfdG;_3(o~PyIFC z5ot~2G+3Z1&^#}lc%Vt1BlEM7!jf%ExSU%qNPnNodq5rbN}}SmNsFdsWluPx1or1d&`40mWXdTZ>Tf)%FtS zYzI~GUAG-=QwV(Q<);Y5y}a@-%}?zHrua=P7OXDi`Bi<+XHZiH^!l%|c@>gElivkc z3IRQF9L4;Kp8^1%AI8~EcyHu_X4rmql4-Z;(@-|hLJO`x^;{f3F?TuBaX#uV5F~TF zA1gn0-pH-W+c`sG8mSlGpE+=O{NV?_MjvyO+;oJM78R^gV{pN>sXXITgr;`nS0zwP z=*wGfv9M)Hcy_WRDlL%))HDs>l*0iwqWBTlzpHK?ezg46g5R}UhXh(tn0Qkdrs(Y9~p{$RlZuj)*XUV{IzQa> ztzt&{oz98oJQgYn7D+3_9^Ak{sO7V`ZG6zxvwR3{CH9B6>mj?c%O`1z`@?ONBfmfh z?_DhngvJl5VWx0*r_$o8wUEi8$hTSLa1?N_Tn30^8c?c?=LmSEYimMJgsOqQ>B*=! z&d2;&BCVkrt-h~kLWk+xFPrp5Ua}TP6tIC1Rp9zQP~!R??wPJlJe+Q|@Pvmb7cM?)>Pxzc+)BJ7 zd_-yyKVNVUbgC~o-P|c9Tcm~+cCCLRT{VQ;Hxzc&Ct0N0!0p*Sk>;oTS5%ycaT%UM z6dtx~N+1+^#p)^zgC0t<-4EB16oYNcp(yyJgOlyjg%y|Yy~IeM*-{Z!DO9Wi)(&C* zSwZ?3n#W=?J*iNC3Nbz&VmmqKQ>~7s#DFI3*g} z(qYoh_nHvb3l>prFCKCG29(Zqyhr&8%Bf*DcdIlvY4x(oscho*@_yNMC{kKO^-tA^qoQY2l2=ZhdvX&|$tw#r@2AdteRc7kncLDgVH_yQiyw5;oO}US5L#H{ zG>(EdsWY(jsRN4%n=1-9&}8xi0b@c+C|6?RCr8}e3OM|eU2J`(supzSiD%n)M@2DaBfW*L$)s0R2PZe@U*pAJ;Q$2^b6YkIim2f!4>a8QrDgJ2^C;PW<;463d- zR7u5`ZQRgtH1IC$O4xfFZ!?Utdx2sJ=GUq3Z6LyA}q+NBO})^l3?Zq79n9=emd(Y&=K=@pDrRK zZpXmayaN0&DrMdx^=OEsi{Tc-3`~aAv)q2mz^3)*!e%4IOTp*6BV%+~1P=>Kbt+Xo z>3!8rlfoE3gpXZis(w(HDit07$YIB0X4xi7z&_FuCQqBFP+ZfWJ{%eVGuPjKCc4oO z!Bsu}`3fq^<5?JW@6i5iW_+i9Vf8ZWXovE6XX)qO^nkn~$|Kbyru?T+p7%Wk!HB7i` zVM!rRtwtWS# zxOmur-j3ILgb4&jd~H}de)$^H5-)TAc$yg!RPwm%f4wY4qX zTfGVQTwC3~%nRvhy8fGxnv$I_im2n7)^Fbxw($Q?xZocgti`S1omedfc9 zmax3<;twnFy0qc2y4^p=ee*w4-FL|bB7@=+L1t^sz`?nh!6zqzJ2MPEE>|vb&5ct~ zP>kkf-(+Zsr5BAfgDg32nuHh(BXDo!|+SFh8EM$GkuC!Zbsbl3?BtHiBef#mE_qh#z z$hR9UL_u0!-=|!pRKXKQ>7W8q99S*TU?{ExptQV zlZ)j6^kQlXV$>q145dIZo1Eh-rY;PfmEjYE6e$%S;qglv&OeL_oI-(KeU_!?Y|n2W ztM#!=Z5q>yMbT)<{c6)MzZZ|9ng(Ob7UR^r@|PD^C1|=dvFvS`cvte2cEo94w(OZh zeTT(V)o$qh23ix%$yfG^S{83yYxv5q4yC5Y2xP=B_6lty#`J0Tz2#OewBO9KC%QEg zCLbwq?k4tq(P!KDX8r3f3~~00b9anp-@6`hR{iaZevDhQ?#e~XP4YGAeL6u74<5timd^VJ9uZ;+gO(DteMDW%BPk;m05*^plvPqYmNtZcxl8&A0Z zd3oivIphZZ4*(GVk9dGQ|FY?w{APAUk3H1|nDz?n8mj7ZC;$DHz-BhD7(V?)fu!&g z{(@WL1?TwW=l#!(^w&uV$X{QWe|%x~7TgVewi`;dNp1C1mo#x& zb6O5!$uyV4)h<4PKVLS(y=U{bR8HIyE8q_MY{t-EE3bQtR~}|drn^KmKlY9k+O5zJ zeuvxe3e_o!!j(x|D8)u+)-Bb5*-HVl&DMPmU`ugRXBn2Cro4Y6fAkab3^YSK66FT=;9_55B+qDivR41)S#8=g(aZIBRgL-WdPEOolhyN=?x-4% z>OTVY zKupir{yw^XO`m5_FQTIMOWb5JDU%FKdCJ~dOGl< zPBtfUF!MZm2RE6sD4m1^ge&8-t8`%Y_1KLuWjRN0b(S!r^`3}HTcF(9wZVw|#lXcs z^+*VnZ92OMv4T}6r*IgW_F#Mv`=+ZyMFJ9}`br|7BYJ*{7h>_Ma@yXx} zDjHk)qE$oUCPG#T#F9-pm5dBXDQqYzsaHH5cULoY(D*p_XxcAw=|?ibB?cZQUmXvE z#o3gAE9Jg{xvZ5)>su2O$JnJd-v9 zEva#|_%0I*%y=u0Lul6%^D-S+t{=zyMV6@qAQ8B1KK6)4(-|}J|jMt39GTMjXW}ohGyDQ$oMt)Hdx-_zbmKoy6z?7AeK*2OQoOJ6Ozn1p`au%PlZ3(+)#Idq zXa;H>W_QIl&;GDM^>-x%!cTnB6IQqg3@kgTVl#nP5fL>=q}*Ea`|U=>PQE9w^TVm+ zQ1Wz-SsS0j9!06h!Qs=ouDyN!8q?~fBS$O#+nAQ9{Jf3FkMkQOaGq`NaA19KusTxC zf=4@sDRBcf_s^C9hH-UALVSxhdZqhJ?$j%#?MqHZ_C0*wc+0?HBB*rf(AugKdxrHlfA@#N?fi8syyr$losVF;&h`giA z1GV~JIIRj0H}CwJDA%?b=Uz(aF4grCv*sbOO^X_kDPJz23K>_f*j-WJtBvPTD`wKw z2`Z5clkQ}x0U=t32M`tBDFFd>> z^X7U#>=FuCBpZW8vLOEc_K2!*$x)Lae)FvS$6e}XA*zxU^KU1SN0Z(BjX}&AkGr&M z3I%*$N`e={IDIUq1*I5dnB-q>ojcU;n-vk7e8;e5Jb0UE8mwaxX+Y+%VEoXeI_Q-z znustk>b8;d-j92GYoz6&%0CibP$IElBJ{M{+I z0_tX@3Nn6Ko24|}8%UbS!C*)~yi8eWO|YyMaW|f_X8OgSOQq*GY9{C`FTc7!;17=_ zZ(`EP?{F9)!W9f8`I1Tk!eL9jN#|Pgg{691e4SxCTc4^Fao#03dyH`S%z?BzDKopGf({ri{8tkKW9 zg>lbmJ1bIN#XCm66*9k;P>rEd_IEE|UyXD==+#4#KjdYk9SJ9kgM|?CGFEEqOt+w9 zQ0k~Ip;~gQn@`&jZ7v3ZQeb!%wh?5J{oN0G;k_VZrXH6K-*cbB;=DG`=YqU)<2jRE z>{@eR+;EM#KQ9T0?kyGvX59(&SeByOvEMM$iM3yk=Y+~6(CYw}%u~?BTo#`FKuX;b z$b+qMGpNuRGby4CtiADx2529ISn88!KymC(UiJ>Ck z@xCY_UVM9D(!_WO@AxaGzXm;!FFwAOFY=6}PgIdw+^750f|T@g4is(=-@jCr zGl;60K5Ga}0a4Dmm4p_wej*4gCMq8oXZqD?f^LS?0Bv5!Cg^2qMx@k%WMvhcbt|Jwfy0^s9+MY64o)?&tY|IjL7w57o>uKJ zRMDxr*O3Sw%KQ115j_?<7+Z zlh1&=(`vCy^LLGjoIhmNU@*37aQ!`5avqDDjF_Z=19$;qDs zwNL*@gZ*oc-(yVTy>m)9w_wZ>yptcHEsbP{DEPGFjh=pTA?|+Yu`&xUIZparmbYJo z!_Ic+zR*>T*nI46WfwJ-2?q<5!Y-doUyi$60^i1iwMst4hMP9txg#$~^j6??T9>pS z*F*5KyaCa^5AWV>-Txj4y-Q3+y{(M_wsMKPAF;FRA;7A z8TcJPmqtTBVK(Q+-ieI89En&7li7Zk%XFHcYNcXQ&^8ML`;$azTeWjKIob(1`Xv51 z@Vw?Wx3WzAY>sEP>n2iAi0b=TN)uY`GIJUr3k?@(`vHW&HLyqAvKA$0K`Ja^BqCF< zRYHYi?Dj`-5t7v;$HAN4qlVcF z$=Y@M2XyXH|5xO7%ChT+`N;d|4*xmFbr~O)#0gDgAa z*+XIc`2EkNnX9kK_=%W`qD6PLwO9w!TuKlY++(uc_8vc3tOKw$uQ^`9`A-Z zHc-Sym<^6P1LgUWB?TQr0Lw9~8Zwm@HbTCXS21sX5Fr-C4=SLU== z`Cb>rvmdqk@5kuOa&5T-iP%6i7%ovSXhp|@&4#`+A9GzQ2cvQb>H1uU)M@X^koa~> z^yNiu*gC-e`dB+{^&+>+naL;`E4&zgP!%S+L`BK&F`URv zEegay>RxLk8@EOFtujO@?8j!uJZPY$Xs6%U!=vf$cFpiL{3noEnQY6?E`4#)|w~e~}N#eX9>nk$r16PX68@-u_=XSt9f-Co*jV^eiVcMK8M^ zf8bq1+H( zy@=lLRCV>g{B}FGgnlMdbOwJ?`^SS*u}?V**Uwuvd*gpOgJ*O7z-?xkpMM68{JjUI zZRdnEwWm_=GBQArodRX!*LH9WOPD``U}*CP6<(9rD)ogs-ntME&+L+-*k*&YQG)wF%V#-Kfa<8UQBWQ#J7_fUhKY zE^K>mKWR3_7^TwK-8SJuU}ffDmZj+F%5M;PSX?GC3O~Be5AU5x$-5S^`g&BR;~qw_ z2%I@YxjnV{uBF8y!2G|9?862^|00f-GBqw=E3W%T)X6HqT(MTnLYPKS`;Q2qr3lmF zJF_bt!i$PmcEf)eYLZsU{MzL`?su7A3hNEkQP%)$?;>G5Ao{Nnfz}PWLi6ub*9nAw zW&3|80uQGN>+LmE(X#(XbX}=8Dxg`Im~C#-L&05`lyRbtx-%C8LCYD0%W4v>drs1EB2?Pj2T#&1Vs-EpI((`E^nH5b#@BYyIH-&==CEQ|U zzmwU1Ppq5fmVoXpCzc!FJ?1-X^mma`x18#3t=ZikdVG7R9PJ%8(Jcy5jCal$?~t~4 zGt=&5UZ~wx7WkZC=fRGj);tRpR7$A!sy>t5@`db9>FthHyg8tomp-aLa)LW21^{(1 zEzD|@HedmGlc6c*aZ!w^(LffY=Wftnt7U(dUpoa7;vP-cf<|am7bpL8froYaEKkd*5jgb6<_WV2JRs*o8OeD)Y{cLKlp%+DJyEd@31V8?18 zKMwl7mt&@lPdf#6F#)me0a_&sC`2^J2jjr24!z3A%XGh0LFuUhjpwQa`ILJlTPkVW zw+K{$%Hsb?1D7pA4iX8Nj@T?9=VOJ}QWHH?qCS4TG*w0YA!Z=Rm5Oohx!me~r zs!^P6+G4o8=p(NxoM;(H$+WgC;MFw(dGIu#+iu(MaA_ob?{9FG$LxqtMhfAYRDTVj z$e=-_U)snChDs$>)7A1;j&1ouOFzKV`@61pNbls8A+PPYszY`Bw4iU#T6v63n0Cla zG-!@Exr&5!u(&MItAyy-O@B7?uH`yg3{!k;uD04~?kc^X_Puq5WwM@&HyQgrc!dTw z?c{nJpqXtK6Fx*!kWeK!JjhjP|NK}3`b!H>QUd#-vf`?VkI~b$>7u>3LQO zk{_MFKD3DZzO!~d#jN#71xH4%nL9QALCn(Fb_bP*I6}8O%y=afMc>+~?*CBs7GPO6 zU8AT9QX(ncE#2KAUD8NCbR*r}T@unrBi%@ew4`(+-5}j?2H*cX|M#7L?|rU)U3Was z%v#g4?wM6HSi*YT+TOgBRsK_2)ZZp{frZ(jaQ%~?ufZAZT~xkMJHl6MW#pU!XG{(m z8ohV1BdF3^&H3xsj5m6E>0eba49(}R_8LZ1-T4%BsLrFmUU5D?*tR=j+}5D&Na58U z4w&kYdw#(I`_6A;;cP;L-MYvy7EgME7B$nck6bH%xPc8ZLmKzfk0JaL)J!X+J|U-> zMChN{UAL|Co8!y@b413Qyq|<=@wrM^(#qV@=TbvHo0X#z{X+4G%FL^dRq0+*n_IaW z0;+@ZgO&0{yXsKF89XFfkc$@LCs!a@Pp&{VfRTPG$6r?|Vz0YD` zW~q+Tz-D^W0zCqr&F>EHgN9N~E7?d3eBrX-J_SDqzsl<4D#M@4rv9$$CcK}`AyxG8a$}N`R7Lp@yTnE2(PFO%pxLA798sWgWsYC@SMMu_5Es>W zd0;}12($etqVv1SE?*y!J&V45-Cv1IH3`JDOhfqsn6vw+FqAgJ;*tV#rB#JC9 z=tIv@UqpEwU_cu~Y*8&z(~Ekae|Ioccv)A9(pi8j#%SsIwpZx(GB4>}k-5l}sW>#Q zCoR0Nrn7P#aS`MBb5d8E+XZU4xfr(4Y~&(3vC(7|Q!#yXqDFR)NTOb<%XOqjSB)4B zQ&-=1=Fz*Q@pRa0c(I`hz()^F-8846>lHe+R2U#wpGNs?%Qt!hmt%5+`%Doj3MceK z8g$#FD9R_%)Dw+CZ4Kt<<~RN*<7ek@xpYY_Qs*ex4oNNAzBwb@dJ1ixA`3EP7j(%C zh(M3L)4g!MnNWu`zc}Z7cKXbSHPA{$|23&ca}_=m2Pyu(A6YxpfhtuqX&^3MC!!Gd z`Z-D+$E=IFkBH)M@-#%x(SPv#0G zCLnn`kYoby&$Ct}4ws2{4@F|uzCF(M)tXwNCTqinuMNNskQwsJLeNS=P@qcYc5{% z^bEFPd3;kUB2%iUnuM7DHHhb5D1l*l-2VXV?|n*ceQiJQzf<&>=6brexI8ZHF3RmL z4%hZ|{$3et`-{D^%{{ZtF*Pnxf3N5o7b4RmT+<_g;U)IrrS_PbPE6)eLLYBbb7U#x zx~(jt(7H}L{^^h))()xGWO9wGSF-{G%!-e#pKfwd zqR@c&LjdbUSVgADe9VCwXQ&CqFPMclG@I@x9H~D~?jteuh#Zcz*r@lf2E9OzrHJuo z?wZpO&A?O>AHp~Huq31UwOH#Fg?Py zg;ED>PHXj5V?sn(3{}b^a>{!HHH<=D`-0u_@P5Ij0lwLEnW``Rc~1A=pzv`<@rP#L zf3X#uMm|P=uW@QSuh-dby}!(Hw9IjCn|5iNcGDntKi~6UefnUn{8;bySYPZj-{Lbr z(QdueZoT^-fJ3)Wu$%Yu1^+kTUeg6flhV2WLIKIo{9mPgdXFN=IUs9GSnqXDuAa)K zp6Xf7W?s&At!+N0ZGO@;me(}4?vO9#kl(*0ovhOryM&RQ{I{$ZZx$m7*zbe#wJC_x0G3bs7MAnlzdQMydHW$71m6D2dK_v;O9{I-%ZqMIY&#n%9bD*H)*S8Nnk1(S4Jri7KWGAyPv*^JEU&T`!@ zck}5Y+p^0YSrZ>x6VK|6O8tAK_eKfC;IYTxc@c72{Jrc6IdAxECira5+!l)57Pe0t z-=8-A0+=9)e@Fgzw0}ncIDJc$|KE^*65{{(PohsS|C#if!bxM;Y2)qX-I2vnwrPz?QfaM@O9+G=C~$Ra$;`^H zx-He9{d&p}>UlXfk(*UJoX3Ytv$Zg+F82pv6IDCbyyIpy3T*{7F-lX@HO6@q)Lx2m z4?QzGUqBZh`>)PMU~bZu7}X}B#1)fs$ee=>{oqwEgtZ6f&!g-^_Tu$06r8Z;wjaE3 zhTF!xTQxxg?1rk3?`F8!FXGg%4|#NLa;DD271iJiqON26(@VOj?8{fmu={sr_AO~bBXS_wONRhpp;op-22`!(5M9Vwt1=~g$McR0I+ zKi4JVE0_N)xH|j}X>3z+-uRL{NaRF&lu=FzF-{3R-}-yMNf|D#8!qNm*_>3_jA?NGJ2>th zW%Pdl0;tu$Aaz`?S>X$N^ozTzsMa;L3=6hoVf)AtCr)~sEiN7=T6B}L!bZ~%R#~5? z)M$89im+w{C!-*wUb987!GTee%+H|7IB<+bQdFbG7;$9cBio>hxtzSD@v9-LG+9+{ zPIDY_sw_Fb`bMUp$*IJ_!*9V|)BOT-rs=$TBKUP9cw!xdEV^s%j;jeb=q6d^wwJc( zV(!0+x**eSy~8*u<=Ui-;zjSx=CT09rc+?%>4*Yolj!yz?$vAA=SICN zQwanirBuqV32Mi&-aq}UvELObUHiD#A1(S6r={SGU!A$nwEz%e|3P>SZQOKj1U3eX z{~h*($s4^ruP~KRY{FP-uCq0j@LqGoAopJ>**$&u8qbYXvS1e6S*kN~A1bvJ#M{Sx zwP?jtZRm8#_WCsvCghblZFCh(oKtW1a`yU1<&`bz8Zr?NY8em>c`2ZA!SCCgM}QdY zJV(1dm5`Hh(d!7{oZ}nD*Z1G>Zj#NmyId|kth2@wGB{jy8YA&*Z~h4xz;|yPTtQCe zKKuB&lZe4#dxa%LP;w~kc4b& za&cA6zl58??z@j(gs<_U?+$JnC(i5cx2c5D5n%%f>8PR}v43@u0;+JvtRSHQ-huEh zLHR#Qx!uUmD>dt&9u_qAUZujk{Vr}q^q%Xx_ypUAqcH}O+Pr#VC&9?OR32g=U1Fg5 z%0I21C3w39%d@b4b@4GF0Ev^^+oy9Q;nG_`qa6?8)ugz^Gk@TSX|R~5TM}#+19%lS zKRSN_+1#Yox!60PX>B?ulx>I#dy$ zNZ*5NJo93W!1#ZocX~=YJGh*@ z%G@%9wNv%zN9Cs3^FhUz>aDMU;JU;GqTx|%2W;}M+L%04BpQgGlu#`yGzjCd&bDDO zeb|mv1n^(Tck=C5u|c=!CAxnlvUuYCDlZQERZz0J`XRdyg=v40}YcyZ1l!SE!jN?utNURgc1Zgf>_ zboHds9z1vG^yqcC8>l#PX|WeX^T-l+ue~LJEq?8C1~t0X1T%e%|ACOw{E4v@ZLtj5 z#|&K)oEM# zVs(QV4~IHh*WYF4r(zxjmVD@Z*OL{|z$|u4#3{9eKnWQ(V$6#wbK^CARrxQmsrBn%A;32&SDS$_VThMp-vK?` zKUhGkq8-im2DrPYl<}x~ZsC8b`1(td)W|Wbtz^?K-pNw`^T+D}KC!0VTjtAe(d{dn zA4pYywV+#JsHv%zy@QNbfdO8IUe8Dim}n)iPf8#c7l8LrQliNWB;C30EI(`zJw6C} zU#neyjXD{GNjz<;+;4<$5e0RPpgy^S=~;hY`&H@61z53RvKsd(CVB(TAkl`6l0*dG zDMYs9i#z0+z@VX|Hj^X~=xH-N_>BQGx4KBgSx#eBRf$E!Wi+6!R!fdb_xg|m>!McZ zRBmBuu#!zN(U5OZ|dv)kxjB6SY9y2<8~nJ`Ma}zjZ^T^q8_F&IgU&0$?Mf*`v$Ce4|yS!Z~rl@ z$tI8Vfr`OUZ_bXpO~gqGJYL&=6_saqr(|MB-$o5;F#X*A`47pL2VFqx3{$~?LQQ$E zK}^j+!IR-b$IWs()Xx$N*PJJ1Cu}vSIul@@0v8TEv%VRPmaHV-M>Wwf+}DYc-phn3&i_p63yK%|oPr$pZXe1f6o4XpjyqhXPMS58{Yg2InUKp``{q_YIc9 z%s&sKuH=8x1!4V1OYQz=xnm1w^@!GHqN-|inZ&_bOl67vaV`)e-WOI&I3*#jnX3j7 zpT^Vj)lBNB3=&Qrl4kL8Wyd`}H21!_k^yYrof^X@@@q3YiUf@Rx_NoB_JVh?|L#r8`5^j_HW z@$MbAXdonNOG6Ag3*S0g)4JQ{5^&yId1WH_Z3x02IPav>>v?zadzHJcX(b7}|FG#d z0E^*Uj7mvhlH1}?SprvWr)8XDJ5Lfb$+8VSl2; zv8R^Yk^6!A%x#ROwF{BVt4@L1F_zx3n(3-3Fd*hx>z@HjI!hMd!O1kG7250dY$79aNtC3}Llgn>OWRZB40}hyr zr+zduUQf2v_x6J3C%$2dCaQHoTjTIgjy6#$E|!IXf!d^+!TnLVTn|tVDJQxUsmhD2 zERjAJm8$gUPV}RhliLIgVr(mSI$&6yD_0jJEYtOQiSz|MZm#Vwvz@~w^ion7{@3{= zZl9gH%;2{@e60_R_#Ldy69mp#YEu1U&K+I?>-R}b!G$<_@O}MSz7Ow=_pLg$ z@&~QxBzpk9vBv7(r$;R4mbKLno)SXKr?^T8qkv)Qc?}R|)Hn&DVM&SI<5vI;R-R{`^1GDmv z{-1aMpzh->VebA!ZHz7WG>dRbJ91}zr_13z(3X5|n!_mGRZ1m>Yih=b31f- zFcdh-Zu13iKLihP>`$SsU?@amk|BP8@Ose-MO;kH%P)V){$`o;@TBCyS=z{1dZzzE zO9Z>9QZ-08Y(})(E#|%Qo;fL_sp$P4WUlgcd2axf`ABQJRZhStBbdUDJIxfONi&x4 zhVe{A>ezl;Mox^M1dx-)-W(bVda zeOhi{dVsGdp{mvuo5h^Upf09(u&1`+M=bFYoIdJKa74necZ7y@Me6!DkC&Oi;MJ@| zFE|=6D0)6bq}Q|cv+i}_}f_&a`Nfs;dZ}5KSHP zX|J3t@thKcQcRlC>p%9jHxViCSU580fS%*gW&6YHaZwYee4V0%s@H|7K+)Ik8PKXQ z)HPAFOt&HHDC`a61-g!kQT>}H2}65kYLJAX`2)eUdCt(c7Nzlw;cs_CU-7Gp^NFLr zq;8?-YubKKL6q^yN@CIt9o)Er{!4G~* zSdfY(=R6VvL;6HYtH{|POR%zw{$VX-^tOmTOhamd_6L)EHTH{snbP1M3lGMM)^;5e zGRcxv$>FJ#Net;%5re~|$fj{28tb**ri1~AMcz%M9ks8BsW zAuD}1@NYkC5QoaF((O6)HUcLJTlCWjp+7rveq$ve_fE8 z&Gzt$lb~bO+dcGSRc-;-?fh;YRs}WXgX=FNgkAgS;YFd4$bu|`(pqNwD+QhaO$Hjh zhtpC0i(+cM2jy}7Y?_CfNqt3wck^(|SLAK0CQ*L=-7FnY`iv^XEsaIBG=C4=eR5)(Br zr?k`AldmxctB9m@d<2&$LP>xFiHg6zmP>L8W?15izheS}=^Tt#0UvU~qfjCZ4Te6< zOFbR<#qcs(Z%aGOi1T=KUq6h%E5GNtE#iQSBDVf~Su^e)`P!+rPhFbNn@y>=wI17x z26H|QJ(^JcVRZYrl*iNK>}tNfy>L@+X~xdF*X@_3K!4Bx+~-N-K=s8UaT*gRsnw<4Ksh=pByk4raJK$ev-q`xE_2G4*RqEq{$jlXjT8L#aT9+VqiyW z>=L0wWV8(r+G8V=`fzAy=w-ZlMUtLAi8J;HY{Z&7-kN_lm$xMYoOGFla6ldd4uYwd zsg)akd8K?91DufroUJi7$iMV!H(3l$vd0Ag|?>TjLX_yCn%|sxJA47E}OC zoY}1glhOc5UXid`DXA>ktv9oj_~chIbAxTHXh2=rmjLvb{dtW?5sa7tJ?+0q0q*-H zH(C_=9AZy^b#Q}5DL3$JuJlSV8zW_MBWkg{>fL9*aQ6`4laPN1_#{;8v;xO>W&+23 z9QPi%4-X+fa_=@`+b>&JrX@A`INwgz?Iu%jd{R|K4yGH*M)Vm_!UPJ>%Uj->H=@8J z%$~xNM;P%&SfH2Pp=@{n{2R+TEj(Y6jejeeNFfCjamgmXLtENVzcQXdcn-3ye_N6Q zIRb9iD+_%x$ju>#S8$U*7LYvy-Roc1_WIC{-lzFo`goe3*zwjUF=P>%$OJ+e5`g@(yoU zRLM&1FW%@>h&?*4HI%vdczkkW_44-Q{~2ji5k=I*CaK@^vfaTONMPEIb=Ij!<*gn0Kv>1{Y!);+?wR(B7-UUQ#i3P)DSa)y%6c#*L zVNtFNFK#ryhZ6B0paRL@z2vM*pO%-!$^6D(yy7UnP-&TJgB zOE~oKIM-Gnk(AkRSkZF(ELcEVZ-AJoMatm2?=tbc_L2Yd>dHr-Z*P@&LUwoBP8Tjr zn4H2@N#BJlz0dm)AM)|U1hMD^!wc*HOeh8_Y^Xyh2082iUAV?U2%`HNr|rrLQ9WnO z9)4-M2%`lrTK<|E$*C6Lo- z7B*CgYcTMbWL=vDZ)VST}@qEu% zy(`ni8p~6qL@ys$NDTV0(bRc(vg}IhYyEI)8-T37qfi-8iG-g_Zz7!|G!$Xq2;;KH zM)%2kcp*VzDD<-XGhqNG`VC5t?`_~iDD!q$pzdep?a4DE4rrQ5ah`zl8NJPe$|D?f zqA&cC0)b4&B)c2bbn-JdMO631FWN$DJFykxoUyga;%rc!mltPY2M}fpm?F9-6k!K& zKl^a!@OV|TI`%Lp_@RR;u(+-!~FezTCQYOtH{`N!Vu>S*)QtUmE8ijd zF$FIua|JQ|IV{dx30CoH^aJU{I9tRq!#zW}j1*?_bN6Td;;(Crs3rEA8Nf36G3~=6 z$f8_0&7fWGqTl-Kzc4h?BWXIo=^VAUZ`w!ig-D*0e@cv2RO1oR><_9QOZfY1N9rvt zK~Cw}KT+;zKcyx92CR!{{u4#FE7#LkE(8v1*YQij@vu?%`Azuvqw4ID;*r07k*g&J zyCGwmRB$17W%TO+(erlHt3M20BcJ-Fj9 z>t%sEX6<$_0o-<;K3?{oKK#)~6$;?~|3?;d^YkhA*|>Uexjt8)a5y`6T-xiW9-g)f zKV={3r^FQ^eB-vw{kVs(FJco;Rj9nR=D6D;U$->dhlEbU4|y$b;exHyLA{UEiMcXp z8$xpV(Z*3g{#2Kxv;P;;eCy}vCD~>mKpx<`c=;`G3ZGJC>-rc;lxCYYghWr$cx%SG zZK~h-JHH{PD*rJ51-8;kbM!K$Mjva*qycwnBNr`0M+W|2pIlYXNWDP_JTQ_GrgctH z%xE80jMg*NfIDmI1m{#4fPD44kvessHQUb|4mqA}7AGQ;M=x%vEgdp-D{; z)l;3q$VmUbl*wqFu@xa%;DBN=@atoY+CI}ZI0`7FY>E7Uo7UO=CX_dF+9#OTvnX(3 zW$or;Nbu}4XEt9e38v);8~p}0-Yq+?M$yiChwu%@kYH5sS*l z3H(j=4?t!e;ZfrtXZ>! zYb-(cpBXuJpJ0ZLk!5$;qD^TyZ|P}65en2iXu)T@pj9&l9KP5CkmQ?*FP(uF!wG$@ zPp_sKbTGV_0!wPx|0H@iwu~6A#`VNr1X}DA>dvv=o(>v{_&nT#wISTb%+-9kneobqf|C%DM|wrK!wRvxkB zJzm5%liBHuK+%E(UvaapbMBgKLA0!DVuaQvEFDk8k>ga@87RFv4Ju?qQ^)YdV87to z0e3Sd4m!>KuAli2hzR>FjQVdtT#B>!-B7FF{z>yiF@tYsz=zRZOAhbMhP}W-CK*l0 z$X3>Lor#0;YQybIzD|C!wj8 zU7b9kg41Cy-Ry3HK#2s=?4YR1uTy#losLX$vA0&GKL)?2D9U9*bcLWd4XY)4e8cI| zswQ!xQAB(#@hy>{cI*~-5Z%!Zx*#G>?v?JYqT>{GeJP5?`9FGtI%5%TenR@Nl-MnQ zjXZYUhd-w;!N}n%{$3ESiK2mMSjj+=M!j^4t;dABsSs7*0XpWl2b}4 z`7r8uH0t=2r%4&Ay>e+)qgM=QCTC*wJ8$3KP>Zqxl#VHVZEo3{ZEZX*#1En+YWJ!6 zIt9<{JrgGHsQ^qw><>u8h8f|SaT6PDM>iH6Dp#-J+05q z56(zOzvxLqAo^h)v75;_G{r1owRj=)VbJ zY{w0xrZuK-{1{9}g%!#Q#}YU*z>#C~S*91xwaYT{De{*P>Ko0tjjc$;>zbU;Y$i9` zs|xq&?|*(9rb`mei0|R~Ib0*}=AQhHwZii34SRXR_TBr=8*Z`~u&k*%+}*_ZZi2}5 z&9b9Csm)?*mGa~Cbep2YPviC__YhIz_x1=Z5WTm1VRRNnX;pQH*xR`yR4%#^sj?GwGdO45qTVvBqeWDh_Hrm;}zs z=IJ`z87h%p9ar#Q#lIVh)zQruj;^w+J=FE)wVS~7y<8iIjFKvP@tUwieZDJZP7wSI z+eF7ZUz%-5Iez2EloMYgN`L`jsb6PXytX0G^zGB;xc4b&ieySTCZ1d{WbzQ9spyTh zFpYCV)LPIqA{0F|KLmzL7;f9@?|J;fd@klbKOkz*bH5ZSSi)LSBSg8eb;mZ!GIP;yf3{!?#d zcY%{eB=MHhq=OrxM^2_?c#HbbH;Z>PAsy0~T8`L*eZzGb$} z5KzvG+Ec#pifC|4LKYL+bv-G!Yq2EM`@3+i6^(4-k}XmplpTcZ7o?gNEmZkuq;!JC zjoC-+dbrc7&e&TW`%UJ3_|OwBL=TK&Y_MJvim=YShq|BKqM zA?SmdLsM~II6TK&Kf%u9drTGL_efW{`VqV3>-XJm2xsCuTz!glrsF+a^Jg?6ewV$N z1Q&Jr;)ev3D!8J)=kb8hPRW3k*tB~X$~wLpBUlmn&JICla@k>KyZ>pEenh%N#SG9a9vC5@iE)tU~CA_m=DojgOg?Aj9YTut%#9$J1W-}u9>x2cJ~%8q!_HszrMV<_;kVL3Y>kd z9REO%!TKlSqif3_;Lz*B)y_2r3)Z8B7e0p@;pljki(eOiX|3B1q|nkEv3BNKl(@5) z5?*z1-1x4izE|`xx%bu58YF@c>Tml0BooxR7DPqJh)>AKckH_I$8{yF_f<&mD+NB? zKZG&X!OVF){7d!t9=w&gV;1Wq|v7EO%xY+@3q{txUn)b{1NMUoR6=^e*J!jq&Od zq`4^6{Rc$SDT$Co&m|7|R3O3S?{es8Az`m`(*K*F!5T=!c_;4txme^nSrC`4K}wo< z#k_Epn;NG)AE5mff_*Jvuv7R6|)K0H>*jPF%*F=@M32a;2y z7d4MJWR7_Tk`Zf8D84i?xZg6!e!0MhQQ4r=3-3;5JZ|1SW|(y39!SnM(U8$BlAnMy zD+YgXoMHF|q3LlxMr!DrVSxTZqaJebg|sBaDFuV-{Q*5m#FwiRilX0j4M{bg@Fjjx zo%TtL!p2onTAtTqC5rXBw5Hc+Gk)gT=^HX)>~)$T)P_E7k!VAvs3BLaQNy~LQO_V6 zdwxS^K_>FxgyPVy2U8uChW=lxoqg5e6Nco{DMJG1W(*3 znGtmv2^3Y0gK zfF`emWf=edzD-Q!n*b;^Vy6oz)_1Qo!e7aZIMor(DZy1b&$`M8sI=(9MouW!wL4!- zYqmk>+LD-F$3sR}ost+kTsh?{K#4ph*51qT+u2>CjW;T@$i4z_fn~ELf9Ui+tmO!D z({@9ew}XrQ1&<3yG=m0`FZ#6FRzLwqa)U(I-SF#QCCq@OPYGxp5!%rPJy6U+&7Pg7 z^wZfX+B~n(w!7S)3M|yFMAO9oTPAgsw`7u(BGLK6!NKTd5SaZwg!@CyiKo__Ce>x z#*lws(z)9?$?xUW3C|~K=7KldJpZ#HV|R6uA2KcQGZ}GSDhql2Ey$e<_h=y5fXrt` zU;}v`Bw}#7ArlN50nU2vtr^HSkdysdupXIGTd)mYK)3BIMPK`R42t_Hi4B>{u65A2 z^Tj=48)6)>4ci$D#xe_{ z79U7b^zbR55(~_S&Ps{#1j4@wF#STpjJ%$%q36%2`J^E4;zyM79|`0?~CH3G4LD&KC3L1}BvK9n8(Ot#vb zVk^9{#*UTjxwbF2ZhkL{+`6aFs618E@nj}9o3*D6ur^5e(I2es?5&YwEhXtUA{ zbm)3*XO$l6ZESHmZMD>GbqVs$V}fdJXPsQXY{48*uTP@+h1J~Ye2wN6VcCHwCRAG# zyv!c$GW3F=z`zRY+515-zf#^_fbm+XlX4~${lDx2D$u&2C#8g-S9~qZ*TBep7+b~N z^$0mw{hQ2-xL|yfm+$h3M4TaL=72v2=AWPQ* z=Jh48%fey?8-6Gnu3wB2i~cZe4m#saUt(>hOOk6 zNdQCtWrz#0QR3DC`wV(6pfgY1i7#i8$yvELf+WIp-_nx9n;3YLytYg^kjQ+S_;}od z4j-aZ?MJFYcjkER1NWH*6LCIMGD*EPmWoBTB_xszur4l`pBNX?XXfcN7?62%r+%&Q z^)S$Yy`%Vx@8u9bv<$VY*u1aOX(rKP_)3L6Pmh-P5c%dpOwAgsF-0hBk63(UA%Re7LD(+9yb)mdTBzrJM`z(w;TPeqjj>EPf)q-+Wz)~r6xWu~%j zXHX@75zM|QGdWu|JI~L|SitL>&a~izIp`l&UWdVeS~|)ooJeu$&L$djejp4GkW;u} z6CN4Z%+Dp=x74KCL}V?vLzWRqUOpHw8P}b?^C_fWQLhY7=qHm*?E1qewGV zy}qWEhB1D3`l^HhZL$hCClfO6b$M4SMr;BpxwR&li5rHq-=DL9Ek;e>-5D|$5i_O3 z)EzVhO%HK$3Mko0*jAyPFFr+xSH}VZw=@!2Nk;B^<>G21RAP>DM6i@k|B3Sgx)Vnm z7*(W`2?|rMHpjIEShED$_I3$iA7>+PfX2+x>n{RzLPW(25st_+?eX;(Lo)n|RWyz2w?vNHBg8s0 z*Lja|=peeSW6?W$6drpHwkp-H22Plr8?e7LcNpZIcf@BX_bL(ql&5s5!T z?ZuZ-L(a(sKU@!=Sz*p0ZE3XE!Xjj^q_n%-WL?LMb=+$eqo{sxY^^O>mnU*@A{H4n zaI+*Dj2cA55ZW$$G5FrP|A@J%%e)P%q3tf~uHw%J7Cv(9f^cB}(w3wacYBmngGrsS zH;XJLeR`i3cxkddVvz6i*x=(~UL>Wg;M{op5Jo^`JA}pXqKDiTD=JaDL9dr@LKq6k&8{&u_ zzOp)C3FfaF_vQ<34OfZG3C`~JH1i&IJizb zkpoC2CT^Z+2nojaC}f5dJklKY6~roGg;pT6txQOemjaY6!)S;`5FW&Z{&I>{Tu5?xDE5%xtzg z0RXE@sKaLW!5efJP8?K(MI1`p9~Z}13%Fq8>Y@xuo;DPE=hgi*3|SqZuB)|?)-$WT zRABnIE9KrD?RvM*$C|3ltU`JhXYCjzGDCZvh2r;TAiNWy&V#X|p}oYs&NBsHbX{g_ zVnA@3OSNIh0r=$Dr<_ymjm+8!)?hr`7{zW(tX=(5jv>nOcyHfxHnHY8G_>Hgd)zrN zlFRIDl0=dcUr+;hhxa(JnsMz}u24FpYtOkV)6bQ6ZvWSU#Hh|a~b)+$u8ZYq)M+`rXzIpE!(-0jY?P!*G>WaMn$CXekecZOc zrnknDYp%4WSIf40x!!hZjUI`NkbRSXDIU|uq0KGk^6G;iUuN%b4GxQ69xeGpk|Al_ zF_$SLF~{r7v!4X`mgXdbT{1upoRd4pd@#N0sEL=ZHD&?)nZ4Q1py>-dVj8$8(vAsj z$;>#H*2)@`m!Cr3@jD+KCr#w#c$;mXxe^AHdH+p)HpVDB`PW&%BbC6Bo^HkQF2p|ScjBHg5XwP{-4+vZFcaAZNnp`0BL2(#>sU% zU?7f017YP{>g%lISN9A%*lY5U^n!3N>!&jzJ#K`%3A0D9Vu`C-snZBCJVMwC2`s-U zOS^f%{4jb$IWz%Na{Zb=pvK|NQjM@y9}t+jdkznOpF}tR;9pCjIn^qu^=-N%c3njv ze%+COiQ3p&a31|8`Kp@mQb0K*VX5LlBfU?5_W1Z~Z|d6&FS7Y`erIKVfw?ZlxbUj9 zT?8KCqF1J3Z^u-$v=|l)^@wG3z)P%7yAd!Z2_7%8?b{+=1FG|1P4n8??()`3YB+z1UAXNLd%II3zDSWsC|aVUw8LzMI@Zrec~61c<46!A z93kf9ftnX*x%+j;t;b11r6B9jyzxzOP}u~f*LdBl?Q&G5@80gEuev@}jsOOteKy)L zAiTR_^pTyI;xxl0aHt5!vw4t^gJT(7XRr(oep&_x6F6UPVymL#&MB zk8obH;xfHjW=Nv!UYi8Q+k(0uCijqF3a**X>0ZlEhJmWMo>z6J_fn&etiIb4 z(XX#t4wAGiNCzJb28#89(NDF|HEBTZRTf4D=!!Iy4-+hpY!MsNONZ=Ejx8oAv}$Vo z3o>Xe|E3WjLmzyH)Jf>8IRuExLp8z^n~?R71Rz8&=fn=b!ay}V%&<^SLg;B{!uR-i zFP^JUW3?={Ty)br-=m0XeM}jY{RySS%rV`}`X|KF^lx^J&L)UWuxWOnri)yNT7hjY z*!IZoj@TE_W5uns+5XbKi(sKTL}~czb@~0mVtniA!y{HL=aHQ5e$&uaMf-ggRs6&h z(Hr&j_)=Q)vU(ERrqv;t!~_59fADqp|D@{#NpV+DO58T3v3hKMGHRf@{DkF&baf{l z@2xyIlpR9uoR2@mSxC7M{W&KuKC(Y|?)h_Z<&K{>r z>)o02p5A0(ec#BdzV^pm#M#r{H<15otMfpmsQOABrb{ic$GxJqTpV9|v7UMIW#oC* z2nCL+_!uAWneCqR2Ru~?{njP|5!S~?C%vJ#ua`zD75|5Pr1sy2>BvQ|3Q4V7ol;04 zyp;-n3XnRJ=Ke4O-*6+JXjJ##jUdc}lb7(neH^P%VMyG4Bi&0ignaOiLa#w<4k7c_ z@pJmzdbCyVG|M%6V7)gt(u#MiJVnt@ECnOQA{j3nj~H(hOCCUuL;kOzV>PtT3JJrJ zdlvS2rpO8YH<}#;d(bFn^iun|16uLr#Lf2Bmx1%=>AL^fF#j4`obk|OQp6em+ee@a z4>ijg`MD10A6gz|ik196x}*sgjEt52%u+NUbVf+9*OiODaNsn(7^d#GQ8=V#yutr- z9Yy|`yq{c(Qi>sdo%BB*R7-G|9s%BM^2Qw@O_X-rR1>}B2UE-_8w0JEXgP8Bj zoFym{SaKN7?9D0!dg+Vw(Tu4y6Tjy8ubVT+zZN63j=7X={Xguz3z%G2btXEK1QG<9 zJMlocfqe5FjBKH?R9$9ef1&OUWct$#iK^}6elTi$T@(YIv6`72L->^EkA=W~C0@dxhz%F(g^y6v^UvHk4- z@js0kdgyCguetl)ul~b}D)q0t`WYX7$>b}>AKv(H|Mr=0e0}Q|n_J(e-{U0{cb~oM zw)LN!sE^v`&ArEoTQ)rSl<&QE{ac^)bM4>y>=XX*laKw|uf6}9UqAU{JLSWzGoRY= zzL(s8V&W_RcI`#)Oy2gh`z|{D)hBC=%x`(p>(Bmm_oC-*cxm^dooBwd{%3**=g&Ol z_L!W_f>aAzjoJK zHc#BJ>E)mQPcMAN#J4~6z?N73)8wsn()PM{lw&% zAG7M|;}aK+p84APmj@H;Cm#Cji_aW?-TGwmqF0>#@&_kwGk`(m7`UhTo zQR8*v&)D#-OWv{b%%4vzymbAqpZ)TWSY7Z3XF+X#dID7F?lbRt{;7{_zWD6S;g28u z%P*gtyZkll-+D>&yMVeUTzkz2KmL-*w}0}~-Rtk!`fjM3`tKu`fV?iA*?;?8TPFU? zP9w)p-7xX*Enr@@{-!U!?5j__>y>90w?FguI-5ScXU{V?y!DH%@6NyO-pfAqoapqC zzj*NZA3OC(dJPE4&u)M>pg+vQnd^cF|91U5o_FR~*24$=JFUx4YQ27T{kQJB?2g4t zjvao|y_eM<-Su;aUw$wAdHb=$KXvbATOR%8pF4cVy^p?Z^7?JRGVurZKj(j4zi|24 zncWv%_4+rRe$Imr-1h!w{>|~N8$S2QEJLV6(_kQ)%m)`TeTfcbs zdyc&Q?r%N){zv}mldt;n`wqN&YjWtD-?{Uxcfai)|L#BE|HvJGap=salD~Q2;)$=E z`t7%V<{B_Z55MBkb(gdz&ph#r+A#5>6VKT7?MGgF^_BJC`>7+J|E2%8`lWlG`M;jF z<7W6%>-|qpzVzf*{L`0q-2Bp?I&%E|@BQxkUb_BClV|?jnIGFQ@$V*nWa6TI7yaQy zr{`Yqv76s;&9A@Z*mt$kJM^AEzxRg2cYNzNe_DP271#XwW5GNB+h4xr{y#ePnpfZZ z)zAFn;p-3I^X|9a@>jQh^S;HOeCWa8&ZqpKf4$<=mYbe(UwJxDyXeXjJGQy>fl4eaY928Xh*Z<}d#1L699Vzpr24IsKRG-*n%# z@BDAz8hz^95tyRWe}2kd^@>wxo_fbyTKC=x7V*u$`kVjs@r%FzSL)!AH@Cm>TQ|2q z-8mUu^6|@FJ+pPgnXg^G_`6-_EZqF+FE_SabLdrH{%u$Tx#2b4GrfOZz2TkjzVpj( z`mL+liva)UIY_)bXR!e#x3B-=o$I6DS-kI_PrqRN(Yv2?-#wSU`TpP3w&xY6E_w6) z-+$eQfBH%H-2e{S#V>gJw;x;By!*00`^v%FfAZk%AGt4h=Doo);otWKuf29Oe*S3u zw%ca^$8EE3zU}6xed{;hSbOVj*ByG*Hg)Lb;phMK;O)P*Zsxo5Pk6`u!OQ;a;BAlA zuDRrq%NL*U4nX(YZyUej$Rl^1nY{ZG6HmBv{~OM(+w#V{&hFZ>adN{Gy7kvhuKU_u zw@<$CzL$mfJyQ7VJMCQycOY^%Jotn&*R6kW`J^ z|I#nZD9j)9uUn@!fL)zD^B>N1H%$Dvm4vUXZv_*bGwOB_hwpzQolui!p1I*kADmeD zoArOJ|8&tS&wlNL6Tk4{i$0&eygGUDE6@JJ!3{6(o_XEmMPFNAyL0`=CN92n{X-Mo zEiZrl*{^jk8r^V5_uE%|Ve#WPFTCTiFW>gqmlwYC;1j;{;CB6M;^cQGe(|yO?|f|i zpWJ+=b;Ucr@WEi@mq%Y%dE!l@PxGCt~~KI&wAo( zHa_vDcYjjfE_OAKG7ry;A{ijE-9eJ1arR2n!L2n6u^zg**yx_?*hyVDRL;vp4bsv4; zN1m^}n&XEr`^p!dbMWEp*B3tc$TL4s{Ohxq-?{%Y|9sz@zk6-#TW@>l3+>-~_rtqR zzxUPucn;*NU`;w2${_gI#JR(2&UuNz;@au0p z_^he>>c9Vj-y8nXEw6p!ThUd^G{@PTGI{tVU)*`ms|$bqQ~aZEn0n&m8=msI$)8E@ zl=EAff8G7iAAS8*Fa5;E{{Qj4&pvJDuD8q={<`_KfAaZ_@4aX0)AfHN>I3gS@ZP_@Z#&q!Z@>T18(w_Tubh4U>we)&>wkK~ zZ>KN+z{IA>nHOLD%m3+`*ZtC$#(#RlyPx)_pZnB%#$WvV_dN8AANkddQ;+`V@4e}k zmw)LuuKa@y&-|t7-}>+iW`qCm-0zpu65Z#?qw|Az2p*F~2vHV@yi{yQ_T z{`B2`=fCi4Gh2WB<|}@E{RRl$KJvg{ z{=%6rzXE^Vdrx)a)n~r{x#wQ}AHns1@ZG-vuFb<=126K4UkAry;Dw{Dy>Y{XyMOlu z$Es?}&;Rzvf95H7e)Q+Q)_vmG8^Zr{%bkDxv9CYn7blNZyY_#*@}oa@{N{x}{d{|Zx2`!i)9EfW8z=OCwU54NoeHXf`8|{>3f)iRS`~kOZ3O>O4`TE0#QZxo|E`&T z3;p-%fe`jLX@3jtZ~AQbfA$1nj}Z0@VGj}Z6lqV9_7rJPk@gg6Pm%T%X-|>%6lqV9 z_LR_`653NjdrD|e3GFGNJtefKg!Yuso)X$qls!e+QP* z+Ea{N)q|QnrDjj5*;8uvl$t%IW>2ZvQ)>2xaQoC_3mfs!sz z)CJ1AKw%ds?E=MJpu7tdc!3fxP~-*5yg;EBDD?uxUZC6y6nue_FHrOa%DzD17byJ# z#b2QO3j}~b1PFwHKnw^3fj|@pgn>XD2n2#aBnX6pKr9FZgFrM0go8jl2n2*cLeWC(Ar@H35X@g|+lcS_%BeW&)F-gk;0 z@gWf(67eAs9}@8)5g!uqArT)E@gWf(67eAs9}@8)5g!uqArT*7GL4Coh!2VQkcbb7 z_>hPXiTIF+4~h7Yh!2VQkcbb7_>hPXiTIF+4~h7Yh!2VQkcbb7_>hPXiTIF+4~h7Y zh!2VQkcbb7_>hPXiTIF+4~h7Yh!2VQkcbb7_>hPXiTIF+4~h7Yh!2VQkcbb7_>hPX ziTIF+4~h7Yh!2VQkcbb7_>hPXiTDT+A0gr+M0|vZj}Y+@B0fUIM~L_c5g#GqBSd_J zh>sBQ5h6Z9#7Btu2oWD4;v+sBQ5jxMv zxkk=6%A6x>-$LgeIseExNX|oYE)wD+M0|vZj}Y+@B0fUIM~L_c5g#GqBSd_Jh>sBQ z5h6Z9#7Btu2oWD4;v+t!Me1wRP5b+TrK0?Gti1-K* zA0gr+M0|vZj}Y+@B0fUIM~L_c5g#GqBSd_Jh>sBQ5h6Z9#7Btu2oWD4;v+t!Me1wRP5b+TrJ{00ZAwCr1Lm@sC;zJ=m6yif6J{00ZAwCr1 zLm@sC;zJ=m6yif6J{00ZAwCr1Lm@sC;zJ=m6yif6J{00ZAwCr1Lm@sC;zJ=m6yif6 zJ{00Zq5V*Z4~6(ph!2JMP>2uZVh9&RxG2Jf5m_8z@vB@U;X(-)OSoVH@u3hO3h|*3 z9}uw_J)jUD3h|*39}4lI5FZNhp%5Pm@u3hO3h|*39}4lI5FZNhp%5Pm@u3hO3h|*3 z9}4lI5FZNhp%5Pm@u3hO3h|*39}4lI5FZNhp%5Pm@u3hO3h|*39}4lI5FZNhp%5Pm z@u3hO3h|*39}4lI5FZNh5g|Sz#7Bhqh!7tU;v+(QM2L?F@ev_DBE(08_=pf65#l34 zd_;(k2=NgiJ|e_Ng!qUM9}(gsLVQGsj|lM*AwD9+M}+u@5FZiZBSL&ch>r;I5g|Sz z#7Bhqh!7tU;v+(QM2L?F@ev_DBE(08_=pf65!#Ok@ev_DBE(08_=pf65#l34d_;(k z2=NgiJ|e_Ng!qUM9}(gsLVQGsj|lM*AwD9+M}+u@5FZiZBSL&ch>r;I5g|Sz#7Bhq zh!7tU;v+(QM2L?F@ev_DBE(08_=pf65#l34d_;(k2=NgiJ|e_Ng!qUM9}(gsLVQGs zj|lM*AwD9+M}+u@5FZiZBSL({h>sZY5hFfg#7B(yh!Gz#;v+_U#E6d=@ew0FV#G&` z_=pi7G2$aee8h;481WG!K4Qd2jQEHVA2H%1MtsDGj~MY0BR*opM~wK05g#$)BSw70 zh>sZY5hFfg#7B(yh!Gz#;v+_U#E6d=@ew0FV#G&`_=pi7G2$aee8h;481WG!K4Qd2 zjQEHVA2H%1MtsDGj~MY0BR*opM~wK05g#$)BSw70h>sZY5hFfg#7B(yh!Gz#;v+_U z#E6d=@ew0FV#G&`_=pi7G2$aee8h;481WG!K4Qd2jQEHVA2H%1MtsDGkJ#elaE}(% z{E((gpZnZ(Bl~ZfZw4d#8poRJ;NJ_)xo%+Sqje+oW~aTlFx~6~@b?1vXJp?(dwQzb z4Xz#8H@+hn+26de8;nexfNRI__icym-`vrLb8I+##TDyD_B3Z&jcx54gKIVAl>jh7 z_z$le8H2wDckBel16VgQIycvbKUw0o4p$<-8yhIwk32FJj9l5?-wsB`gKJ~N`pAw} z_wc&>1v;iJxS$?{Agb`TwI?2{{_8czR(QP*b>Umlk!KwBU#~g2!t1q3)Zw{Sr9emJ zPe_c`DLg@wBk=v|SUl=`g2ZU4!s~VLBj8i$S5O=H0Ht1`Wnn1Uw(#W*9L#a2ZZIqu==6|$}0^X_rGn9Ih z30O_-K_`Gr_$+-V7z11Q9`sX~6X358ouCzF;R)u`1HiQ<0XDe*Gn9Li`Y8b2`YFIa z??0i`o78$tzsUr|XYfrLN1^a3%zMzE0lv+_&tP1O;uG{!04B^+sHE>Hj5AVvg8nY_ z3GiKj|K5K>sW+*;Db3_-?*uXl{U?-qliKglF{8HY5R>+wP)a6sq^+Yj9qEFu>N~+W zRfX?C`+S;T)cOJV+Wr&D$t1{vK^Az~gYsY;x8lzLexi8~;ExTy2jl7$p8y`KX1x;d z=)gZT{lJq&0VkbuS2 zEV4!xu-bj!rg3r$PtdWD#)XbO2618B;^GtZo75-3n;bmBIMRhD==c$yKhSa7pgb7Y zyYK}46b73RAq`^FIP0ZOFyAJaxS`Kb4&C}qYKhV(41Aj=ASnC{I({}M==U&if(aqY zod9}DzsW&OYl4o#6O26Q6W}R^PAI1*wLIt(v^)%0923|Se+G>UeFETO=!8;wQb(dj zCiMve+TH{lg`dHE7h0o*ua{_P<11F^LYRdR95M>*w)*flURHp!$5+~^QAOYE$uZ5g% z{yn6Ix+#2<+9TDU!FZ&DpP|&7)Lem)NqC9@nM@5mRQwtA30k7`3H=h4mWy%BQ@{!O zDTYoc^(M^;fGl%DKQ_~HF^=YX^?T6V>fn1YG*{t!(CoH;51K_DdWupqX+DEKL4SsU zH(4&m(afs(41m$06H2{F%_W-?Aod+Pp_HC9C+Ih+PZ;LZ1MiVeo`5R`YH6A9C!*tI~INhBM6C6hw4D8TRPnkT6H>eEr>VjLi^%>lsW3>{EXBn^)PYG#1ONew?+_(g!0 z6`<|m`r5$fFZB+A!~~a9KSDqCks9{5@a}Lq_&ECVep{KAi)(>SEK1lKd6rD`wV4c8E|&s00>5)9I*d@QZErcsIJe_HIq=c)_*`L`2#mc7X)b* zG4B5!O2#p8p?(GM1W*9h_W{aq&{VKxV(eau^0E{f5TpmXN>dxTn#{*O@VCF&D& zm54q8s+;;x=)J{YWLu-tG!*~H&gSgNX16un(7Zn;6ZHQvf1Fx8+BN9~on#Q^N89J7 z+cT}XW5LLw*4*e^r{#W-Bkxm_2(hSJ@?M+w9-VEyc(Hj-@7cr3()Zlfo}D?DHv}HU zLF)(K@Z3MBPRiIxWGJGJ@<|F zq|eES$@G}lnF-16*4z!|C-vqusphQv=%`$q(Az$_QFha zA-EQ#6@G!h>mQCnwko^Hbhp)>3v|Y4SL0-3YI>nH4;ianQ^9jiHWq>gFZ9 z+Te6BJ=r+X+!Ac4H?MC(8f>}=KY#YVL-nc<8#V>g^=5Z*VQxz>w>Uc++_EWm!NJDt zqW;U5g$Kjs|K^T%yF0(og4Ed7VEMl}-smAOa7Y_@&0F&oS_jy7k5Q?2gtU=AKOxLAMWiLL$y2o3c$~>eY zCl^oX&)Hb$G$-b|y;CclS@=xwXLGH-XLGjGTRHK9Mna$)K}gE zjl+PvK`#Fn^APBCXXYC-oh|hvRp5=5zpgwWc8^Vs1%T|8LI={_txmVSuqo)AXaL47 zh?f6UIdIg21w3G>OBfsl;K+Lywj`n0LO}Mt0v=mbsR2TC4nCEYDxr0#7s#vwg-VtZ zi5_@pF);@H1!)0F$NP*5BjZAv4c+ABeBrXlfL5DIRr^jFAkhC((Ggk-HiHly)_0P% z`A=ieQyCXLM8B;#Ftsh{G#6SBZA`c4PBs_1 zP4K7=jMn#W3h?7D|3Pyul|D;f8BEy&p<2)$7=Ux%T)4h5-CXJ{_O%LpEmMcQQj-GR zf;JpCCMB}r_=PbkC1VjbDQr@fGby>OY59O0nwNDX4wQQ(sX}H3nvE;E!7NTIz?agP z73*15)l$l@7gadpa^>Xs@_#XJS(g8s<&8+cQCJ}>1)uohU{i2xp}jaav-~H@Sqo;T zkQVb}r%W@-ij6{5K!A~90m5)%i*P`cjKO8JXL(7=qreeUV+%KRx{cW*Q+vjbK=*RA zS?z1hfwv`Zl$Gc_d0fy(J*;y)bmU_wa@>T*`JdKrr(Et^l zl7s>QVqhax!Wp6`{K3FtI7bd>I{fh?Y3qhQKNC9Xle z0wEYmdQ2QRaNw|P;NX+ahUzkl0Zl+bnUSz40N*JkMgfPkFPJ82-~dxLD^(4LYD5hO885}0Fw@F) zfL?WgW_-^|LueRK0Zc1&W*FbejHw7ApH1jFm1SCg=v*h5-?%(}`{`7!cDj(&PG+Xn zO2Gkr3p$hl%b>|t)5oH!6+Q5RhFm&OwnRKpyAO_uD`8^xm4}v>$)(Z}Q+xMshGC-1 zUsygzmoJnZgO4N%O11i7vizsuti$SR#dCeA<+BcGBcR?%4?3}|j?0B|r@ABuj#X({r4jTP{6k#@?>jMmQKiu9E&E#3oa2lI zUbz{@OmE(QC5%m2{;H*1r~Oy&*tZ$3JR;PMLY+8qWMB1M*7b3~>{_^k;;!yhW~0q) z^OY)n)Fj;W90ABS=pn{byiv)DB<79m&;?Gl6{Om7lfTM`0I=xJWS$*?3XADX z<{73bQCF)LP>{~`;lwj1o=Z&JFbghLZWIs=XcjUw#Lz!YWf?FMC^>nC;lOYx0|%cb zYS?;Xj00=~FxfTOQe%t*Y$u~O4yqva#nypa8T53ilJl6%x}%j^2N)2l`5_1sfM$XT zuJ8xgxB+8l=z@lV9Dzf@p;{^n3Lln?iKq7N+dMI`IZ{WYgibe6g@DM0#_9QLd4oM6 z1tnG-O4EhXxyildV+dF=V%i%NBo6c(RNLeOt7IslVZA0*5+KZ8^uQ6IZXCJ2k^x(j!o$d!DR94T@L_;vcpO zgjT_)4>|!fq>x;O6}^UHO(3sUgHdF#l#4o+mIY&soZGZn$b;q4u>9XFUyIi-$t#?f zp+7QDakXP-&wHZ5EJ0my)R5>v0o+_uy=_+GL1vAzdb=PJaMJ%nSG>)mDj!D$NM?$>A^vP@l3=6QUyvS{YC>c3yjvjX=B4P`HgUQdoh45*NhN(Z5D$HOqo{?U# zR1tQyV1w$i0b0O=Iy5nrB9O!~ zz7`aiQ$b-&6-2|%(~^q?X5$A0^5mk!za5PBTX|%X1@aaxp@1q9 zvyPbzEn!L8i_yYye0~k(DjSxRPd;5o?$Di=m?$2icUV}g7aApGb?LiGg5^YOdZ7*b zdzNBEdxO)KvM+Gakv;nA&D)NQo|r%0oNLb>5wL_c6*alJ3M1f_Khu{)4rLStT7&Pm7?-34#{q zr$I17$cdHgcVgRjE3ibp!9B@iy#p}E|QMuYgrSchqoRE&Wk-Z-1;}Ve(tQsR@$c*tQ$g4QNjN=oIPfElmkzZEcrXh{+_vkI%u}&4N zkCmP1$;N|?$2m-`M8by-#)@LZi%Ue3;-zQ;zq1>R7skuE#EVbjKGy4Jpv*<+4VFO+ z!?JY4il7{lHC8rzBA4vUSUwL44J+rX&PBw9OFWvL>4nyOx7D75dJirY{$VN=j=U20 zaRMk&Nm!}E+#ucZ2*cVH%-SW3OLmqFlN6YiknaL`g$an`*c>mK=J z-4$%!sG5lox{^h~e05>wL6DBnLV~xTlEisiTr|%`^UD>@M?Tqf1qlsXG+#4Zl{O8g zXr6M?y5*`$mUJ;$#bi|(vdSl!uA;CKLNeX#82~p4W}`sDB&x#nEGn|9UYe}Bp@7|8 zs;ZH9m+|hh$R{Bl!xnHoLCYk-A#g~{{H(AV!Y(r^XdEcJzHD?KG`=KPZHD2f`|DR0 zxK~TTL~pp1;pT!+B_BKJ1?`2zzF z>tr*4{s4JtQk3( z%>V-s0FNC77h(pOETE-boN$>qSnxOVC|F98dUz=>_x)M?6%M%9AGy3KI5yip+L#4; z>r``LsgKVdj88vX!@6jeN|v=MT*9Y0fQf z=L+M*E0YbaiMp^uz#w5gaw|@1rm({__EMD?8|t=XI*-dbxU8c@Sx4fP(uTr%y;sgo zfrw%HoZc&E3VIK zoWq$ZiC2ys0HT}UG2n<`#wldUFG#=<7Sw<^*f4X&%++$tRpOH+k5ZwBKS16O!VQ?C zo$9P#jjoJ*XGs@pwOK!yghDt7g{Pnd%~|rUB3QxWQ8kER1`f}XvGJQ|hi8?Hwj~eG zy6IGVVMg!w<$T|2^L>d|c3fA5xFQh=MuWOYq@=I3T64jpvsT&>Ds-Y?D=glAwo4gw zkGDFNd1!T?Ts-l^yDBm9NqfUMLc>jAZ6N@GdR3wj`)Bn1gaaM}Kiu;f%+&9R{IAQKTf9J85cBoZJ2=^v7I(6sdg)OC}8&FN~LSi5IT~J7h}|2Yjg!+ zmfeK&C9AVInCD==Ofc`2Y{%wWEK+pFgLbo9frszPt++~&jmNn(9zN;zjQrATb4`7g z-t0zO179*WVYo0{&Lv!Y(()1XOX&%4wx~CP#EG5&cR`AJWl0L`G9eExPpRfPu;u?I z*$6nv(?G|Frh%qj33tFjY!`rn(Ev=qKu`hA>0}#xt|=Ly3%O@`kE^7_a(t}bD?pfHLaV~+jDyDNYL&~w`R=- zlBM1O&sr>)wOI4X*1L6C5iAGNb@aF{D}oUaR9%Yovb(B9^@gPcqnEu~3T@|aUDlY4 z(rSTs*^eq7>|m-aVL;-AD6g-1rSHSU&|j{lJyJD8f6=$Jm(1Za{l)ZG3Hqz%lbctt zup@!JP-rYvT1oY8MOtG~GB9Cd!Bc=tJ-=S-=Sup^PXVg=dEd(#jf5=bw4e3VR+ zFg_R`tHFm)?p+DH;lNmoKtayk@LMsAd#9d~RWgafJ?q@FzFg0G%_pTECU$m%T~QrE zySka(P=&%2Vi=UHkLNufmj{f4WpUt@O^?%x>h)E@`kR!vuS%A5mbeg$lY{3lIau?_ zr8}k;_M#i676v`&rOYt!fsCmgQzi2vJn5mylO7gYbH`vQb9K{dCq2}Ba_K6LEOA>) zD+$ys!lY)bTLkz}r8aCEA~Uyrs}9UIwawShHjXWo&EYg(QJT*uqaN3)xfXW4RE@fY zy=*}VyISmOokLekRDH7IF-9iXB;q0y+9r{L)UvDdWxz0C&M9Dg(&QOnY@x3M3~gb2 z$>4-5eHk$46fjYXk#{*Z5(aR2B%ux zg8-^ zYL>3oy#Q0QN>*R7g<%VGP66YUPOn9|ZHBNU-A;@rC3saqticwBEzEhbFg_Xg2zEfL zESQgEtpcFAFtZ#)$;1egyiD>gOY#bzTzckenbctBYLQwFQIg(eSBqV(bLnacpIo}C z$s7$FRg()w14}j>c3T^lEaGx3zh+tik@m_-;3$S+Bsxa7h682-nf4G`!%;F3!iIwl z$2m0|KI!x*g#2L-Fi{E>CNK(~7BC#6uY4jFr%hI!HW5Av_Y5$W1$KZTO`8ZV^JT!$ z@0!*f=l(94-5aXMm6CVtvVCE=TnM=Mq~)D`LAW^kQYGs@94i~abNs^b%Q=l-ypr-R z;J5CWvo5sm7+0~deYp_!Wi?58v@b3xPiS8hM=uPQ3jr6Olzf(0(QB++S_KNhsC%wt zNgKoYc+ST!n~#^P$;YG7aHWrgMx$s)E!S~z9oPA& z#2^AtlA0MP0t^bZV&Vyi#Fh*8|5ueOCV4~XdrC@p%ly^a_aUp!E zr99}K)9t8T_N3U8THcfL$%jWUF-w~YSe%~ZEd{J)BiyW0FPRf(OTm_6RhGgh2cE(h z2febhQjL``v3Iqa1hL+YkkqKwBJ7wLFNu*It(nE?R%3Q~tCAlw=eDeuyV7^)oI5?( z(^$Bnxg>4WGkQ6u>3V#g;KUESj_IZ%x+(NYd+YEas?`FRlm+WRK=dWFM^)i>P0vyZ zKJEi4*;dQLMtRt1nPH=$PhQ&^0vNoj@`fN4z&?>0f|As$Q@Csh<`2y8Y0fQfzp(<_ zjAw6x4NLI*rl7H}vCuft39j3CdCQv?%$C{IiCxWfPd}E>0oS5tOPOWri;cWP`xmMq^c>(frp;sas5)3^L2v%`| zz^e=30hMfIV!_|41-vrfX2DDI@q!<2%8p*Z3v99~OKT{L426 zS6s0Uer1C1lWDesud0<;yE;zrfh>a1monxpS@z9>&w@WJ_&%9s0Uf8X`7(kPu_}-v zhEY0D#7ehe=0Hh_Pt@qnF{z?`hgR<1@1L65yKl3s9)U3aMhNjwoH()%kPg2ue^z1DqNtl^XEL5!t^j|-V zRLAzTO+n{GW92QN+~2mk@#V@V&m4(LQmg4I?4$x4zJoY~8ar4BAmD#UT62*Wh_Q31 z*j%u=C}l2u($C;60Un@_Cxj|(?LJkZDmws0NSTq?RWc*7W46&bzLam>tLn_#jIs9I zTyq-whqvr+9NUb4IrM&Lg1gOSE!w+(-PB>Su*c-r!BKbJYlu3YL0PN5W~P?S$f zJ!{?ue-A+HnzvK>sW?Jam-^m&ICaL2xnt&zm*tL?Pl_FuYY1c2QdJ3!RnyZxq@MCY z7Y0ooDqB=_uneQo#`{`dal+I*pL)6ay~Cg|WbUIErjYaS0+ z>P4^(DzrgfX?#rEC`i+2=()6wimJ5XRkeU!a2ANeD-N$pg;zege4yb%LpPuyf|kCj zp&M#sTg>uDZ!K zCTd7M-kKUCui6AyXAq}RAQceI(Ay4$Y_Q->Mqu{nux$K4St`Fs zmJ07UbyAc%iG0%cuHz+Cu%jTCItigjjkuIqaBUjX#a&%_1k;2dZdYy?ktY=v`DF1G z)G*gl5vZ!A!oWXD;SW&JOl=pcB{TV(qk~K7%cbeC<^N{+?0kQf**>kggU(GSj<#om z>4hc~e073GaBQ}Hv@sjZHjXxD14ybL59S(BPj)eU;)|F6Ae=NgcPQ{ls6zvM1Ogu@ z0Yxof6)XzCXCn8GswJa^%|brzU;rPPaZq+N-KwpDPbwY8N5dFt8JI8`iW}of3>1<| zV8}YTIVf4LeA(m99Ka`L7?8ohCx@;~SGv1T+oqx5iEWFW z<;jEMz_Iez-U-5BV9~Jv#!ri1hrH4Fbv+nd{R6@vF+a*eNi?Hot+qJyy z@=1Z)*1T)E^(@pdA!dF>NfX<~R1qh`X@Cngus0 z{(_i=qO}7V_ZuE8sHnW9SV5r9~$OIaW zpbZb^U{%xex?^p!srNFC)W-|@PGN*@d-f!ZB%Et@r(wFI?mZZ9Uf)=p?Jng6apOTz z;{oi9@!(mY6Ak92gMdVkLxW+Uu%S%1Er)tHC54=f7ZlX7m~ z{fjwu0#YMM#H7x0vce_OtF6>cywZBG&9(;HoHM%vewkJ$WM*e-v}nQ)E0lAsP<+x} z5(FhlK$BDz%m;wqouEKzD~Upx+BRA+rvYec2Iq}Prfaa(|iVzfvng44Y*$@Jtm_(tBa=ddrbc{}qQSqjUPXa+eyko>f z=(#jmyaW4Ri0sZN$2-Jg;zO@H_e7f+6YyB~M5M5wm_(a^ds0BFv)MV`3<~=v#Qup7A?w6M)C?b+867Y}G=%JEK{+LBlXI<2eE3+GF?RW#tN?{7 z!BZbE=<8!SIoERHL&yRj8`r8ppg>=PX4+z?D2SlHK_oCZpM*i#GcmQ7qa9M}KK0>b zVf!E~whwBWXp6ypReIhFY`BMUU^G-)eQftt?ZqXl(>OA|)Mb7u=US`y(6Y`+fk@a? zwK-oFxD^^%;WQ6%xl-yw$~rMomTJp?+addCk% zl80*xh_wk+M6aBVjdU&tN>E%)8+5FLFAK$wR3Xp)adu>h)rl7$>uOM(cY>u#bj}I$ zvBYBH#m71^ap@ft6T0jU6K%v|;={+n1W42Ag$+CrBzqDFlj%Bm4(uPhiun^#{sg{X z5BDU7Eds!s#I~d@g2HOpdIWzat7#kO1P`%7@nK|pC9;^|LAyhj;NhwQVlnZdV>2-U zw>4jIugHR8)+WSa;>E-|FV=Bvs7>f7HZG4P784&PRu6Ql0(X*ScXav>svb#`JM6_$ zda<(V!^O%ZsX!($h~YP#29z~u1dIZh^<7QV^Sb^4%VS3&3&?^(D=0o}ET)TLfu>Ct z!$b?14Q{5YJ*k%> zt3H&hOn^I)fdnQc*X*Txz-T08>ekbTwzH%VONtLGD6=$ zn=_W+g|3{Gl~C`f^!%+P?wf-GeaAF%GBtknp55%G(7GwBp=A|RQtLq|IH^sAI`SbY zLg6_m#D>R*mUWX0BoJ)5$psR!K7z!X1s`Q>l>0}ijgJpEE1~9Iw;D@mHO}{>L#r`O zU7I#OJK6Zq8Xq5e)^+nhuE$JkbKN|O%AKu`bIlU1^$}j|ERG$#n6b8#E7ocM6Fjz$SAzHJ*i?;?%O@L(HNt>r9UfVWNQy13KGN=Mj+Pg5pKZ+Tb_@U1CTS6qK+=)gK6g z!qG9YH|xdAqM$%d&_u^hP*SQ{p&%$crkYq#yl7ddJTY4|bhSZm`moOZ)Toj@bx!tB zTOa8~%|h)`8bk3CjD5@}2B9K_E-}b?L1K?qda<*hR-mpRTMFlv^N_LlQ)5?c=d>Vc zT2T7Xvl6PQ1r+1!nbr9%PGo68F2*O1ks-8Qd6}EzXTzG^M~XbYruB)6mpJmFwmhrh zXI+e($q7}6%z+QNGwa3AVx57DdtCk)0v{TEQs-F))cRx<+-z11>Er|&IB15;*2lU1 zn3hP9KGbZ4vr!_uWU@CKg>1_t%L%nUSp_reYVdV^n}A_FbVc~}(Ub#Y+Q8V0n6>_i z>;B5*gslCm&Rc7V^-1VM$~wEFd3@mSGrI$sADViUDXy!gmJ=UFRu5Z}x=j$)8Rwe6 zG?z~k@9;7WYBBNQV+HWAdWw!)TZ{CGfC>Ye91m;`y!G^6Zc(Qe79UR5*&dwV7NwwC zV4p@vHo%R$zvwI6Agi}>_CAvoyCxw@tCgr)3qrCPZrr4P0VKtTm(>AJqDS6aH$_3l zSVF>x&QF;dQJzLjEGIs^tn*}Xd2PnG0WV8dFgwOYki@1(`B1Yx%B`>U zSdyuf@t89`q?sP&!_1;aku^I!Gy>*cM?4`kn3BzeFy}TTxBH{OCVZEwbg>YHBg@ zVP*x22~N=wP?M5sW|nM<4$p+7c28h8lXrESP9Zy1R`128Th%kgnI39=;={?phSIpE z%O0^{7B=_Ds455xW?4?C<-~`R?a7HPm(ApatX!6xHK^sphmLjr3HBWK+*qofV{Rp- z784&fHnJI>5EeM;s5sLmWYNyPofAyk)6yrA7aa?gCt(Qw2{w~vl0w!@dSGgMoy#DJ zMa74a#X=OF`O^btGo}qp5zV>;ZaXFqjeQtd=c1rS$tV;ujlz@7spTa0VPqlX5lNf` zZoFS+ddTMcC40D7mb6$l_Mv1!P9jlLz`@!P_&qtH>ifEyGl8`J2~3<`IVJ1tj+++h zsCzPX!fU_C?T!y6>*NI0N#>uBZPXa$bxy<_O1vscHVjSWV`g`N%BLxP9Or!+#CFGr zlFg`l><`T31WJ`?>h5_hDYZWF;$&Sa5at(X0wt3Za8zgr6dpfJEhj#dY)_xq_KcpK zq?I(S9bOj%!N|7u!c21^xK`-?o}g-fI2t_nxxvW(o93Ir$mn#p)t(DRrh<`OjgyV3 z>4nyO7yf%Hc+SbjLeSXPSZJK+1Y3h^gVVwEWaC70OR!zwtdkSH*(={W3SvX!$WD#&*c@Ux@nK|pa)OgWZ7(|ALO31(NuA+=xv(qG1ZHxA zDIT;tbUV|hxJs7VKk;E?ot!9eNOZ)L`6mzvkq~WDql)7l+IYv0k+ty-y0KZjL+8hG za|X3O@nd9-oH#c&lM^~WmYcn(qb9fGkPW`WXIC@4rF@?+UQp_Y@?@UbB(6c-oM=@TA;LMLTm0AxE9q-fe5bK7;N1dY+~*X?;C zmCh_21b;TyUbpe`pgBvwOQYt))54PU8tiUTdh$iC0ZhS^E~3VsJ)`W_5=YB5AEs7- zs8nI?x`N{S+=?Dmqgv4e_Gdd2E7YRmMb_3-MS?m~Ax;j3$>ynE(4&Zy%ASd-y&Nj{ z3{+5T_LMVw$GhEmXZafQ^Of1g;@tG{1NGgxz7dmeK0Iya(<<1Up7UuVXy_o}X?H*c0>{a;Zf#ig;b|SEk4+qI z?4R!ap_%43D!3V$RHcNlXJ_SMvXc{#3o`|yT7#ZsJ?ud9{2{^gB_4x8PUnYJFOn8_ z#bMWarcub+eMSYh4-#t>ABNUx6xHr>>bCW0E$?np(~hHi<`KgjFA^ zHiVJ`U8UlBlQUT%b5_Ro?%rFkZ{NXIh}H`E(6z915=eX#^Yj&}NZ{s3Vjw8ipWMkt zh}Z~u@wMQXNT^haz`M;&jf^CW@}d%zy-e5ATOl917FJ|i#R7Fqnyz)^el;edYq<&N zf|N^!!iTYSgNv~c!L^~%rI)98U_LRkFMJr=I8DqrT2}xEd|aX#Te1qc9Xuw3T26fU z+DuNc{te`$rkgtG3gE`B;^>Do`Vl^St&Sr>SvXvQ8T#!`S zscq3g)+n?Z#fPTVRR%Ef*sVlXAqWmg3f<(L`e?#(LMtae1TD&m!eS;ZCy{n#$%>iw z@0nsbp_LOKcGl?=H}K8r6S9eKb>6^19UA)(vrbOjzP8Og-0KJ;^TLg~ZHy670EcV*Er@NP85X9p*G zsJ&SqUe?J8$VCFxC@O>|LY?R#+l+=|jspZ&umNv4lEEP2h(xrHN+2LVc7I zJ+z4)xf)s)dk3}Qkq{o!E#lbEhKJhl_|URKmnOlg^$46-oe^|(kTCOr#zEP)bAlBL zu|o0TWn}`RA)yjKlvYqA5VSDdEexpyg)0oG>9){|myPYb$PfnV=)!6zCoqYLW)4Q( zbglDnQDW;8dU3OH4H^d(xUY6%q!Sj99h&YQkQMG5B#(STFM8G)Al&ieWJL&~$Y+XI zRZ{B|A9gl#RKPRQT#Azuh?r?=X1P~`+)?qNW-~c)R0=w@WK7Bw522;jCqC3{CMQ6p z=oVzBPoRXBW>h|}z9rWuKFqA6@Nu?|?$HSKS~-Z9Y2;-8IJauh5-H(om|2`Zo27cl z2GH`9eENXLhnme4N@9o9X1(Eb@iVr<2k#=(vQk-Iet}rS`h1d8H zCyc0sT}6MLuj*S9h8M(eOdek`q}^WA)W6c^Hdb@ptD z1;vMM^lcJNh4)ge!cRUe<&w<#-HsiwXI+hTEb{t+9_sKi2anv zhoMC|5vh%QT+5)SQH4HJtWT)r#D}5HjHxSnHQ~77aT->3@w(s z;b;RFA49^BY66Df3?XrR>_gBx`-77Wl!(DU0doXOhG-qwuKlA|Zl7e zuq;lSAnmNg5N$^=h>6Aq-FkXoeeablE3~o_`!KYbtk@Y$stOYiU^*P>qQS|D{VXfQ zva$-2RwQ;BMlV>FL|MWqE>j^6mSITF%1PP?#u3MGr4y70%9~^qh?GiBxOW$?GCxFMif36s${e3WcyNrN&tw(yUMHL(l5DI+1`G z=eV=f$qCuY((#$r0t*VQp!iU;uFzT!!>{$~XBFAN6tFz(%F?>Bi4QL;W9Sjot}Jfb za2iEHix^492S$nVe1i_To09ktvvE?Zz~Y1m+QZByWN6z+%%7qEhlEJsO~@BOQhcac zl~E~J@P@2$eeTXCao8)Q6=NRTzvP z1rp@KEF6Tg{2By55(qdNmex$e;Mj*c_JP*Fm6t_k!jhQYbx}=0xd6igQ)y|O6yA1A ztyBCcTI?XiDYTAxBO5|HHFg!Zn$kM6sTV~HGxC9iaZ5~97=sfl2)CGWPcnIWF!iBm zHJ4x0VjVYL-Dtud$PV%VbYj!v#mi!{tcI8c%hN~TE2>3uI}R~1ORP}5h*@2_1TIQ8*bNG5q56PsuG{`G zt~MZ+lhqKjU}!YqmJzd%_@Sv^s*iK|EUlc>e27^`;6sShN8p3p(0H;t4zZjN%ZU#s z>*Pcycu=3{dK4K_4PcrYjk(H=w92jKMam-A<`@)^7sK6?Q7F9ei8{wq^WkK5A5Sc0 zAkpx|dh$h81d`0~@azk6LGj^aqp%WJBM=OXj59$Yi;Q_AGP$7maI)Zuz@Q7LDZtvc z2o`V{jY3x2#-TB}p!jgIpixv9LUAm{#+gPTi;a1l4!NMLf|CuQ1=(`4z{SFN$Pj!N z9uK)Sm|L8k4KBSjm>xUcZcR5UoyDV_?m}zs7(^-6O@Rom+Zb%!8f2K`^~PxpAM zQ<-lZYfdhnfT>dRjfGBgVy@c@YAT&sI0*i1uD$L872wyrcv*~lTtW~A>zF}I5H6E+ zA>VqM=gwY;ZjG7`IV-eplIvL)Q3{&HOk<)UXL;uaZB9^CSHaD?t(2O>H(UzYI5*yk zOzfv9U_e%0FN@r4h+EdRoJbf=9D)rBiHy$#k0z!raZ}aR@UysWKF@X`BOXu3+dXqH+|RzuLj zQm}l{Y$$+Ep^=mEgo{#o{B7{8LRMHgR`__gJMW0$#{7I`wy`)jef&UuckVb~fHwwL zT(J&*HRop9M_;r~RaZgIy6$7=%t~->Y52DKc*Js&`(g>?#EX}OJsT)=PgNFAX^{t3t}lLSB9F-Y#L_%O66C*aT;os!83hz|{! z!W};3a^l0zxlA98;ziLqF~Q~yCnjXQ8$7?7SWKi3L+dK6 zb&4m^(Xp+trYm0><-{N@ha!FG+05wRSOcd`$fg?b^lEBt;zP>{C_E962h^*2v@(&h z62tHdAycA!4|kSQQz-EDt~@fv!PQ!;SV|UXe4u0nEJdcFWcTcyn&4oEG}wVg3x7cY zYR!(r7r@Pef`KAhnt~KAgd|reKAddkpWyHd=bwHvn2$o&uQA2V$JBD-!^>uJg6k(UIicD< zImP{7)N+WMcYy=A$_^AE2nyL5;TVWo$M|ry!pRAgFsZzp zz|SKZIZ>=nX!VH~N$YG8>XRrndIT295$TgFC&yV%NaaNNaJ0~wuFa0ES%Tl8trT1p zXMSj1mT2?7*4&Ypy_O3w*;*+t=2qxYZs4^@^j<=!Y|WF7mM6GGw$jON^#QynbRz`Tj0kxd?5Ve|+ zHU0^#9W+!5M#k))tksQ!D)J#}B?iW}>Y&G_vO#k|3X+BfkbV?&$N zaoPm7X9ERGr%gt9k|?>+@#12kVAjUM);V!iDN+$RCv0}e*V{&3WbB}zRAJ$Uu0Nq7 z*;r8M1;vMrb^eJXV_8m)w_DTA5*yrv+u-(Wx4x}=yw$19H;y$Y7f%FRgZainr#Ug# z?K!EH&Mce_e>T^`R=40n6tqP?Y%I!&b5NY&p$d0Kcq16KgA)6Yv5sRigADTF4iy(W z%DG}%jxF|~Vl&PjYm{6u8yy#0ALVWhVnOjCV+YL+tkwWF7B*3u210^dG20z-yW_*g z_7sXxd zY2f%+A*pD49!yMbcYFxh#MVx`u-Ih*$>fA-HgcLR_Tgh)TnyWKw0n}J0ilMP#yuHL zIa}N#;!4na%F%nryWM%m@HOV=E3=Kox#{Bv>brBt0hPBgxZ;X+@T)mDqZbJ(7#q8C zvjej&bj^w0QL58CFlH7yc0#&2DO`I(ZgqUfSQqVxI5F~qvkPEvLY1caBsWjzfKZ#S z;m-66LF6Ys3@z3zInS0oma#RT@JW0qS^*^m+6KY=0~~~5=PoH2icZqb!>%p4Yn%8` zw9Xj0;ckx0CmZetjp@8(kd}H&d^lPGBQ8v3HIOLzAP9pY3NnA}DvpA3Vav0&7PS(s zHVR67I9fMzNkRN$1O-R_sU)N!X*mp{4ucXOl2)^9Mm~_Gb*u_2ltNrTZi|-^AC}g! zZ((R%S(o$)O>Yl@;o$~B;-n9B1LotzVfB_a8NZ3Zn15sv!OD_$kZLnPFEFSH2 z7g}@2z?rCSvgM3hw+0&?Z>5ll4@s+_=uw-U2u4Dh-$9+|+GbbdqVD>_^`B@ zoWQhm&FV)^PGFz`$sjJ46KXk0eHhsYdNs@dE;o@F^olNWBb!LfOdC1Vmikb#&W%+z z>*KG2;s42?gJrZNWaUpIg4DqaGh}HmfmkK8mZAWglg&`Y2J=hn&q=6kFq# zu_%^#BVgRd+47KDo~Y`>%(_xpBxtizS+a4EJPnf8>_k-`PS%CSGPHx2B3SZe92DNC zv3AXl4=d}IV28@u9f7s7A(`Fb!RFKo#fOx2p|PV} zEr{5YUAuI;ejY?S5ACLS*K7kIYAGFFH$zaNue&}fxS+;ynw7lW8}cpHja9T6UV}bmd#ica9(wk z>}skbW>MBEEh~IzSyy1-_BUm#zaXIus^$C_81$|F61@8B^56oj{(|xn4`#*rwG#U_ z(60@`YC*NFn;b(HfgYeg^oOltn zFrZpba?>5^TCc>gHCs<|lrCA(E^sf7%1GlcdGzUWD zfsh}6YXcz{I6DWI6GCg(9{G^BZdZ0BaWe~cheaXLW)>dBL_LZrl0NKh7}<6otw3}n z6vC=OT_vSzCL%ijhKB`(Tu?$Esy0-1he9SOFq2ki4p~svZr@Mn!_|h7t(MFL1-2^K zDfz5X)+z}X`jE9DY;`j06rAa)nS>#sMiB=k*I$#?Uxz+yZ3w=ICRdy@t0We!P&uG))6L*n zYuKUn;buc=M=|vT#gO`heoA;SWYa@ydVI)PoCN5KnX*ZMWJ74jt1Kq8V&cQix`C0x zGH#ie2qFh%WSpZO+JTXw4>PM^;H(|QR5QOTaAeJ#9Xk<_nb}J(4W`GAx4~tpbQX_x zx(ltjV}SnZrr`0qMlMo5%xoqonCt0<$3)`}I6S@}71zp#o$ZZ{>`x5REqxkgCxzDf zC@*pr^MXRfhNN|QL7~j@r}J-pxFChPAVqm`v$3t9&4S}3GF}R&1=lW%qP%!nObhlD zicJf$LRqtwOUjFub;%xK!yg4Dv}P!i-PCga4bRB<-pTFEqmc3_%7>SAHIORmnIMzt z0h0uQ^7)q(E?puoT~a>0Y?eQUDH*2Vz^N3w$n^XR3Ixcz_K#km*hj_%`zoZqO60@Qx*-_~8j*FG zwHv0Qpa=nqBMEd$eYD1cLMtdf{A?yDP~(=Lx}%^7fyAjDsgI^CD71p&L(n=w2_Y?L zGz#wZAtUmcprs~gBOij+jqwU&+ga)?PY6*mM9b&j^01tc%ZU#^o5{(bK4Cdot7Ws1 z7e9;j)ftbE_0`PduUQ^H@?mE){{*^v@{Vi>>%*uVS;1wqv=mC@L(e)%Q827n7rZ$S zMO9Op0g@2%2xd`;CB=uCm0)``fv;_iWl>BqN+1%VsRlsg*SAkGF-t5fKE$lkDq$@( zGSky4f+p_KT7?U(X(c80;bs*~HqahQ1X@MgpRD453$53zOB?%evu*@N7W&`_3=VzP zuAKN#vyrk?n}9uGrh_9jCMOV)>04*YZS6H~#6Hw)1jGG|e7NbNP>*6de0aL(+Epk% z+-wB#jrLH4n+}4zWv>BW-OfR=BtHaXW`4@u7X)vhK`2;j(VFPir<< zO1x-a$L7H@DYG*OmXK-MSJX_W^Z8E?a}Z+d{|!H38Jg%GC9E!J}f6|S5ACLUKbm=xf?E% zq(?t-C(&%M2Io;GUL3D0Yp7aZ#mPwo_1!SZ=luJ(JeP%hE=%G==!S{3Wesk|U>cfL z4QzPUtl>$02wg|4xO%#bl;e83wMzV_KIE;FlWeQIBj8}-I?0d?ZgpNML#%@9HU?X_ z1{;odyYn0LAK;(H{Cs7$u{bw<{6KwoZW049&>Mp*u2=`ZnsYPlqc2()rCvm>v>WO& zIe|J|Jvc66Ia#y%#E+=8twYZ4M6je=kD}x{?zQWm_>i(u4M|y7@9*R!AsWEXc8A>V z_z<#DGN4afUkJ;|nzcJVglrt!VS>)?#8^$qc4zI{9UnqAtGaasajxo?3*y$SKJg)B z6PO)n25Ue9P>)YYAZe`qSP7$8NQiqTWXTEH)Q6DGDr_Bd?*e0H?$@m4srfLn3G6B~ zL!A<$?5mW>8?Ns$Q)?~}x25Z;w_;9kBpkR4hCMfL5u33fR!^tYx z@S>@Obv&B{s&#?6=RB*wdY!dpH-MPSY^j?EWAirr%_zY<1`A# zJZn~^2rpI^1toJ)P*B)KS-W!LL(00=FDECiMUdrW?aGM{DeL6Kk!?;+cotWoqwshg zUL6Y`RyIzAtuJt`Us%}2g0hAM#fO#6DrK?tE31^{3dpr8*L(~q9UUG86Bf8eAEs{PwZRWFJ^=#&|aLvJ*je?{P zQJV=0=8`i(;UcCrt5Cd%TIAn`X8y6^S+jED#nTQns`m!!5EZ*|Unw=IB3DJzx|x4G zq3d`XHeavlh-XzaEhc_42Zc*h)~+Y(L({t9kkU;w03P4W{DW!5Fe>HzSG%$0A-6nU zG_ACw{-m(e&SRkUjhw*GN%B4;2d1_~2e~Ygv@BBku(GazRxkWA1+-Q~V8Mo@S=f`? zgnU4#&DZcI~RVZFeEo@IPqatAxpl&>XKs9Ibzm<7zv^6*U2wMyxS zK2)uOb#Ypu2q?J*;{(%*?d(}mGizGTKe597>*W3Gp$}E-!sRfrf+9hoXl5k@*Op|l zy6!iPm{=htRze@H7Aj}8YZ8Wbsx^#u1BNz)Kb!wJ{~BdvoW-*%Xnk4bL(4ijiLglwn!~i=QBg{hN*)>CGuoPCjY6wYe0W(W zDG(%Ux=mz~B8XU&iOKyeDbzZ}iQ~(D3B8(XST+Mht}};u(C3=%i{!87HD~q(2Q)FkYOS^Nd>LmU0T2T7M2xS zS@EG}B~bNMtxIs&K_)9nmB^pH^>lsv6w3;+{qbUF(M@q|zRUJNH7$+#84p>dkgAl( zhnvmJkE^zZLMFXu*MR#1G{*-TJiK#J}O>yar$Jz*oG zqRN6oD=0qXtP_+FN(}lePnD|AbX0KMLmM7PKJ=_Bn|1MzE1Q)-+Y*({a)3;n?uoqk zS)oiuP(omw-{&J0mFcOEvyVcoQM|}m6cmNgvC}AuEINile0EZZCB=uD&72fm5$3#E zx)ovEu|eH^9Q%;7Sd@}EvssxNoiod|2DAz#_914SoT#cTUP3v+&JBuk$e4p;(%?Au zp=F(zV5cB7f0?RTx=ul+@5#-M4=wBD#JMLM0riq#gHzy}B zjh3dwfVnnued0sPIyr$ho_x1Pm=N^|GI>v4Ul99nvYDJn%iTMD5=T^7W3HGbHak9y ztcb9p8Y^ZUeIle;-*f)M9iBN$ZgzYK*-TEbS~imt-kz{lM=ix(bSz|YbkA29slHB) zFiL3rSe|1*?w=$+bZo}kyMPDFl|sURhxu4q4<+&8W3%D{+_T|Qfn?)lVJ26?j4UZ5 zoA@xYnMT28Qs<(CWX+_bT&+Z`P<$BKOir+yrza;=-8`dgc!=f1hmm!1A|(z+K@Ua3 z)LEKlQl9ZgT-28MFtVAPU{RaXCv-(^T>MBaCq9g9=E&lDCn=R~G!7)McUr3y3XEN= z2JNFSTKC-N1|$1#nr{XpqjPiZ?z$idMy3{zcFm7=x8`mzKdCpTyTP?iPTXu+7x2){ zmWA3!4tQt-9v@CN6BG=1GC?5=cokhO z=>;z6QB>0lxW0h8z997>WhIPKG97_~!Er(~U6$p9TuxR+$_lKLb)>AIs*@e(WFWEK z@gim22(D__H{?QAW18uHtWU`Gi4Q63$osHrJJTI`A6AL#A4f*H-HTYCc#*QsKS}KL z`z+vL|75L__rQOwoV?HU2`+}q^ajaE*2 zG}}5%1@3DcYj)O+T-BQC1lO*MYx-~0*BxFrGS*(4>jq-o6<4fl&duojVVdSI-?z}7 zS)6Vz1kbsuHP)C3_Kok@2v;pM8{JlWZoJWL2G1GaBCAqWVF976!h&_>{z4nB+TQF; zA8(#$Y_=EfZ*^zkiYx1BeRR*p++CBnPX*e)HYPTjWaYlbLK8k^T+?A(-TY{>d1E(7 zYMObrKNt;?SX14EiAf?c8T-1$vvLF zjg`2Zn8`jL+T8|(M<=X*&^MljgHMxtJbfD-!1cW}bHUI{i8zVS5Ny|ST+{P@N%D=Q^Nl7b!O=9+&j|aDj2ho|D3x;k}plj0Vz;|Omt^01$>X1O23x;k} zplebwa2xw+d$$?Dvs!(_Aq4GzEf|3^^RRr-Qp-WZ3i5?FB=3 zDbO`(bwC1=+|$8rh8+%b!O(3AbWJJ-B_Q{B8a9IxU@sWDO@XdSbKeBQruTRnHiHsi zFBrN_k**1Qr`p@(9uICaBxtF*VCXhQB4Cz*_bKS!ThzB1d}&Gl_Hb0t1*#^6a`XOx zF!yv?oCo!@y4gDX4HE{);{MR z52S2JIj81=;rkR})2vU*NkIMq^?e2`1aGmZ$JNVaF<~z>+h4cZ){h=x1SDc=N^ba#)iIqd%?gP z+g0@K=M0NQX71tOF2mY+DYLn&;M-3J!}R>a!5bU0n)ZUhXDRqz5;K4+FUh%w)6X(w z1NtX++3%%Z@V)3(uzuT|dpIr0LwGS43_MF+6gYv&Fp=KV25_5U<7_S%x=q2;q&a%t zA}05EaGPNweW_eST@;Dg5VMhcJpI)SD&}M!#$GUVn5x;Kmjfw_m%cNuhM>;;2&DZpi# z^Ih8pHAOq2>s@Qfme2* z=q|ai!oITH(}9o;Q*=wkO$Q2XbB_44QkHu3Hf>qqI^3`&5#VCbtUQZqR|#=f-N}Pdfe`*#;<)h5Bf31i0nhz8x<|OA+SY+lN4xVK=QD-g~e3k;moa7F*cga1RHkgCo zi@jj*E=7ttNBW>7|9^#@%c@? zlA-OV_lkX7Re!ASm=+p{P~>GtX2#`<$ozlu2U-1;*lUQ^AO0W3{O+M(=Vg?KkE_)W zPv&l*Z~4=|*D)^wdiWNmzTD|c*^oN@{onukKc7B+QK`X8(<(rDsf+sg+V66dUw`-c z3*|-+p7?Lx7vbUiYH40<+fUf}yyv2kzxnj(>(ihAqbjnV_CNn;o5Ax#VaC5^@Hm0T z0;qcekA&AI@Y3Ip6S$v%_}$;We*Erxs=^rCRz{_(@-FVu-Wz1@E?UjFO1pZ=GpDgN-~!;kZL`@_GUe)#;m zkKeri`=`HsX|57p~KW!L|G{_yRajRx17?Z-b)a}2U1-#*N(X=9WL>$FLQziC9FXu{4%Dm2Cb6Nx!5 zit5yMY)2iQ*5BB-azR9UE_kTZj#)~$)6TQ@a;IH;mAZ+xV$NgSpj78$Dg14NoTg

w>?K8e4Tb77}LgHS>Mh#R_ud0 zXUK29yJC&z^HCmZ?{96D?-T8Yt{V-EA^O7&37Hb_#QKuit4f*P--+-|yKc~-^NmsQ zVW-VA=YrGh{YM79+@{|4`L5#Xv^C1uH5wVcsut)pwvgA2(z61AI^ThyO*?0W)18kC z!!hpIV~sHQ1;+Na_^*~9pH^;8=ObE(`K>F~d~HYUtF^6|-`Z~gw~eumQQ6Q=JI{*q zI*ngG^qf&SIhSz*dcEx&6_0irMG@Xti*i=)gT+>hwx}2Jjcps&r-RkC{zD~hRa^2n z7if2o)@kP~SGLoxtEz81ZM-tZ+|x7~II)y|5T zZ@;S$+qnBN%jxX2^GX`J-SgY8m9%jeq4xgJ?UvsT{;mBy7@@$Q-u8L<9HFf4n$5;{ zY*-bwJ@&Iaz0P;+)>i{nS8#UcqiOVajjH18eB&(SqtoVIZmVd^XPVt|7ddo3@?Bmx zUK6f*#Kw1Sdm$g9#W^3GEbF>)Vm@?^2FE4Z zd-TWguF;lUL++~=~wmnv^E_UYJJcC{V+{VjB%!J8hnWNBquud-{8}N zk8^HWl@Awld49IPG0KPYIhxz79ATUD-0{*)J9rA!v{%-Ap6}w@CTs3Bnw)d*$;p}r zAEJtJxoG0GoIuR+9qX{aJ3yjBhY=bS+SX(M$m959pzZC~@nHzTZLh5gm3x2JozU+z z7T9toi5=g|=f>H`d(LsGi1xn6tF!-tMZvN2{1AcVhnpC-g8JLSDcg6j{Tv6dC2vry z^RXOWH|pH&6AlWX>=#2@vHzY42>l&+=y6t&e3gp#x$qfYH(=~S5IP?;n(BedwWu=q;;t^0cwXz%*J` zOxf}3z#EElgf|{*x$xJ#uhyKgMho=QvRYI|gqIbrXVGAyam)%!_>kv9QV&7C!$xz$iSwQX7`bfp6=5#d`=Ldpttxh{>baA^YRHZ#K0(M3@}1KSu6* z9#5`|biFOvc*a~)O$duD&pC6Bl@X!5S5jTP|@Yj4GI^I_Z z%RV}AJ3qhUw#4kw7P!f_XHs|OeL54b!|0=AJqB=-*N8YTWJ<9^_#l*XgxRH@q&ly=M3z)O>{QBoQ2b9o5z$15%?Y1MYZuEh}{KJ|#ws zv&zd3j)_^K^ntlg8a^;4z;W{Aa*1Ow_bx5*b-^%$cI7;Y>6);c+t0^+T&~y zZ8^`0sf`#L=oKX0{o(FEF64Ew8ed~><=Edv78UYY?+eDx9^B6K!#QG!`+Sb zB}HK0k!&pvs@tLxeSWFl2pN#|vo8Q7eOC{+J#>;v@b`k;*aqOfgfCFm&2|tPkeKHT z^c7>E#(h43zMOj^@VlQy>T_NM2Ofh`60bRC-!=u{5XVGqFI0);Oz0dk#dp>J6dU8+;;q@TGj&n3n8(t5>w>U=yQTRK^+J}yyIxO}xu&Cou3ZqWr2haisrg#J8 zF8PrxG7g73PPAq|o(qXNQ%7dm-nbbE z_x(axn=?*V*i}3W*9LG$mQ1$fLWC1~7b6w>59J=V2jv+)2-!yGKFNOE7hLAM!&;r9FlX`>=DA>pQpo(0*9%#<6gFyOW2gx{uZfEy} zZm)7o>lebAu!C5cux|?t&uy`w#%_pKF#?h)P~%e;6+710-eK z2QVzKQlN)3)`M~e=Zb3k8Q%q2in=st)A1^IA@PDO1N4UGOjV$5fCrZ%4uuvqe5IO< zgFp-4mV&3l_6S<|witbslVm_v&3l(JiolG3%>pxmMtO?+D=;W%(>O?FAbs|s<-W&N zwoO5~5Qu6Fe)q>`A5fm;yZHzKloN)maA}N5 zo5;lNThp|XpE(&EdKimUjk(|IhtAu&> zBPfQ8dT)T&s2_)h(et=faS^opai+F6(7N`O(Zu(oN1ZQgQgJ5%`aMS0;bEk=uoV>d zIKHgxudy#^_qEbC7c8>#-B{_!o8sC=-n1%be6|V(@gAzuU+1Gpldy97UT$=GPgdKo z=UCcT#sC#`f8g@c?eurXKBaupI=1*?4*_J_Z-);=$K!&7XfE&tR!^}7C{J%&e4aBG z)1od2zNiYTJcEN{wakxC{oQj{#rAweaCo zC!gCgzx%=8$2FoqMAO?6N=9uXd{Ga=xSX2aw)pa#<7R6N_#(eWniBvu`eV0s8c|f_ z~|Jl=yt4I zob%WG4a#_o>C+#|MBfWOWIwj}B2EG2dZoXNA*kfEk4}G)uc+wPd%XCX^oR3f zKGIBTOVC5M*L;)|`Mkjwej@O*?K%BXf$ILK2N4av&|&D8$Qu-&byKaIWdL93PV`G) zLGa-%YkqYN&GrL6>ul`SD|fH=zHtGQX(BVLhV0y{hl+BcY!UW8uYT-Oiw(WL;M90Zeroe1IdJ z24CRl?1Q*tSA1d5xvds&Z!gh zcaXxhZUV$4sOw`?cFVd1zR=n55m@xL#TRyl)0TFSV8i29IbrNmbdGc}=OgZ6FfN(r zJ{S0+W)?o4sW2bly5<5O(XRNoJso}ye6e2$8(;a3#9weXY#SN(ekrc+7vQy=U+7Mx z688e>Fa0obW0rmKr9YH+hvAEO5c?Z3HbMsAwft}=V?Seny&kx+#wh5IOFF$jXmR%j zTE-9%9rsjf$2B%p>gPBV8p)lX#{K&F{dXTfe17xGU)I|x|M*akq&&U7U!8e*x8<9s pxBvb9x1XTnVLyHI#CtVgzP|tb^?p-@-mo5Te)hBf`rWVJ{1aA$vB>}c diff --git a/Hardware/LCMXO2/GR8RAM.kicad_pcb b/Hardware/LCMXO2/GR8RAM.kicad_pcb index 61c80df..d4be86e 100644 --- a/Hardware/LCMXO2/GR8RAM.kicad_pcb +++ b/Hardware/LCMXO2/GR8RAM.kicad_pcb @@ -6,9 +6,9 @@ (paper "A4") (title_block - (title "GR8RAM (GW4205B)") - (date "2024-03-21") - (rev "B.1.0") + (title "GR8RAM (GW4205B) - LCMXO2-640 / LCMXO2-1200") + (date "2024-03-26") + (rev "1.0") (company "Garrett's Workshop") ) @@ -220,15 +220,15 @@ (net 123 "/FD3") (net 124 "/RPHI0") (net 125 "Net-(U7-XI)") - (net 126 "unconnected-(J2-Pin_9-Pad9)") - (net 127 "unconnected-(J2-Pin_10-Pad10)") - (net 128 "unconnected-(J2-Pin_8-Pad8)") - (net 129 "unconnected-(J3-ID-Pad4)") - (net 130 "Net-(J3-D+)") - (net 131 "Net-(J3-D-)") - (net 132 "Net-(J5-Pin_6)") - (net 133 "unconnected-(J5-Pin_9-Pad9)") - (net 134 "unconnected-(J5-Pin_10-Pad10)") + (net 126 "Net-(J2-D-)") + (net 127 "Net-(J2-D+)") + (net 128 "unconnected-(J2-ID-Pad4)") + (net 129 "Net-(J3-Pin_6)") + (net 130 "unconnected-(J3-Pin_9-Pad9)") + (net 131 "unconnected-(J3-Pin_10-Pad10)") + (net 132 "Net-(J4-Pin_1)") + (net 133 "unconnected-(J4-Pin_8-Pad8)") + (net 134 "unconnected-(J4-Pin_9-Pad9)") (net 135 "/SD6") (net 136 "/FW1") (net 137 "/FW0") @@ -237,20 +237,18 @@ (net 140 "/UTCK") (net 141 "Net-(U13-Output)") (net 142 "unconnected-(U10-NC-Pad4)") - (net 143 "Net-(U14-Y)") - (net 144 "Net-(J2-Pin_1)") - (net 145 "Net-(U11-Y)") - (net 146 "/IRQ~{OE}") - (net 147 "/DONE") - (net 148 "/~{INIT}") - (net 149 "/~{PROG}") - (net 150 "/~{JTAGEN}") - (net 151 "+1V2") - (net 152 "/RCLKout") + (net 143 "unconnected-(J4-Pin_10-Pad10)") + (net 144 "/IRQ~{OE}") + (net 145 "/DONE") + (net 146 "/~{INIT}") + (net 147 "/~{PROG}") + (net 148 "/~{JTAGEN}") + (net 149 "+1V2") + (net 150 "/RCLKout") (footprint "stdpads:USB_Micro-B_Amphenol_10118192-0001" (layer "F.Cu") (tstamp 03209ad2-8aa4-4794-bc77-d63690365bf9) - (at 47 99.8 -90) + (at 47.55 99.8 -90) (descr "Micro USB B receptable with flange, bottom-mount, SMD, right-angle (http://www.molex.com/pdm_docs/sd/473460001_sd.pdf)") (tags "Micro B USB SMD") (property "LCSC Part" "C132564") @@ -262,60 +260,60 @@ (solder_mask_margin 0.05) (solder_paste_margin -0.025) (attr smd) - (fp_text reference "J3" (at 0 0) (layer "F.Fab") + (fp_text reference "J2" (at 0 0.55) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 6ef443fa-9b31-460b-8fa7-1a8c3580e3cc) + (tstamp 9e8c8b76-9672-40a6-a051-49b9984d3ae8) ) - (fp_text value "microUSB" (at 0 3.302 90) (layer "F.Fab") + (fp_text value "microUSB" (at 0 3.852 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 59f0c885-1bc4-4fc7-8120-8746482ae192) + (tstamp 429eb278-3488-4277-ae27-2c7f72a4e24e) ) - (fp_text user "PCB Edge" (at 0 1.47 90) (layer "Dwgs.User") + (fp_text user "PCB Edge" (at 0 2.02 90) (layer "Dwgs.User") (effects (font (size 0.4 0.4) (thickness 0.04))) - (tstamp bd42f151-4b91-4228-971a-f74aafca6fb4) + (tstamp cf578fe5-827b-4368-9823-a389315e66fa) ) - (fp_line (start -5 -3.9) (end 5 -3.9) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 95f2ced0-0ea9-431b-9158-d0b0ef9c0046)) - (fp_line (start -5 2.7) (end -5 -3.9) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 99a927ed-6c9d-4ec7-9574-085f43957a3b)) - (fp_line (start 5 -3.9) (end 5 2.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58f2eb34-2014-447e-ae6e-62bd8d14fd83)) - (fp_line (start 5 2.7) (end -5 2.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e5e60abc-d99a-44c5-b796-2b3a8a85fc18)) - (fp_line (start -3.95 -3.35) (end 3.95 -3.35) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 75277977-de18-45d8-ba1f-0b7e32694f32)) - (fp_line (start -3.95 2.2) (end -3.95 -3.35) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9b966fd3-10b6-4315-adb4-7a631ef00068)) - (fp_line (start -3.25 1.45) (end 3.25 1.45) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ecf8b82d-f519-4a06-84a1-7b3ec95c3d47)) - (fp_line (start 3.95 -3.35) (end 3.95 2.2) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 26e693b4-87e3-48c4-b075-cfbb816a98b0)) - (fp_line (start 3.95 2.2) (end -3.95 2.2) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 538873d4-510c-4fd8-982c-2f0d4c55b82c)) - (pad "1" smd roundrect (at -1.3 -2.675 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 82 "/USB5V") (pinfunction "VBUS") (pintype "power_out") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp ecdb978a-f04e-4956-b955-7c426e38fe7b)) - (pad "2" smd roundrect (at -0.65 -2.675 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 131 "Net-(J3-D-)") (pinfunction "D-") (pintype "bidirectional") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp fa1ab2c9-4ecf-4846-8175-49c9adcd3840)) - (pad "3" smd roundrect (at 0 -2.675 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 130 "Net-(J3-D+)") (pinfunction "D+") (pintype "bidirectional") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp f3b48f31-7644-42df-9b3f-e73cfbfe5a85)) - (pad "4" smd roundrect (at 0.65 -2.675 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 129 "unconnected-(J3-ID-Pad4)") (pinfunction "ID") (pintype "passive+no_connect") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp b8b2b43c-039b-4ea5-89d9-56fa1f25c239)) - (pad "5" smd roundrect (at 1.3 -2.675 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_out") (solder_mask_margin 0.04) (solder_paste_margin -0.05) (tstamp d11e9428-8cf1-4e5b-9085-b0c615fc7574)) - (pad "6" smd roundrect (at -3.8 0 270) (size 1.8 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 14526b64-0870-47cc-96d6-42ed4d2b8ff1)) - (pad "6" smd roundrect (at -3.1 -2.55 270) (size 2.1 1.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp c64fadf0-158d-4736-b41d-e5c111e9145e)) - (pad "6" smd roundrect (at -1.2 0 270) (size 1.9 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 50137dd9-e976-4f1b-9e29-25be54410d06)) - (pad "6" smd roundrect (at 1.2 0 270) (size 1.9 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 72215ac7-a5b9-4dbd-a47c-45065aa20c8d)) - (pad "6" smd roundrect (at 3.1 -2.55 270) (size 2.1 1.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 270f16ef-06fc-4371-8694-19ea03d86ee5)) - (pad "6" smd roundrect (at 3.8 0 270) (size 1.8 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 3ddbbb7f-b602-4183-8475-829b8c8d89cf)) + (fp_line (start -5 -3.35) (end 5 -3.35) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f3217113-f5dc-437b-87b0-e216ef44c00f)) + (fp_line (start -5 3.25) (end -5 -3.35) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5390d49c-5be4-4264-8fc8-e4a97cc3a45a)) + (fp_line (start 5 -3.35) (end 5 3.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f5d5775e-6e70-4e4f-893a-fa994211f7b0)) + (fp_line (start 5 3.25) (end -5 3.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ccd0354f-f354-4aa9-a9d3-91dc67458131)) + (fp_line (start -3.95 -2.8) (end 3.95 -2.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aa2a2ca0-dcd7-4b9e-8577-4c05d609df00)) + (fp_line (start -3.95 2.75) (end -3.95 -2.8) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f86217ed-9ed7-4360-be1d-5a006dbe75e1)) + (fp_line (start -3.25 2) (end 3.25 2) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 56830c0b-df04-4851-bdf6-9973691abcc2)) + (fp_line (start 3.95 -2.8) (end 3.95 2.75) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 26aba932-f396-4a5d-baf1-4ef5fc1bf9ea)) + (fp_line (start 3.95 2.75) (end -3.95 2.75) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66399e77-8757-494f-8ebb-f8cee2008190)) + (pad "1" smd roundrect (at -1.3 -2.125 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 82 "/USB5V") (pinfunction "VBUS") (pintype "power_out") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp e2676ac2-033b-4575-bed5-b66fdb99dc61)) + (pad "2" smd roundrect (at -0.65 -2.125 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 126 "Net-(J2-D-)") (pinfunction "D-") (pintype "bidirectional") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp d7279533-90f8-490c-b3a2-52cd3f77a36d)) + (pad "3" smd roundrect (at 0 -2.125 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 127 "Net-(J2-D+)") (pinfunction "D+") (pintype "bidirectional") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp f1f7f28d-2cac-429f-82b3-0658bad000be)) + (pad "4" smd roundrect (at 0.65 -2.125 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 128 "unconnected-(J2-ID-Pad4)") (pinfunction "ID") (pintype "passive+no_connect") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp e8c44ac5-fb08-49df-b07c-aac6fd666af8)) + (pad "5" smd roundrect (at 1.3 -2.125 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_out") (solder_mask_margin 0.04) (solder_paste_margin -0.05) (tstamp a05fd785-8041-4afe-9262-07e7dfc19e03)) + (pad "6" smd roundrect (at -3.8 0.55 270) (size 1.8 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 8a33b108-183f-4835-ac19-0c52e131b525)) + (pad "6" smd roundrect (at -3.1 -2 270) (size 2.1 1.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 6372e1be-e79e-4faa-adb7-e4fc49ca2c9b)) + (pad "6" smd roundrect (at -1.2 0.55 270) (size 1.9 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 7a85370d-fff6-4238-854a-2dee118be9d6)) + (pad "6" smd roundrect (at 1.2 0.55 270) (size 1.9 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp ba0787e7-ec48-4297-88ee-3d058efa7b9d)) + (pad "6" smd roundrect (at 3.1 -2 270) (size 2.1 1.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 6fab685d-1cb0-45c7-b475-a146b9af8fd7)) + (pad "6" smd roundrect (at 3.8 0.55 270) (size 1.8 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 46b88dca-4251-4555-ac04-0de0a86da4cd)) (model "${KICAD6_3DMODEL_DIR}/Connector_USB.3dshapes/USB_Micro-B_Molex_47346-0001.wrl" - (offset (xyz 0 1.5 0)) + (offset (xyz 0 0.95 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) @@ -336,40 +334,40 @@ (attr smd) (fp_text reference "C16" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 9499ddca-7522-4d8a-8490-1715cc53eb08) + (tstamp 5a0e6a03-0c53-46b0-9037-60ecca79cc03) ) (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 478f8061-c5ff-488d-be8f-2f2aa82f0e43) + (tstamp 1bbd91b2-4cc8-4386-b929-e5c6a5279089) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 006d0da0-0688-4a35-bc9b-11bc3f1980d7) + (tstamp ba8bf33f-3904-4d9f-b49e-cfafc6adbb5b) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 694af833-31dc-4f98-a6da-d454970a06f8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9fcc8fc0-987f-4574-967a-a806454f83dd)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp db37c6dc-c362-45ca-969f-7bf4f866a7f6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 56d70174-a0b6-4958-aaa3-1cacaa50243f)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 30b5dbf9-d387-4b20-89d4-d5d3bea51119)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bd3f632d-c2b0-4405-a057-e062a0d719ef)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 77480ae1-466c-49da-977e-9c7b471b800e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e3b6be09-915c-428a-8fd7-a33bc51c44a1)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65e27de4-69f1-4954-b305-319ea0079ce3)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 835ce1b5-43ef-425e-9111-e736bf5bd6c5)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32d243c0-8598-4fb4-9077-d63dacd423d1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7556c321-6699-4dc4-92ae-e4cfd9131b28)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4507a402-08d2-4e51-82cb-58519bc5a274)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 78b388d5-9435-4819-b14e-903016653258)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b1210431-d022-413f-b89c-11245693c944)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0613bd98-d6fb-437b-b94d-52304f23b4fe)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 91a07b7d-7aa0-4c17-ab39-48be075d309e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp db3b8266-80e5-44c5-8652-18d5a4a081ad)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7487795f-b234-4c6a-8461-e0966ed79684)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b189a208-35f1-43d3-9ec1-699aa65eaa58)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 28984c1b-97b0-423f-9055-3d673e4d9d2e)) + (net 70 "+3V3") (pintype "passive") (tstamp 415d1d54-442f-48b5-ac4a-b35ad8e69442)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp fd630fff-8f2f-49de-ae2c-b27524280e13)) + (net 2 "GND") (pintype "passive") (tstamp 9e6c4111-f536-40df-adef-0bc89f3e9f08)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -393,108 +391,108 @@ (attr smd) (fp_text reference "SW1" (at 0 -1.905 180) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 4dc0fe54-526c-418c-a0d7-c966b523edb7) + (tstamp 6b4093a3-97ec-4d80-b160-c8c4575ae093) ) (fp_text value "FW" (at 0 1.905 180) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 5938d12c-e809-4dad-9d9a-79d905b5ff2f) + (tstamp 2ccbee89-40c3-484f-a029-87ecbc0993d6) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp a0b2a915-3cac-4694-b126-2552eeb1394d) + (tstamp fe9a5ac9-b9b4-4950-b1bd-d8cff821ca7b) ) (fp_text user "on" (at -1.5525 0.055 90) (layer "F.Fab") (effects (font (size 0.8 0.8) (thickness 0.12))) - (tstamp 8c19cfd6-ea56-4aa9-ad00-e92767fe4b9d) + (tstamp ec5431f9-1dd4-49c7-a2cb-e9bc6a4cd9fc) ) (fp_line (start -2.131 -2.76) (end -0.696 -2.76) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 62c4f749-deac-44d7-bd32-86f6342369b7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 24c15623-8158-434c-8e56-7c48ac246fcb)) (fp_line (start -2.131 2.76) (end -2.131 -2.76) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 866288e6-3b77-42a5-b5e1-772060d3b5af)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 36eaf847-eb04-4e75-96d2-225d5c000987)) (fp_line (start -2.131 2.76) (end -1.315 2.76) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ac8655b-88c7-45d4-bc7a-7d82d2025614)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be6ae401-40df-4c91-946c-909913412d44)) (fp_line (start -1.315 4.446) (end -1.315 2.76) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2a977bef-bdab-4e69-b7c4-14ab98f29841)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b8f23351-8665-48fe-a492-5b6c1a834fff)) (fp_line (start 0.695 -2.76) (end 2.13 -2.76) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 636157a8-d33f-4909-8dd8-641f7f78e4a1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd252d1d-08a4-4685-bc61-3e6c47b502e5)) (fp_line (start 0.695 2.76) (end 2.13 2.76) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 73c0310e-f399-41fc-9181-1c8dbf7fb7b6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b667ca3-4759-4be9-a7a8-02f8af7addbf)) (fp_line (start 2.13 2.76) (end 2.13 -2.76) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c13506c5-9338-4528-b916-c3782e5dba2f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b04284c-c79f-4b15-a057-7ca187626023)) (fp_line (start -2.413 -4.826) (end -2.413 4.826) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ccb2c310-28ad-40bc-95ce-c5f09f7618e6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5aa667c7-1a58-4062-895f-9919b3ddf59b)) (fp_line (start -2.413 4.826) (end 2.413 4.826) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e41fe6d7-cdf0-406b-9855-5bdb50d56b24)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7527242c-dda9-470e-b815-4c8ad4f7b821)) (fp_line (start 2.413 -4.826) (end -2.413 -4.826) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp beb48caf-e49e-482b-973d-6336bdb186ab)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c9d6d619-d2a0-4bb4-a37e-beed31e31eea)) (fp_line (start 2.413 4.826) (end 2.413 -4.826) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e91e6503-e76f-47c3-a258-77dd107da93c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ea69eaeb-f91c-4dbe-8fc5-0ca3ac6645c8)) (fp_line (start -2.07 -2.7) (end 2.07 -2.7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 32a4be33-5741-4074-a151-5613f78a4a8d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a525d8db-ae23-4c73-8710-675a29ffa88e)) (fp_line (start -2.07 1.7) (end -2.07 -2.7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 310dcc6b-dd6a-4775-99da-fea1f75bfdcb)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 932d57b6-b3e3-4d51-a991-34ba1f79d159)) (fp_line (start -1.07 2.7) (end -2.07 1.7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66e6daff-9b20-46f3-94f6-d6862ee78b94)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1439ed85-6dfd-4eb7-9639-5fce24b221c7)) (fp_line (start -1.035 -1) (end -1.035 1) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9d275b10-1e39-4602-9bdc-7a777e2c6722)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3c6b4916-dbc6-473a-8252-f237c66459b4)) (fp_line (start -1.035 0.333333) (end -0.235 0.333333) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eec94be8-07b7-4aa3-85d1-be861b4e0ca0)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 14c40eba-90da-4198-9c9e-583ea7cf0295)) (fp_line (start -1.035 1) (end -0.235 1) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d9ce103c-b0c5-41cb-80fb-b0b1694f34cd)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bad3441e-8538-4e0f-840d-4529c0f3893a)) (fp_line (start -0.935 1) (end -0.935 0.333333) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 25ba891a-8f72-4c2b-ab83-17213b91f4a5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f4bb4d88-4dc2-477d-83d9-11668d843ebf)) (fp_line (start -0.835 1) (end -0.835 0.333333) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 887bf607-b3a2-40cb-b7f6-9d5c5d2a3378)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 56e45082-b743-49d7-8ac4-918e830f79b6)) (fp_line (start -0.735 1) (end -0.735 0.333333) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 180b9506-0792-4adb-8e2f-45b7d44af71a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 45611432-2085-4b46-b2bc-fa8b936e7aee)) (fp_line (start -0.635 1) (end -0.635 0.333333) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 891607ca-3260-439b-a3f9-2d8f3f8cfb7f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f21f59b1-379a-44cc-8bfc-0c4a9da000ad)) (fp_line (start -0.535 1) (end -0.535 0.333333) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0f60aae6-0667-4848-8205-0e3c442d7a7d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 10c93cba-ed9b-4025-9482-7b278a4b512d)) (fp_line (start -0.435 1) (end -0.435 0.333333) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ef226752-77dd-4126-b355-0979e08d448f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 39cd7d70-9c70-4eb5-9642-d8b07e7c13de)) (fp_line (start -0.335 1) (end -0.335 0.333333) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fd56fa77-14cc-437a-ac8d-37d5adbb3a10)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 453b2e26-bd63-4ee2-8d13-422e248b4627)) (fp_line (start -0.235 -1) (end -1.035 -1) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 86e475b4-a6fa-4b5c-9450-98b44d755622)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7423ef11-58a9-4691-b4e3-f49faec706b5)) (fp_line (start -0.235 1) (end -0.235 -1) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2417c2c4-ae2a-4587-8366-1537fd18c787)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 777bf3f1-c7aa-47eb-a5d4-78d94802bea4)) (fp_line (start 0.235 -1) (end 0.235 1) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d0415d35-5d65-4567-ad5c-0c349924bce7)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c23060ac-0e3a-4be3-bb49-9d448d95ea4d)) (fp_line (start 0.235 0.333333) (end 1.035 0.333333) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 690d7b41-c9c6-4cc7-bbda-10dbf9f8ede9)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f96ac76e-7df6-4132-8eca-829a29f2e019)) (fp_line (start 0.235 1) (end 1.035 1) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e0f5fdd6-b755-4a65-8a46-46bdcd1876bd)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ce42c504-187e-4a81-9dcc-329f499d0c68)) (fp_line (start 0.335 1) (end 0.335 0.333333) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8095f766-1937-45c4-973f-81124fcf5250)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6956ffeb-9c7c-4393-8676-96873fc79a4e)) (fp_line (start 0.435 1) (end 0.435 0.333333) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 29cf56ab-4a39-437e-8904-474d42b47e3f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b268c352-2246-4b91-b0b2-1f1ee9e0785a)) (fp_line (start 0.535 1) (end 0.535 0.333333) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp add730f2-d311-4e71-ae42-7080c64acf46)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0d3b394-0b08-4bc3-828c-539468a64259)) (fp_line (start 0.635 1) (end 0.635 0.333333) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 70edaa1d-b35e-4876-95c4-fa35fff927de)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 78fb66a5-1f63-4015-86e7-e4d7493b3655)) (fp_line (start 0.735 1) (end 0.735 0.333333) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66c30c85-c0d0-4353-81c2-b4d9d864c938)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 77309603-e8ff-47f9-b555-c854c87dd01b)) (fp_line (start 0.835 1) (end 0.835 0.333333) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 90978366-a1a4-4bcb-ada2-4d5bfa04683f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4a7d4a45-2f47-46df-bfab-ae13ba66e15f)) (fp_line (start 0.935 1) (end 0.935 0.333333) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 22aa9dcc-f347-4b05-a53d-1d050a18ffa2)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c5d19f9b-9e72-40a7-8fa6-8a6a30a3e109)) (fp_line (start 1.035 -1) (end 0.235 -1) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 680edfe6-e4c7-4fcd-884f-03576029f427)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bed7e910-4ac2-497c-95a1-876145279259)) (fp_line (start 1.035 1) (end 1.035 -1) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 636b4312-aa1c-44fa-be07-bbd01757fd6a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aa7be119-6fdd-4de9-aed9-bb31b6c8e4d9)) (fp_line (start 2.07 -2.7) (end 2.07 2.7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8d39c056-9d2b-4288-8106-657ae67c102c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 96883061-37dc-49f6-a916-347e676cec6e)) (fp_line (start 2.07 2.7) (end -1.07 2.7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1f56d39f-c39a-47de-8057-639ae8f992e3)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f5e5458c-6a79-4f52-a97c-d820d88d3cf9)) (pad "1" smd roundrect (at -0.635 3.81 90) (size 1.524 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 44ab168a-fe2c-4965-969b-deda3112fd54)) + (net 2 "GND") (pintype "passive") (tstamp d0dedbfb-8811-4498-b561-b10ef10c9d36)) (pad "2" smd roundrect (at 0.635 3.81 90) (size 1.524 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 4c2deca5-93c0-4801-9ad7-6add9a7d955a)) + (net 2 "GND") (pintype "passive") (tstamp 0c09e302-415a-4765-b7c2-886738be37cc)) (pad "3" smd roundrect (at 0.635 -3.81 90) (size 1.524 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 137 "/FW0") (pintype "passive") (tstamp 5321c768-6a9c-4b5e-8eb1-ea618eff8a1a)) + (net 137 "/FW0") (pintype "passive") (tstamp 2f3ec1c6-231a-4cde-b0db-0c81b7c222d6)) (pad "4" smd roundrect (at -0.635 -3.81 90) (size 1.524 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 136 "/FW1") (pintype "passive") (tstamp 338c1d32-5dd0-4b53-9be7-8826017b59ca)) + (net 136 "/FW1") (pintype "passive") (tstamp de6aeee8-998a-4e62-a1e6-a131a87b3965)) (model "${KICAD6_3DMODEL_DIR}/Button_Switch_SMD.3dshapes/SW_DIP_SPSTx02_Slide_KingTek_DSHP02TS_W7.62mm_P1.27mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -517,40 +515,40 @@ (attr smd) (fp_text reference "R19" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp f04a8752-9165-4a01-a73a-c03694c9ac61) + (tstamp 4eb33750-472e-429c-8e24-5e85e111d645) ) (fp_text value "10k" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 07c74cab-c5ce-4906-a3b4-d30094596bd3) + (tstamp 893885df-c9f8-4a87-9ae2-c231d81e3949) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp f15a26d1-d549-4c56-a4e0-1f3484da2fbf) + (tstamp e154ed05-760e-4247-9ace-e45e3c18dd82) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa6c2216-9daf-4b92-8047-4a3bca14a6e9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bda15f6b-c819-4e95-876a-9df39c14f1a3)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00eb67ae-f86d-4531-995b-34dbb9e6a954)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba50a8c0-af4b-4285-85e4-71259cbd18e9)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f4b0f5fe-2a75-43d4-870c-a9b87453c44d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 34f61af3-7eda-4ac1-a921-f6c00ee66c16)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 76224d75-4346-4bb2-b1b8-a8cf2d1cdeba)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7d4d3de1-2bed-459c-92d7-2fc21a1c1a18)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2060a538-1785-4ab9-8433-885269fc3b3e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a4d3f194-80db-4e3c-897f-bc7848a81fdc)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c82b32ee-926d-4e69-90f8-84cb89837240)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e44e081d-7cdf-483b-bfb6-c244b810250d)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9f99a56c-9253-412a-8f4a-67e68c67472e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4c57507b-96d3-4a2d-8d0b-4a4418e13232)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d0449728-ccc0-4413-9dec-23e7ca40fd0a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 26242397-33bd-492b-8558-7ccc405a8b83)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 752a1fae-c648-43eb-89bd-0fcee10841a8)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eb210b6e-189f-437a-9383-df42f087438e)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 596cb14b-de76-43b0-94ac-08561bee0ffe)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 846c2793-0ef4-40d7-9b04-73958c6e7157)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 62d1c82d-b079-4bdb-8afe-5c60f38ebea1)) + (net 70 "+3V3") (pintype "passive") (tstamp 6271cae2-1f8c-4650-9c0f-617fa65358d8)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 147 "/DONE") (pintype "passive") (tstamp 0db1cb29-3aa2-4261-ba3e-4cdb22a0b6f0)) + (net 145 "/DONE") (pintype "passive") (tstamp f7404889-4961-406e-9bc9-aa177b993e57)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -573,40 +571,40 @@ (attr smd) (fp_text reference "C4" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 95305e32-b019-436c-9127-a4bc11705b10) + (tstamp b5bda2ca-3797-4dd6-be4d-f6b29cf80a63) ) (fp_text value "10u" (at 0 0.35 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp ff152426-6c42-40d3-94c4-58185bcfa9c4) + (tstamp 934b2f56-0339-49eb-b9c9-00f1334655e9) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a45d8f16-5163-45fb-ab4d-12e3a18ea243) + (tstamp 552bc487-e26e-444c-8c25-f85c8ba46ef4) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp bdf87b53-7ce7-49b6-99b1-b9845606c996)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 65dee0fc-15a7-4425-8d99-f1158d69b495)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ccd157c7-e640-42b6-9a9a-7d11042ea510)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 6f0d0b51-f60e-4af2-8abe-7601bc840f32)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f15ee096-32ab-48bf-9160-a1ddea359812)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8b7044c5-67e5-42d3-a447-60fcc31f6adc)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e7073366-484c-4e93-a359-44898e9ce527)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6afddbf9-3b3d-41e7-9c3e-1052218fee76)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b4982aae-628e-4d33-acb2-02b64105d1f5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 242ddba9-0d0e-44c0-b543-0deba3891780)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c93ac587-e357-4eac-92ee-5ceafd9cdcb1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 40b5dbb3-9af5-42dc-921a-137a45474ace)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp eb0bcc03-0d20-4d23-8499-72daa002b919)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6fe29f0c-1a89-4fbb-a5f2-1a4741a7853e)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6920bf80-bf13-4b78-9782-dceadf003d4d)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 08964c14-e425-4369-9de1-f8e04ed70db1)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 902afef8-6533-45b7-817f-30ca3e02f19d)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fe0574a6-763f-4c76-bda8-8a0d84df9be0)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 79004c35-2fe5-4af3-a85f-3e711adde8f6)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 8ba78f59-c0b1-4e7d-b4d3-ea786f90de84)) (pad "1" smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 22 "+12V") (pintype "passive") (tstamp d1137e79-6a78-4948-89b4-48d454bd5609)) + (net 22 "+12V") (pintype "passive") (tstamp 12b18eba-db5a-4653-822d-db337ea78842)) (pad "2" smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 48a76eab-012e-4002-8edb-240439f98962)) + (net 2 "GND") (pintype "passive") (tstamp 6870ae72-1aa3-42df-ac12-3695a265c08f)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -627,16 +625,16 @@ (attr smd) (fp_text reference "FID1" (at 0 0.05 -90) (layer "F.Fab") (effects (font (size 0.381 0.381) (thickness 0.09525))) - (tstamp ec56d223-a3ec-4b0b-b241-581394763067) + (tstamp 8719af69-6b9e-4689-93e2-744d5947353e) ) (fp_text value "Fiducial" (at 0 1.651 -90) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp b27471e0-3daf-431b-849a-52809cd139cb) + (tstamp 241045c0-fdb2-4b51-8af6-1568b32808d6) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 96c4ed3a-63e5-4893-9084-b2cf5f34b296)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 34ea9e64-aedb-424d-9f89-0ced717a26f6)) (pad "~" smd circle (at 0 0 270) (size 1 1) (layers "F.Cu" "F.Mask") - (solder_mask_margin 0.5) (clearance 0.575) (tstamp 6e59fff5-17a5-4e87-a2be-c17d3f7f7f12)) + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 1f2a5c05-0d36-4faf-ad4d-f855a35134f7)) ) (footprint "stdpads:R_0603" (layer "F.Cu") @@ -654,40 +652,40 @@ (attr smd) (fp_text reference "R17" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 02e9c188-1c3f-40d1-a0f1-f3fbc1a4ccde) + (tstamp 0f553c45-7e92-46e3-b177-d275e59fca09) ) (fp_text value "10k" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 096c239f-9009-4f9f-9c3d-bc3dc2073c7e) + (tstamp 5bb1ecd2-f527-4bda-a895-8a4223904969) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 98b65864-29e0-4759-b443-1eae3ad48a36) + (tstamp 48381779-ce83-4584-9c49-7cd624694c08) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2d2d76db-b7e1-4bac-9971-d2ad08213638)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ebd6c6d0-180e-415a-8bb1-b71e84d02e1c)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dfccc235-0bcd-40ad-8da9-5dce28b50456)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ca2e134b-0ac4-4a28-8619-3b9e24f8b785)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9257edc2-8001-4968-b5f8-6fb6de89a5af)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0f2b5ffd-2d2d-4ab4-b1da-f7ebc8e1700c)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 63721697-2ad6-459d-9592-dcc32b693b88)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1834daf1-a92b-4c5a-bef2-99f29a73c09e)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0621dd81-1829-4df3-a8a1-19b79ebfd67b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82aa20aa-76cb-4a13-95a0-96be48838491)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 33d4aaae-9929-406d-baa6-05e41a250673)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c89ad723-8cce-4d6d-ab51-a5b19b4f4be6)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f2ab7221-885b-4bac-b7f7-63c2fb34e59d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp faa093dd-80da-4d37-a692-e7408c6ad5b6)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8dcbb589-fbeb-4e00-909e-9ac4fc92835f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2db28fa0-15cb-431a-a832-d47ce8e3394f)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ebebdc86-8692-4a5f-ab36-63e16e56bf79)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1d12574f-b7cf-479c-ac63-b237d3f3b5a2)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d6e124b0-c821-4c01-bc41-25ef1f89371d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 92bfe613-0152-494d-9274-3a8418dcf3ec)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 9b9d895c-ef2b-4adc-aa30-c4d92f66ee9d)) + (net 70 "+3V3") (pintype "passive") (tstamp b38151f1-77b9-4c30-b350-29063f3a2b98)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 149 "/~{PROG}") (pintype "passive") (tstamp 40d6b319-9d98-4b68-b555-92ce0e469ac5)) + (net 147 "/~{PROG}") (pintype "passive") (tstamp 78bed870-a572-46fa-ad27-06ad99a5b147)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -711,40 +709,40 @@ (attr smd) (fp_text reference "R1" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 941ff2ad-f0cb-4ae0-8e67-a73af2b802c3) + (tstamp a46da500-6d3f-4780-863b-099fcb273003) ) (fp_text value "0" (at 0 0.35 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 3521bbbe-58e8-4ea1-924e-adbc1e72e7f0) + (tstamp 4624c392-3874-4c8b-b2bb-35de4e58d4d3) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8b163080-0e31-4047-973d-29250885a538) + (tstamp e33600a6-6af3-4780-b969-06a126806c24) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 89b08fec-5375-48f8-aab4-96face3a03a8)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 0ebac0ec-ccf5-4314-98aa-ae11e3ff8c18)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 1ab59b1f-6150-435a-8303-dd1512270a86)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f7539e33-947c-4bc2-b5b2-bbca2afa7edf)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b9e4e299-6ace-4c6e-89b9-a17efd1dcc11)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bb845877-6b74-4b6d-ac9c-0ea15e87ad60)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 98ed4147-7bef-4b8c-8589-babb63350a31)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3f939a56-57ed-4a7e-bb4d-65fe8ea42de4)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82a0565b-a915-42ee-a3e9-4c9ee109c426)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp abc28e7b-0ec5-4a90-b353-a39019fa187e)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e4d93c37-0977-4bd2-a836-6abd6a480fbe)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58980185-8abb-4bf7-bb6d-aa725e6d1787)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 116038c6-1dad-4b58-9a6f-4593e9cd80f2)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d3017269-5726-48fa-a6b2-0a669f32e003)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3c635d54-9224-4e6b-b9f0-a543de95dfb7)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 88d71e49-a936-4ebb-ae5d-76e6c10397f5)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e2685d5a-b0ce-4207-bfc4-b10aefc8dad3)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 44e6f663-4ff7-4e72-8252-2bbb05844cfd)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 16b9859c-0ee7-48b5-bfa9-9978e81cecf9)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a61e21f2-1df0-4e29-85ab-2b3d7810971d)) (pad "1" smd roundrect (at -0.95 0 270) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 1321a1a6-8be9-4db3-8163-d1aa1d9ffe86)) + (net 70 "+3V3") (pintype "passive") (tstamp dee36e30-ad9d-4827-b59c-87747a035aa9)) (pad "2" smd roundrect (at 0.95 0 270) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 151 "+1V2") (pintype "passive") (tstamp 2e284e86-aabc-4249-ac20-0a3aee240b4a)) + (net 149 "+1V2") (pintype "passive") (tstamp fdd1f011-49cc-49ab-870c-dd84f94c36dd)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -767,40 +765,40 @@ (attr smd) (fp_text reference "C30" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 062cbe93-d301-4a14-8f7b-f1dc952d6df4) + (tstamp 32c9a69b-fd7c-4835-975a-5616309d9ceb) ) (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 1023a1bf-4d9a-4f42-a7d1-f781bc28f50c) + (tstamp 4102152d-cbb5-4e8a-b044-e797fbcb448e) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 0fbbea12-227e-4041-bf71-6acaa0c90b35) + (tstamp 14816886-eb61-4328-8525-3b4bafe84d90) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2344302e-6eb5-4535-8808-027e4c89b1a7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 024f8a5a-80a2-4556-b0e6-f639e516f776)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 81401db3-af18-4a0f-8bf3-2590973efc7a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 41fb80c8-6139-492a-9660-4cc4ab3401ce)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6d2798de-4b59-4d7f-9f39-bdd4cdc1306f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 76926997-66e5-475a-9b00-a01c2be036d0)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c17dd203-3752-4bca-ba59-b1d766b3049e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1b97952e-48dd-4525-bed0-e6fd090d5ff2)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f01ab520-c414-4f64-ba8c-acd8fa2245ed)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e0bca686-3104-4272-bb18-a81ca9ce38ab)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 310337ec-670b-45fb-b38b-5631d11864ca)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0479a0a6-ac75-49b7-ae9a-bc0ed0f5fe17)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f8e7b4b1-2203-4d2a-a07e-d26b18c62883)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 50826feb-56c9-4980-8f7d-fd2d8b88ba43)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp db7d7108-8a65-418c-ab1c-28c3725aaa62)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0ec24036-087a-4689-ac6e-8ce024112e7e)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cb9b8a73-b6f4-4173-86a6-01f94b191e0e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fb2dbe1c-c368-4d46-bca7-d28d9c984145)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3b9a3090-bd85-4d2b-9556-fc59a4577f8e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a99054e4-d589-4a5d-8379-e4ba4bdceeb8)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 35419445-ef4f-485d-b7a8-8c3104ed5677)) + (net 70 "+3V3") (pintype "passive") (tstamp 9a05f712-9274-4ff0-82c3-c75551b7d328)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 53e16bc1-1592-4014-876a-55da6ee32492)) + (net 2 "GND") (pintype "passive") (tstamp 52d2f3e2-e472-49fe-8299-53bbfa81951c)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -821,42 +819,42 @@ (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference "C23" (at 0 0 90) (layer "F.Fab") + (fp_text reference "C23" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 10fe9a55-2c9e-4fdb-b7cc-f560fbaee6ed) + (tstamp 1d0ace52-53a5-4f73-9c54-113d04c5aa54) ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp b44e0ed1-df96-40dc-b824-4810462cacc3) + (tstamp c06b6d56-e414-4772-9f5f-a7698291f194) ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 9870139f-9f4d-4cab-93c8-e68c5d11bf05) + (tstamp 2590a2a7-1256-4de2-b440-9f4a932066d8) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7a795bf8-944f-48ae-8e8a-95a13b758bbf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7dd1c388-2f93-4df7-a17f-79b6a88b9b72)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dd35c90a-5b1c-4e7b-9268-cb7660451c92)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ad0ecb8d-ea7e-48e2-9798-908ad61cc7f3)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c78035bd-9d6d-4326-b92a-c363be7d0cec)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c4fcf6e6-ae29-4fbf-b525-03e0febc41b5)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 56029217-bb17-40fb-a8fd-26876a440e95)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fbf74776-91f6-4335-bdda-3d19dcd0c898)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5623f466-9c14-455b-9776-1759453901a1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2d30ebcd-3dd0-4651-8595-85e5f4b9f260)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 55d08a2f-20ec-44db-96b7-ee24a3d7b222)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ff6819b0-486a-4e66-a26f-5fe46d44ea57)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 854d7e5e-a100-4d51-a19b-5521eb0229a1)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 48b00f60-5391-45c1-89ab-e4ee73160769)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ba101ee4-bc89-4efd-9acb-1d49a9994bc2)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d607e708-563e-44c7-8424-fa09d9185b8a)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66231f26-b35b-48c1-b123-8be9a8a83132)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6d6eb0ef-c5ad-453b-a946-8dc4a4fcc563)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fa0e1422-0228-43ba-bf46-66a4a767d007)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0498c1ed-14a4-41a2-8ff5-56c140b5b203)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp bca03e4b-f806-46b9-bb88-931a12956c3b)) + (net 70 "+3V3") (pintype "passive") (tstamp 01891252-cca6-4b24-867d-c4bc6e50a13d)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp ec711cb8-2334-426f-8feb-f4537133af27)) + (net 2 "GND") (pintype "passive") (tstamp 46a64ce3-9919-479c-9eb6-2671fa34d509)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -879,40 +877,40 @@ (attr smd) (fp_text reference "C33" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 4c11b829-9343-411b-8798-72b0d7d86005) + (tstamp c68ecded-715e-4dc8-b8ef-15a60943895e) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 1e3a2f34-6c63-44f5-89bd-9cd07425be10) + (tstamp e83e38e0-47da-44e3-8d73-0527862ab15d) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 957d2f41-491e-4931-b539-b34cd859d403) + (tstamp f7e90ac1-8091-4b4c-b203-831fe05b2293) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 16e9efbd-01db-4971-8455-8ca4bc3879e1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 534a4df3-e9c2-4663-b9aa-16f3edaafca8)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 581ac52a-7cf1-476a-8ae8-9ee520e7a284)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 91f580ff-912b-4975-8709-d34996c78a55)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6bbc7fd0-5cf4-4279-9746-56dd2d633c82)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 828a7016-c940-44e1-97f9-1e7dd71ba772)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6722dc15-a638-426d-9514-5b3bb92a035b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6449d310-41aa-422c-97cb-4bdf7e4cf04c)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1c9e4a71-9a5f-4a95-8b66-4a6f4396d9c2)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c67cecc9-c271-4eee-850b-07514731c394)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0320eb54-7988-46d4-a323-8f4a1c3f9835)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 14c5646f-e072-4fd7-abcb-69b379f7bf11)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e1be4f23-2e3f-4ae5-aef8-aeada26f9506)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2da64e32-b5d0-4bdf-ba73-4b810ec0200e)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9e35566c-7d68-47f1-87e5-f4fe209e025a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a609599d-3555-4a52-8104-4ecaf9920a61)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e13be7e-7a65-4778-b699-e2d4ff6a3918)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c4d0d141-a3c8-475e-8352-d872d772f7fd)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0086f84c-1abf-4c72-982c-880ec9aeedb1)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c87df6cf-7aec-4c0d-98bd-b868f91de13e)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 493a12bb-2ce3-4234-8633-2ad4f3dc406b)) + (net 70 "+3V3") (pintype "passive") (tstamp 7c78fe95-21e6-4513-8a33-d2c6d5b2938e)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp dd734bfe-d202-4e6d-844f-7b5ab1fe6545)) + (net 2 "GND") (pintype "passive") (tstamp c8fe5da4-aca3-43b3-aa1a-dbcff97ffc56)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -935,40 +933,40 @@ (attr smd) (fp_text reference "C27" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 93fea930-6df8-4f7f-b8f4-b85481cc4def) + (tstamp 605f08bf-7999-42d2-bb6c-cc2dfe3c8d38) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp a5f64b2d-c22a-49c1-ae3a-b555978195ff) + (tstamp 41940b96-f8c1-460d-bb88-863cac0a35b0) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp b38173dd-b238-4e20-abfd-c6c4c2c44603) + (tstamp d289471e-e103-4d75-8ed0-bc7373a489f2) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8c2d1b42-3624-4fdd-85dd-7ee40327804b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 189d0508-fbc4-4325-bc25-ca1e511ad14f)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 77db2c69-8883-49ce-aa27-40173a9bb2be)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd159b57-946d-43ab-bff2-ea46ca819506)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fb39e3ee-f154-45cd-9c11-d32d52f61e15)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8c8d7330-7d23-4df0-a442-cef7ef4baf06)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6ba9379a-9750-4525-b192-40b11d6a7750)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 647b2534-4b63-4442-a645-53d4e2ee1f17)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5ec0c698-9c93-4e16-9f01-948288153a7d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 20bc6a3f-e353-4cd3-b965-9768c3acfad7)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp daf07db6-5c84-4ee3-9c68-0ca5877532a6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9520e26f-caf4-4f05-a814-7f7f807b9d0a)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f9530d6d-efb8-48f0-9757-6ae5d4f6208c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 950ba372-d924-4142-9be0-3897586e136e)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2f14768a-b7ba-42d2-aab4-245e27a6d039)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 28d986fa-ee90-47ef-b011-77ed494598d8)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp af5939ab-064c-4556-88de-46298025c511)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8c0460f9-b125-4dad-8755-68171a7e5e55)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c9d44309-cf53-4054-979c-4d0abd8abd18)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bbff44d7-b4ca-4866-81fc-b31bfb5d89a9)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 566e7f7e-4181-4de9-b4ba-5b665dfc31b5)) + (net 70 "+3V3") (pintype "passive") (tstamp 3b865455-82c4-4cb8-8e9f-0c35670aa8b3)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp e18057e0-7a1c-4e03-a5fd-1a4aaf4a6ea1)) + (net 2 "GND") (pintype "passive") (tstamp 544f02e7-1b37-47ce-bafe-b8e675b92350)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -989,16 +987,16 @@ (attr smd) (fp_text reference "FID4" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.381 0.381) (thickness 0.09525))) - (tstamp f45e5588-e580-4337-a645-6c03cf9686b5) + (tstamp cb445604-8bad-4134-995c-6a16909b8e45) ) (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 1417ec2d-1226-420c-98ba-b171787f554a) + (tstamp 7951d4f9-2acf-41d4-9bb9-56addbc25023) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 3dec3c4e-df0e-45ec-8f98-60d3682499cb)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 8999d102-da27-48d5-9155-9be925dc3d24)) (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") - (solder_mask_margin 0.5) (clearance 0.575) (tstamp 4210ce32-b5a6-417c-8648-77045845f24a)) + (solder_mask_margin 0.5) (clearance 0.575) (tstamp f5a2831a-6559-4a91-967b-4e527ffb643c)) ) (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") @@ -1015,78 +1013,78 @@ (attr smd) (fp_text reference "U9" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 6d41b1a3-b885-47d0-a8fb-b8f8b718a452) + (tstamp 522288a5-5c86-461a-a6ed-81c1b780345a) ) (fp_text value "74AHC245PW" (at 0 1.016 180) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 46a87344-7e97-4549-a85a-6bd5950e28fa) + (tstamp d6f47afb-3e07-4513-8f09-b207943ccb7e) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 7002404e-3735-4f4d-bba2-c98299c6cefd) + (tstamp 7b0ba105-a656-448e-aa41-9ea7a26b97a4) ) (fp_line (start -3.45 3.75) (end -3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 48d40025-c942-44a1-ab86-a4c2e23927ae)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 56985756-2eae-4ed9-b978-e1423310d9a2)) (fp_line (start 3.45 2.225) (end 3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 8f0f1011-5318-4375-8c95-779374e2ec90)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f956f246-8539-42f7-81b7-e3ac32685f05)) (fp_line (start -3.55 -3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ff159bf3-eb96-462d-972b-ce6b55dd2093)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 96783804-8748-48e6-afb7-e479ef2a1883)) (fp_line (start -3.55 3.95) (end -3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d7ea6857-40ec-4fb8-bad7-78816e0b5aed)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8b0674a5-18af-47d0-9bf5-a5d466c51756)) (fp_line (start -3.55 3.95) (end 3.55 3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c0660deb-52f9-49b3-833f-59746cd4774e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d45d8308-822f-46d6-9d1c-7ae7be81ba7c)) (fp_line (start 3.55 3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp de53f920-425f-4a35-86d2-ade91f4e13c9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9bab1fe9-aafb-4ce9-958a-530f4ed4854d)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0a3a5ee1-f12e-4c7e-940a-87860e2707fb)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 857f622a-5992-4599-b51d-c9401945d471)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 967eca25-1e66-4537-868e-4d8232a9f8a4)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp aead6639-48e8-43cb-95ad-7e9cb7334aa1)) (fp_line (start -2.25 2.2) (end -3.25 1.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d13d2ca6-102b-4397-9ce8-cb98e02c4d6f)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e2c6ebeb-0015-4446-a01f-096148e64b3f)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a419c913-cc24-4dac-9163-fd70b94f700a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 41dbf995-8a42-47ed-9c63-74b7a84cd7b3)) (fp_line (start 3.25 2.2) (end -2.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2e7a4327-5f18-4d58-acf1-8f25553583f4)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e709fd27-7f51-4b18-9901-8be8af47faae)) (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp d980737d-a466-4444-9e28-58d135db5291)) + (net 70 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp aeecc4f0-b782-4ad9-b53e-e5caacf5acc7)) (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 6 "/A8") (pinfunction "A0") (pintype "bidirectional") (tstamp 8c16e606-384e-41bf-8f66-515d2a33e7b3)) + (net 6 "/A8") (pinfunction "A0") (pintype "bidirectional") (tstamp 55c7d867-559d-4e05-a2f3-53ee78a7de59)) (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 14 "/A9") (pinfunction "A1") (pintype "bidirectional") (tstamp 5929423f-d2fa-4ec3-abd4-5a737d739d8c)) + (net 14 "/A9") (pinfunction "A1") (pintype "bidirectional") (tstamp e4905d8e-10e0-43d6-946e-4261e5cbf09e)) (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 21 "/A10") (pinfunction "A2") (pintype "bidirectional") (tstamp 5a706f83-19bd-4d2f-bb52-ced6006a6449)) + (net 21 "/A10") (pinfunction "A2") (pintype "bidirectional") (tstamp 945edf04-478e-4336-bd84-2c1c3497fe52)) (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 26 "/A11") (pinfunction "A3") (pintype "bidirectional") (tstamp d424a014-75ef-4a87-882e-224cd9840aca)) + (net 26 "/A11") (pinfunction "A3") (pintype "bidirectional") (tstamp 98b2ba32-650c-42e6-be70-f985542001c6)) (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 27 "/A12") (pinfunction "A4") (pintype "bidirectional") (tstamp daf3a0c8-9abd-4659-afa5-876be57ac27f)) + (net 27 "/A12") (pinfunction "A4") (pintype "bidirectional") (tstamp ecba7a48-862a-4b6f-8ea5-c2d7a29ca53d)) (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 28 "/A13") (pinfunction "A5") (pintype "bidirectional") (tstamp 684be037-4b4b-4b66-991d-0227b399493c)) + (net 28 "/A13") (pinfunction "A5") (pintype "bidirectional") (tstamp aea301aa-acfd-4b6d-8cca-72d30bd19f71)) (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 29 "/A14") (pinfunction "A6") (pintype "bidirectional") (tstamp b8785b5a-af34-46c1-a7ec-35cea2203a10)) + (net 29 "/A14") (pinfunction "A6") (pintype "bidirectional") (tstamp 2152faf0-31c4-4d89-b5a3-0a984d149449)) (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 30 "/A15") (pinfunction "A7") (pintype "bidirectional") (tstamp c1b6b9e0-9808-40d4-8f08-49418d4c3e21)) + (net 30 "/A15") (pinfunction "A7") (pintype "bidirectional") (tstamp 747d8721-c0ae-4519-9ee9-b0250dca50c7)) (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8c9997d2-6cd1-4dad-8e31-e9384fbe7fee)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp baa3be86-89d7-4987-9509-521c49f7156b)) (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 80 "/RA15") (pinfunction "B7") (pintype "bidirectional") (tstamp 9395e787-1881-4dd0-b6f0-9e8186ed0a52)) + (net 80 "/RA15") (pinfunction "B7") (pintype "bidirectional") (tstamp 459c4a47-8a2e-4fed-9cf6-4601e3ad20aa)) (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 79 "/RA14") (pinfunction "B6") (pintype "bidirectional") (tstamp 16062a1a-d9b6-45bf-a173-78b3477a7c6e)) + (net 79 "/RA14") (pinfunction "B6") (pintype "bidirectional") (tstamp b756d433-55fe-4de3-aba3-2323541ac93c)) (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 78 "/RA13") (pinfunction "B5") (pintype "bidirectional") (tstamp dd903ea8-5745-4ea8-98a9-8ff9804aa706)) + (net 78 "/RA13") (pinfunction "B5") (pintype "bidirectional") (tstamp d6ad4eff-c863-4ef1-82ff-78f42bc73013)) (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 77 "/RA12") (pinfunction "B4") (pintype "bidirectional") (tstamp b12baf3c-c49f-48a8-b0e6-29e9f2287e51)) + (net 77 "/RA12") (pinfunction "B4") (pintype "bidirectional") (tstamp 63fc0338-b746-4326-9817-17b711445204)) (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 76 "/RA11") (pinfunction "B3") (pintype "bidirectional") (tstamp 53988e2f-aa09-48dd-9f89-53f530f820ee)) + (net 76 "/RA11") (pinfunction "B3") (pintype "bidirectional") (tstamp f8ae3cb3-5e66-458f-97f2-9dca23634611)) (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 60 "/RA10") (pinfunction "B2") (pintype "bidirectional") (tstamp cfbf976c-123a-4d85-a2d9-6696134c7bc0)) + (net 60 "/RA10") (pinfunction "B2") (pintype "bidirectional") (tstamp c7cdf1b1-61f1-471a-9acb-3c8985af0bc0)) (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 59 "/RA9") (pinfunction "B1") (pintype "bidirectional") (tstamp da299fa3-e08c-4fa8-a241-25d23ef2150f)) + (net 59 "/RA9") (pinfunction "B1") (pintype "bidirectional") (tstamp 6ed6a069-08bb-49d0-ac2c-2daf2b7ab119)) (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 58 "/RA8") (pinfunction "B0") (pintype "bidirectional") (tstamp d12a8cdb-d115-49ea-921f-9dea25bd9bd4)) + (net 58 "/RA8") (pinfunction "B0") (pintype "bidirectional") (tstamp a54422a0-7674-415d-b888-f5cb0e9c39ea)) (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 27d17cc0-086e-4948-882b-1e7473639039)) + (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp a4e2b99b-df94-471c-a51f-c33c9aaa17f9)) (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 420238d4-584b-491e-960c-5583a9d99679)) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp e3ae982d-2b0c-44f6-baab-bc17613be6b8)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1109,40 +1107,40 @@ (attr smd) (fp_text reference "C2" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 6a716727-bb85-4fce-b468-1480bac8dc6b) + (tstamp 1e5ef598-a62c-4156-802b-df5d5d9ed136) ) (fp_text value "10u" (at 0 0.35 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 71f3e373-ac36-4253-b7f4-cc01ff7cb0fa) + (tstamp 286dfe7f-322d-45d6-9cde-43268bfb3af1) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e4073251-d837-4a24-85c5-d1422f732222) + (tstamp 412ad60f-5bcb-47b3-a3f5-8aea63d56d18) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 0a86e2bf-9cda-4b36-b766-8e0f25431409)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 9b3c6697-5774-4854-95a6-3bb584024f24)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 00a2a9e9-df98-4ee7-8a26-d5ee385960ae)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 0c9ea77b-6b77-46c6-90ff-5faabf13eb3a)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d1822756-754c-41e5-b05f-5719898c4cc0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c1f72172-d268-438e-8264-a608a0816120)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1ad71701-da94-4b77-8cc0-f521d75c4db0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9e6e7d53-b2e6-405a-9714-1e9a84f53fe0)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1634fc9b-6363-4793-a6a1-5c0449cbe295)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 44b78c8c-a6de-4f85-8efc-77ab81ef1058)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7534f9f8-abc0-43f0-bd8d-480942773a7e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e03f3ca8-c0ef-45c7-a12a-dd64925b190d)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5372be39-dbb5-4e1d-b9c2-5350dd7a5c01)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a4d2aef3-00ae-4f56-a37d-469efcdcaa46)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 59d3cfd4-4484-48ab-83b6-0c1db8f4f163)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d8572a84-5dce-4a5d-8829-9ba31effb475)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0257f799-052b-4a66-bf52-977da17c730a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 53570c7e-7d6e-40f3-b1ed-45c2629034d1)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 277f9908-a89d-4a12-8f57-beb5f613506f)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 16ef9222-7f5d-43b7-abb8-a467d2b509a2)) (pad "1" smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 4f5ae2f0-0dac-4ec0-825d-366036df7a12)) + (net 2 "GND") (pintype "passive") (tstamp acc88028-5d10-481f-8a6a-77e704ed1121)) (pad "2" smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 23 "-12V") (pintype "passive") (tstamp 4ea6b4f2-1a57-4560-a3ce-4125fa9e1f58)) + (net 23 "-12V") (pintype "passive") (tstamp 5f106eef-7848-4e90-bba6-517899bd282d)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1163,42 +1161,42 @@ (solder_mask_margin 0.05) (solder_paste_margin -0.05) (attr smd) - (fp_text reference "R2" (at 0 0) (layer "F.Fab") + (fp_text reference "R2" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp b029e8fb-f5ad-4dad-88f3-15f819698d92) + (tstamp ab6adaa1-74ad-4377-a9bc-839cc10aa7e4) ) - (fp_text value "47" (at 0 0.25) (layer "F.Fab") + (fp_text value "47" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 8a30da57-ddb0-4088-96f6-64a068e679f4) + (tstamp 0fe51e52-b7a4-4229-a9d6-5d8416ca1bdc) ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp df53973d-2831-4a78-a30d-81d36806a168) + (tstamp 9a1f3135-c807-4146-8b89-7a519438c37f) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd544484-4051-4c7b-b922-331afdc74772)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b3bfb35d-3391-4554-9856-6e07ceb3e925)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e635d8bc-73bb-4675-9050-8a9db07fa2e1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1ba2f64b-2be2-4f8e-8d35-2aa4b9ad526a)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp df0e14dc-1801-4edc-af81-d0a6b456cba0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 67ce0c9d-f77f-4077-85e6-f34cd4d0aa81)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e8c5a001-bba5-49f0-a863-82bb889341d3)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d7926315-5f17-404c-8ea0-0dff82bf2b68)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a109febd-c65c-4b92-a4ee-00e2b76075a4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c24165a4-47b4-4d7f-b120-d34b645b97d6)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1aa5a83b-8601-45cf-906a-ae4a45966404)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c858b03c-a4a1-4b8d-be12-e297ae656836)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f5b4650d-81f7-4473-9806-67d15a5c2025)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 97ed4e80-def5-4a70-945e-42d7693e5657)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0285f7eb-88b2-4631-95e2-a9acc95de87a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9b06641c-969b-459f-a8b8-71b325782307)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 24c68a1d-ca4e-491f-827e-83c7be14d606)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 966c2b8a-7d3d-41bd-81e1-1090d3ce5104)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 08a52f46-2f45-4975-9b44-00461fe1d2aa)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 39c06750-ccc5-4a45-b827-d3bea13afaa7)) (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 84 "/RCLK") (pintype "passive") (tstamp fff47708-f503-4afc-8907-7fa13132679b)) + (net 84 "/RCLK") (pintype "passive") (tstamp 8fda3abd-90da-4587-8239-60da8859dbf9)) (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 152 "/RCLKout") (pintype "passive") (tstamp 1c5460ab-cee9-4476-b1a2-022c96b388d4)) + (net 150 "/RCLKout") (pintype "passive") (tstamp 032d1714-3ad8-4adb-9f48-ee7abe174622)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1221,40 +1219,40 @@ (attr smd) (fp_text reference "C42" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp ac795a1c-1e35-4d9c-a1da-955335463827) + (tstamp e435dd60-e5a6-4da2-977d-97d16ebf4c38) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 929b50b6-4d6a-4a5f-9e08-1a705d5f2c6b) + (tstamp 2ebe0164-d46d-4578-b126-4f04a8b3340f) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 1c627dab-299b-4d79-86c9-819df1cfaded) + (tstamp 8efac8f7-6d83-40e2-a72f-fda9ffa82d80) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 39baa228-6b5c-4f4f-b2f1-9593bad7b189)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e7e0d963-8f17-4c81-aa76-e3a531bf08ab)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7b1280a9-7c04-42f1-85ba-d9028ef99058)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp acce4075-eb6c-49e9-a5ee-d5757a177e0c)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1ce64e51-0964-4660-8830-172bae2bfaaa)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b50a9f04-2a1c-4230-9f87-0613ba7dab97)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9c37c964-79e6-42c5-887b-480efc2d4dac)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e2c7066e-416b-460f-b3ab-a9fc7a0cb757)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 650ade0c-0b37-4d00-ba9d-95e71faa7736)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 45121308-5f9d-4b69-a99b-d8d058c736f9)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 114d5c01-8199-4034-8079-804f6604ce23)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 458dfd6a-8dc9-44d0-91f2-f38992ed8909)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1e1c92b1-1dad-4967-8b51-93b43bdda7dd)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0cf34b0f-176e-4583-80e1-689cc535c003)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 574c9556-bd1c-4619-9b8e-7a46719f32d7)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d24ca1a4-3255-4359-b4d5-8de86658ed69)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c4bb9c70-2181-4f2d-8acf-4d6a56e5ef83)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c9af703a-97b4-4f0e-817e-fb7c2f07a717)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 976ec7b7-ef63-43f3-9a68-224a2de72e2a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6ff20f08-c38b-4ec8-82b9-901441bd865b)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp ce5d3b89-5be4-4334-8a7b-456a8f3291dd)) + (net 1 "+5V") (pintype "passive") (tstamp cfd8034e-a1da-4ccc-aaae-35c92c762a42)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp b7e336e4-47fb-485c-b7fe-e343fce94233)) + (net 2 "GND") (pintype "passive") (tstamp 07a847b6-1a9b-45aa-9620-b3c497050f8f)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1266,7 +1264,7 @@ (tstamp 2ea367ad-cdf8-45f3-808d-735e55a1876d) (at 119 121.35 180) (tags "SOT-353 SC-70-5") - (property "LCSC Part" "C12519") + (property "LCSC Part" "C12495") (property "Sheetfile" "GR8RAM.kicad_sch") (property "Sheetname" "") (path "/34eb1b62-d430-4cf8-a467-c965eda7492d") @@ -1275,44 +1273,44 @@ (attr smd) (fp_text reference "U11" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp baa8cca5-6f59-443a-8bde-525cc8c9715a) + (tstamp c02549e7-447c-44a8-ad52-917d7648d25b) ) - (fp_text value "74LVC1G125GW" (at 0 -0.35) (layer "F.Fab") + (fp_text value "74AHCT1G125GW" (at 0 -0.35) (layer "F.Fab") (effects (font (size 0.1905 0.1905) (thickness 0.047625))) - (tstamp ccb6cb0e-c55f-44ae-9fc9-ee37a58034d4) + (tstamp a93c8c50-24a4-472f-b01d-d3278928f1d0) ) (fp_line (start -1.16 -0.73) (end -1.16 1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eba6ba8b-eb9c-4624-a631-6e9f0862a622)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4c4d496a-bd3b-4da9-894c-cef616cb3ed5)) (fp_line (start 1.16 0.68) (end 1.16 -0.73) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 285e5a37-ea43-4a73-a3bc-be22e9e7f5fd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d1092393-c594-4059-9ee0-4ed4acb4d37b)) (fp_line (start -1.3 -1.6) (end 1.3 -1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7bde4d2c-1425-4555-bda4-9fa8c0c749cb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f4b238b8-5af7-4539-918a-316398550eba)) (fp_line (start -1.3 1.6) (end -1.3 -1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5040caea-1957-40be-adbb-5540ddc96ac7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 60b29919-7264-4ecc-8ebe-672a38e18761)) (fp_line (start 1.3 -1.6) (end 1.3 1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 75fd6452-b9bd-45df-967f-356c0c3c2dff)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5953f0d5-1fe2-4dda-b4d2-792fc05ce97f)) (fp_line (start 1.3 1.6) (end -1.3 1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 95f0b449-7f4c-4935-9242-1a9557bc2299)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp eb69e9f6-59ed-47e6-989b-d27b536b40ed)) (fp_line (start -1.1 -0.67) (end -1.1 0.18) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6705f59d-e929-4083-9fdc-55f62581835d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d3d6995a-f7fc-47f9-ae27-ecced7eac32a)) (fp_line (start -1.1 -0.67) (end 1.1 -0.67) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8f4cc620-c53c-45b0-8be7-07f956b2a94e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 70156c58-ca4e-4a45-ad36-f37816ea76c9)) (fp_line (start -1.1 0.18) (end -0.6 0.68) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 244dcc6f-e4d6-4345-ae99-5ab7399c8323)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 70f98b5a-232f-43ef-a503-a8be1496ea12)) (fp_line (start -0.6 0.68) (end 1.1 0.68) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d0a21978-3d35-41d5-a4e4-7993cfff5abb)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp afd2d188-c0c1-4367-a498-5baf1fbc2b2a)) (fp_line (start 1.1 -0.67) (end 1.1 0.68) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ecb352af-bc9c-4091-928e-d15caee5c1a4)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d31ee607-29c2-47a6-8719-dc22545c6e4c)) (pad "1" smd roundrect (at -0.65 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 146 "/IRQ~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 9a23f38f-8bba-47ed-a052-eb4da631bb1e)) + (net 144 "/IRQ~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp acb04c06-af96-4245-a908-4bc2e7c0d066)) (pad "2" smd roundrect (at 0 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "A") (pintype "input") (tstamp ac1cbe4b-e175-4487-9451-567b00a6515f)) + (net 2 "GND") (pinfunction "A") (pintype "input") (tstamp dc9f7c9e-d83f-4dda-b2ee-a8ce96f441c6)) (pad "3" smd roundrect (at 0.65 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 30d99b75-7b6b-4942-86a1-c1783acd3e47)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 9f38817f-f577-4e53-849c-d2b4e694fcb7)) (pad "4" smd roundrect (at 0.65 -0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 145 "Net-(U11-Y)") (pinfunction "Y") (pintype "output") (tstamp 24a79b14-3013-46d0-b435-37720cd8d651)) + (net 34 "/~{IRQ}") (pinfunction "Y") (pintype "output") (tstamp ede9b5e4-cc90-4da1-9c28-67e8ff7c6d46)) (pad "5" smd roundrect (at -0.65 -0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 757c4dec-77d9-43a9-8f0a-8a4683dfec38)) + (net 1 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 87ea7bf7-59fe-4d5a-9363-23ff582548d1)) (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1333,16 +1331,16 @@ (attr smd) (fp_text reference "FID2" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.381 0.381) (thickness 0.09525))) - (tstamp b13f91a7-f4d0-4209-9859-88e0acf9df85) + (tstamp 22230edc-6393-492d-9bac-08b02abfcf27) ) (fp_text value "Fiducial" (at 0 1.651 90) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp eb36971a-7c00-4b8a-9eca-cd148d16326e) + (tstamp 1aeb90d8-0115-46f0-a1b6-afd4c93d75f1) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp ccce2011-1332-4294-a34a-2d701321aa57)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 38dcfb42-c90f-4e56-9b7e-4a5510e8fe27)) (pad "~" smd circle (at 0 0 90) (size 1 1) (layers "F.Cu" "F.Mask") - (solder_mask_margin 0.5) (clearance 0.575) (tstamp 267a4fab-472f-4cef-b887-923fb8db0a6e)) + (solder_mask_margin 0.5) (clearance 0.575) (tstamp b4655673-fd3e-49e5-9382-a8426ed7b498)) ) (footprint "stdpads:C_0805" (layer "F.Cu") @@ -1360,40 +1358,40 @@ (attr smd) (fp_text reference "C10" (at 0 0 270) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 58864591-4e6b-4355-be89-1d174e4c41f7) + (tstamp 6c550d6d-f0dd-4a53-8fc0-8daac51cd213) ) (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp c3008987-3653-499d-8d5c-51391e633d6d) + (tstamp fc31ccc7-e1f5-45c0-882d-d65d8a160585) ) (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp bc3a258e-f3f0-49e4-add0-852238fb37e3) + (tstamp e8ba537b-0fe7-4c93-98ec-4ffbcb729c43) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp dbeeaf16-1296-4fc0-83cc-79efc3addd66)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 5dee632e-1942-4823-a3a9-32baa400e833)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ea9a7076-95cb-4df0-b6ce-c4d93d7b041c)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 793c347e-5987-438f-aa3e-7262a1529b0e)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 46ab3ed2-5d96-4226-b233-ab56d47e06fb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7338eb8b-c1de-4f7f-89fc-0782da4d1681)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e638187b-97c4-4d9d-b188-367134e47779)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1b407e54-13b5-41c8-85f1-9c82e2ceeb4d)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c434749f-3a11-427f-9b0a-321b122208f0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6b40c735-0d25-4994-b634-55be3630a1a1)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f49dd781-f8aa-48a4-9722-c079210fec97)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d83e94e3-68d7-41f1-a325-00aec329d3e7)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2e29b951-89de-4f0b-b1c8-eb92667cdaf9)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp aaf409df-d625-407e-9ee0-1d58985cb362)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a9bc5ebc-2186-47b8-a380-998a02ede4ba)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6c34b2d5-9ee7-4797-8ab5-df9217dc1641)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp afbeb4b0-15d8-47ae-a961-b0d926ffa555)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d9c63bce-89e4-414a-8175-fcc7673ebd53)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 96660877-5238-47ab-9daf-a0f79f5bd810)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 70b342a9-8603-442c-8d6f-61067e5828be)) (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp da7166aa-c638-4d21-ae41-1dc9ef2af3c8)) + (net 70 "+3V3") (pintype "passive") (tstamp 34dd5c60-ffdd-45b7-9d43-73a94460d4d3)) (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp affd6046-4553-4bfa-9c10-c9ed3776733c)) + (net 2 "GND") (pintype "passive") (tstamp f0446d24-5b5a-41e8-b43d-69619fd5d278)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1416,40 +1414,40 @@ (attr smd) (fp_text reference "R4" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 84aa8d0a-4ea7-47b9-97b1-1af6e6da0d17) + (tstamp faf79468-1498-4e78-8b9b-e5a8e08a5e8e) ) (fp_text value "10k" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 3214ad2e-9e3f-45ee-8896-a8562bbb2e84) + (tstamp b15b82d2-9275-4d22-adb1-431e8f19cae3) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8f9dc3ff-8c07-4be7-9157-9107d875deee) + (tstamp a24cc914-3683-4582-beaf-823e6cfad064) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 652382c8-3823-4c55-9061-428d6df2be75)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 98b23c8b-f222-4f3d-a62a-fabb0a8a7cf2)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 37b4cb1d-5acd-49bb-bdb8-da803ab0d3b6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8dbb0c89-477a-4373-9b84-f3fef4d6cd9c)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3de318ba-4599-48a7-bf3c-de2142ec2fcc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c30ec17c-bb44-4152-8d21-aa2d605527d1)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6e2f5a96-8f7b-42e0-a989-aae023f425d8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp df31c2f9-709e-48af-887b-d46b47802f4b)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f2f48514-22b2-4933-885e-7a85fc76d54c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 12b43f98-cb3e-43c7-bdc9-30db1b677bb7)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d3930bb9-1b9a-41b1-bc1c-1ff288bed5af)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cf1db80e-e0e4-4f54-85e0-955700bc52a1)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 47bdbe55-4689-4810-9f1b-cde7982304e3)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7d13f0b9-aaa1-42be-8f8c-5a0ca250a606)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d37e1c35-6ef9-4712-9a5f-cf674f912c44)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e089d6f9-795f-426c-a324-de013ac2fe21)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 213606c0-0734-470d-815c-d11ac97315bf)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2a3083e3-b3c3-4a60-b811-56950d86fb65)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0323800f-d434-4a35-8ba1-80122fc6a2ec)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c50729f3-3a9f-4167-9539-9a7646772180)) (pad "1" smd roundrect (at -0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 122 "/FD2") (pintype "passive") (tstamp 45c3d24b-f51d-4822-838e-d8155e215d9c)) + (net 122 "/FD2") (pintype "passive") (tstamp b689541a-1a8f-431a-8975-7d374ed1f0e9)) (pad "2" smd roundrect (at 0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp c45185c1-f91d-45b6-a6f4-fb5bf8756065)) + (net 70 "+3V3") (pintype "passive") (tstamp b407bf4d-d4a5-4782-b98f-448c153388e6)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1471,78 +1469,78 @@ (attr smd) (fp_text reference "U4" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 54f82fd6-d63b-4736-bc8e-3a17c1a53622) + (tstamp ade0c122-476f-4e34-9780-021fd43bdde1) ) (fp_text value "74AHC245PW" (at 0 1.016 180) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp e12ca57c-8839-424c-997f-1fe0f3e7d87e) + (tstamp efcf6433-32fd-4b31-9c95-8b9c4f2f18a4) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 07fe4f52-9f5d-4c31-bc4b-6f8da8c4c261) + (tstamp dd8a4503-7ac7-404c-9aae-bea241e47b19) ) (fp_line (start -3.45 3.75) (end -3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 3d1e6afa-b0ac-45f6-8990-a5dd50fb1bf4)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 7cc9afc2-a517-4970-8d6e-df3696a53265)) (fp_line (start 3.45 2.225) (end 3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp fdffc4db-8d7e-4be6-8b10-7856fbf78034)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 30fca743-de6b-481d-a3f7-1396695c83d5)) (fp_line (start -3.55 -3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fdc374f0-4ebb-4d40-a951-64a3ce1b2dc5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c90bfe91-b667-4f7a-bf7b-878bb92f84d3)) (fp_line (start -3.55 3.95) (end -3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5060a85d-0898-4ac0-98fe-ef18cbf2039d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e84d0452-5dc6-455b-9372-89a9320540de)) (fp_line (start -3.55 3.95) (end 3.55 3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3c0b020e-eca1-4262-aa44-6c33aa5463d0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ca906a6-6e4e-4a5f-903a-bba3b6382b1f)) (fp_line (start 3.55 3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 42ed712c-6086-4370-8b6a-478ee3edf91a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9261a38f-b3f7-4628-8e1f-90cb7239de6d)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4729f6cb-95ca-42df-a148-c4f7bf223871)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f7640abc-3e6a-427e-b5b8-0f49b33ffed9)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7f104128-d4df-4d4d-afbf-fafa3fe7a03a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4f3de5f5-dab2-418d-b9f5-7023930042ab)) (fp_line (start -2.25 2.2) (end -3.25 1.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c222fe3d-67dd-4af0-afef-e271b7977748)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 80fededb-779a-429f-a0e1-a97b31cbb954)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 98f11c37-f6e9-4133-8a50-cd14ad62d1b9)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 56fed55f-3400-49cc-a376-f8d0072cd22c)) (fp_line (start 3.25 2.2) (end -2.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f8c19205-a96d-4dd5-929a-8d0d92fe5ec2)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f6ec9be8-3be8-49b3-bbbd-36d5697c6428)) (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp 27836fa6-1e67-4118-a4b3-6b8289fce697)) + (net 70 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp e123c988-6a84-47e1-b922-7708ced74a93)) (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 13 "/A0") (pinfunction "A0") (pintype "bidirectional") (tstamp 709a4b99-60d1-44d9-aedf-4f876d42dc81)) + (net 13 "/A0") (pinfunction "A0") (pintype "bidirectional") (tstamp 18d0b8ed-99f5-45e8-a924-f18c596e19a5)) (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 12 "/A1") (pinfunction "A1") (pintype "bidirectional") (tstamp 2995c6f8-c3b1-4d9b-8b1e-21d4c3f5a8f5)) + (net 12 "/A1") (pinfunction "A1") (pintype "bidirectional") (tstamp 713639ed-142b-44b4-8cc5-1e90cb9c03c3)) (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 11 "/A2") (pinfunction "A2") (pintype "bidirectional") (tstamp e5be64f3-01b0-4fed-9e95-3d64054323ba)) + (net 11 "/A2") (pinfunction "A2") (pintype "bidirectional") (tstamp 298d857c-3d2a-4478-b83e-ad9fd17e0662)) (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 10 "/A3") (pinfunction "A3") (pintype "bidirectional") (tstamp abadc26d-94f3-484f-9efd-e8d94fed35b6)) + (net 10 "/A3") (pinfunction "A3") (pintype "bidirectional") (tstamp bd311454-332f-4bca-bc73-d1fa31f6909f)) (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "/A4") (pinfunction "A4") (pintype "bidirectional") (tstamp 20df6529-ef11-46a9-8427-13afdd335a5f)) + (net 3 "/A4") (pinfunction "A4") (pintype "bidirectional") (tstamp f8ec2a6b-c458-49e0-aa42-27e5aa901b58)) (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 9 "/A5") (pinfunction "A5") (pintype "bidirectional") (tstamp d53fcf99-bcc4-430c-a2f3-5dc3c1f08755)) + (net 9 "/A5") (pinfunction "A5") (pintype "bidirectional") (tstamp e3c73a44-783e-47bb-8c0b-7e09321b92b0)) (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 8 "/A6") (pinfunction "A6") (pintype "bidirectional") (tstamp 0db17e8d-a48f-4209-afec-2835ee68ada9)) + (net 8 "/A6") (pinfunction "A6") (pintype "bidirectional") (tstamp 7539b905-f149-49df-b158-ec79702a1b14)) (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 7 "/A7") (pinfunction "A7") (pintype "bidirectional") (tstamp 0b2b723e-b2db-4d39-ae55-1900f3a645d5)) + (net 7 "/A7") (pinfunction "A7") (pintype "bidirectional") (tstamp 124e7e9b-d817-4da7-9f51-f1dcc1098460)) (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 5e12c161-8d3f-48e1-a6a1-00907de5c5c0)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 4888b981-a9af-49e2-8606-dde12957b0bf)) (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 57 "/RA7") (pinfunction "B7") (pintype "bidirectional") (tstamp 66610467-0f85-4dc6-a06d-a07d0731e2a3)) + (net 57 "/RA7") (pinfunction "B7") (pintype "bidirectional") (tstamp c7846be0-226b-419b-a4e3-37884579800c)) (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 56 "/RA6") (pinfunction "B6") (pintype "bidirectional") (tstamp 9827f637-7df2-4869-a04f-df72bcae1cd2)) + (net 56 "/RA6") (pinfunction "B6") (pintype "bidirectional") (tstamp 53ba5d61-8f25-47ca-a15c-51fb46ea83a4)) (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 55 "/RA5") (pinfunction "B5") (pintype "bidirectional") (tstamp 93c0e9d6-ec93-49ee-8436-0ef8967ba959)) + (net 55 "/RA5") (pinfunction "B5") (pintype "bidirectional") (tstamp 677123b9-d2a6-47a9-89d5-cd844fd8cc7f)) (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 54 "/RA4") (pinfunction "B4") (pintype "bidirectional") (tstamp 6c867704-86b1-459a-ab25-b2d7dd3e8f8a)) + (net 54 "/RA4") (pinfunction "B4") (pintype "bidirectional") (tstamp 42ea6ae1-fede-490f-a54c-a2761efd4c8d)) (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 53 "/RA3") (pinfunction "B3") (pintype "bidirectional") (tstamp cef3875f-c2c2-49fb-a2b8-4ca399df5bf8)) + (net 53 "/RA3") (pinfunction "B3") (pintype "bidirectional") (tstamp ad3f31a9-176e-4ec9-8e62-de5348e85250)) (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 52 "/RA2") (pinfunction "B2") (pintype "bidirectional") (tstamp 9fbd560d-f9c5-450c-993f-3857c37318d6)) + (net 52 "/RA2") (pinfunction "B2") (pintype "bidirectional") (tstamp 73fa4ef3-9cef-45e0-b87f-4b9cbb248a17)) (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 51 "/RA1") (pinfunction "B1") (pintype "bidirectional") (tstamp de76ef40-08ea-46e2-9dcd-a94fd4821dd2)) + (net 51 "/RA1") (pinfunction "B1") (pintype "bidirectional") (tstamp fbe3ab0b-b65c-4e13-8f01-f5286bd2179f)) (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 50 "/RA0") (pinfunction "B0") (pintype "bidirectional") (tstamp df605bb1-04da-4777-951b-d36954ce21d3)) + (net 50 "/RA0") (pinfunction "B0") (pintype "bidirectional") (tstamp b526c3d4-6b45-479d-99b4-8d7ac78417db)) (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 180d3584-8cc1-4520-aa41-141df52cef01)) + (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 6717421b-44b0-40b4-a40f-647c996df824)) (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 2c70f31d-bd8c-4726-ad2b-328ffe1ee7c5)) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 5b8ec8a8-92d1-426e-81d9-1d5e8424541f)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1566,49 +1564,44 @@ (attr smd) (fp_text reference "U10" (at 0 0 270) (layer "F.Fab") (effects (font (size 0.381 0.381) (thickness 0.09525))) - (tstamp ff2c5e12-e2be-4d15-b155-bb469d5e346b) + (tstamp 6a3963f4-e2dd-4fea-9313-e827b6d46b60) ) (fp_text value "AP2127K-1.2TRG1" (at 0 -0.4 270) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp abbc15f7-be26-4d7a-a2a3-65ffe5223175) + (tstamp 94fb468c-415c-4c2f-8261-f081e54629d3) ) (fp_line (start -1.58 -0.76) (end -1.58 1.4) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 44979090-e765-4d35-bb72-d995cc5b780d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 96a4f645-d3a6-4a13-974e-a2e8ed3b3f46)) (fp_line (start 1.58 -0.76) (end 1.58 0.7) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 73dbf4af-c643-43c2-b091-e58c15ce9fbf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e5d30b7-9f53-4c8e-ad13-490f2cfccbe3)) (fp_line (start -1.8 -2) (end 1.8 -2) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0f75d708-e97b-4aeb-8ab9-4ddfe94d70c8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a029aa73-a01f-4def-a990-861d6f94e107)) (fp_line (start -1.8 2) (end -1.8 -2) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 99b13966-a5e6-4b4c-a856-f2bc268e1479)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d8b560f9-e249-491f-9271-02a50e6ad344)) (fp_line (start 1.8 -2) (end 1.8 2) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fe549ec5-e3c8-4512-ad4f-aa69da2794ad)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 363dbde4-13ef-4e01-acc2-86ed15652dfa)) (fp_line (start 1.8 2) (end -1.8 2) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4c4564de-5455-4673-aa74-303024e15413)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1fe18a5c-83bc-4ea4-a7e6-a47f04310050)) (fp_line (start -1.52 -0.7) (end 1.52 -0.7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b96c08fa-8817-46b1-97cc-a8ed1e0d3842)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6fa8271e-f01b-43db-b5a0-f573e2b4101f)) (fp_line (start -1.52 0.15) (end -1.52 -0.7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 23b500dc-20cb-4dfb-aa41-82b5da54e13a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 65596cac-da48-4e47-bd1e-3e2b47521677)) (fp_line (start -0.95 0.7) (end -1.52 0.15) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 250a32e5-fac6-4b25-940c-5d751c8222f3)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 74b82bbb-2246-4c62-b8ef-8ba12ee12178)) (fp_line (start -0.95 0.7) (end 1.5 0.7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2f6f339f-9b4d-484e-b820-b98de2d0b1ff)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ba709e6-7f47-4851-89a2-a8d3892a34b2)) (fp_line (start 1.52 0.7) (end 1.52 -0.7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp db39a1eb-d43b-45cf-91fa-6939ad70d48f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bbf45f88-45f2-46cc-97b8-0ae4e5d9b590)) (pad "1" smd roundrect (at -0.95 1.05 180) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VIN") (pintype "power_in") (tstamp c991ae93-3df5-4cb7-93f0-3cc86967aa5d)) + (net 70 "+3V3") (pinfunction "VIN") (pintype "power_in") (tstamp d61bee2c-7702-48d9-908a-cb88ff0d4fe2)) (pad "2" smd roundrect (at 0 1.05 180) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 97598b40-8c47-448d-a71c-3cd5bfdda171)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 354eeb38-727f-4491-a3b9-fa446164305c)) (pad "3" smd roundrect (at 0.95 1.05 180) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "EN") (pintype "input") (tstamp 9ebbb4de-e4c9-458f-9882-716271adbb2f)) + (net 70 "+3V3") (pinfunction "EN") (pintype "input") (tstamp 576bafb4-8599-454a-86f5-1aa2c1a56446)) (pad "4" smd roundrect (at 0.95 -1.05 180) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 142 "unconnected-(U10-NC-Pad4)") (pinfunction "NC") (pintype "no_connect") (tstamp 95a7a1b2-24ff-4a8b-90d9-aff4323c8ba4)) + (net 142 "unconnected-(U10-NC-Pad4)") (pinfunction "NC") (pintype "no_connect") (tstamp cab2126f-a600-4dda-b9e9-1e47935c89ad)) (pad "5" smd roundrect (at -0.95 -1.05 180) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 151 "+1V2") (pinfunction "VOUT") (pintype "power_out") (tstamp b77c0b53-1252-4a2c-aeb3-2182e156a053)) - (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) + (net 149 "+1V2") (pinfunction "VOUT") (pintype "power_out") (tstamp d4186791-ee04-40b5-9e94-e588a9207480)) ) (footprint "stdpads:TQFP-100_14x14mm_P0.5mm" (layer "F.Cu") @@ -1624,289 +1617,289 @@ (attr smd) (fp_text reference "U1" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 60e4501e-6877-4760-80be-fe9e593f6d74) + (tstamp f4e0fbc7-e9d1-44b7-8c1d-d634ad0a9ee9) ) (fp_text value "LCMXO2-640-TG100" (at 1.05 0 90) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp eabef27a-53b8-4447-b8ce-4450648c3807) + (tstamp 7173463d-14fd-400c-afad-e74a1e79521e) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 486a94cf-65fd-47b3-965b-ebc357c52989) + (tstamp 0798c042-dc3d-4b66-b46b-8ae077ca8a08) ) (fp_line (start -7.11 -7.11) (end -6.41 -7.11) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7118a158-dc60-48d0-8ce1-95489832cf45)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8084440f-0432-423f-851d-89edaad67497)) (fp_line (start -7.11 -6.41) (end -7.11 -7.11) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 292c41b5-c69c-47ba-8458-775faaf8ab28)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 181f3392-68bc-4722-ba66-0f0717e561fc)) (fp_line (start -7.11 6.41) (end -7.11 7.11) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0c671080-aec0-49d7-bc10-c9dd1ab30280)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ebdbb40d-6f2f-404d-a60e-8f17ab2ccb4f)) (fp_line (start -7.11 7.11) (end -6.41 7.11) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a1de0b8-c0bf-4c94-99b5-0cfcda22b8b1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 17e9d23c-529f-4d3e-824b-995cc237bb46)) (fp_line (start -6.41 7.11) (end -6.41 8.4) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5073c7ac-f7fe-4091-bddd-102c5b72159c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5e839cfd-c06d-4e58-ab9d-7c73fe34f426)) (fp_line (start 7.11 -7.11) (end 6.41 -7.11) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 67a4623f-a1a1-40c5-8d0c-c85daf42e77e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ae3d5906-660c-4e85-8763-ace59e117da9)) (fp_line (start 7.11 -6.41) (end 7.11 -7.11) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7551997-b61d-40d1-9830-efa5d68e1b47)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 31bb8e65-814c-490c-85df-a9e6696a951b)) (fp_line (start 7.11 6.41) (end 7.11 7.11) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bb4decc2-085c-44f4-ad33-55f20d7a0a9a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6230e66c-76d5-43f3-b236-09cc92898974)) (fp_line (start 7.11 7.11) (end 6.41 7.11) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3d7ec438-c646-46fd-be42-91b9c9a8e1cc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2c324342-dcbc-4258-9592-7fddb18e29e7)) (fp_line (start -8.65 -6.4) (end -7.25 -6.4) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a1006f40-5ac5-45cd-b5d2-3f4970067665)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ee8b6d6d-c82d-4853-ae97-a4c64b756092)) (fp_line (start -8.65 0) (end -8.65 -6.4) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f934ba3a-0dd5-4db6-8a1a-175681d0083e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6b016861-b44c-4623-84d6-261f4c0ac782)) (fp_line (start -8.65 0) (end -8.65 6.4) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4eac2b33-f9a1-4bda-a3e9-b3ee6fc71f52)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3c9caa97-1a29-4756-8a47-68a26eaaef96)) (fp_line (start -8.65 6.4) (end -7.25 6.4) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2be45c38-27b3-4dc3-9769-747793367de5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5593f2e6-bccb-4bc7-b276-f98a173d7aa9)) (fp_line (start -7.25 -7.25) (end -6.4 -7.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fa3290b0-ed4b-4152-b458-3dfd00b27e50)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 06f92e5b-f93f-4dd0-bfec-2d3df016f084)) (fp_line (start -7.25 -6.4) (end -7.25 -7.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7d649c33-c733-4774-b28f-6ba0eb2a240b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 626f6fdb-1077-44ce-9201-bff2b18bb964)) (fp_line (start -7.25 6.4) (end -7.25 7.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0353c5d1-e718-40c9-b296-5718492c87bb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f102e8b9-eae9-4f90-bd8c-0d49dc7cc843)) (fp_line (start -7.25 7.25) (end -6.4 7.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1f93b8e0-cdbe-4131-b1c6-3e7e1ba86f12)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 88756c55-3624-42b9-9ab4-f4d1fe077b7c)) (fp_line (start -6.4 -8.65) (end 0 -8.65) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c556d820-1742-49a8-bd73-9b16724deed2)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 269b888f-2c04-4dfd-968f-91c03bc9d697)) (fp_line (start -6.4 -7.25) (end -6.4 -8.65) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 18e20c5c-e46e-4d53-8fe6-5d7bc7db17cf)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d4396cda-221b-4629-b889-3b1b55d9e1f9)) (fp_line (start -6.4 7.25) (end -6.4 8.65) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 66abbe1a-4754-44ff-a569-0f5c7634dbbb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e93469f6-b2e3-4c87-8d43-811371202a5d)) (fp_line (start -6.4 8.65) (end 0 8.65) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d2156c9c-00af-4028-a10d-d9c89bce60de)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 63f3efba-4d17-4242-a477-4871ddb9680d)) (fp_line (start 6.4 -8.65) (end 0 -8.65) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1252670b-c657-4630-a9f0-defcddd696ba)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0b0df219-a1ed-444f-a282-d597f46b60bd)) (fp_line (start 6.4 -7.25) (end 6.4 -8.65) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp abfdf990-9dc6-424d-b88e-965aa236f732)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a0470b42-5281-4c94-8e58-b2b00787ea42)) (fp_line (start 6.4 7.25) (end 6.4 8.65) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f927c39c-9ad2-450d-ab1f-8b1a72341a65)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7ba6e47d-1e9a-4271-858e-a5d2b499cf4e)) (fp_line (start 6.4 8.65) (end 0 8.65) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 375fecaf-6af3-432d-af81-9f7017093115)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 139d67ee-54a5-4b06-ac91-d7a54171f3bf)) (fp_line (start 7.25 -7.25) (end 6.4 -7.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 24719717-84b8-4264-8ba5-77355d48fd48)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fa899452-b906-4e08-98bf-c62a69cc4e4b)) (fp_line (start 7.25 -6.4) (end 7.25 -7.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6a3c16bc-3530-4c0e-a290-3d85d444c6cc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7f014042-4b5e-4207-b4ee-c5a629c13a0e)) (fp_line (start 7.25 6.4) (end 7.25 7.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fee50d4b-dca2-4336-8f90-ab10f887f7b2)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 912b18e4-a90f-41e7-99a5-dd836b4d6c23)) (fp_line (start 7.25 7.25) (end 6.4 7.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9773599c-64c8-4b2f-839b-04ba46c36d25)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0e6fd91f-219d-4ca3-b129-173b8a46968f)) (fp_line (start 8.65 -6.4) (end 7.25 -6.4) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2b0c5730-832f-4c9f-a517-27389f2fe3a6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b3cd4f8d-280b-4905-a52d-44d1f4eecaaa)) (fp_line (start 8.65 0) (end 8.65 -6.4) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4ed67b5c-ab5c-41b0-a5a9-a69c11796a92)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d45f2ad0-9e1a-4c86-b00a-bb5cbc5ffab2)) (fp_line (start 8.65 0) (end 8.65 6.4) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 71eb21d0-6f39-4c31-8204-d1be7cef7e35)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 72461478-f3e0-4d71-98a5-025e66eb581f)) (fp_line (start 8.65 6.4) (end 7.25 6.4) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5f06d1dc-5980-46f7-a839-e1aefb5f2ae3)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp af056d15-7759-4e2a-a020-4013b8261dca)) (fp_line (start -7 -7) (end 7 -7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 27a09e34-1d2c-435e-a0ed-b6f11c4f9909)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp de9b859c-c933-441f-ab54-178bbf77f160)) (fp_line (start -7 6) (end -7 -7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eb4a6465-fcca-40c6-9614-896ccf6de16d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e101f798-28d0-46d9-a869-ebffd05e6942)) (fp_line (start -6 7) (end -7 6) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f2ba122b-e76d-4b44-8304-24b90ea5920b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d61c0f0c-2612-42ab-80ef-6d7fcf4ff4f9)) (fp_line (start 7 -7) (end 7 7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 230052f6-e960-4e99-a47f-27820169bfcf)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0e6a7ddc-7064-4f6a-a883-2868a6f6755c)) (fp_line (start 7 7) (end -6 7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fb9a0b79-a97a-4630-b0a6-ed5c51e7d330)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3bccf3b4-4652-42fb-8803-5e2b5fa64497)) (pad "1" smd roundrect (at -6 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 81 "/Ddir") (pinfunction "PL2A") (pintype "bidirectional") (tstamp 2f92a88a-54aa-4a55-be56-edcb5d0f9f55)) + (net 81 "/Ddir") (pinfunction "PL2A") (pintype "bidirectional") (tstamp 7a6ffa9e-2c0e-4459-8d8a-0b023b3a2ac4)) (pad "2" smd roundrect (at -5.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 59 "/RA9") (pinfunction "PL2B") (pintype "bidirectional") (tstamp 7ca04bee-d905-45bc-b56b-74270a7392e1)) + (net 59 "/RA9") (pinfunction "PL2B") (pintype "bidirectional") (tstamp 27a2713e-8bc4-40ed-9b59-a744f6eddb6d)) (pad "3" smd roundrect (at -5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 60 "/RA10") (pinfunction "PL2C/PCLKT3_2") (pintype "bidirectional") (tstamp e7c05a95-7524-4204-b348-6aa1a586b75c)) + (net 60 "/RA10") (pinfunction "PL2C/PCLKT3_2") (pintype "bidirectional") (tstamp b96bba84-4c75-45c8-97c9-5b888a394f9e)) (pad "4" smd roundrect (at -4.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 54 "/RA4") (pinfunction "PL2D/PCLKC3_2") (pintype "bidirectional") (tstamp 2f45c123-611b-4779-9ed7-afde7c893844)) + (net 54 "/RA4") (pinfunction "PL2D/PCLKC3_2") (pintype "bidirectional") (tstamp e05da12e-12bf-4275-b3e9-fc3a63a61e73)) (pad "5" smd roundrect (at -4 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VccIO3") (pintype "power_in") (tstamp fac47eef-bf03-4942-9984-39019acc7688)) + (net 70 "+3V3") (pinfunction "VccIO3") (pintype "power_in") (tstamp 58fa1352-16b7-45e8-88dc-244bafc70cdb)) (pad "6" smd roundrect (at -3.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 46190569-9b8c-466f-b0be-680ee03f2502)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 39455e88-43f4-4f76-a89b-e087e09df270)) (pad "7" smd roundrect (at -3 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 121 "/RES~{OE}") (pinfunction "PL3A") (pintype "bidirectional") (tstamp 2c813885-3cb0-43bc-92c0-ce532a809c75)) + (net 121 "/RES~{OE}") (pinfunction "PL3A") (pintype "bidirectional") (tstamp 66da5bf6-a92f-45e0-b5c6-ec1945aa0702)) (pad "8" smd roundrect (at -2.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 76 "/RA11") (pinfunction "PL3B") (pintype "bidirectional") (tstamp 7bad4208-f9c5-4065-b591-0e427d9f449d)) + (net 76 "/RA11") (pinfunction "PL3B") (pintype "bidirectional") (tstamp 9f589741-377c-485a-80af-29707a00e256)) (pad "9" smd roundrect (at -2 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 77 "/RA12") (pinfunction "PL3C") (pintype "bidirectional") (tstamp 362c0a7f-f0d0-44d2-8912-6e3ecd11b450)) + (net 77 "/RA12") (pinfunction "PL3C") (pintype "bidirectional") (tstamp 61df22b4-209c-4f90-b128-7f3e54e083fb)) (pad "10" smd roundrect (at -1.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 78 "/RA13") (pinfunction "PL3D") (pintype "bidirectional") (tstamp 3f306986-1763-4418-a801-026d18ac9246)) - (pad "11" smd roundrect (at -1 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 860096ae-f81e-4b60-9cb7-afd93e0f5e4a)) + (net 78 "/RA13") (pinfunction "PL3D") (pintype "bidirectional") (tstamp 57bea327-978a-4c69-8a68-360651d53748)) + (pad "11" smd roundrect (at -1 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 641ebe41-ee55-4573-b913-a08449acd73e)) (pad "12" smd roundrect (at -0.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 146 "/IRQ~{OE}") (pinfunction "PL5A/PCLKT3_1") (pintype "bidirectional") (tstamp 9aadbc52-3120-4ed2-909b-04b685be514c)) + (net 144 "/IRQ~{OE}") (pinfunction "PL5A/PCLKT3_1") (pintype "bidirectional") (tstamp a854ea52-60a9-4301-83b4-9f51cb75ad6e)) (pad "13" smd roundrect (at 0 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 79 "/RA14") (pinfunction "PL5B/PCLKC3_1") (pintype "bidirectional") (tstamp 13fa001d-79ae-4285-9cb9-b8e5c9d9cc1a)) + (net 79 "/RA14") (pinfunction "PL5B/PCLKC3_1") (pintype "bidirectional") (tstamp 4cf14831-8858-45b2-9271-ae64c387c807)) (pad "14" smd roundrect (at 0.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 80 "/RA15") (pinfunction "PL5C") (pintype "bidirectional") (tstamp d0cf797f-9fc9-415d-b574-0b9cacd9849c)) + (net 80 "/RA15") (pinfunction "PL5C") (pintype "bidirectional") (tstamp 9a14c170-6324-49a3-956c-c3c7e8423cef)) (pad "15" smd roundrect (at 1 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 75 "/R~{IOSEL}") (pinfunction "PL5D") (pintype "bidirectional") (tstamp 9bb3e334-50c5-4f41-9b42-bcd44d7350e8)) + (net 75 "/R~{IOSEL}") (pinfunction "PL5D") (pintype "bidirectional") (tstamp 68388804-b928-4c41-b15f-f3f93207ea58)) (pad "16" smd roundrect (at 1.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 74 "/R~{DEVSEL}") (pinfunction "PL6A") (pintype "bidirectional") (tstamp 791a0ed1-58df-4321-a61c-e513e36aeb24)) + (net 74 "/R~{DEVSEL}") (pinfunction "PL6A") (pintype "bidirectional") (tstamp 163ea042-338f-41d5-a30d-77b1149c90cf)) (pad "17" smd roundrect (at 2 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 124 "/RPHI0") (pinfunction "PL6B") (pintype "bidirectional") (tstamp c7ea2135-0f8b-44b5-a6cc-7e9861a6458f)) + (net 124 "/RPHI0") (pinfunction "PL6B") (pintype "bidirectional") (tstamp 74367266-70d1-461c-b01b-8c8fd22885d3)) (pad "18" smd roundrect (at 2.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "/R~{IOSTRB}") (pinfunction "PL6C") (pintype "bidirectional") (tstamp 7ea53c99-39ab-46cd-9ddc-56fd4c4e9e48)) + (net 73 "/R~{IOSTRB}") (pinfunction "PL6C") (pintype "bidirectional") (tstamp 11fa0e4a-b4eb-42d2-99d7-3a696c704c72)) (pad "19" smd roundrect (at 3 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 103 "/RR~{W}in") (pinfunction "PL6D") (pintype "bidirectional") (tstamp 2db44f14-e0df-426a-8b96-b26382288b94)) + (net 103 "/RR~{W}in") (pinfunction "PL6D") (pintype "bidirectional") (tstamp f82f640c-dec7-49d1-9c34-3a174c796678)) (pad "20" smd roundrect (at 3.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 72 "/R~{RES}") (pinfunction "PL7A/PCLKT3_0") (pintype "bidirectional") (tstamp 5863a3a5-831e-49eb-a19c-85541643ce26)) + (net 72 "/R~{RES}") (pinfunction "PL7A/PCLKT3_0") (pintype "bidirectional") (tstamp 4c84948a-d439-44c7-a54e-a74c8ba59272)) (pad "21" smd roundrect (at 4 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 88 "/SD2") (pinfunction "PL7B/PCLKC3_0") (pintype "bidirectional") (tstamp 3e233a7a-8805-4078-90e7-b7d70277fc40)) + (net 88 "/SD2") (pinfunction "PL7B/PCLKC3_0") (pintype "bidirectional") (tstamp c2cb7ce8-1347-4b39-8dd4-95c2ce4a5682)) (pad "22" smd roundrect (at 4.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 9ed64408-e068-4e60-ad1e-4d41a37be09c)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 4bd004cc-ea98-47fa-849f-bb268b888eed)) (pad "23" smd roundrect (at 5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VccIO3") (pintype "power_in") (tstamp 8b8c5724-9fca-48ae-90d9-fd483a5f8d7e)) + (net 70 "+3V3") (pinfunction "VccIO3") (pintype "power_in") (tstamp 60e5812a-8150-44fa-b0c2-7fb474181b02)) (pad "24" smd roundrect (at 5.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 86 "/SD1") (pinfunction "PL7C") (pintype "bidirectional") (tstamp d052d06b-8379-4737-91cb-fd531e4da64c)) + (net 86 "/SD1") (pinfunction "PL7C") (pintype "bidirectional") (tstamp 010796e3-3d3c-42d7-af48-94234cebfa1c)) (pad "25" smd roundrect (at 6 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 85 "/SD0") (pinfunction "PL7D") (pintype "bidirectional") (tstamp 34894360-482c-4fe9-aca1-f16eb348a42b)) + (net 85 "/SD0") (pinfunction "PL7D") (pintype "bidirectional") (tstamp 00867a10-79b1-4564-8551-5aa6e23578f9)) (pad "26" smd roundrect (at 7.6625 6 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VccIO2") (pintype "power_in") (tstamp efe21919-20ad-47b2-a39a-51cbceeefd64)) + (net 70 "+3V3") (pinfunction "VccIO2") (pintype "power_in") (tstamp 5f98816c-4638-4c5b-9964-6a3aabe44f5b)) (pad "27" smd roundrect (at 7.6625 5.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 87 "/SD3") (pinfunction "PB4A/CSSPIN") (pintype "bidirectional") (tstamp 64920b1f-d905-41c0-a7e7-b10b8bfe24e7)) + (net 87 "/SD3") (pinfunction "PB4A/CSSPIN") (pintype "bidirectional") (tstamp 1d4ffce7-03d0-4213-8fab-3fe7ebc434c9)) (pad "28" smd roundrect (at 7.6625 5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 91 "/SD4") (pinfunction "PB4B") (pintype "bidirectional") (tstamp baafa856-af27-4606-be28-37ed58e92335)) + (net 91 "/SD4") (pinfunction "PB4B") (pintype "bidirectional") (tstamp 8c2df015-80f0-4df7-8264-33dc05b00458)) (pad "29" smd roundrect (at 7.6625 4.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 90 "/SD5") (pinfunction "PB4C") (pintype "bidirectional") (tstamp 11b10696-e16d-457c-9df2-2a52341b5d1f)) + (net 90 "/SD5") (pinfunction "PB4C") (pintype "bidirectional") (tstamp 7c1ca758-f63e-4c6d-84aa-9dc4940a9fec)) (pad "30" smd roundrect (at 7.6625 4 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 135 "/SD6") (pinfunction "PB4D") (pintype "bidirectional") (tstamp 7fce44be-41d2-4cab-82b3-f77c379d0591)) + (net 135 "/SD6") (pinfunction "PB4D") (pintype "bidirectional") (tstamp ff749584-e6bb-438a-8518-e0718f461c22)) (pad "31" smd roundrect (at 7.6625 3.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 89 "/SD7") (pinfunction "PB6A/MCLK/CCLK") (pintype "bidirectional") (tstamp 18fa6184-73b0-43ce-ad5d-19a45453a967)) + (net 89 "/SD7") (pinfunction "PB6A/MCLK/CCLK") (pintype "bidirectional") (tstamp 429b1f74-4e61-4dad-a9c8-e7b7bd530e68)) (pad "32" smd roundrect (at 7.6625 3 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 92 "/SDQML") (pinfunction "PB6B/SO/SPISO") (pintype "bidirectional") (tstamp ab6d50df-e3e3-46e6-a87c-c7e16367fd15)) + (net 92 "/SDQML") (pinfunction "PB6B/SO/SPISO") (pintype "bidirectional") (tstamp 2214e566-67af-471f-912d-13a80ac355a9)) (pad "33" smd roundrect (at 7.6625 2.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp ad5dd099-8868-41b1-bb4f-698dfe5ed23b)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp dad94b47-be28-45e5-8336-5dfba9ba0e55)) (pad "34" smd roundrect (at 7.6625 2 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 102 "/SDQMH") (pinfunction "PB6C/PCLKT2_0") (pintype "bidirectional") (tstamp 750ad57b-f4d5-4411-8058-80b8f035b4d4)) + (net 102 "/SDQMH") (pinfunction "PB6C/PCLKT2_0") (pintype "bidirectional") (tstamp 3f88e307-0b7c-4dfe-a50a-5c1462813670)) (pad "35" smd roundrect (at 7.6625 1.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 93 "/S~{WE}") (pinfunction "PB6D/PCLKC_0") (pintype "bidirectional") (tstamp d32a7c18-af65-41ac-b128-779caa23a151)) + (net 93 "/S~{WE}") (pinfunction "PB6D/PCLKC_0") (pintype "bidirectional") (tstamp 5a272a8d-410f-48df-bc00-b9f68e602824)) (pad "36" smd roundrect (at 7.6625 1 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 94 "/S~{CAS}") (pinfunction "PB10A") (pintype "bidirectional") (tstamp 0d98bd8c-867f-4736-9dfa-e3360e4f15b1)) + (net 94 "/S~{CAS}") (pinfunction "PB10A") (pintype "bidirectional") (tstamp 584c5674-9b79-4f64-9f31-c52551bb9dea)) (pad "37" smd roundrect (at 7.6625 0.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 95 "/S~{RAS}") (pinfunction "PB10B") (pintype "bidirectional") (tstamp f29e35a5-9d3a-48eb-851c-3219aa2c975a)) + (net 95 "/S~{RAS}") (pinfunction "PB10B") (pintype "bidirectional") (tstamp d13359ce-d38a-4ecf-8f60-26d737773649)) (pad "38" smd roundrect (at 7.6625 0 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 83 "/ACLK") (pinfunction "PB10C/PCLKT2_1") (pintype "bidirectional") (tstamp dba60eeb-243c-4022-8fa2-9b20b7c8d4db)) + (net 83 "/ACLK") (pinfunction "PB10C/PCLKT2_1") (pintype "bidirectional") (tstamp 5b702d37-85fd-4df2-b94e-6189b061f34c)) (pad "39" smd roundrect (at 7.6625 -0.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 152 "/RCLKout") (pinfunction "PB10D/PCLKC2_1") (pintype "bidirectional") (tstamp 23370111-213a-4d18-985f-8f032b903fec)) + (net 150 "/RCLKout") (pinfunction "PB10D/PCLKC2_1") (pintype "bidirectional") (tstamp 6bc277c0-41f2-460c-b510-1282c2eebf4f)) (pad "40" smd roundrect (at 7.6625 -1 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 101 "/SCKE") (pinfunction "PB12A") (pintype "bidirectional") (tstamp ced89a9b-44f3-4fb7-bdcd-fd62eda49c96)) + (net 101 "/SCKE") (pinfunction "PB12A") (pintype "bidirectional") (tstamp ef1c709f-0da7-4fe2-9034-5bbd241f7b07)) (pad "41" smd roundrect (at 7.6625 -1.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 96 "/S~{CS}") (pinfunction "PB12B") (pintype "bidirectional") (tstamp a7612b48-89d6-4318-92e3-9d4954d125eb)) + (net 96 "/S~{CS}") (pinfunction "PB12B") (pintype "bidirectional") (tstamp ea78665c-3e93-4b9f-9cac-8e2b41a3fe38)) (pad "42" smd roundrect (at 7.6625 -2 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 106 "/SA12") (pinfunction "PB12C") (pintype "bidirectional") (tstamp 0b22f0ad-ac70-469f-aa77-5f09beb0eff4)) + (net 106 "/SA12") (pinfunction "PB12C") (pintype "bidirectional") (tstamp 75676740-7c7c-42e7-93c9-4c72e76774c2)) (pad "43" smd roundrect (at 7.6625 -2.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 107 "/SBA0") (pinfunction "PB12D") (pintype "bidirectional") (tstamp bef607c1-c14e-4e82-ac93-c833011f25f2)) + (net 107 "/SBA0") (pinfunction "PB12D") (pintype "bidirectional") (tstamp ce32ae84-fe13-4cc8-bb28-43acf204b5f8)) (pad "44" smd roundrect (at 7.6625 -3 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 433d35e7-967c-4e6e-9ca4-30e33a9c6200)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp ead328e9-f5f7-4a04-8433-9e6d0fc0df89)) (pad "45" smd roundrect (at 7.6625 -3.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 108 "/SA11") (pinfunction "PB14A") (pintype "bidirectional") (tstamp 1a24ee6d-760a-45bb-ba7c-d8e8d547a4dc)) + (net 108 "/SA11") (pinfunction "PB14A") (pintype "bidirectional") (tstamp d0949212-67db-483f-a708-63ffe85e7cb8)) (pad "46" smd roundrect (at 7.6625 -4 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VccIO2") (pintype "power_in") (tstamp 0dba0175-da38-42f0-9beb-2e3fcbe19a85)) + (net 70 "+3V3") (pinfunction "VccIO2") (pintype "power_in") (tstamp cf4bd73b-17ed-4a64-a300-aab59d96ca7a)) (pad "47" smd roundrect (at 7.6625 -4.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 111 "/SA10") (pinfunction "PB14") (pintype "bidirectional") (tstamp 2daf9ab6-8bdf-498c-ba8c-5754b85ee8e9)) + (net 111 "/SA10") (pinfunction "PB14") (pintype "bidirectional") (tstamp 35aa22be-8f28-4320-a17b-22a5489f3780)) (pad "48" smd roundrect (at 7.6625 -5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 109 "/SBA1") (pinfunction "PB14C/SN") (pintype "bidirectional") (tstamp 6542ef56-2aa9-4b75-941a-87c6de2b4077)) + (net 109 "/SBA1") (pinfunction "PB14C/SN") (pintype "bidirectional") (tstamp c9075762-d781-4d15-8576-e52567cab699)) (pad "49" smd roundrect (at 7.6625 -5.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 110 "/SA9") (pinfunction "PB14D/SI/SISPI") (pintype "bidirectional") (tstamp 05347ddc-1c12-4042-9ed7-665fd4b6c668)) + (net 110 "/SA9") (pinfunction "PB14D/SI/SISPI") (pintype "bidirectional") (tstamp b7d6e3a0-ee04-425e-80a1-15a19976fa56)) (pad "50" smd roundrect (at 7.6625 -6 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 151 "+1V2") (pinfunction "Vcc") (pintype "power_in") (tstamp 489fab9d-1975-43d2-b5a0-f9802c31a4b5)) + (net 149 "+1V2") (pinfunction "Vcc") (pintype "power_in") (tstamp 8ff545f4-c8a7-40c2-b319-f28d696d32ba)) (pad "51" smd roundrect (at 6 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 99 "/SA4") (pinfunction "PR7D") (pintype "bidirectional") (tstamp a702c6cf-9d2b-4055-babf-ab7963659c05)) + (net 99 "/SA4") (pinfunction "PR7D") (pintype "bidirectional") (tstamp c03f2a8c-6319-4725-8039-76dfaad2634f)) (pad "52" smd roundrect (at 5.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 116 "/SA5") (pinfunction "PR7C") (pintype "bidirectional") (tstamp 1c3ba422-64ab-4fbc-8160-0cb5c1648914)) + (net 116 "/SA5") (pinfunction "PR7C") (pintype "bidirectional") (tstamp 35624c26-5dd4-4f76-85c5-bd1511c03eb9)) (pad "53" smd roundrect (at 5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 112 "/SA8") (pinfunction "PR7B") (pintype "bidirectional") (tstamp b4faf187-0002-4503-bea9-9885fc92921a)) + (net 112 "/SA8") (pinfunction "PR7B") (pintype "bidirectional") (tstamp cf52a909-0141-4346-bd94-64f4e68de391)) (pad "54" smd roundrect (at 4.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 97 "/SA0") (pinfunction "PR7A") (pintype "bidirectional") (tstamp 0f8e661f-f8a5-4b57-b1b5-7239ea70b391)) + (net 97 "/SA0") (pinfunction "PR7A") (pintype "bidirectional") (tstamp 47f748a9-1c27-43e8-8d18-1d683b1f858e)) (pad "55" smd roundrect (at 4 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VccIO1") (pintype "power_in") (tstamp e1cb9cd0-7e23-4ad0-aebc-55d942cc2dff)) + (net 70 "+3V3") (pinfunction "VccIO1") (pintype "power_in") (tstamp 23a55349-6008-4df8-9979-9f0c37694a30)) (pad "56" smd roundrect (at 3.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GNDIO1") (pintype "power_in") (tstamp 3c52aa4d-6c73-417d-a53f-856969db6601)) + (net 2 "GND") (pinfunction "GNDIO1") (pintype "power_in") (tstamp bbd136ea-54b3-456d-a248-de24f84053e7)) (pad "57" smd roundrect (at 3 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 113 "/SA7") (pinfunction "PR6D") (pintype "bidirectional") (tstamp 7e680019-01ff-477e-bc1c-cff4451e0ebf)) + (net 113 "/SA7") (pinfunction "PR6D") (pintype "bidirectional") (tstamp 179fd800-4737-4e00-af95-4f6e77ae0922)) (pad "58" smd roundrect (at 2.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 115 "/SA2") (pinfunction "PR6C") (pintype "bidirectional") (tstamp b91e2381-ce48-4f46-8879-bc9a6c7dab73)) + (net 115 "/SA2") (pinfunction "PR6C") (pintype "bidirectional") (tstamp 6a848f86-220f-4905-a187-6f7665825cf2)) (pad "59" smd roundrect (at 2 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 114 "/SA1") (pinfunction "PR6B") (pintype "bidirectional") (tstamp e3fe8c76-5c77-4d96-90ef-be6748c1fed6)) + (net 114 "/SA1") (pinfunction "PR6B") (pintype "bidirectional") (tstamp f5dc8a51-6aac-4bfe-8b81-b8d4f50d8502)) (pad "60" smd roundrect (at 1.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 98 "/SA3") (pinfunction "PR6A") (pintype "bidirectional") (tstamp 69c29236-a369-4e10-8a93-e831691d6adc)) - (pad "61" smd roundrect (at 1 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp bfc07ffc-3963-4566-98be-c1a62fa4d2c5)) + (net 98 "/SA3") (pinfunction "PR6A") (pintype "bidirectional") (tstamp 8f74433b-9541-4a98-b50e-83847df8fca9)) + (pad "61" smd roundrect (at 1 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a66df54f-d9e0-488b-9367-d763dd48ec2e)) (pad "62" smd roundrect (at 0.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 100 "/SA6") (pinfunction "PCLKC1_0/PR5D") (pintype "bidirectional") (tstamp 211b6cc8-1e34-4091-a543-2fe1bf6b69fa)) + (net 100 "/SA6") (pinfunction "PCLKC1_0/PR5D") (pintype "bidirectional") (tstamp 312ad606-d12e-47d2-8802-9017aaa28e9d)) (pad "63" smd roundrect (at 0 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 136 "/FW1") (pinfunction "PCLKT1_0/PR5C") (pintype "bidirectional") (tstamp cf66c090-1e6f-4fda-b899-8c57b7f17105)) + (net 136 "/FW1") (pinfunction "PCLKT1_0/PR5C") (pintype "bidirectional") (tstamp fcee6765-c063-4671-9057-36b4607cf645)) (pad "64" smd roundrect (at -0.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 137 "/FW0") (pinfunction "PR5B") (pintype "bidirectional") (tstamp 13cd91db-3f17-471e-87e8-c55ef78db203)) + (net 137 "/FW0") (pinfunction "PR5B") (pintype "bidirectional") (tstamp b879aa1c-38e2-423a-92e8-b0d917d61a44)) (pad "65" smd roundrect (at -1 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 61 "/RD0") (pinfunction "PR5A") (pintype "bidirectional") (tstamp 663bed7a-c753-44fe-861d-698c7f4e9438)) + (net 61 "/RD0") (pinfunction "PR5A") (pintype "bidirectional") (tstamp 4fdc289a-3083-4e40-bddc-c8bd9247b97c)) (pad "66" smd roundrect (at -1.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 62 "/RD1") (pinfunction "PR3D") (pintype "bidirectional") (tstamp 3e84a4f8-e7eb-47fe-a741-1323935fa8d5)) + (net 62 "/RD1") (pinfunction "PR3D") (pintype "bidirectional") (tstamp 60c17b4b-bcda-413c-8537-352fa7cd9c55)) (pad "67" smd roundrect (at -2 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 63 "/RD2") (pinfunction "PR3C") (pintype "bidirectional") (tstamp 7c1d8826-37a5-4244-ae25-c4e492a88682)) + (net 63 "/RD2") (pinfunction "PR3C") (pintype "bidirectional") (tstamp a1b30e17-c1d2-4fb0-834c-5530015d551e)) (pad "68" smd roundrect (at -2.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 64 "/RD3") (pinfunction "PR3B") (pintype "bidirectional") (tstamp ee24204f-a309-4901-a8a0-c00112b76032)) + (net 64 "/RD3") (pinfunction "PR3B") (pintype "bidirectional") (tstamp 3e14b3e9-8946-48eb-8401-c1e95ad2a775)) (pad "69" smd roundrect (at -3 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 65 "/RD4") (pinfunction "PR3A") (pintype "bidirectional") (tstamp 54384d75-cd78-4856-bd81-eea319697642)) + (net 65 "/RD4") (pinfunction "PR3A") (pintype "bidirectional") (tstamp 7b94d4cd-54b9-4a67-95f8-9d980620112d)) (pad "70" smd roundrect (at -3.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 66 "/RD5") (pinfunction "PR2D") (pintype "bidirectional") (tstamp f5b86aa0-385e-42f0-af55-4ea28f942de1)) + (net 66 "/RD5") (pinfunction "PR2D") (pintype "bidirectional") (tstamp 480b6f75-64c6-45e5-bc13-c97140509b7c)) (pad "71" smd roundrect (at -4 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 67 "/RD6") (pinfunction "PR2C") (pintype "bidirectional") (tstamp e4e4d25e-ae0f-44ea-8cfb-1c7c22f8f71e)) + (net 67 "/RD6") (pinfunction "PR2C") (pintype "bidirectional") (tstamp e060d8f8-8a20-4cfa-a4cf-b0e89233061f)) (pad "72" smd roundrect (at -4.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GNDIO1") (pintype "power_in") (tstamp 049d6032-6110-4163-8c30-197906e864bc)) + (net 2 "GND") (pinfunction "GNDIO1") (pintype "power_in") (tstamp 1ef8e37a-1843-4e85-9708-68e2c57e2e34)) (pad "73" smd roundrect (at -5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VccIO1") (pintype "power_in") (tstamp c43b13ed-3adc-4d2a-b50d-ada95ae823ee)) + (net 70 "+3V3") (pinfunction "VccIO1") (pintype "power_in") (tstamp 746a8901-58cd-4991-bc41-7ba3aae6127f)) (pad "74" smd roundrect (at -5.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 50 "/RA0") (pinfunction "PR2B") (pintype "bidirectional") (tstamp 8e2a5b4a-bd4f-4dc1-993a-1dee45702082)) + (net 50 "/RA0") (pinfunction "PR2B") (pintype "bidirectional") (tstamp dfa17ef6-eae2-401b-8f51-d9a011c24614)) (pad "75" smd roundrect (at -6 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 68 "/RD7") (pinfunction "PR2A") (pintype "bidirectional") (tstamp 7b1b1353-1a52-4d69-934d-49a04234c1c1)) + (net 68 "/RD7") (pinfunction "PR2A") (pintype "bidirectional") (tstamp 181fc6f1-6598-409a-a5e8-943e6836cf29)) (pad "76" smd roundrect (at -7.6625 -6 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 147 "/DONE") (pinfunction "DONE/PT11D") (pintype "bidirectional") (tstamp 2ba76141-35f7-4ff4-ac5d-1c6c39dec16f)) + (net 145 "/DONE") (pinfunction "DONE/PT11D") (pintype "bidirectional") (tstamp 6267f4f8-eb00-4baa-8ea5-5ab6d0d1bb22)) (pad "77" smd roundrect (at -7.6625 -5.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 148 "/~{INIT}") (pinfunction "~{INIT}/PT11C") (pintype "bidirectional") (tstamp 4928b045-367d-4d76-9ee8-897b7d3e6abd)) + (net 146 "/~{INIT}") (pinfunction "~{INIT}/PT11C") (pintype "bidirectional") (tstamp 1e1fb4ac-2fb9-4b3f-83d6-993e0516e3af)) (pad "78" smd roundrect (at -7.6625 -5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 51 "/RA1") (pinfunction "PT11A") (pintype "bidirectional") (tstamp 6ef659e6-a827-4739-9d0d-72c4a46ee7ce)) + (net 51 "/RA1") (pinfunction "PT11A") (pintype "bidirectional") (tstamp 69c50541-adf9-4368-9c04-53fb36f0f0b1)) (pad "79" smd roundrect (at -7.6625 -4.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e5101a8b-cd1e-4bd2-98d2-ee98c0a09b69)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a11332dc-79be-4154-8142-0a6368c136b0)) (pad "80" smd roundrect (at -7.6625 -4 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VccIO0") (pintype "power_in") (tstamp f81bf398-3282-49d8-8dc8-bce388b07b5f)) + (net 70 "+3V3") (pinfunction "VccIO0") (pintype "power_in") (tstamp 55f3d725-6d90-4e3d-b0b7-265b4765caaf)) (pad "81" smd roundrect (at -7.6625 -3.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 149 "/~{PROG}") (pinfunction "~{PROGRAM}/PT10D") (pintype "bidirectional") (tstamp 676a826b-b456-42e1-b74a-16e0ae2fea71)) + (net 147 "/~{PROG}") (pinfunction "~{PROGRAM}/PT10D") (pintype "bidirectional") (tstamp d70a1520-429a-4c89-a826-11cca50d3279)) (pad "82" smd roundrect (at -7.6625 -3 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 150 "/~{JTAGEN}") (pinfunction "~{JTAGEN}/PT10C") (pintype "bidirectional") (tstamp 89848252-19b9-4720-b8ec-11a552d863ca)) + (net 148 "/~{JTAGEN}") (pinfunction "~{JTAGEN}/PT10C") (pintype "bidirectional") (tstamp 7609dcb6-57f9-4c58-ad5f-9899ac035a3b)) (pad "83" smd roundrect (at -7.6625 -2.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 52 "/RA2") (pinfunction "PT10B") (pintype "bidirectional") (tstamp 896ceb68-3bf5-4358-bdbb-58e6f0c435d3)) + (net 52 "/RA2") (pinfunction "PT10B") (pintype "bidirectional") (tstamp f25e1382-ac70-4b7b-a1c2-dce94ef5d238)) (pad "84" smd roundrect (at -7.6625 -2 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 53 "/RA3") (pinfunction "PT10A") (pintype "bidirectional") (tstamp 388dd2be-f8a5-4a27-b279-642bd57e2ab1)) + (net 53 "/RA3") (pinfunction "PT10A") (pintype "bidirectional") (tstamp c090198c-66e4-49c9-ac12-c49da7dc3ff3)) (pad "85" smd roundrect (at -7.6625 -1.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 55 "/RA5") (pinfunction "SDA/PCLKC0_0/PT9D") (pintype "bidirectional") (tstamp 79ea4a6a-f116-4cdd-8384-25555b5b6dfa)) + (net 55 "/RA5") (pinfunction "SDA/PCLKC0_0/PT9D") (pintype "bidirectional") (tstamp 87d80165-f567-4536-95d9-11f585e88076)) (pad "86" smd roundrect (at -7.6625 -1 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 56 "/RA6") (pinfunction "SCL/PCLKT0_0/PT9C") (pintype "bidirectional") (tstamp df99c628-78d6-4217-9a8c-c455bbc2ebed)) + (net 56 "/RA6") (pinfunction "SCL/PCLKT0_0/PT9C") (pintype "bidirectional") (tstamp bb5f7752-8b6e-4df2-9f4e-d391fee96820)) (pad "87" smd roundrect (at -7.6625 -0.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 57 "/RA7") (pinfunction "PT9B/PCLKC0_1") (pintype "bidirectional") (tstamp 4adb99a4-ef40-4d8c-a6b1-d60216f53b6d)) + (net 57 "/RA7") (pinfunction "PT9B/PCLKC0_1") (pintype "bidirectional") (tstamp a459fc68-5365-4f54-98ce-afe177bcdc91)) (pad "88" smd roundrect (at -7.6625 0 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 119 "/F~{CS}") (pinfunction "PT9A/PCLKT0_1") (pintype "bidirectional") (tstamp d5318c9e-e3df-4a97-90cd-356b549bcc3c)) - (pad "89" smd roundrect (at -7.6625 0.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7a24d28f-3a26-4131-81de-c16452008432)) + (net 119 "/F~{CS}") (pinfunction "PT9A/PCLKT0_1") (pintype "bidirectional") (tstamp 745c70fa-f588-451b-9c0e-5f5a601f6425)) + (pad "89" smd roundrect (at -7.6625 0.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 222f57f6-016a-49de-9875-2a9a90373cbe)) (pad "90" smd roundrect (at -7.6625 1 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 48 "/TMS") (pinfunction "TMS/PT7D") (pintype "bidirectional") (tstamp fe4c8ff5-2356-4c93-a403-0d391f05bd87)) + (net 48 "/TMS") (pinfunction "TMS/PT7D") (pintype "bidirectional") (tstamp d045fc37-fd6e-46ef-ac9f-70839584851b)) (pad "91" smd roundrect (at -7.6625 1.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 46 "/TCK") (pinfunction "TCK/PT7C") (pintype "bidirectional") (tstamp f5516269-66b1-4888-af18-1fc017d41489)) + (net 46 "/TCK") (pinfunction "TCK/PT7C") (pintype "bidirectional") (tstamp a3e51535-9111-4965-bb5f-46bbfaf67137)) (pad "92" smd roundrect (at -7.6625 2 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 07d0240e-30c7-4892-9213-14861cb1a404)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 7490b23a-5a69-48c5-9419-e1e32e65a258)) (pad "93" smd roundrect (at -7.6625 2.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VccIO0") (pintype "power_in") (tstamp e638f07e-1124-4f51-a48c-11fb8baca450)) + (net 70 "+3V3") (pinfunction "VccIO0") (pintype "power_in") (tstamp b359f07f-74dc-451e-a15c-e30dede08546)) (pad "94" smd roundrect (at -7.6625 3 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 49 "/TDI") (pinfunction "TDI/PT7B") (pintype "bidirectional") (tstamp 11bfa37a-a860-4b9e-ab99-20f30b137b08)) + (net 49 "/TDI") (pinfunction "TDI/PT7B") (pintype "bidirectional") (tstamp dcbd09e0-2f3d-461e-bd03-297bbd35729a)) (pad "95" smd roundrect (at -7.6625 3.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 47 "/TDO") (pinfunction "TDO/PT7A") (pintype "bidirectional") (tstamp ea879d6c-2d69-4321-bd83-df00b9de1aa3)) + (net 47 "/TDO") (pinfunction "TDO/PT7A") (pintype "bidirectional") (tstamp eb0566f6-8fc3-46cc-bfc6-dde5aaa177dd)) (pad "96" smd roundrect (at -7.6625 4 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 120 "/FCK") (pinfunction "PT6D") (pintype "bidirectional") (tstamp 19d6127b-8f10-4702-bdb2-bbb87cca3868)) + (net 120 "/FCK") (pinfunction "PT6D") (pintype "bidirectional") (tstamp 5d194498-c30e-4603-9a67-6749b59a6369)) (pad "97" smd roundrect (at -7.6625 4.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 118 "/MOSI") (pinfunction "PT6C") (pintype "bidirectional") (tstamp f585a4cf-b9c2-4cd2-a166-3640a7b18bd6)) + (net 118 "/MOSI") (pinfunction "PT6C") (pintype "bidirectional") (tstamp 94f8a411-fd5f-4ed4-9f32-2a31735eee2f)) (pad "98" smd roundrect (at -7.6625 5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 117 "/MISO") (pinfunction "PT6B") (pintype "bidirectional") (tstamp 79220a34-38cb-430a-bdf8-e218893e20f3)) + (net 117 "/MISO") (pinfunction "PT6B") (pintype "bidirectional") (tstamp 416a252e-72fb-4f9c-8658-2be7f459f3cc)) (pad "99" smd roundrect (at -7.6625 5.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 58 "/RA8") (pinfunction "PT6A") (pintype "bidirectional") (tstamp 50fb0b73-2cc9-43fd-af99-3df20a32d26d)) + (net 58 "/RA8") (pinfunction "PT6A") (pintype "bidirectional") (tstamp 22c8a6e2-b045-42fb-bad2-d1f0c58f6f38)) (pad "100" smd roundrect (at -7.6625 6 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 151 "+1V2") (pinfunction "Vcc") (pintype "power_in") (tstamp 7c64293a-8870-4626-b3cb-35e9aac5cafc)) + (net 149 "+1V2") (pinfunction "Vcc") (pintype "power_in") (tstamp d5a996d3-2cbf-4723-9ff6-4f1ba14cf80d)) (model "${KICAD6_3DMODEL_DIR}/Package_QFP.3dshapes/TQFP-100_14x14mm_P0.5mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1914,62 +1907,6 @@ ) ) - (footprint "stdpads:R_0603" (layer "F.Cu") - (tstamp 460d9252-1f05-4dd4-baf7-10fbfcac1d47) - (at 114.8 125.8 180) - (tags "resistor") - (property "LCSC Part" "C23345") - (property "Sheetfile" "GR8RAM.kicad_sch") - (property "Sheetname" "") - (property "ki_description" "Resistor, small symbol") - (property "ki_keywords" "R resistor") - (path "/676cc247-875b-4936-9ac3-4936c933ca37") - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference "R10" (at 0 0 180) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp bb35938d-9a97-474f-a8c6-0bb36f10aa62) - ) - (fp_text value "22" (at 0 0.25 180) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 1c42b046-54e5-4ad3-9637-d5ee0e55c6cb) - ) - (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 96dc595f-b341-4d9f-bb8b-bcdeebd783b6) - ) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6bb6463b-8c32-4150-a12b-43f00b58beb3)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 037c81b8-de5e-4938-a6b1-a397dbb84b63)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 78703356-9535-41d4-96e8-88f20d4019f0)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 25b183d3-fa32-490e-99df-81dbb5964ddd)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bc268366-c7d1-4c55-a3a1-cbcaa89b6bf3)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a3709e5d-8b8c-4bca-9ec3-2914252eac5a)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b95ffdd8-2830-4f93-ae03-54c83b22b99e)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6c1c9dbb-db17-4678-ab87-80c6f21bc4e3)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 77231549-a802-4514-9f81-cd59c279d8ce)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fbce984d-6950-4b4c-b1cc-89689984d1ba)) - (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 35 "/~{RES}") (pintype "passive") (tstamp f07bffdc-a8ab-4d22-8c3a-cfb5ac8385e8)) - (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 143 "Net-(U14-Y)") (pintype "passive") (tstamp 38f66f7e-94c4-4c02-ade9-f9a1189360bc)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (footprint "stdpads:C_0603" (layer "F.Cu") (tstamp 46211e2f-ec7a-4887-9725-342aaf6d1f59) (at 110.85 108.7 90) @@ -1985,40 +1922,40 @@ (attr smd) (fp_text reference "C34" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e0b2ab27-5435-485d-9984-986ee9d19711) + (tstamp 354774b4-dde2-49dc-9719-b8427f7ee482) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp ca21a5ff-d20a-45a8-b465-9e773c4ba5fc) + (tstamp 5c23f220-e858-4850-9b65-261c9ebf30bc) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8c9ed190-08f6-4c82-92ac-1a0d1adbb672) + (tstamp 3e92b033-7c35-4bc6-8754-b120a2cfd09f) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3a52df8-8240-4f60-8e04-a50907e14671)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b71a3b61-9375-4dcc-9e75-fb13359510bb)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7e92b381-e563-453c-a33f-58a0cd1bff1a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c9aa7425-45e2-4c74-a51f-d57305f7d44b)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ebe4dd5-d140-4884-863b-e242c6acf6aa)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 64c4e987-29f3-4bd2-a849-56fc89b44c34)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 78633d55-c7ed-434d-aa0c-addbca0cbb49)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 71810e3e-333c-4bf5-ac86-bb0d279dfc1b)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9227bf12-093b-4a6a-bad6-b2490cef1ef9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 90fae1c8-c7ae-4f99-849b-dfb57111a8ac)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ec6d984-7a85-4be7-a4c5-ad1a543c79bd)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6954012c-8b9f-4127-b8d5-69dbccc32a19)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c3ab4912-9437-4698-badd-df06c5db787e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d5575aab-d123-4bb1-b483-72583979a046)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp da4015fa-68d8-4e49-867f-0a0dd7a8a15f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 40efe3c0-6744-4f59-adc8-d188f774c6e8)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ac4c0811-70ac-420f-8ca4-c5bec8f980fe)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5bfcbc13-ff5f-4065-ba6d-efe0a26f0cfa)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e84b8fd-7528-41bc-b888-216bdfaac082)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8193af60-8f4d-42ef-9bd0-84f3c5eabf42)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 5c408d5b-a15c-4807-93c5-405c6f0b5673)) + (net 70 "+3V3") (pintype "passive") (tstamp b2a73281-3a73-45f6-a6cf-859a8c08e74d)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp cba7fb93-89d3-42b7-9254-bc362ff2c3d0)) + (net 2 "GND") (pintype "passive") (tstamp 6678364b-460c-4596-99a1-502bb1fb446a)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2042,40 +1979,40 @@ (attr smd) (fp_text reference "C36" (at 0 0 270) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8dedccac-d32d-485c-9ead-e0f926a49991) + (tstamp e8239774-fa5d-4eed-bef6-8eb1694a7c3c) ) (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 2318f567-22d2-4b2f-96c7-7dbe40eba6e2) + (tstamp f8b49b7b-3302-41b7-ae95-d34fad79a5f4) ) (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e154b848-4c72-4b46-b465-6f1bc9a51629) + (tstamp 27d1aade-b799-48e3-956f-163657619592) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 945153f4-f885-45d9-b999-86aa7a3a2ccb)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp de6276b0-9691-4910-a392-7629fecc0d0f)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp fd339ead-131b-4156-a711-77eb65d480fd)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp c662b396-63c4-4e2b-a0a8-87c0b8bac2af)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c37c2151-d8cd-4663-9cf3-6b6975f4afc8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c29b8db2-b2e1-4127-9996-8e07a934b9a6)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 759cbb74-fc32-45bb-9e49-921359b75503)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b09e571a-ccba-4cd7-a16f-7ff7f1b59c3c)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 95d05dcf-f14a-4abc-9542-ab63adc77bf7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 379da323-f2a4-42d4-8736-3b7fcc9c5d81)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fb21e546-6675-438b-bfc4-4e03afbd2ad4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9f9b4a61-a248-4568-88be-b078d2c131f6)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ba03cebd-37d5-4f5f-bff6-3682330aee54)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a58cb576-921e-4f6c-9d43-ac0d2fa67d88)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp cc481e14-8440-4c7e-b177-74aa9f88ba5c)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b2476063-094d-4b88-a4f9-86536bf5af67)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2adb6a10-9837-4234-811d-e27ec51a6729)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp cf2f2834-e115-4f97-9639-0faf290fba27)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6a8edb8b-15e2-408f-ba10-37a519f70bcb)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp cff9ba7c-f14c-48eb-b346-7500fcb84df0)) (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 87157085-5592-4886-88f8-befa171deb99)) + (net 70 "+3V3") (pintype "passive") (tstamp 05418dc1-6bcc-4e67-b8d1-274ac1baf5d2)) (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp a94c36bb-db84-4a89-8e9f-2ea199bf447f)) + (net 2 "GND") (pintype "passive") (tstamp 41a9d646-1f08-4377-bcba-1c59c16383e7)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2098,40 +2035,40 @@ (attr smd) (fp_text reference "C31" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp c8cdd61a-8429-428c-963f-0a29508d06d4) + (tstamp 646d0ac7-7308-4f2e-9087-9cfe0c1213b5) ) (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 4f6c12f6-82a4-4b10-944c-4c267fdc770f) + (tstamp 19e51ba7-8279-4de0-89c7-f50260279fda) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 950c0ee3-f255-45e6-ab27-8dca5e3131c6) + (tstamp ca5fa7d5-af6a-4d31-bd4c-0ceb81aefb4f) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b9e1605-3326-4d9b-9a42-1d43dd1371ba)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a6c0938b-8d61-4750-b338-6d9a4d169918)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dc1a0817-d4f8-4d19-ae30-ad60d9074bef)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9536b31b-c948-49b5-81bb-f1b7fc05c88b)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65b91286-5770-4ec6-95ce-d515bf3b58f1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7fe44f4c-b93e-4447-ac42-479be74002c7)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 865b6096-3062-4905-bbff-6d60d823820e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bcfa27e4-0271-45d3-ba3a-c811ec5e01b6)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c53e0361-76d3-470e-bec2-972ad75a7841)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0b08a5ee-ebc2-4baf-8cfa-d6cd5363d5dc)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f90db81a-d15f-4e38-8b2e-e92692adb2f2)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a9c75f45-1456-44c3-a253-036a73a65c81)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1d0442a9-b4c8-423a-8474-f7e2f6f6812c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b8c5d392-ebd1-43c5-ab70-42209c30cb90)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c897eb9f-6b29-4a37-8c64-c0b8267874a2)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 584ac370-bed9-4d80-ac3a-b84a1fe89d3a)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eb8c08d7-78d4-45e4-b96e-5ea4d66708f1)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9242d682-0507-4e1a-a272-c0638ff2786d)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 17436f34-4790-4080-a507-4710bc463407)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e0cbf8cb-8193-4e52-8674-23c6bee1047f)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 548eafc9-1713-4e03-9dc9-9baa18d9e0f3)) + (net 70 "+3V3") (pintype "passive") (tstamp 2d23048c-1676-4915-a30d-efd128f64de5)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp eb0259ca-d4e4-4650-872e-d032aa0b2319)) + (net 2 "GND") (pintype "passive") (tstamp f327bbe1-c6c8-455d-a06c-b25232adcc07)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2155,40 +2092,40 @@ (attr smd) (fp_text reference "C38" (at 0 0 270) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 96fb96b2-8a87-48ee-a2dc-99a5ee9f5a6c) + (tstamp b87ec918-fa21-4f2d-aac7-d7b6d93d14c4) ) (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8812d004-73c6-4e56-b20a-97058e863a16) + (tstamp 7a46ec80-b809-421d-8c31-af96c3a19442) ) (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8d7ffc09-c042-4a0a-8b57-6546988cbf9a) + (tstamp 241ea257-926a-464a-a0b3-f2ac4f76b34d) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 3c4f4e03-5cad-4ee5-bffc-8594bbb017fe)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 89f6a1a4-5d07-4874-b4cb-429711c49f26)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f5fa6037-5d09-442e-8ddb-d5aa37f866ba)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 0053eeb3-024b-422e-965d-5ea96ccfbb8a)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9ef2fe8c-1e2b-45a6-b2b5-bfaa6c534fa7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 96718183-ecb2-499b-86cd-3c52ae7af7e7)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5033e94f-55b3-4a84-a489-edb69ba79374)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c418f4c5-bb85-42d3-9460-5fd011dbd431)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 124d4b60-226b-4a14-a62e-4cb05fe5c77a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d0f36cd3-5171-40b8-9969-8975ecf42277)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aff80676-7ba3-4f16-9928-fa79be0f6ebc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7de91971-0baa-4c57-88c0-612dc92831f8)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 617622c4-c277-4c59-9722-3a7f6f140809)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 11ec0710-c451-4e7c-b21b-187a87489414)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7f3fcb9d-2a5f-41fd-92be-80cde07da66d)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 26309146-c8ad-4712-ad04-bcda75074616)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ca4a67fd-fcb1-4643-ac38-ef44ca3f7916)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 318355ab-e724-4673-af36-24bd535bfcc5)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 18d9a0e2-7576-46b0-85fc-029a73ecf4bc)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e6ca6d1f-3652-4d83-8634-d7432786fc6a)) (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 151 "+1V2") (pintype "passive") (tstamp 2d8ba4b3-1a9a-49a1-ab15-f575282b03f9)) + (net 149 "+1V2") (pintype "passive") (tstamp 296494af-adff-4236-9ea1-f1b587aea8af)) (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 05a9d37c-0c14-4482-9efb-be063104792c)) + (net 2 "GND") (pintype "passive") (tstamp be32b042-23c6-45ee-a4dc-278f399886c4)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2211,40 +2148,40 @@ (attr smd) (fp_text reference "C28" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 05587923-cb2a-4187-a9d9-92d0ddb63301) + (tstamp 5c693937-9e88-48f1-b385-182133fbf060) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp b8d5297c-1b7c-4ab0-a05c-53f37e94c986) + (tstamp 09599de0-f338-4f6b-b6a9-f07a155bc271) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 1a645144-3692-43e2-9ca3-df641811cd7a) + (tstamp 50305918-b1f5-453b-b4b0-133697b60f1f) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 01646a9b-9cc2-4fb1-9786-121ff5524c82)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 554c0fe2-4c66-4ee9-8c0d-edeca6f38349)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bac256aa-8b12-443e-a34d-b641e9ac7115)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d5ffa4d0-dead-407f-aed5-d33729a5f2f5)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b59f428f-0f7f-4fdd-8f98-6a52e680b6cb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 191d3059-f0f8-4cb2-8d70-8e442843b4b1)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 34942a58-ecda-4148-98eb-47abd6de62ca)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 85583c83-468e-4b69-b4a0-2f5df12f75cd)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 826b5235-9217-40b1-be46-a9b9e32c84c7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3e8cdc06-c863-420d-b8be-484c7b7d73e6)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b74ded3a-4722-45c3-bcbe-a199e884e39d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32e2c48b-0b29-4514-a133-bac51458556e)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bd0f46da-7672-4e9f-96e8-94674fd889ae)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a57d5bcd-84fc-422a-b61b-78c1c5b44a8b)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 305d5c33-e7b4-4c0f-8794-c314898cca40)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 74617898-f0a1-4817-9c2e-7327d6ad6e18)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 89576896-3c27-4681-b8f9-9dfa57cb69ae)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 59973046-8df9-45bb-84d1-0763504d5069)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bc87c63b-bf6d-4725-a95b-41357e9fdab5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6b6b5453-7600-4741-b593-84a78063a118)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 2f67a41c-83c0-443a-9d3e-a28aab747543)) + (net 70 "+3V3") (pintype "passive") (tstamp 963abe6c-6782-4f88-900f-f0af0df82c49)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp c4fa7e30-5f6c-4f24-9bf9-c4a3cb6166ec)) + (net 2 "GND") (pintype "passive") (tstamp 0553e7d5-1460-4265-80fe-3cf769f61fde)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2263,124 +2200,124 @@ (attr exclude_from_pos_files allow_soldermask_bridges) (fp_text reference "J1" (at 0 4.953) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp a2f3e1ea-f007-4849-95e5-eb6843bb496e) + (tstamp 2244420b-3ee0-43bc-ad81-5f25230b4372) ) (fp_text value "AppleIIBus" (at 0 6.096) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 2f0a21f6-4f3f-42f8-a9af-06e1b887ed04) + (tstamp dbc6c5bf-9b4a-42d3-8be4-101f9349b009) ) (fp_line (start -32.512 4.318) (end -32.512 -3.81) - (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp 8478b50e-d53c-4016-9c36-865216f09103)) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp 135c03c7-5a06-46d7-b311-413c09d48f56)) (fp_line (start 32.512 -3.81) (end 32.512 4.318) - (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp 47b303e0-7f99-4771-bead-19649ac4cc53)) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp fb217a8c-ce8a-4102-9b49-09d8a8a4fa4c)) (fp_line (start 32.512 4.318) (end -32.512 4.318) - (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp ace99e6c-c637-4922-937e-6345212991da)) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp d9cee455-63e0-439b-b2e7-0d4d4f5f5053)) (fp_line (start -32.512 4.318) (end -32.512 -3.81) - (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 4a5422ce-0c94-42a2-ab67-48d3bd387884)) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 676ec68f-efe3-47e1-b8e6-d753f9d7c145)) (fp_line (start 32.512 -3.81) (end 32.512 4.318) - (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp be280d93-ae17-4666-b654-713d5b9121d4)) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp aa259cb8-df57-4681-9f46-85ca2d0cd20e)) (fp_line (start 32.512 4.318) (end -32.512 4.318) - (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp ddcc810d-5a94-405c-9273-08473fedc392)) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 7a407f6f-1762-47c1-8f7c-1187173be659)) (pad "1" smd roundrect (at -30.48 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 25 "/~{IOSEL}") (pinfunction "Pin_1") (pintype "passive") (tstamp 7d878f56-e2cc-42aa-b738-55903331a0b3)) + (net 25 "/~{IOSEL}") (pinfunction "Pin_1") (pintype "passive") (tstamp fa6524c9-48da-4813-b994-d131b724f08f)) (pad "2" smd roundrect (at -27.94 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 13 "/A0") (pinfunction "Pin_2") (pintype "passive") (tstamp c7970825-a8f5-4726-afa5-0204e1594324)) + (net 13 "/A0") (pinfunction "Pin_2") (pintype "passive") (tstamp 5a4f620c-cdf5-45a6-9da3-e68cf9ce72e9)) (pad "3" smd roundrect (at -25.4 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 12 "/A1") (pinfunction "Pin_3") (pintype "passive") (tstamp ebce6e6c-0720-4a10-ab78-ffde47d5737e)) + (net 12 "/A1") (pinfunction "Pin_3") (pintype "passive") (tstamp f2e17715-5a6f-4d99-ab6a-3416177268b3)) (pad "4" smd roundrect (at -22.86 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 11 "/A2") (pinfunction "Pin_4") (pintype "passive") (tstamp 13d7398e-81e2-4b6c-bb9e-a972a020ee02)) + (net 11 "/A2") (pinfunction "Pin_4") (pintype "passive") (tstamp 08e75c53-0b20-4a5f-85dc-7294d4c4afc2)) (pad "5" smd roundrect (at -20.32 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 10 "/A3") (pinfunction "Pin_5") (pintype "passive") (tstamp 837a36be-b9f9-4607-94de-1e163d568f97)) + (net 10 "/A3") (pinfunction "Pin_5") (pintype "passive") (tstamp c995a249-07f6-4ba7-b16a-be1ff6b3a50d)) (pad "6" smd roundrect (at -17.78 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 3 "/A4") (pinfunction "Pin_6") (pintype "passive") (tstamp cf2eef5b-ebd3-4afd-b26c-74a0b2e1ca33)) + (net 3 "/A4") (pinfunction "Pin_6") (pintype "passive") (tstamp 6e4ae3ba-8afd-40f7-bf26-3c3217b2078e)) (pad "7" smd roundrect (at -15.24 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 9 "/A5") (pinfunction "Pin_7") (pintype "passive") (tstamp 4ed22138-69fc-4257-8c33-8b72a73abb1c)) + (net 9 "/A5") (pinfunction "Pin_7") (pintype "passive") (tstamp e2e0520f-2d20-4d53-af89-fae95a3af029)) (pad "8" smd roundrect (at -12.7 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 8 "/A6") (pinfunction "Pin_8") (pintype "passive") (tstamp 08f60e53-6dee-4f1e-946b-4386558be39f)) + (net 8 "/A6") (pinfunction "Pin_8") (pintype "passive") (tstamp 563cefcc-65c8-4afc-b69a-e39539da11d5)) (pad "9" smd roundrect (at -10.16 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 7 "/A7") (pinfunction "Pin_9") (pintype "passive") (tstamp 208a6730-4b36-4512-be29-3a27e46d28e9)) + (net 7 "/A7") (pinfunction "Pin_9") (pintype "passive") (tstamp 16b73590-fc06-49d7-a40f-a76766bc71df)) (pad "10" smd roundrect (at -7.62 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 6 "/A8") (pinfunction "Pin_10") (pintype "passive") (tstamp 9075e5b9-877f-4f96-8eb2-2a11924e8649)) + (net 6 "/A8") (pinfunction "Pin_10") (pintype "passive") (tstamp 69e25fd8-c18e-4f69-ada7-bf58257f086b)) (pad "11" smd roundrect (at -5.08 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 14 "/A9") (pinfunction "Pin_11") (pintype "passive") (tstamp a7261044-b26d-4a81-97af-8ff5a6b7e6ed)) + (net 14 "/A9") (pinfunction "Pin_11") (pintype "passive") (tstamp 3c0aec43-a5f5-4305-83d0-6fbf4d46642a)) (pad "12" smd roundrect (at -2.54 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 21 "/A10") (pinfunction "Pin_12") (pintype "passive") (tstamp dc29b328-24c4-4b9d-acdd-96f62084b1cb)) + (net 21 "/A10") (pinfunction "Pin_12") (pintype "passive") (tstamp 349b1847-2856-4bcc-8e8a-9e7577008f59)) (pad "13" smd roundrect (at 0 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 26 "/A11") (pinfunction "Pin_13") (pintype "passive") (tstamp 43d9d900-91a1-4640-9d40-68bce52cd73f)) + (net 26 "/A11") (pinfunction "Pin_13") (pintype "passive") (tstamp bd300d49-6bf5-4d64-930b-4f868466db8d)) (pad "14" smd roundrect (at 2.54 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 27 "/A12") (pinfunction "Pin_14") (pintype "passive") (tstamp 66d98159-7602-4ba3-87e8-fcc5207b6cca)) + (net 27 "/A12") (pinfunction "Pin_14") (pintype "passive") (tstamp ddace270-dd4f-4017-bd2e-0c117db8e809)) (pad "15" smd roundrect (at 5.08 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 28 "/A13") (pinfunction "Pin_15") (pintype "passive") (tstamp 806ced78-5eb2-4980-bd08-b31eeb90bc42)) + (net 28 "/A13") (pinfunction "Pin_15") (pintype "passive") (tstamp 119d3a16-b35b-46ef-a506-dd2fa08247d5)) (pad "16" smd roundrect (at 7.62 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 29 "/A14") (pinfunction "Pin_16") (pintype "passive") (tstamp fb3cbaad-a12d-4731-81ed-fb790bf58068)) + (net 29 "/A14") (pinfunction "Pin_16") (pintype "passive") (tstamp 38d70fd9-374f-451d-ad04-aa25ac532998)) (pad "17" smd roundrect (at 10.16 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 30 "/A15") (pinfunction "Pin_17") (pintype "passive") (tstamp 6bf88395-969d-49cc-950c-c941a849ed69)) + (net 30 "/A15") (pinfunction "Pin_17") (pintype "passive") (tstamp 5b800610-a258-4a49-b73d-6eead21af128)) (pad "18" smd roundrect (at 12.7 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 31 "/R~{W}") (pinfunction "Pin_18") (pintype "passive") (tstamp 455ad1f7-4044-490e-b253-cf1097690243)) + (net 31 "/R~{W}") (pinfunction "Pin_18") (pintype "passive") (tstamp e68341c6-89ea-40b8-84cb-b6f1e577bdeb)) (pad "19" smd roundrect (at 15.24 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 69 "/VIDSYNC") (pinfunction "Pin_19") (pintype "passive") (tstamp b66b8d99-52de-40a3-b667-de853a1f5db4)) + (net 69 "/VIDSYNC") (pinfunction "Pin_19") (pintype "passive") (tstamp e5fba686-a364-4dae-9f82-45982ed2ecee)) (pad "20" smd roundrect (at 17.78 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 32 "/~{IOSTRB}") (pinfunction "Pin_20") (pintype "passive") (tstamp cf1d8c81-e098-41ae-8d03-c37829090552)) + (net 32 "/~{IOSTRB}") (pinfunction "Pin_20") (pintype "passive") (tstamp a293d05f-7dd8-4f18-b8bb-84384c904983)) (pad "21" smd roundrect (at 20.32 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 104 "/~{RDY}") (pinfunction "Pin_21") (pintype "passive") (tstamp c59c285f-0082-44c0-afd4-0d62e81629bc)) + (net 104 "/~{RDY}") (pinfunction "Pin_21") (pintype "passive") (tstamp 802739f6-8dba-41e5-b8be-3d5113bef10f)) (pad "22" smd roundrect (at 22.86 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 71 "/~{DMA}") (pinfunction "Pin_22") (pintype "passive") (tstamp 7b652e2d-92b0-4ac3-bec5-caeb638caf2c)) + (net 71 "/~{DMA}") (pinfunction "Pin_22") (pintype "passive") (tstamp 9fd435e6-f23c-4803-8cd8-255e9040148d)) (pad "23" smd roundrect (at 25.4 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 44 "/INTin") (pinfunction "Pin_23") (pintype "passive") (tstamp 44c7af1e-03f3-412b-a332-c07b261e5030)) + (net 44 "/INTin") (pinfunction "Pin_23") (pintype "passive") (tstamp 8b530318-6d84-453c-9c86-b77227d0f53c)) (pad "24" smd roundrect (at 27.94 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 45 "/DMAin") (pinfunction "Pin_24") (pintype "passive") (tstamp fdaf4d39-0789-4f83-af19-ceb5c60d7830)) + (net 45 "/DMAin") (pinfunction "Pin_24") (pintype "passive") (tstamp 15e162a8-ad93-402f-a926-3cdc4684f438)) (pad "25" smd roundrect (at 30.48 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 1 "+5V") (pinfunction "Pin_25") (pintype "passive") (tstamp 1891b845-984b-4964-84dc-d44d9d9c00a2)) + (net 1 "+5V") (pinfunction "Pin_25") (pintype "passive") (tstamp 9f5cd2ab-afba-4284-b408-bb436ff61475)) (pad "26" smd roundrect (at 30.48 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "Pin_26") (pintype "passive") (tstamp 90866300-5c10-45f2-84c6-8d6b4721b01c)) + (net 2 "GND") (pinfunction "Pin_26") (pintype "passive") (tstamp 4c966a88-83cb-4158-a33f-61df134024fc)) (pad "27" smd roundrect (at 27.94 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 45 "/DMAin") (pinfunction "Pin_27") (pintype "passive") (tstamp a1eb7915-2ceb-4d51-a9d0-5ee803ba8ccc)) + (net 45 "/DMAin") (pinfunction "Pin_27") (pintype "passive") (tstamp b65eefa6-b299-4c97-8cd1-92ec0c5c2577)) (pad "28" smd roundrect (at 25.4 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 44 "/INTin") (pinfunction "Pin_28") (pintype "passive") (tstamp ccf5a8e5-c977-467c-8541-5999e382cb0e)) + (net 44 "/INTin") (pinfunction "Pin_28") (pintype "passive") (tstamp ae47ab93-13b1-4570-a43e-027551ba9109)) (pad "29" smd roundrect (at 22.86 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 33 "/~{NMI}") (pinfunction "Pin_29") (pintype "passive") (tstamp ac9ac313-98f0-4b42-ad5f-d07bebea7724)) + (net 33 "/~{NMI}") (pinfunction "Pin_29") (pintype "passive") (tstamp 11e54183-8cf8-4f03-bcea-bd82d078574f)) (pad "30" smd roundrect (at 20.32 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 34 "/~{IRQ}") (pinfunction "Pin_30") (pintype "passive") (tstamp 89d61d47-ad4e-41a6-907a-219e5656dd1d)) + (net 34 "/~{IRQ}") (pinfunction "Pin_30") (pintype "passive") (tstamp 6a561054-6129-414f-b516-92b1851b0993)) (pad "31" smd roundrect (at 17.78 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 35 "/~{RES}") (pinfunction "Pin_31") (pintype "passive") (tstamp 1110e785-54bc-454a-9d12-6eb896a74bf1)) + (net 35 "/~{RES}") (pinfunction "Pin_31") (pintype "passive") (tstamp 78998ae1-a01c-4aca-bc30-2685f2d4516e)) (pad "32" smd roundrect (at 15.24 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 36 "/~{INH}") (pinfunction "Pin_32") (pintype "passive") (tstamp 30796e41-bb09-4f62-8023-d8b33fb3c796)) + (net 36 "/~{INH}") (pinfunction "Pin_32") (pintype "passive") (tstamp 4a4109aa-4dc9-4328-81c0-18847be2004c)) (pad "33" smd roundrect (at 12.7 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 23 "-12V") (pinfunction "Pin_33") (pintype "passive") (tstamp cc9c01e0-7e91-41e4-b317-3e7f4221da21)) + (net 23 "-12V") (pinfunction "Pin_33") (pintype "passive") (tstamp 421061a1-9d5d-4aaa-8935-347f9d6987f9)) (pad "34" smd roundrect (at 10.16 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 24 "-5V") (pinfunction "Pin_34") (pintype "passive") (tstamp 8c835693-3fcd-4cba-8a89-c16ab047dd36)) + (net 24 "-5V") (pinfunction "Pin_34") (pintype "passive") (tstamp 8a806410-0961-4fdb-9161-c98e79a418f0)) (pad "35" smd roundrect (at 7.62 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 37 "/COLORREF") (pinfunction "Pin_35") (pintype "passive") (tstamp 7c4a10fe-5fda-490a-b836-9511800fe002)) + (net 37 "/COLORREF") (pinfunction "Pin_35") (pintype "passive") (tstamp 7de9edd4-73e9-4fdb-aa8f-4453e428cc2b)) (pad "36" smd roundrect (at 5.08 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 38 "/7M") (pinfunction "Pin_36") (pintype "passive") (tstamp bf2e4aa0-17ee-4266-8376-9399afaf5283)) + (net 38 "/7M") (pinfunction "Pin_36") (pintype "passive") (tstamp f258e666-0aa5-4263-ac2c-4033eff733e6)) (pad "37" smd roundrect (at 2.54 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 39 "/Q3") (pinfunction "Pin_37") (pintype "passive") (tstamp 5a7428b3-9f79-47e6-a37c-df646e66cd81)) + (net 39 "/Q3") (pinfunction "Pin_37") (pintype "passive") (tstamp ea335c74-ca72-4fef-a551-2dd8e7cd180e)) (pad "38" smd roundrect (at 0 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 40 "/PHI1") (pinfunction "Pin_38") (pintype "passive") (tstamp eeb530a0-65ad-4831-80e8-2a3d29a7d8a1)) + (net 40 "/PHI1") (pinfunction "Pin_38") (pintype "passive") (tstamp 27babc53-323a-48d5-8601-04a4b7e8ccd6)) (pad "39" smd roundrect (at -2.54 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 41 "/USER1") (pinfunction "Pin_39") (pintype "passive") (tstamp 3b78cb3d-3701-4b6b-8d6d-53ce83e2b809)) + (net 41 "/USER1") (pinfunction "Pin_39") (pintype "passive") (tstamp 5ef84ec3-a91e-4954-b0d1-015e64962328)) (pad "40" smd roundrect (at -5.08 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 42 "/PHI0") (pinfunction "Pin_40") (pintype "passive") (tstamp 6c966c5c-eae0-43bd-8055-77b1c6b69a9d)) + (net 42 "/PHI0") (pinfunction "Pin_40") (pintype "passive") (tstamp b6d6525c-5631-4a42-b9a1-ef3cf80c8038)) (pad "41" smd roundrect (at -7.62 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 43 "/~{DEVSEL}") (pinfunction "Pin_41") (pintype "passive") (tstamp 333f10d2-f8b3-4f59-ac7e-c1a0d7bdd5ba)) + (net 43 "/~{DEVSEL}") (pinfunction "Pin_41") (pintype "passive") (tstamp f6f5eaff-c186-459a-a08e-dcf18f4d6d44)) (pad "42" smd roundrect (at -10.16 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 4 "/D7") (pinfunction "Pin_42") (pintype "passive") (tstamp 9e5cce66-5114-4a6c-ad3c-e94e6f0b5bda)) + (net 4 "/D7") (pinfunction "Pin_42") (pintype "passive") (tstamp 7cb9a9af-d292-444a-881c-29d139e73570)) (pad "43" smd roundrect (at -12.7 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 5 "/D6") (pinfunction "Pin_43") (pintype "passive") (tstamp 9bbb001c-490c-4c70-807c-7cf43deb2e4c)) + (net 5 "/D6") (pinfunction "Pin_43") (pintype "passive") (tstamp f344c478-dabe-4c38-810a-4ab25299dbeb)) (pad "44" smd roundrect (at -15.24 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 16 "/D5") (pinfunction "Pin_44") (pintype "passive") (tstamp 6874ea8f-fdd6-4977-a18e-458b48d86730)) + (net 16 "/D5") (pinfunction "Pin_44") (pintype "passive") (tstamp fe9c1f6b-df5e-4ed5-bead-1a89b04c6fd7)) (pad "45" smd roundrect (at -17.78 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 20 "/D4") (pinfunction "Pin_45") (pintype "passive") (tstamp d3e9f4da-0976-4122-b10f-ba8e16b1ed4d)) + (net 20 "/D4") (pinfunction "Pin_45") (pintype "passive") (tstamp cdc08caa-28b4-46c0-b895-31972558eb4c)) (pad "46" smd roundrect (at -20.32 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 19 "/D3") (pinfunction "Pin_46") (pintype "passive") (tstamp 313552e0-a8db-4fe3-9971-ba970f569475)) + (net 19 "/D3") (pinfunction "Pin_46") (pintype "passive") (tstamp 24d1bd6c-c3a7-41cd-a94a-15db0c5b957e)) (pad "47" smd roundrect (at -22.86 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 18 "/D2") (pinfunction "Pin_47") (pintype "passive") (tstamp d646c368-3c49-4be9-84bd-55351daaa278)) + (net 18 "/D2") (pinfunction "Pin_47") (pintype "passive") (tstamp ab735dc1-1cde-4d12-bc24-309fd9e82812)) (pad "48" smd roundrect (at -25.4 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 15 "/D1") (pinfunction "Pin_48") (pintype "passive") (tstamp 53b68d8a-228b-47e6-95fd-bad9c0cc0c52)) + (net 15 "/D1") (pinfunction "Pin_48") (pintype "passive") (tstamp 3fa1204a-c95b-42c8-a31f-06d8f8fe4ef5)) (pad "49" smd roundrect (at -27.94 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 17 "/D0") (pinfunction "Pin_49") (pintype "passive") (tstamp ed8590a9-bc7a-4dfc-8e54-20e68abcfaa9)) + (net 17 "/D0") (pinfunction "Pin_49") (pintype "passive") (tstamp f09c9273-205a-4bce-a9fe-f2c1485d7ddb)) (pad "50" smd roundrect (at -30.48 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 22 "+12V") (pinfunction "Pin_50") (pintype "passive") (tstamp 8e0e378d-bd61-4c86-8f1e-51a29f1c1d86)) + (net 22 "+12V") (pinfunction "Pin_50") (pintype "passive") (tstamp 1ac3fb6e-1d6d-40ac-8ba1-00cc0a0d8d16)) ) (footprint "stdpads:C_0603" (layer "F.Cu") @@ -2398,40 +2335,40 @@ (attr smd) (fp_text reference "C35" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 1f95ab83-2ec8-427d-81c0-a991a65e90bf) + (tstamp ffb95d14-042e-4480-a1bd-bc70e2d5a3ee) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp f902670f-318e-4e5f-b503-3d520b4152e0) + (tstamp 0b8bc7c5-ff4c-4d53-ab0a-8c64c85c23c0) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 455727af-9408-476d-b0fb-f1cf3aa10f40) + (tstamp ee7d5a0d-36bc-4d99-aeb6-71f7ab2d1614) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03bbae41-22da-4f55-b3bb-6fa64a2493e6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0b4e050-64c7-4f95-8e7a-52d71bd67422)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b83e2dad-bd6c-4628-be94-9e95b8302003)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 350833e6-2f00-4797-a1e9-bec63fc440ec)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4ea82b7e-08b5-4a31-8f12-5bbcbc3ee13e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 844ca695-ec08-4616-a2cb-7761380475b3)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 44d5f8e6-3cd5-4075-8328-c192697e85f4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2e6e652b-3962-4ba1-9408-3b758395aa22)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 69887cda-6b2c-4730-bdb5-cc111dd5d859)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2f84a857-6528-404b-822b-f51aef995819)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 547504a9-c978-4ce8-a11d-ad78576ef00f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 085b7b13-3621-4d7f-b0d0-04c8ac4158bf)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 73c3dd34-0174-4d1d-96ad-4b00245febf5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d469a51d-6da6-4071-9cd8-e61000c6d870)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4996c05f-9b00-474f-add9-99d04cc48772)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b2f9425d-1af8-4a5f-8bdd-bae822ee3953)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ce4fbb9-6ecf-4ac3-9559-843f51ced345)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66fabf5e-d88e-45b0-85c9-37405320daca)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ae9224e0-0923-42f5-8c37-902bf8f371eb)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d1312939-5d6c-4a2e-9f51-ffb3f239c357)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 4d707a91-5cb6-4499-a313-b39c463d39aa)) + (net 70 "+3V3") (pintype "passive") (tstamp 4a52e53c-c543-4c3c-8aae-11bc0e783db7)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 8c60e75b-2f6f-44cf-8910-67daf69befc0)) + (net 2 "GND") (pintype "passive") (tstamp 7827b4ba-d125-4d31-a1a0-f8a27b105599)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2453,16 +2390,16 @@ (attr exclude_from_pos_files) (fp_text reference "H1" (at 0 0) (layer "F.Fab") (effects (font (size 0.4 0.4) (thickness 0.1))) - (tstamp 2900fd22-6a09-4882-bce8-69ee952fd73e) + (tstamp b3712bc5-f0a3-4d0e-8587-0a7a971fad8d) ) (fp_text value " " (at 0 2) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp bc87c52e-7bc2-4783-bcb0-d18240259f0e) + (tstamp a34ebe2c-f65d-4df9-86fc-3f5644bbe146) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 357e0192-e676-4a0b-a30e-f815418612f1)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp aeffafda-36b5-4a42-a77b-569ba6ae03e4)) (pad "1" thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") - (net 2 "GND") (pinfunction "1") (pintype "input") (zone_connect 2) (tstamp d0b3193d-1322-46d5-a65e-56cf68b6d219)) + (net 2 "GND") (pinfunction "1") (pintype "input") (zone_connect 2) (tstamp a5f7dc61-a27b-4c1b-b666-4265fd27441c)) ) (footprint "stdpads:R_0603" (layer "F.Cu") @@ -2480,40 +2417,40 @@ (attr smd) (fp_text reference "R6" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 55521514-8000-49b0-a0ed-c9c9b5e2a3df) + (tstamp 6495bc4b-847b-4535-822d-eb456a55cc99) ) (fp_text value "10k" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 6808ee36-544d-4ea1-afc6-2ddfec8c9c7c) + (tstamp 15924d31-e006-4d17-9f15-49267a4199f1) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 33563307-455b-4a67-8fd2-fa514307f844) + (tstamp 639c8e71-ffcc-4e0e-a325-f6a6651bb54b) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6d13b23a-877c-4e3a-a9c8-5549f3491e73)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eae3eeff-5af8-45e4-8ce5-791a6b4ddb91)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8c382865-bf90-4e10-a74d-6f9474638956)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0899a49b-869c-49da-b24c-4dca0ff70521)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 54bc607f-c759-4f23-9bc8-a0f1c186ac03)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fabcfd24-4f00-4ec4-9cfa-d3887e608530)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f106adf9-7d31-4adc-b2b9-67e76c1054ce)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 849f1358-3699-4656-971b-2644589e0c25)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d21eccad-9a3c-4b8d-9dce-16e2a4467b14)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5c926d38-8d58-481d-b5fd-430acf12a711)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 00ce6353-08ee-48bd-9f9d-db2d2c1d2cf3)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b5363c03-7838-4c43-9950-5d014d05eb64)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bb014597-2969-43b2-b96d-5b4aa0ff30ba)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ed0b136c-5d7f-4da2-b3fd-64a22608f369)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b2b7822b-b5a4-4133-8d2b-ef1065d011ca)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3eb4c289-fb6d-417c-93f7-4f82f58c3cfa)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b4798346-a930-4384-b0db-7235cd8b0ebd)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 956b420f-d0b9-4ba9-8231-1dc2de144998)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7b28873a-f72d-43c7-bfcf-083dbba24469)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d971b805-3e94-4ea8-8a7c-90c1e4c6c476)) (pad "1" smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 123 "/FD3") (pintype "passive") (tstamp 72f94ee1-d8d1-4842-8047-0774bf9967f8)) + (net 123 "/FD3") (pintype "passive") (tstamp 4f70fd32-5216-46bf-8b1e-13a7ae9866ee)) (pad "2" smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp eebf78b6-dc2d-4332-8c73-5e0566d11cb5)) + (net 70 "+3V3") (pintype "passive") (tstamp 904de95c-575e-4db4-8f80-6376a2346474)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2536,40 +2473,40 @@ (attr smd) (fp_text reference "C17" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 30cbbe2e-d4ff-4cad-be3a-c93ebe75b89a) + (tstamp adc15646-0fb6-450c-a428-6c3f03aea3ec) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp fe998c81-4c7b-4d30-83e3-6fcef9aad026) + (tstamp 078b5b07-c112-4960-8ba3-dc3e66f06af0) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 544345db-dbb1-4b72-a94e-afe3bcd6a7d1) + (tstamp 067643f8-d5cd-47f9-bd7e-04699f8acb68) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ff54d40-3b44-4d71-a37f-969e3d7155b0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4916c00c-bf77-4561-b9e0-63c00b3f0a22)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 97e0f7b7-eebe-4774-9048-dfb47461e679)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 881118f2-842f-4a5a-b79f-fbecbb9fa1d4)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 79afbe3c-c6d2-43e9-9c1f-ef9b726dddd9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c89dedb3-d292-491a-a651-ab23697d288e)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c1c1dd24-1a5e-4a44-854f-fd90f81b503a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6adf45eb-c579-40ad-9bdd-d205aa91a7f0)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cfdbfd1b-386a-47f1-bd30-8fbab3f0f25e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 799ce09a-2a8e-4a2c-b428-e29c8c26d4d4)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 99296397-476b-4247-9d11-3a077c6c5237)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp da1136eb-1dc8-4fc5-9766-aeac36eb4ebc)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c9740f02-bd36-4f20-a9b8-15e9bd807e5e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 61ee71b9-2760-410d-9f8b-58744d95280e)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a69057e0-d016-402b-b952-8c1640cd80c5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2012a606-7a91-4052-afe1-77ce4286a859)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3a0005e7-c5d1-493c-a615-a92f9ec81b27)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 53e2ff6a-c3f6-4df1-9e16-4ec8b185019d)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 58f40442-7364-4243-ae06-195059fb4920)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0c0684bd-9ca0-46d2-929d-3dca016f88ec)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 198242dc-5aa9-4945-afb9-371793191c28)) + (net 70 "+3V3") (pintype "passive") (tstamp 15622fd3-dbaa-4a19-bb36-646eb0334af7)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp f82b8ad1-2241-442a-bf2f-bec8e89e368d)) + (net 2 "GND") (pintype "passive") (tstamp a09dcd84-b608-490b-9f30-6cce031ff89a)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2581,7 +2518,7 @@ (tstamp 58d144eb-da38-45cf-869c-0811862c30c2) (at 86.8 111.15) (tags "resistor") - (property "LCSC Part" "") + (property "LCSC Part" "C4190") (property "Sheetfile" "GR8RAM.kicad_sch") (property "Sheetname" "") (property "ki_description" "Resistor, small symbol") @@ -2592,40 +2529,40 @@ (attr smd) (fp_text reference "R15" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 83d99a5c-7769-47d7-90c9-f599a35808ba) + (tstamp c34025be-8d37-471e-901b-ac557c05132b) ) (fp_text value "2k2" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp a1748f1b-8a3e-4c4f-bcc3-28567b058140) + (tstamp 1f94eaf0-2fbb-4c83-b013-6e68a9dd29b6) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 7958b014-17f2-4e4f-80c4-b2a7a6123156) + (tstamp 322a23e8-6518-4cb8-9d95-baa05b64cf94) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f7a321d-bae6-4375-8390-896bdc0abc8b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0b881299-1078-4f14-ab9c-c2794f149c9e)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b8ed5e0-d7dd-48f4-b38d-afa314c8d423)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2a00db46-662b-4ae6-8d3e-19cf23ec69e6)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9c3d91da-0a82-4001-8714-ba4b60922b3c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fa654332-e970-4fbf-b994-d7e613ebab5f)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1f1eedb1-9fdb-472c-9ad3-3898c37a4969)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f68eebd0-8502-4ac7-90d9-1540af88a224)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3f0b3267-259e-4f2f-bcfc-31a0f6fbb94e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f674d830-154b-4e7a-89d6-67227a344c66)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2fc44755-f347-490c-a9dc-aea6cf62cdfd)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 16f9471c-95a7-42ec-9244-50ad2848808d)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 20f4692a-bef3-4762-844e-a4385bb64a8e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ec845791-ecef-4f22-a3a2-448fc88fc604)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e3f920a-a62b-40ec-9946-ac2203550b80)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d0fd6295-8270-4f65-8249-ac1d710fbf46)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3393eccd-5a5a-441e-82a9-f59dcaaf437c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e2402c9b-1e5c-4ef2-ae38-a3d42c7e1e06)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 69bcf008-fd59-4398-8caf-06407d486e1d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8b569ae5-75d0-40c0-a78b-63eaecfd949a)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 63141d7b-816f-465c-91b8-96f1f53fc5a6)) + (net 70 "+3V3") (pintype "passive") (tstamp 7c40f48a-8ceb-4e1f-81dd-49dced9bf5da)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 81 "/Ddir") (pintype "passive") (tstamp d638df08-28b1-4018-b214-93863fe51275)) + (net 81 "/Ddir") (pintype "passive") (tstamp 6aa7c1c9-0aa9-47f5-804c-bf98a4f70971)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2646,16 +2583,16 @@ (attr exclude_from_pos_files) (fp_text reference "H3" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.4 0.4) (thickness 0.1))) - (tstamp 55b13c04-5ad1-4221-98c9-77ceaef6c4ba) + (tstamp 4aa91735-7cdd-40e2-97e6-8d40d89ff6fa) ) (fp_text value " " (at 0 2 -90) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp e21d6a80-77c7-4dad-aa42-bd1468bda282) + (tstamp c8cd5cea-5cef-4097-bb9f-f4a3925495ea) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp e5b95756-231d-4e4f-9061-60517e526f9a)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 2c184f31-5148-45f0-9cdb-ab1907420cb9)) (pad "" np_thru_hole circle (at 0 0 270) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") - (solder_mask_margin 0.148) (tstamp 9d11e3c1-3582-4192-bf0d-4c8ff2250236)) + (solder_mask_margin 0.148) (tstamp 15f2dbe7-f60b-432b-a56e-9ceb7667ff7a)) ) (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") @@ -2672,78 +2609,78 @@ (attr smd) (fp_text reference "U6" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 2a7ed6d7-effc-44b2-be3f-9d09cd555f5b) + (tstamp 546af47c-7c1f-4de6-8a39-492601e5217a) ) (fp_text value "74AHC245PW" (at 0 1.016 180) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 94bef3f0-2165-4b65-8fff-9dc93c38095b) + (tstamp 9aa370bb-8c2c-4285-a29c-c105d4eea655) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 668a983f-3f0b-4393-8b11-69086f711ab9) + (tstamp 124167f6-4bfa-480b-850e-e5bd5e75bd78) ) (fp_line (start -3.45 3.75) (end -3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 77fe812f-7566-4efb-865b-fc709ed3765e)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a472e48b-24f8-468c-b00c-8e6e3dcbbd40)) (fp_line (start 3.45 2.225) (end 3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6077db20-d110-4099-be5f-755152996584)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp fa2bb375-4759-4714-bd8d-b2c205758aa1)) (fp_line (start -3.55 -3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2f4d7763-a398-4f0b-9c1b-11f82ff6ad07)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4ec53f4a-11d2-421f-968e-ad695e0d14a8)) (fp_line (start -3.55 3.95) (end -3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 04448137-d76b-4dbe-8148-54ccfa2a5913)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 939b0d00-918d-4dfe-9423-9bd0b25e885e)) (fp_line (start -3.55 3.95) (end 3.55 3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bd405811-ec66-40a7-867c-4935fc21eacf)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2c9cf478-7dad-46d2-b699-f3d38ce51b26)) (fp_line (start 3.55 3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 098dfef2-a47a-437b-a06e-fcd4cc35538e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dc6b315c-6585-464e-9895-9c78da9a2f9e)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6d98dd87-9433-455a-ad0e-5a9f5269a90e)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 01ec0067-1eaf-45e4-b836-e066be4d5e5f)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2585a6cf-4df7-490c-9296-10dbcdc0dfe7)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c627b1d1-1e35-4972-b833-c0372ca9dc2b)) (fp_line (start -2.25 2.2) (end -3.25 1.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d2372293-64eb-4983-a7d6-91248e91bbd9)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d2fb876c-8ed9-448a-82ab-37fb3ca19d74)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5a43b33d-a659-4fb6-8f9c-058bd79bada8)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5504e875-31f6-432b-b573-7648e2e7677c)) (fp_line (start 3.25 2.2) (end -2.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c9b09c16-02ed-4fde-80d6-a70ad6002856)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7dfb63e6-79ac-4b90-be3a-60816664b4eb)) (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 81 "/Ddir") (pinfunction "AtoB") (pintype "input") (tstamp dc3c204e-a5d3-4865-8060-5e9211394e00)) + (net 81 "/Ddir") (pinfunction "AtoB") (pintype "input") (tstamp 1a55cc70-7fbd-435d-8ef3-7dfa11c666cd)) (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 17 "/D0") (pinfunction "A0") (pintype "bidirectional") (tstamp 8b10d34c-be51-4f0a-923a-7e1eadfc17af)) + (net 17 "/D0") (pinfunction "A0") (pintype "bidirectional") (tstamp 10941cda-f45f-4bc2-978e-7a83c5bad7a2)) (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 15 "/D1") (pinfunction "A1") (pintype "bidirectional") (tstamp 814eaa17-dd8d-4841-83d2-6cc39972a67e)) + (net 15 "/D1") (pinfunction "A1") (pintype "bidirectional") (tstamp 85eaacd8-c07c-43c2-9d16-d305fd89a356)) (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 18 "/D2") (pinfunction "A2") (pintype "bidirectional") (tstamp 6b794124-c5bb-41de-be65-360d01a32137)) + (net 18 "/D2") (pinfunction "A2") (pintype "bidirectional") (tstamp 1493c602-251f-4063-88e1-50ad70d3760c)) (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 19 "/D3") (pinfunction "A3") (pintype "bidirectional") (tstamp d7f32903-6e6f-4bc4-89b9-d9e9a4de64c5)) + (net 19 "/D3") (pinfunction "A3") (pintype "bidirectional") (tstamp 0a3cf934-59bb-441c-981e-b33fdea7ddf0)) (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 20 "/D4") (pinfunction "A4") (pintype "bidirectional") (tstamp 3f86b015-fb12-41c5-be6c-ff1cbe684229)) + (net 20 "/D4") (pinfunction "A4") (pintype "bidirectional") (tstamp 6ebe53df-b60a-487f-bcc7-5f16603404ce)) (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 16 "/D5") (pinfunction "A5") (pintype "bidirectional") (tstamp 52b4ea47-977d-40a3-9627-a006fbd0b0ec)) + (net 16 "/D5") (pinfunction "A5") (pintype "bidirectional") (tstamp 5bbb5085-1918-4fcb-b5cc-23ff949e01b3)) (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 5 "/D6") (pinfunction "A6") (pintype "bidirectional") (tstamp e854ef5f-60b2-4e08-954c-96ff91f04191)) + (net 5 "/D6") (pinfunction "A6") (pintype "bidirectional") (tstamp 8982f1a4-b0b9-4f92-9b64-905d877e97f8)) (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "/D7") (pinfunction "A7") (pintype "bidirectional") (tstamp 9b1e02c3-7aad-4c5d-ba96-588675ee0dbf)) + (net 4 "/D7") (pinfunction "A7") (pintype "bidirectional") (tstamp 331121a7-84c3-498d-9cb0-cf6d0e84fc88)) (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp adffc114-8987-43d1-b84c-4ce2faf1d191)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 60d56913-b192-4b0f-bd40-4b315539e63c)) (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 68 "/RD7") (pinfunction "B7") (pintype "bidirectional") (tstamp 001c9e00-963a-4b9b-8901-65770f91269b)) + (net 68 "/RD7") (pinfunction "B7") (pintype "bidirectional") (tstamp ab85d911-72bd-420a-bce1-9413231d6f4c)) (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 67 "/RD6") (pinfunction "B6") (pintype "bidirectional") (tstamp 3afd3ed3-1ff3-4e68-8405-83481ec7eda2)) + (net 67 "/RD6") (pinfunction "B6") (pintype "bidirectional") (tstamp aefc36c0-311e-4596-b9f1-d4088ae1884f)) (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 66 "/RD5") (pinfunction "B5") (pintype "bidirectional") (tstamp 62206fcd-a897-402b-9f0a-fa23a7f2913d)) + (net 66 "/RD5") (pinfunction "B5") (pintype "bidirectional") (tstamp 60f917ef-dbe4-4f5f-b4e0-5e7a26548650)) (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 65 "/RD4") (pinfunction "B4") (pintype "bidirectional") (tstamp 0fb675c3-cc48-4214-9778-5e51376d1fa9)) + (net 65 "/RD4") (pinfunction "B4") (pintype "bidirectional") (tstamp 25ea8730-ccde-4946-b6ca-efdb87816b8b)) (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 64 "/RD3") (pinfunction "B3") (pintype "bidirectional") (tstamp 8ae1dd51-b458-45ff-af24-da03338e58a1)) + (net 64 "/RD3") (pinfunction "B3") (pintype "bidirectional") (tstamp 2dd567c9-6335-4d3c-a8d2-25030e03edcf)) (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 63 "/RD2") (pinfunction "B2") (pintype "bidirectional") (tstamp 82752878-0337-4125-8399-91c9d6bd3318)) + (net 63 "/RD2") (pinfunction "B2") (pintype "bidirectional") (tstamp 20bf3177-89d5-4118-b5e4-1ea084e76e4f)) (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 62 "/RD1") (pinfunction "B1") (pintype "bidirectional") (tstamp 835162d6-59c1-47d4-b78a-1cf6f0ed1e44)) + (net 62 "/RD1") (pinfunction "B1") (pintype "bidirectional") (tstamp 4b042b11-1f06-467e-ac3d-8c6c7e5b588f)) (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 61 "/RD0") (pinfunction "B0") (pintype "bidirectional") (tstamp 8812563c-48ca-47b3-aed5-303a517bf9f3)) + (net 61 "/RD0") (pinfunction "B0") (pintype "bidirectional") (tstamp f762620d-ae82-41fd-9387-c8f0c4acd3e6)) (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 88518aa2-c664-4c73-8ac1-0310c7a1fcc1)) + (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 916c3807-d9c9-4c84-ae91-371758a6179a)) (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 7d1f7400-6f17-4ea1-b432-a98a7d4b6ba7)) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 654e48a5-4805-4742-8262-0da3d7dad8ce)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2766,40 +2703,40 @@ (attr smd) (fp_text reference "C39" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp f1ebbeec-45cd-46d6-8da7-c98ff5dab5a5) + (tstamp bb07411e-3035-4c46-b1b0-1ce78f826afd) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp bf3d7950-ec9a-447b-9d4f-d432b97aefda) + (tstamp 19401bc0-97b8-47d9-b13d-f67d4eeee64f) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp acbafa56-42d2-4878-8b3b-fa72bd5f78dd) + (tstamp 565d57fe-334b-4098-a341-b07d29d1466f) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf9335bc-ea98-4635-9419-fec2522d5758)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 35dcb276-252c-4984-b026-fc46e1392b9f)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4943cb5a-6cb0-4fd4-bc78-a3a245947f1c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d988b0f9-0516-4424-a85b-73620ab47eb2)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 622236af-9b09-474c-9e44-27dc301a20ba)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dd7f39c8-1ee4-4aaf-b302-95eea1cb3872)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3de20e71-0c36-4077-b052-21a4b077521d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 54fb50e0-e1a5-4b9d-b0ea-0ee8c648ca20)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp be641d12-5fb3-4dfb-ac24-db79592b40a4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 048d9b54-ce74-4605-b5b6-be1f5b0b85c7)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1d52716a-af3a-4bdb-a24e-6f57325f7d22)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e3bcf59c-bf17-419f-95ad-ca7db8bc1cac)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 750ceb93-ac78-4269-b0fb-04c68d530202)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 245c26a4-ff51-424d-913f-0f25fc95d03a)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 633f44be-6607-458c-be23-ecd6000d6566)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 927d04a2-dcc0-4db7-8c42-535cce25399c)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 03894061-8820-43e8-b227-7d0ab1e4aeac)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 516011f2-735d-44d6-a0c8-77b458811396)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0d3e01d3-9d8e-4097-a163-f65ea84f7989)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e9c563ab-3313-4a71-b6df-a3b9eba7fdae)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp e812fbe5-2727-4475-98ad-aae6a7d6118c)) + (net 1 "+5V") (pintype "passive") (tstamp 7b79e309-5a17-48ff-934e-6729bb7ba87b)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 7d00fbad-4185-423a-8ef5-325243bbfdf2)) + (net 2 "GND") (pintype "passive") (tstamp 9f3b7a53-5556-4171-9cb7-d80bf285a6ff)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2822,40 +2759,40 @@ (attr smd) (fp_text reference "C15" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 5c5d837e-47e6-450e-8722-644f104216f3) + (tstamp 4db54563-27e7-4a51-b080-5a29a6a3d386) ) (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 5f428c02-543f-4fd3-b189-5c444a3a73fb) + (tstamp d2f87558-1cfa-4bdb-9f44-13795f8829f9) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 1d142b06-1bd3-4065-a91f-099166b2bd67) + (tstamp 97aef91e-fe0e-44ff-9495-cdf875de729e) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 72fd1d17-f99c-4006-b5ad-693e49b9c61f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a5dc8e7-88d6-43e8-8992-b18ecf36d80c)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf93fab9-d180-47c6-8815-f6542dda7a04)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c9534ead-0f91-4e69-8e95-31e2cb1e25cb)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65a3e034-13f3-4054-b914-f9d624b3d5fe)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e74aa1e7-b2c1-4e3c-9b9a-27ffa6c9b367)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 815a2d86-8475-47d0-ae6e-dc83cb8f15da)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cf9d6365-5eed-4c32-b91b-ad6d81ea972d)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5f34ed0c-9dd8-4dd2-b3be-92e77c6405ed)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 56b650ff-af47-4e91-a5d5-f733f893d3cf)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ac32253d-4553-4d3b-843a-686aa3a450d4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cf7bc63b-1991-4d63-8738-4d01ada9de75)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 736ad883-8e95-4171-9cf4-77ce95e4ad5a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f670cf7d-f408-4bc4-9ff7-1e6279fe1738)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2b131970-b4e5-4304-92fa-5250026646c1)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a19a6b8a-34ca-41c5-a049-5b3f120a6295)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 03642fb9-4033-417b-8de6-a02be8a10725)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3cbe04a7-88fa-4f3a-acb1-d9434e277acf)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bfff9dd9-4258-4856-b4a1-8b75d6d567c1)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 417e80d2-adb9-4aba-8c58-4f241be26305)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 0b1d30b5-cffe-4769-976d-18bb1362b464)) + (net 70 "+3V3") (pintype "passive") (tstamp 81b72de0-1697-4ba3-855f-458433b80b99)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 3cc0a2a8-631f-46bd-ad3c-2f2496cea520)) + (net 2 "GND") (pintype "passive") (tstamp 8f047395-ee48-4c45-9e73-df699193e06c)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2878,40 +2815,40 @@ (attr smd) (fp_text reference "R9" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 6d8addfd-ae20-4101-a135-ac305084b4f0) + (tstamp 4781eacf-20b1-4169-9a45-4c82aba32708) ) (fp_text value "47" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp d2418f27-ab76-41a7-a383-35e60e99eb5f) + (tstamp 540c9977-5f60-4455-8fa9-05f76fd3ea90) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 7a92ab68-dca2-4c6f-b23b-8d1b1c08437b) + (tstamp cc4e859b-cd43-4952-936b-30e8235def9f) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f2a635be-d927-4469-9b7c-6f2a43756097)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c0f74ed2-0b4a-4cb5-aa1a-f91946edd06a)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1802ced7-12a7-4389-9027-39260f5c2b60)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 73f935dc-f30d-4565-8c6a-b8c3692da2e2)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c5a988a8-ee5f-4889-be9b-a28d5e25fa88)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b43b8795-0575-416c-a89e-80deb0f1b33c)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a7b41461-c9ad-446b-b69a-15c10cb806ab)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b9d452dc-cffc-4fc3-9237-4d3123058213)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6218ed89-7688-4546-99dd-ce1290eb5365)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82d27df4-32bf-4247-b95b-f2dd33271a29)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9750401c-dbe5-4863-bafc-2dc8b2dca542)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e3014d01-7a8f-4dbd-a265-51ce44d8ecc9)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6f6aa8fb-c555-42c8-a80c-b56b7db378e4)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b0151073-d444-4930-be52-ec5a48057c39)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp df00e2b9-a72a-4549-8e00-3a9a2e91d62d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2dc88e88-5e50-4c2a-a4b9-4895b84650f1)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c3fcb133-2783-4bed-a42c-bd4b2162e40e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6852a00e-ff06-44f1-bcea-04f52aa5e8b3)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cd5dfc0b-e245-4b9a-a59c-ba3e00a0c0a5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b25d78dc-b309-4a72-9bda-e10c056f1077)) (pad "1" smd roundrect (at -0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 120 "/FCK") (pintype "passive") (tstamp 1093a23c-b55e-42b0-a4a0-8d167a8883ad)) + (net 120 "/FCK") (pintype "passive") (tstamp 13f941a7-2873-4dc6-a445-da785f2a5b86)) (pad "2" smd roundrect (at 0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 132 "Net-(J5-Pin_6)") (pintype "passive") (tstamp 5b9dc361-79df-4580-8e88-f356e1a41972)) + (net 129 "Net-(J3-Pin_6)") (pintype "passive") (tstamp ff749490-96b0-431d-acba-3acba061fcfc)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2934,40 +2871,40 @@ (attr smd) (fp_text reference "C6" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a5368874-8aec-40e7-b08a-23298c770ef6) + (tstamp 8ec12668-550b-4b5c-a891-21b6b8c2a6e7) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp b9ceeb47-b3d6-46ae-a801-7eeee1962db7) + (tstamp 22f6c184-1431-4033-8e7a-a1631655d125) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 57473cd8-c0ef-44a9-889c-14195257fe80) + (tstamp 52dd256f-1f9d-415f-9d59-4ea8b21f6caf) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e1c80765-bf23-4890-bf38-36e8b7252704)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb7d12d5-2344-4b59-bcad-28070ef31e38)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 378c81aa-08e2-4e24-87e7-248fc9db7c22)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 65b1b695-1c89-45d1-bc09-d82d9b5a291a)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8d642641-6b21-4cae-9c9f-a351e42920dc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ca8011a4-1077-41be-9b86-8b4d883f75f5)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 12af29a3-54da-45ed-a27f-f591c0a7b11f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 66a68f41-f960-49c1-bd63-89dfd12bd150)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b7bdfaf8-d8ad-4542-9ca0-1331476c0a18)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8aac21c9-e9ce-4a60-9e6b-931b9fe2f950)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 414a0f16-2132-4e1b-b0b8-5315d0f4012b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6aa268c1-420d-4221-b5dc-7cc64b1b1f2a)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7eb94d91-1cd8-41ee-b894-f0e1af0db91e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ced7a768-b70d-42fa-bd87-39ebba9b7591)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 12cb2b38-8585-4f3f-b8e7-3c9df0dbd0b4)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0bc3431-a3e7-4716-a821-bfd086465185)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9bb004d8-708c-4877-b411-7b37ec088d7c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 27b5d6f2-e670-4af5-8708-917f512b81ae)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp be432129-626e-4e1e-bf45-1ef59bf41b87)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4c8b1c64-ad01-432f-8c90-b45dbf2afe9d)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 82 "/USB5V") (pintype "passive") (tstamp c4c27e3c-5607-4f37-9e4f-02effb3d8f21)) + (net 82 "/USB5V") (pintype "passive") (tstamp 436f6017-7e84-4311-9620-bfaf37d6d9ae)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 21d17415-0d32-4da6-b929-e13e1cc1f372)) + (net 2 "GND") (pintype "passive") (tstamp c35bdad8-d7d1-4d99-888e-4744ac4703a3)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2990,40 +2927,40 @@ (attr smd) (fp_text reference "C41" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp d439437c-b3a2-4490-a3ee-7ca726adae7c) + (tstamp bc3c857e-f149-4483-9db8-d25cdb3a05c5) ) (fp_text value "22p" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 49bec374-efbb-4cfd-90d3-61a11882e59e) + (tstamp d8ecd751-cd96-41be-80d5-9e8dfba7976d) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp ae5c15b3-6574-4e07-a419-cdce1d764ee9) + (tstamp 632014b5-33aa-4b8f-833c-069876aa0a41) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3fa47f72-5f72-404b-9381-2557c794130d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c5d6df00-c3fc-4aa8-b750-9031f99a1466)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1e3b4d8c-2850-4b6f-bd86-25addfe42261)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7ef39e08-11e6-484f-af1c-eeafe2b64614)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d8f0734c-1cf4-4194-801d-e9647a85dd2f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ebfdac70-7a17-4e93-a253-4490ece50b2e)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3d7177e1-2738-4ede-8b5e-dbb7e086edad)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1fdd572c-7a0a-4222-9843-9074f15d91c7)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a93f056a-0ebb-45b5-a0b1-32399106b09f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 72f52aaf-6047-4ab9-b9d6-55a1ffb6ce25)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a2fbb313-cd53-45a6-9af7-4390fce3ebbe)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fccf4449-9ceb-4f16-97ca-75234b0c60ef)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp def39505-2bc7-43e8-b03a-b07fa7432d9e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 76d5f992-352e-4e94-b928-f9fa66bb5e19)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bb7ad0b9-b160-4d43-89e1-63ec290ff1ee)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9653dd6d-54c6-4014-9069-d3ec69d506da)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 36df4a80-501f-4cb6-9a18-064b3c972352)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp af8b86c5-a82f-4d8c-8e81-235cafff5135)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6d815d62-1b5a-4290-bf5d-fd67a5b30ead)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3ef6757b-71b0-4b5d-b822-b8170415b8b7)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 46 "/TCK") (pintype "passive") (tstamp 2dfbf59e-af33-4fdc-b697-5d1186606637)) + (net 46 "/TCK") (pintype "passive") (tstamp 62f7a219-7a2d-4930-891f-e6bc7b01172e)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp e3119ee6-90fa-4748-942a-736692740e7f)) + (net 2 "GND") (pintype "passive") (tstamp 759fcb9c-82e3-4ba0-9762-dd62677024f6)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3044,44 +2981,44 @@ (attr smd) (fp_text reference "U8" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.381 0.381) (thickness 0.09525))) - (tstamp 38d860c7-163f-490c-ad20-1128d84b37b4) + (tstamp cee0b396-3a66-4364-8e62-3ce7c83aadf6) ) (fp_text value "XC6206P332MR" (at 0.45 0 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp d768453a-bbe7-473f-ae97-c6cc3d8514c9) + (tstamp 3d00ca0a-9d44-4c0d-bece-b49986865fd4) ) (fp_line (start -0.76 -1.58) (end -0.76 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d0e946f-fc1e-4912-845c-263a4cdef6cd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b2f90808-636e-482d-ac7c-5114d3d8b91c)) (fp_line (start -0.76 -1.58) (end 0.7 -1.58) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ccc5ea58-e12e-46db-9c58-5431ae11da03)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 09737ad3-a7b7-4c29-b9cc-5f18042ea8d1)) (fp_line (start -0.76 1.58) (end -0.76 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d0b87a1c-012b-4392-b5db-96d9ddb7924f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d611b735-8afb-4365-9686-e5695687922c)) (fp_line (start -0.76 1.58) (end 1.4 1.58) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d13b4453-1a7e-4389-b791-be451e22a72e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8dbc2341-25ea-4e3c-8a5e-80726472aa54)) (fp_line (start -2 -1.8) (end 2 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 84363bab-c1fc-42df-9e84-278c6c51fdd0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 34c63c25-eb96-4944-af16-d76726276008)) (fp_line (start -2 1.8) (end -2 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8e37943c-5eee-416b-8c6a-a7ec82fa2ea8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5ecc8fb2-975e-42a2-8847-8da6f5ad7396)) (fp_line (start 2 -1.8) (end 2 1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5e7074a7-4f80-4610-908a-f9863174b6c4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8eeb8dd8-746a-4af2-ada6-064595316c73)) (fp_line (start 2 1.8) (end -2 1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 745e720c-2db1-4650-b239-0e78c985fc38)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0039bbc5-5538-4ba7-9e7d-1d8ba0751c65)) (fp_line (start -0.7 1.52) (end -0.7 -1.52) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 798c8b7b-811d-49b1-802b-58c36f4bfc6b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 89f0c8fb-a420-4748-b492-4437b46c4f5b)) (fp_line (start 0.15 1.52) (end -0.7 1.52) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1e8b88ab-ae55-468a-bb20-7da8711ddc00)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4bdc0260-3292-409a-b874-ba163ed09a35)) (fp_line (start 0.7 -1.52) (end -0.7 -1.52) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 40b7ca3a-53da-4795-885d-e338c60fefc5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e8041d30-5ed8-4b54-b6e5-501ebe65a01b)) (fp_line (start 0.7 0.95) (end 0.15 1.52) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 09ed0304-9604-4d87-ad01-4292d50fb830)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4e7abae1-62f7-4ae5-adf1-f717317de6bf)) (fp_line (start 0.7 0.95) (end 0.7 -1.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 19eb8901-3c1d-4ce4-9eef-9c9504b2a406)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b51e4c58-730c-45ec-a658-1ce360675aff)) (pad "1" smd roundrect (at 1.05 0.95) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp daff3d6e-5a73-42fc-b71c-723e223fc9c2)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0f9e6538-19b8-4472-a063-b791dd2cb20a)) (pad "2" smd roundrect (at 1.05 -0.95) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "Vout") (pintype "power_out") (tstamp 2dfc8a51-4678-4f88-8517-b0652dd5610c)) + (net 70 "+3V3") (pinfunction "Vout") (pintype "power_out") (tstamp 2c6aaad9-fd30-4918-ae7a-60a0ec54a5ac)) (pad "3" smd roundrect (at -1.05 0) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "+5V") (pinfunction "Vin") (pintype "power_in") (tstamp d85f1659-d7e0-4a0a-8552-cffe37427632)) + (net 1 "+5V") (pinfunction "Vin") (pintype "power_in") (tstamp 9c6bba69-2d5f-43ac-895c-ffee30464501)) (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3105,42 +3042,42 @@ (attr smd) (fp_text reference "Y1" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 7527852a-b4e9-46ae-8d0e-035342e46b23) + (tstamp 3d078f79-84b1-4974-b0c0-55b4188c1aba) ) (fp_text value "12M" (at 0 0.75 180) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp d960b511-8332-4ea6-9ba4-60d18f5c5674) + (tstamp a6351d5e-611b-4cc9-ae2c-7f286767ccf1) ) (fp_line (start -2.15 1.1) (end -2.15 1.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 74557c72-2948-4ea5-8283-b38321203f79)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 7113cc81-225d-4cd2-aac4-7616dc57e21e)) (fp_line (start -2.15 1.8) (end -1.45 1.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 58c94f4b-21e1-428f-8aab-5c000b5b80ca)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 69a9ec3f-851e-413f-a28f-416d28d3a79f)) (fp_line (start -2.1 -1.7) (end -2.1 1.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5d641d54-9cde-48a1-9efc-49c4942cf80a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b301bd55-e49d-46f3-a63c-216c14e87bc2)) (fp_line (start -2.1 1.7) (end 2.1 1.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ab5fe89-f9e3-4163-b58c-f8299fb0f0eb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 59f0f6e0-f305-4e25-9b8a-3bf1203feaf9)) (fp_line (start 2.1 -1.7) (end -2.1 -1.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 788c8546-790d-4801-98c3-1738c8aab3e7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ee534f6b-64a3-472f-8dc9-d311f5505c79)) (fp_line (start 2.1 1.7) (end 2.1 -1.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 40307a10-6c15-4439-b511-04ee8c561a23)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dd00d7bd-419c-4be0-b74a-997039b52614)) (fp_line (start -1.6 -1.25) (end -1.6 1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7c00f49d-8572-4f68-a344-c49e4e426879)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5b4f8e42-d13c-4658-8a56-5d9a4a2d160d)) (fp_line (start -1.6 0.25) (end -0.6 1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b03bda97-64d5-4063-b094-f3eebbc51a2c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 618ef457-f392-414d-ad34-4f5205e8c69a)) (fp_line (start -1.6 1.25) (end 1.6 1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f20847bf-4a4a-463c-a26a-a6a0d9d45f84)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8dba31c2-624b-4505-b70e-40a5a14fbe64)) (fp_line (start 1.6 -1.25) (end -1.6 -1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9949e152-3d0d-4091-9d87-36a43c9b0b94)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 99325172-bd4a-431f-9101-8eaab4ea0184)) (fp_line (start 1.6 1.25) (end 1.6 -1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f4596387-e471-4a13-8da8-2acd7526aeef)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6a616edf-c685-457d-9ef8-331c1e3fa765)) (pad "1" smd roundrect (at -1.1 0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 125 "Net-(U7-XI)") (pinfunction "1") (pintype "passive") (tstamp de9718bc-559e-4f44-94b7-7d80f0b98ce3)) + (net 125 "Net-(U7-XI)") (pinfunction "1") (pintype "passive") (tstamp 692eb7e0-5f62-4a1e-aa0d-6e1b11160947)) (pad "2" smd roundrect (at 1.1 0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp 0c36a9a1-b1e3-4b9e-a598-438b74203c57)) + (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp 0badd71a-57b0-47fb-886f-681db09cea3c)) (pad "3" smd roundrect (at 1.1 -0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 105 "Net-(U7-XO)") (pinfunction "3") (pintype "passive") (tstamp 8e36523c-8063-458f-a04e-84af77a5d8f7)) + (net 105 "Net-(U7-XO)") (pinfunction "3") (pintype "passive") (tstamp 2dec2af8-3e5c-4074-be56-738214abd1d8)) (pad "4" smd roundrect (at -1.1 -0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "4") (pintype "passive") (tstamp bbaac9e8-f0d5-4753-9263-ecb74bf6e5c6)) + (net 2 "GND") (pinfunction "4") (pintype "passive") (tstamp 4c39d28d-dddc-4d8f-bebb-8fe0d68b5fa0)) (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3163,40 +3100,40 @@ (attr smd) (fp_text reference "C12" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 01b22334-3f8f-4d93-bab5-16511efda1f2) + (tstamp 7095d534-8505-4c53-b458-9ec3341a3b80) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 4d23c370-4590-4cfc-b235-f9c2f99ba379) + (tstamp 4a71f38b-692c-45a6-93b5-af97658a48d6) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 0d32a2a9-d124-4f66-98cb-c9ba0d9b5dfa) + (tstamp 71bb16f3-2467-4399-bbd2-72be9ee26b34) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 25fc01e0-9498-4501-9e2f-b91502505efb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3095c395-90e0-47b1-bf8f-c722b20de6ca)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3054e7a0-16d2-47f7-b975-27308dd40517)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6b29fb63-1f03-402f-8e67-71dbd7b979c4)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1cf15de6-12f5-4f3b-923b-84a533015812)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 49d848b9-4400-40b0-9246-1145f78048f5)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bdbb2d8f-2253-4531-8cfb-785d39fc67ab)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 794725d0-35d6-4cd7-806c-66db009ca8ff)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a877ce7b-f98c-48b8-9f39-3cc7b56e3bec)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1e91eda2-92a1-4701-b345-fc8a00d1f8db)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3c045b3e-0e50-445d-9f88-a13bcc2625bc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f25452c5-973c-4d4c-ac14-5fd9c563eed1)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6071a0e2-11c4-449c-b54c-f3d45cd4823c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ddd90218-c187-45d6-8ea4-46a08e13862f)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5960d3c1-688a-4b78-9516-21ce038541c2)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bd7e67a9-0493-4da4-807b-6ca4b6cbe01f)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5abbecce-7b73-4137-bc37-d2b18bb75ca3)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 27d9957f-85a9-45f2-a085-83da3d1c0e60)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2fbd966c-fe66-47a9-bf36-9704e1ce8790)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b8f1da5e-9d7c-43b5-b3cd-31e6b4ffe1f9)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 86eb80d3-abdd-4102-8c40-433f8bb6fbfa)) + (net 70 "+3V3") (pintype "passive") (tstamp ad3437a6-00e5-4588-ada1-1a35c427092e)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 3058e5c8-1910-46af-9ce5-3c5768456b32)) + (net 2 "GND") (pintype "passive") (tstamp e299b950-5964-4840-9eec-4a4d24a6b78e)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3220,74 +3157,74 @@ (attr smd) (fp_text reference "U7" (at 0 0) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp f39d12fe-4b02-45f3-b754-99b9fe4123f2) + (tstamp e87853ae-4c4f-41a9-bff6-43bc5a4f6f8f) ) (fp_text value "CH340G" (at 0 1.016) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp a85eee9e-faca-4048-9635-c0da36acf031) + (tstamp 744ac13a-d9bb-418c-9cd2-a3ce04b63539) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp c579ffbb-5ed1-40ea-8248-da1d4068d67a) + (tstamp 0449758f-0d7f-4b93-96d5-edf61d072ecf) ) (fp_line (start -5.06 0) (end -5.06 -1.95) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e881712b-b9c2-4c81-9681-43d9fb99966d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1dadd779-43cd-400b-b81a-061e2dce0935)) (fp_line (start -5.06 0) (end -5.06 3.45) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 42d39507-7e5e-440b-9a20-1648f20c84ba)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0e2f211-58ea-4b59-9274-9b9bed0c6855)) (fp_line (start 5.06 0) (end 5.06 -1.95) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2fcdd62d-36ef-44c8-b516-1536cefb8be0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bdb771ff-6b5a-4ee8-80ac-3a9664e14b80)) (fp_line (start 5.06 0) (end 5.06 1.95) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3f8f441b-4136-4a91-82e2-65292bbcb17d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a627fd54-3c45-4f4f-80a8-a6b0b3c56079)) (fp_line (start -5.2 -3.7) (end -5.2 3.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6bab17bc-201d-4a96-a9e2-19af826e1f34)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7d6c6cb2-ed7a-4c45-975e-078fd0d7f720)) (fp_line (start -5.2 3.7) (end 5.2 3.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65dd640f-61d3-4761-858b-1637b7dd9813)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 306ae6c9-028c-4d10-9cbb-d79c5c82edc6)) (fp_line (start 5.2 -3.7) (end -5.2 -3.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 06dc0ef7-b12b-4f7d-a47d-48a8f3b4a47b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fd99f12f-135f-431b-9442-f92aa43506ce)) (fp_line (start 5.2 3.7) (end 5.2 -3.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8714ea6e-743e-462a-b83d-d8f46f307e73)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 60d56d18-037e-4bff-a1e8-a342cc7eaa75)) (fp_line (start -4.95 -1.95) (end 4.95 -1.95) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4d8c3067-1ef9-4c5a-b8de-7b06c97d0b49)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 50138a46-969c-41bd-beb0-1411baac4f08)) (fp_line (start -4.95 0.975) (end -4.95 -1.95) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dad1b2ae-2a74-4444-867f-57280f64562d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5ba94162-89e2-4557-a775-bca51ea1a9b9)) (fp_line (start -3.975 1.95) (end -4.95 0.975) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3b9309a8-d49e-4bf7-992d-070bb44f05cc)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9939a8b1-fdea-4d12-af4f-67691df4504d)) (fp_line (start 4.95 -1.95) (end 4.95 1.95) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 20285bd8-5c74-4644-83f9-0ffe6ce36809)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 11f793cb-f97b-4633-8cd7-18a9cea0dfc5)) (fp_line (start 4.95 1.95) (end -3.975 1.95) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d6d66927-09e8-4ce5-8770-9af5d47ae029)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ea071bc1-4876-40bc-91c9-27f1eb0e8ae6)) (pad "1" smd roundrect (at -4.445 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 151ee3e3-be35-431b-9c07-e8447b9f23ba)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 03d45121-eb5c-4f17-be6e-c8bdb7beeda1)) (pad "2" smd roundrect (at -3.175 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 140 "/UTCK") (pinfunction "TXD") (pintype "output") (tstamp 9d22203d-d081-4236-973e-bbdc9d93e0d4)) + (net 140 "/UTCK") (pinfunction "TXD") (pintype "output") (tstamp 0d6b5b40-69bb-4875-8594-801858d25f09)) (pad "3" smd roundrect (at -1.905 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "RXD") (pintype "input") (tstamp b641c0c0-ecfe-43b1-bbcb-eb9056c405a1)) + (net 70 "+3V3") (pinfunction "RXD") (pintype "input") (tstamp ff670717-13db-43f5-bbd7-173303529c4c)) (pad "4" smd roundrect (at -0.635 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "V3") (pintype "passive") (tstamp 9d10e22a-dec3-4fff-8f37-cc225a7e9039)) + (net 70 "+3V3") (pinfunction "V3") (pintype "passive") (tstamp d34f1e36-71dc-4e1a-ba33-5c153da07d54)) (pad "5" smd roundrect (at 0.635 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 130 "Net-(J3-D+)") (pinfunction "UD+") (pintype "bidirectional") (tstamp 059b6c73-ac98-4cff-9cb2-c6fa729aa2ca)) + (net 127 "Net-(J2-D+)") (pinfunction "UD+") (pintype "bidirectional") (tstamp 8b52448a-c3ce-41f6-a6f8-4ef8fdfc85d4)) (pad "6" smd roundrect (at 1.905 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 131 "Net-(J3-D-)") (pinfunction "UD-") (pintype "bidirectional") (tstamp bfda147a-c927-40a0-8ba6-c7e5b330aaf5)) + (net 126 "Net-(J2-D-)") (pinfunction "UD-") (pintype "bidirectional") (tstamp 08992624-3d26-418d-8d04-ffb6dc893b0f)) (pad "7" smd roundrect (at 3.175 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 125 "Net-(U7-XI)") (pinfunction "XI") (pintype "input") (tstamp ea0904ff-8b7c-49ab-b6dd-032720c933f4)) + (net 125 "Net-(U7-XI)") (pinfunction "XI") (pintype "input") (tstamp 8b56d295-069b-4c91-8a5a-24b8441a7a5b)) (pad "8" smd roundrect (at 4.445 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 105 "Net-(U7-XO)") (pinfunction "XO") (pintype "output") (tstamp dd8359f3-877e-4a9c-99aa-01507e0416d6)) + (net 105 "Net-(U7-XO)") (pinfunction "XO") (pintype "output") (tstamp c40d4421-3a2f-4866-bfff-62158f162be0)) (pad "9" smd roundrect (at 4.445 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 47 "/TDO") (pinfunction "~{CTS}") (pintype "input") (tstamp 1ea47c24-fea7-4ac7-9b8f-ae8b5b9ad24e)) + (net 47 "/TDO") (pinfunction "~{CTS}") (pintype "input") (tstamp fdaba3b2-5b9e-4504-bbf3-3c705e0ed600)) (pad "10" smd roundrect (at 3.175 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "~{DSR}") (pintype "input") (tstamp b1b99277-ba21-4848-9c82-663a8ccbe763)) + (net 70 "+3V3") (pinfunction "~{DSR}") (pintype "input") (tstamp 996337e3-38f2-4e54-9bcf-04a3e3a43160)) (pad "11" smd roundrect (at 1.905 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "~{RI}") (pintype "input") (tstamp 2e6f8580-c920-4394-bcb3-fe6df1e3c7d3)) + (net 70 "+3V3") (pinfunction "~{RI}") (pintype "input") (tstamp ed083866-592d-4d6a-8300-d9a0f6afe9fe)) (pad "12" smd roundrect (at 0.635 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "~{DCD}") (pintype "input") (tstamp e66ed94e-abe5-4404-9186-939c2e55e70f)) + (net 2 "GND") (pinfunction "~{DCD}") (pintype "input") (tstamp 67d52451-9e09-419f-b9a9-d3db4a97bb96)) (pad "13" smd roundrect (at -0.635 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 49 "/TDI") (pinfunction "~{DTR}") (pintype "output") (tstamp 571083e2-e5e2-4682-a95b-8fb6ef378a85)) + (net 49 "/TDI") (pinfunction "~{DTR}") (pintype "output") (tstamp c0a39b8e-fa0c-4721-8de6-ddddb04f3081)) (pad "14" smd roundrect (at -1.905 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 48 "/TMS") (pinfunction "~{RTS}") (pintype "output") (tstamp c62108a3-264d-4462-a6de-3ea8d3adcf22)) + (net 48 "/TMS") (pinfunction "~{RTS}") (pintype "output") (tstamp 7ad76a8a-d693-4f9b-8366-9ba4dc4ea213)) (pad "15" smd roundrect (at -3.175 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "R232") (pintype "input") (tstamp 7e4aa37c-0ca5-4b6c-806f-00d0f4ea506a)) + (net 2 "GND") (pinfunction "R232") (pintype "input") (tstamp 8c381405-7c01-442e-ade6-4952578b70a9)) (pad "16" smd roundrect (at -4.445 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp 054b8954-707f-4579-8642-e6f64f63fc77)) + (net 70 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp 9b4b60a5-2bda-4a58-bfe8-674cac758989)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-16_3.9x9.9mm_P1.27mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3310,40 +3247,40 @@ (attr smd) (fp_text reference "R5" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 0fdf9c17-d036-43d5-944e-3956ef516bc9) + (tstamp 681d4265-9583-4a09-9ee5-bcbef683d83e) ) (fp_text value "47" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp b6e74b06-64e7-4fc8-a3c8-cece32c7f4f3) + (tstamp b560cf79-e002-4d6e-8b0c-615566e922c6) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 4f679796-7d6a-43ff-abec-3a1c2c560b95) + (tstamp f6abeae5-1207-4b14-8f32-b8377345ac39) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b93661d-c5ca-4702-86bd-7e11f97a8fbc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 07b21b7f-07a3-4577-97d2-98bc8b556da5)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d713de6c-8bd1-4ea4-b973-01a220abcacd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b5c1428c-1890-4af6-a6a9-b2459097da6f)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3e231e64-a911-4c76-9a00-92188117a764)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2e8c98d7-4ebe-49ef-8c49-cae2bbf69a34)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b9604516-eb9a-40f3-9349-e30797bfafd7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b5e37268-45c3-409b-b4e6-b472d257616e)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 356e5ed0-f7d3-4edc-884c-bbd15ab12f5a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e15fbbf0-90dc-43d7-a10f-0c35ca46b681)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d7470318-fc1b-4c3e-8e38-afe3e2998be6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0b735031-d04f-4c26-8339-0bb0735e834c)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c4293495-5263-4b8f-9486-7d1586616076)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 942e9397-8849-4f6d-af12-cce5446e7635)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 855de8db-44d0-42af-a76e-e2abfdca49b9)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 24cc4964-01e5-48de-a0e9-8454da470697)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 553a4a42-5ccf-4187-8654-1f3b1b18c9c8)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aab16bcf-71f4-4ba5-af2c-db4c286433ba)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 984453e4-ffd9-468b-af9e-338bb1c1159b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4a371e05-7169-4f40-87e5-40f7c4351fe3)) (pad "1" smd roundrect (at -0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 140 "/UTCK") (pintype "passive") (tstamp 912602fe-bd34-45c3-9c27-4742c57474d0)) + (net 140 "/UTCK") (pintype "passive") (tstamp bc7a7440-7dfb-449b-b299-e19626b93550)) (pad "2" smd roundrect (at 0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 46 "/TCK") (pintype "passive") (tstamp fd4931c8-1eae-49ed-9ee0-4df833246092)) + (net 46 "/TCK") (pintype "passive") (tstamp 91f9e1a9-8fc5-4cf6-8304-7c3fbab813b6)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3366,40 +3303,40 @@ (attr smd) (fp_text reference "C40" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 3f163f81-de56-40ad-ba34-e2ab2a1ff52a) + (tstamp 63c1990b-4052-4572-bd09-b1ef58e1b415) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 891cebca-bb34-4f0e-af4b-4ae57beec7cd) + (tstamp 391e309c-f769-4ada-b2f9-e8c0ab52cc57) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 59149ae5-5c4f-4933-8b6a-5580f7e1503a) + (tstamp 198b1457-b5f9-4b5f-b09b-8630556701ec) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f8e1077-d3d2-493c-a73f-d8dba6e19ab6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3e519893-d592-4801-9ea1-0b1906434247)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 734c5b66-74b4-49e5-9da1-a532bcdd85fe)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7c53de5b-f237-4592-bd4f-9d7fc4bea3d1)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2b763780-a67e-4471-a466-f0cdeb0531de)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ba7bd03e-2478-43e1-9b1b-5f55dc7e110f)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 96a3bc30-35ae-4d7d-876f-bbf0bfdc25e2)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a07fd35b-8e83-4e65-8423-c2f3ec9f9c0e)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 787d683f-5166-44c8-adda-ac98ed82ae71)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f7b1e5fc-611f-43f1-8061-dd8acd9c265f)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 25874db5-26cd-4a9a-9181-8e1c89e10bde)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 64d91c0e-0d59-482b-bac9-830fbb79d004)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 80eae390-07ee-4e73-987c-694c581b3f61)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3cea47f3-7895-4292-adf0-de45f2f5cefe)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 48a67a1e-476b-4eeb-af81-a215d02d1977)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 094622bf-2105-4329-8004-de0b0c0e7a58)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b569859a-e980-4094-aa5f-f577352a9664)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fa6a3568-e138-49ca-8c69-beca234b260d)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a62d40c6-1d91-4f21-b956-a576f6170189)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 59dbc6d7-29b8-4d31-b2f2-784f707f1f52)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 7618a992-fc76-4f8e-bea0-c6371e901752)) + (net 70 "+3V3") (pintype "passive") (tstamp 813147b5-bca0-4881-b160-b6cbcf9ddbe0)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 02433e66-be6b-4dd2-ac91-530893770685)) + (net 2 "GND") (pintype "passive") (tstamp a155a4f2-b270-44ef-9bb5-7dc14b7f61b6)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3422,40 +3359,40 @@ (attr smd) (fp_text reference "R8" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp d0a73817-b34c-4572-b27e-bd00d9d41371) + (tstamp c3834655-5926-4f75-b4f9-1417b523d2dc) ) (fp_text value "10k" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp ad5eb21c-178b-418c-bec7-62b844d29dbf) + (tstamp b7141e69-16ff-484c-b42b-b3fdd22d9095) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 087174af-ac1e-4111-ace6-0b889d363e62) + (tstamp 7b70a55e-6e67-4c50-881a-8ad867460c87) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5285fae3-0eb3-4ff3-92f4-0afb70b91f5b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 589728c4-ee07-4ec4-b659-19e6848f5290)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3cf4af1-a36f-4408-ba94-7b1bb66eafda)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c39e496e-1a51-45bd-b554-1380ff2fd7d7)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 385a7837-f6ad-4396-a2e4-724df4b0ffe7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a5f30909-c2ab-42e8-9371-733b9d4f0fd3)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c4afcf40-2493-4428-87ea-9d61e4fe78d4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 16cdeab5-63f9-492c-a105-68dce2c2dfff)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a54a665d-030a-4b05-90a3-28913de34414)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 00afe3b0-f7c5-4cfe-b65e-dae8cf825792)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d79dae60-2a5c-465b-b0b5-adb64edf5072)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3974d579-bed6-474e-af0c-8235b760cbab)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e89e0e3-699f-4926-857b-7dec3d8eaf04)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 169fe374-a72d-4ce2-8a98-438447e49c05)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dcdbf7bd-e1a8-4f11-aadf-2e6783188290)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 78520ca2-4b7a-4cda-a62d-9047b6f1364a)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 523a846e-1e54-4c7c-be08-00790c48bc91)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8893f9eb-77ab-4260-8d4e-a3d51a105d86)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0d7aaef-8345-4fb5-8c9c-6d6ae791fa4b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3337184c-7d1e-49e3-8619-11a64aaddc34)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 46 "/TCK") (pintype "passive") (tstamp a8cb0cf5-b114-42ee-b1e9-5023d08f9027)) + (net 46 "/TCK") (pintype "passive") (tstamp e922a581-67da-419d-98bd-279cda999265)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp c1291c00-ea45-4cdc-8021-a2e0415b536e)) + (net 2 "GND") (pintype "passive") (tstamp 3299ffdc-d76b-4f25-bb04-443e989cd132)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3478,40 +3415,40 @@ (attr smd) (fp_text reference "C8" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 2af58554-fdac-4dd9-ba29-a12ae3182663) + (tstamp fd8c6202-3388-49b7-93e2-3ec44daf66c0) ) (fp_text value "22p" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp dafb10d1-05f4-4805-b1f2-c0f75b7334a4) + (tstamp efdad1b2-474b-416b-acf1-bd2e5e79a8cb) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 10738419-1e8c-499b-a300-11193e842b7c) + (tstamp b28f5ebd-0e37-416a-a424-d81f70cec7b7) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05e84b95-fdd2-4031-a79d-1a556d2e15aa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b4e2f459-c007-49b0-bcc4-d0f4cd8c0fe0)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5c469119-630b-4a68-8136-7ca83c82dfdc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 51f87820-18b1-4285-9ceb-c32bc05ea052)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 189be5a1-e9aa-455e-bcc5-ad65fd73901e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1ff5bca9-6740-47b2-9986-8566ba57be87)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e62bac40-d3b0-404d-a439-ab5c62e11166)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp de2f7a81-6f8b-4ca9-aa6a-868ba5530c94)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f3305660-28eb-4e25-85de-6b01cfe4fe8d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp febdefd2-9e0b-4f7b-a1a5-08fb124681b4)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 52d47443-124b-4c00-9bcc-18abbc837bee)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5e4a6d98-301e-4abf-b3ad-dace7dede1a4)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 84f5cd92-1e03-4f20-906a-29adec0974ea)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 206ce0c5-0604-4bc4-9178-5930691198c5)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 86ee1c62-269d-4d51-9576-e6a21806e4db)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a9e1d8e0-f3e3-4e31-8999-1fdce489d1eb)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 57d046b7-b865-4d9a-8afc-f4a4aaeb32f1)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 317e3555-daf9-4cc0-b89e-77ad264b71cf)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a94ec8a8-80ad-45d1-ac95-32f530140ee6)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d3772ede-e9ff-4cd1-b0fc-854fc569c122)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 105 "Net-(U7-XO)") (pintype "passive") (tstamp e28b2639-6633-4d8a-a136-839fa11c4394)) + (net 105 "Net-(U7-XO)") (pintype "passive") (tstamp ca3fe5ae-1c80-4f90-a89e-d2450e05d12d)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 2e492605-bfac-4742-b38a-be0ff18fe067)) + (net 2 "GND") (pintype "passive") (tstamp 8f57469a-df9c-4069-892f-9ea2402bc3e4)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3534,40 +3471,40 @@ (attr smd) (fp_text reference "C26" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a7fb14ed-12b3-4f11-a5d9-0c305076e7eb) + (tstamp e5ac7114-fb6e-4d5f-a78d-49da82283cd5) ) (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 4ab64d8b-30de-4d27-bb76-4e03309d9611) + (tstamp dfcd733b-c119-4541-b299-11c5a32e1f4d) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 46ddcf2f-bc23-4d5e-a415-f05706374459) + (tstamp 319557af-5fac-4890-9484-3bd6d8dbc320) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab46b7c3-d477-4f8a-acd2-ac0bc4e1bd26)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2edd088a-8df8-4efc-a3fc-4a885cde2ea2)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 69c4b4c0-b9c9-4ee3-80ac-eaecb5066f01)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b56c6f9f-474d-4f61-8ca0-853d55b51c45)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0e11043b-fad0-45fd-b22a-f05eb71ed992)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 312efe79-1c73-4885-a8be-a79ea2c4cee6)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4172d2bf-dff9-4572-91ee-25d1db60f195)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ef7b5fa-e24d-4376-977b-39e819aa020d)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ff80a1a8-8db3-4e7d-b2bd-28f85d9a45a6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 21f76948-6d38-4993-84f1-19ef01aca1d5)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c02d40a8-6206-472c-a05f-c82c04f7deb3)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d0e19832-ab20-44f9-b776-b69e2e578fc3)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eab71d1c-fda8-4b16-b925-17aae85bcd20)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a603e9e4-cf75-4006-9c4e-531205a1f982)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0809946c-0aed-44d0-bac7-69467f9f715c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 92c06a54-3973-4e3f-b2ee-ea9acf121260)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8c6f6646-044d-43ea-a511-21ce2af0f9b8)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a92f9d52-b4b1-4920-9836-4008565a1874)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dedf8388-c4bd-45cf-92db-def78a0a5ed9)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bf6f93b0-ba7c-4e72-a5ce-41d77261200b)) (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp e6e11dc3-3a09-44fc-92eb-9efe5e72261f)) + (net 70 "+3V3") (pintype "passive") (tstamp b59656a9-0c9f-4c63-a238-e903adcaae8b)) (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 604161ac-8152-4e2f-a9f4-eba7c3ff8563)) + (net 2 "GND") (pintype "passive") (tstamp 066f10ec-c8a1-48b1-afa5-b11edc713554)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3590,40 +3527,40 @@ (attr smd) (fp_text reference "C14" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a5873ac3-0c94-4b46-b326-74bddbefac49) + (tstamp 8701352c-9e80-4e15-8f8a-d088a73b0f14) ) (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 55ec0288-cd55-4e4e-adca-16e36286ab77) + (tstamp bd568e2b-09e2-43c5-9462-2f937f28f442) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 2e829eeb-264b-4c37-a948-957e37e7db92) + (tstamp feaef6f8-df88-449d-be7c-0936b567011f) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 54150322-3820-48e5-a28e-706bdcc733da)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 007ea2e9-1136-4b6f-8afa-6785194992bc)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1fb6c6e4-f710-4945-b0ce-7693eab5a5a0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3c0d42db-565c-4674-a60d-45d65850413d)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5e367f16-2b8d-49dc-a70b-9fe73280f44a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cfd02cd9-26c3-41ac-bed1-52d2a51c3073)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0e940b31-ce8d-4e6d-8921-e1dc698cced4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5ec0b430-7c93-4249-a364-27fd45275bf8)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8bb4c7b9-0470-4857-85e7-4c8e0be723b5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0d99aef8-1680-43fb-8d20-270b7930488f)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 24e6d1a4-c6b4-42dd-8fb7-981b4267aa24)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 45de6651-fbe2-48a4-8da7-8e53bcccb512)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c0b49e65-c37f-449e-a716-aebd529adab1)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 03d6faf8-11c8-47e9-a99e-fc2d8ed546b7)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d52c8d84-894e-4976-8064-299d9b312715)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a1b1037c-6958-450e-9c83-466978f96a9b)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 72e71f49-5805-468f-a8fa-26ba64f5fed7)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2fcadcfa-26d8-4e31-b9dd-e5889a9ff3f6)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9bd38549-3f82-4aff-bca7-5bb2f03547ad)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 22e030fa-1005-429d-b084-f64476c93cd4)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp b2699842-1763-4daf-8d73-f2463c81f2d9)) + (net 70 "+3V3") (pintype "passive") (tstamp d80be2f6-6128-48fa-9506-04e11bce8251)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp bc845553-ffa8-466b-995f-50a80c4d2d5d)) + (net 2 "GND") (pintype "passive") (tstamp 37b1e3c6-b49a-40f3-93c1-538198c228d1)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3646,40 +3583,40 @@ (attr smd) (fp_text reference "C18" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp ba34dc4a-f7e7-4963-9319-46bb803273c9) + (tstamp 75d1ac99-a995-4d2c-aed2-ee042c69db51) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp a043c003-30cc-47d5-8029-88b6471b6194) + (tstamp ca3f18c8-28ef-4fb1-8440-c8cda24caf9b) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 290acd0b-d5f8-4559-81b7-7d47a3eb4421) + (tstamp c718e2cf-709f-4ffd-9147-4e507aad0ab6) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ebaf369-8070-4fb9-b100-10d48c7add82)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 45a8ef7b-89fb-41cf-bc07-bbb3c8ac6ed7)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ff354488-c3b3-4753-94dd-2e709e6ebaa6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f171f0c5-f381-4bfc-b956-b9cfbcb98e7b)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 85df6aea-4147-4331-8a43-7d56f1164d6a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c8d82c3e-e0ef-41f1-9f81-aaee7ada4869)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 622eb7f6-fbf5-46fa-a7cc-67480a4b5299)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 62730d88-570f-4fcc-97db-3eaf334d6c3d)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a73cfc62-0975-4a12-ae2b-196bd93db9ee)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9d487595-1388-4140-b476-839b2162b0e1)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ccd233de-e4a0-48c5-9543-6145479acf52)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 60651f80-05bd-479b-9b09-83f68bc0700f)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 50112e93-a752-435b-ad40-dfa26edce6cf)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3234a42e-2a54-4f7f-a55f-9ee830bec77a)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a7cf86ed-7eef-428d-9907-d26c6159dc9c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4385f0c5-cc68-4237-a6f4-3bd81a78d4ca)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1d25453d-a518-4040-a73c-6b886e8a21ee)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fbd7d764-aad7-422c-ad8c-2fc268dfc981)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 67781ff1-ae73-4e99-83fa-9eb873ba7087)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4619f690-c6de-4ae8-9840-262a30dde043)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 73895133-ffd5-4aa0-aa1f-58f38e25ff95)) + (net 70 "+3V3") (pintype "passive") (tstamp 07263439-1703-48bb-bc52-b7d5b9d1b367)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp a6fae5e9-dbb2-4486-a09c-4b7a191e124c)) + (net 2 "GND") (pintype "passive") (tstamp aa7713dc-70be-490d-be0a-bfd06b3164a5)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3701,155 +3638,155 @@ (attr smd) (fp_text reference "U2" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 15058226-a3b8-45ac-a786-751022710a55) + (tstamp 1fcc8a81-3956-4e07-b24f-d8b082b8824d) ) (fp_text value "W9825" (at 0.95 0 180) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 4aa6e4aa-2f6e-4c98-8499-e7f9cb07415e) + (tstamp 2fea9735-e36e-4354-a1c3-9343b58468d6) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp cb339866-e039-4fea-98a5-ad1e28fbe7b2) + (tstamp 8e87a34a-7c84-4a7f-84f6-ca1a5b3d9bce) ) (fp_line (start -11.3 -5.3) (end -10.9 -5.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6cd58c81-66c8-461c-9d2c-1940269e909b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f4ff4e05-d67d-41fe-9a90-270c93d25519)) (fp_line (start -11.3 5.3) (end -11.3 -5.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 499034af-2292-4b0d-bb08-feeea9cbfea2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3f10db43-09b8-43bb-a7b9-5a70bbaed29f)) (fp_line (start -10.9 5.3) (end -11.3 5.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7c4160a0-b536-4794-ae1f-cfa9664b1ca8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ceedbb3a-79b4-4582-bb28-e8f306f44824)) (fp_line (start -10.9 6.5) (end -10.9 5.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 140113ae-0f49-4516-a791-ad5abbf5a7a6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e16c475-6345-4da7-bd15-8a6acc832a5b)) (fp_line (start 10.9 -5.3) (end 11.3 -5.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 25f34940-2db4-4d1c-8d8d-9526aaf51d9b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e922fc61-d6d9-4fe0-b296-b8f8f2c7e867)) (fp_line (start 10.9 5.3) (end 11.3 5.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e102334-9ed0-4aa2-8f2d-f7abcd31fff0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 409beda6-d010-4806-9aea-92ae6bd18e59)) (fp_line (start 11.3 5.3) (end 11.3 -5.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b091bc46-300c-439c-92f8-549de61ff69f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 66d576d1-fef8-47c2-9875-15aa63bd7288)) (fp_line (start -11.36 -6.76) (end 11.36 -6.76) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp db4ecc3c-49ed-4be7-b5a4-ce5fa6ebd83f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c64bc0bd-002f-4cd3-9c28-decea65459fc)) (fp_line (start -11.36 6.76) (end -11.36 -6.76) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9e3ad12c-67ce-434c-9427-3830b75044bb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2c315424-a24e-4388-83b2-666bed113754)) (fp_line (start -11.36 6.76) (end 11.36 6.76) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 856c6921-9fe4-47ac-89b4-b858db359fce)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6ab1aea1-fc1c-4e43-bb13-85690c23424e)) (fp_line (start 11.36 -6.76) (end 11.36 6.76) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a8fa5587-286a-4ebb-bd0a-675dc085a4b1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a0c78f37-53cd-4025-bb43-27b44aa25dc2)) (fp_line (start -11.11 -5.08) (end 11.11 -5.08) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9a65c459-a623-4fd0-8f9f-4331e00df2c8)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 93e032aa-4e6f-426e-beeb-2d7bf3ceea6a)) (fp_line (start -11.11 4.08) (end -11.11 -5.08) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ec199d8b-d0fe-4088-b9bc-d0a3354411f4)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 178c346e-05fb-46f0-b51e-54a884f2315c)) (fp_line (start -11.11 4.08) (end -10.11 5.08) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b1be8301-5ad8-4d9a-b8cf-96e428937e6f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3046948a-a109-476d-b2b3-b71cefb926ce)) (fp_line (start 11.11 -5.08) (end 11.11 5.08) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5f6e8191-05a1-44ad-bcfe-f87aa3a793f2)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4a2e138e-7522-4e80-91d9-d0253aa7387f)) (fp_line (start 11.11 5.08) (end -10.11 5.08) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c4b44a9b-2f4e-47a4-84c8-b64f0bee18cf)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 55540d02-0795-4a35-8c92-c08c784c7270)) (pad "1" smd roundrect (at -10.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 652aa0f7-a34d-42ea-8f1a-82fc363aa98c)) + (net 70 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp c4043587-5fc6-4380-be24-a9972378ca8a)) (pad "2" smd roundrect (at -9.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 85 "/SD0") (pinfunction "DQ0") (pintype "bidirectional") (tstamp b7d6750b-e836-47df-953e-2e03247cbce2)) + (net 85 "/SD0") (pinfunction "DQ0") (pintype "bidirectional") (tstamp 463f229e-5980-4e6c-bc8c-26bf63d567dc)) (pad "3" smd roundrect (at -8.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 18f2effa-598c-4ff2-899f-df79f2c74aaa)) + (net 70 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 816d87c4-87d7-4419-8e65-64e40756a31a)) (pad "4" smd roundrect (at -8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 86 "/SD1") (pinfunction "DQ1") (pintype "bidirectional") (tstamp f8738e6f-44c4-4d18-b532-ca103d2f6d70)) + (net 86 "/SD1") (pinfunction "DQ1") (pintype "bidirectional") (tstamp e43d4820-98d0-4b8d-b094-180423a6b1b7)) (pad "5" smd roundrect (at -7.2 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 88 "/SD2") (pinfunction "DQ2") (pintype "bidirectional") (tstamp ecbe6ddf-f466-4f1f-b87e-473f47f8cc1c)) + (net 88 "/SD2") (pinfunction "DQ2") (pintype "bidirectional") (tstamp d48c8b4a-5e53-4964-9a53-794ce38108cf)) (pad "6" smd roundrect (at -6.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 01e60eae-0352-4564-ac16-54bcfb077b5e)) + (net 2 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 2b972b2f-daab-472c-928e-eb29d86b4246)) (pad "7" smd roundrect (at -5.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 87 "/SD3") (pinfunction "DQ3") (pintype "bidirectional") (tstamp 76ba0f20-cd80-4e44-a446-23ee0bea92a6)) + (net 87 "/SD3") (pinfunction "DQ3") (pintype "bidirectional") (tstamp df54ca7e-2db7-4303-99a6-fb2448962773)) (pad "8" smd roundrect (at -4.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 91 "/SD4") (pinfunction "DQ4") (pintype "bidirectional") (tstamp 3015cd30-633b-4c4e-bdc0-e1c145bd9bd4)) + (net 91 "/SD4") (pinfunction "DQ4") (pintype "bidirectional") (tstamp dbd338e7-a348-4545-91c4-370098243608)) (pad "9" smd roundrect (at -4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 8930d32d-9883-4fac-b7d8-78d9135e2d5f)) + (net 70 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp bad67126-7e56-41cc-b114-a3c964f3e117)) (pad "10" smd roundrect (at -3.2 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 90 "/SD5") (pinfunction "DQ5") (pintype "bidirectional") (tstamp 134e45f8-4685-477a-977c-510c3f12160c)) + (net 90 "/SD5") (pinfunction "DQ5") (pintype "bidirectional") (tstamp 9b38f3dd-3e6c-4c43-a44a-6b1d906ceedb)) (pad "11" smd roundrect (at -2.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 135 "/SD6") (pinfunction "DQ6") (pintype "bidirectional") (tstamp 873d160f-6cfc-4645-990c-775c80697704)) + (net 135 "/SD6") (pinfunction "DQ6") (pintype "bidirectional") (tstamp 2f28cbff-03dc-4088-a7cf-f99c19859454)) (pad "12" smd roundrect (at -1.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 898481c8-cc8f-407a-adc3-38b863f0f842)) + (net 2 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 187566b9-6503-4274-9b68-bbc41ea77dff)) (pad "13" smd roundrect (at -0.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 89 "/SD7") (pinfunction "DQ7") (pintype "bidirectional") (tstamp 6de8af99-62e5-4f1d-a51d-3acda19f3d9c)) + (net 89 "/SD7") (pinfunction "DQ7") (pintype "bidirectional") (tstamp 6d3c0495-0bdf-4e74-9f83-781dab545a64)) (pad "14" smd roundrect (at 0 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 86573358-e6e1-4ebe-be45-2ba79a6a5efa)) + (net 70 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp eca3c560-c366-4c59-b1db-2f24478e0243)) (pad "15" smd roundrect (at 0.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 92 "/SDQML") (pinfunction "DQML") (pintype "input") (tstamp a1a5b978-3331-45c9-a5db-a74f39b180ff)) + (net 92 "/SDQML") (pinfunction "DQML") (pintype "input") (tstamp 1ccad9cd-603b-4167-b220-71f1b094bf4c)) (pad "16" smd roundrect (at 1.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 93 "/S~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp 82b53990-c0d8-4685-8d77-6c9eb58c7275)) + (net 93 "/S~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp 8a44fd47-350c-4fdf-9222-ad7d7b8c83da)) (pad "17" smd roundrect (at 2.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 94 "/S~{CAS}") (pinfunction "~{CAS}") (pintype "input") (tstamp 76d17dc7-830c-4c8d-9650-1c8f4a83e6c7)) + (net 94 "/S~{CAS}") (pinfunction "~{CAS}") (pintype "input") (tstamp f193deef-d8af-4fbd-8bbc-0774b3374cda)) (pad "18" smd roundrect (at 3.2 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 95 "/S~{RAS}") (pinfunction "~{RAS}") (pintype "input") (tstamp bbbcba62-e838-4109-a566-1a72198beca7)) + (net 95 "/S~{RAS}") (pinfunction "~{RAS}") (pintype "input") (tstamp fda6999b-8bed-4398-9b4e-19f10a02af76)) (pad "19" smd roundrect (at 4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 96 "/S~{CS}") (pinfunction "~{CS}") (pintype "input") (tstamp f949f55c-5db9-4244-a69b-db089342f069)) + (net 96 "/S~{CS}") (pinfunction "~{CS}") (pintype "input") (tstamp 7d1e9ab7-60a9-48ac-b7e5-b0e81088d85f)) (pad "20" smd roundrect (at 4.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 107 "/SBA0") (pinfunction "BA0") (pintype "input") (tstamp 7a2d38b3-eacd-46a1-840d-361f25bb34ea)) + (net 107 "/SBA0") (pinfunction "BA0") (pintype "input") (tstamp 90ec745a-9e72-4467-a769-fd9cf5f483c0)) (pad "21" smd roundrect (at 5.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 109 "/SBA1") (pinfunction "BA1") (pintype "input") (tstamp 9c0d39ae-6fe9-4844-a02b-464b513c2f20)) + (net 109 "/SBA1") (pinfunction "BA1") (pintype "input") (tstamp c19cc222-74ea-48a9-87cb-1ac367b0e33c)) (pad "22" smd roundrect (at 6.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 111 "/SA10") (pinfunction "A10") (pintype "input") (tstamp e173e8af-53bb-499d-b581-7ff6c90995f5)) + (net 111 "/SA10") (pinfunction "A10") (pintype "input") (tstamp b7b0bbc3-137a-4d7d-be1d-3070eac31ca7)) (pad "23" smd roundrect (at 7.2 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 97 "/SA0") (pinfunction "A0") (pintype "input") (tstamp 86690332-1896-4e75-bfdd-ce80d0caa734)) + (net 97 "/SA0") (pinfunction "A0") (pintype "input") (tstamp 4fcc45f6-9be7-450d-9d2c-fe77ea987904)) (pad "24" smd roundrect (at 8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 114 "/SA1") (pinfunction "A1") (pintype "input") (tstamp dd963d47-7e79-460d-b662-433a53b30c93)) + (net 114 "/SA1") (pinfunction "A1") (pintype "input") (tstamp cd4b61bb-b9f8-464a-9a79-e0bd1b176fce)) (pad "25" smd roundrect (at 8.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 115 "/SA2") (pinfunction "A2") (pintype "input") (tstamp 3bd807f9-e57a-498b-af90-b991e0c18c35)) + (net 115 "/SA2") (pinfunction "A2") (pintype "input") (tstamp 40ad87d1-b40e-44dc-bf4b-17d93767361a)) (pad "26" smd roundrect (at 9.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 98 "/SA3") (pinfunction "A3") (pintype "input") (tstamp 60d97d33-b10d-4f18-8dcf-d0e5b715a38c)) + (net 98 "/SA3") (pinfunction "A3") (pintype "input") (tstamp 7a66de8e-e8ad-414f-b0c9-45e13ac89e4d)) (pad "27" smd roundrect (at 10.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp dfe9a983-ff63-4492-86de-2f73b47232cc)) + (net 70 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 44df0689-d27c-4ae1-a61e-ddd249a09593)) (pad "28" smd roundrect (at 10.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 668bfc47-b167-415a-a168-efa110dd87ae)) + (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 0fc6a433-82dd-414e-939d-9174c7c93ff9)) (pad "29" smd roundrect (at 9.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 99 "/SA4") (pinfunction "A4") (pintype "input") (tstamp d8826ba2-e92a-40e2-803a-aae513ff4578)) + (net 99 "/SA4") (pinfunction "A4") (pintype "input") (tstamp 6d226156-679f-4f46-9369-a4fd0d803b4f)) (pad "30" smd roundrect (at 8.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 116 "/SA5") (pinfunction "A5") (pintype "input") (tstamp bf2c99f1-0d5c-4cbd-8651-a2b07f7ec4b2)) + (net 116 "/SA5") (pinfunction "A5") (pintype "input") (tstamp 74f6fead-dbbc-405f-beb2-eb26a61a05b5)) (pad "31" smd roundrect (at 8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 100 "/SA6") (pinfunction "A6") (pintype "input") (tstamp 329c8fd6-acb0-4256-936d-acf0abc37eb4)) + (net 100 "/SA6") (pinfunction "A6") (pintype "input") (tstamp 5f7f7af1-af8e-478c-b6db-65eeeef9095e)) (pad "32" smd roundrect (at 7.2 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 113 "/SA7") (pinfunction "A7") (pintype "input") (tstamp fd71331e-67a0-4ad8-9932-b63dbe16b471)) + (net 113 "/SA7") (pinfunction "A7") (pintype "input") (tstamp b949f176-0196-48ca-a539-f5cd25e238ee)) (pad "33" smd roundrect (at 6.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 112 "/SA8") (pinfunction "A8") (pintype "input") (tstamp eb196495-4fbe-4ea0-803a-203d06d363d0)) + (net 112 "/SA8") (pinfunction "A8") (pintype "input") (tstamp 716d5668-f132-4296-820e-8c84c3d58dae)) (pad "34" smd roundrect (at 5.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 110 "/SA9") (pinfunction "A9") (pintype "input") (tstamp c047d52b-aef7-4838-a4e0-652680e91e31)) + (net 110 "/SA9") (pinfunction "A9") (pintype "input") (tstamp a64d8d61-b01d-4754-80f6-c761a44d9429)) (pad "35" smd roundrect (at 4.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 108 "/SA11") (pinfunction "A11") (pintype "input") (tstamp 7ed7579c-015c-4075-9cce-95cde8800efd)) + (net 108 "/SA11") (pinfunction "A11") (pintype "input") (tstamp 5e282f4a-f241-40b3-93fb-40516b778b5f)) (pad "36" smd roundrect (at 4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 106 "/SA12") (pinfunction "A12") (pintype "input") (tstamp 4bb1e67f-a7ef-42ca-9b37-e47e9c268cc3)) + (net 106 "/SA12") (pinfunction "A12") (pintype "input") (tstamp cc0fa691-54e9-451b-85f2-5efca66a6037)) (pad "37" smd roundrect (at 3.2 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 101 "/SCKE") (pinfunction "CKE") (pintype "input") (tstamp a524f131-417e-497c-984f-6069d91d43d7)) + (net 101 "/SCKE") (pinfunction "CKE") (pintype "input") (tstamp e8ff5752-e03e-4ee5-83cd-5a6f356ab11d)) (pad "38" smd roundrect (at 2.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 84 "/RCLK") (pinfunction "CLK") (pintype "input") (tstamp bce0b523-d2db-401e-b502-ca6ec2a0c745)) + (net 84 "/RCLK") (pinfunction "CLK") (pintype "input") (tstamp a030db79-d727-4e11-af1f-f929aa84eb3b)) (pad "39" smd roundrect (at 1.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 102 "/SDQMH") (pinfunction "DQMH") (pintype "input") (tstamp a95ce29d-d7ae-46f9-9df0-499cd8e429ea)) - (pad "40" smd roundrect (at 0.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp d18a8e4e-a960-48d5-a759-eec622a31052)) + (net 102 "/SDQMH") (pinfunction "DQMH") (pintype "input") (tstamp b2cc2656-6629-4fbc-8c3b-bf5cc939f6e0)) + (pad "40" smd roundrect (at 0.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 71e720b6-07ca-4318-938d-6322bacc21fb)) (pad "41" smd roundrect (at 0 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp cd323879-f564-47b3-bce9-b3280140190e)) + (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 212e2bee-da91-4f3d-bbb9-7387fa91971e)) (pad "42" smd roundrect (at -0.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 89 "/SD7") (pinfunction "DQ8") (pintype "bidirectional") (tstamp 9230cfeb-8c9d-487a-b1a4-695c049e8e03)) + (net 89 "/SD7") (pinfunction "DQ8") (pintype "bidirectional") (tstamp 78c8d792-6b23-408a-919a-2c0320c82110)) (pad "43" smd roundrect (at -1.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 505b02fe-3f97-40e5-99fc-66d4ca6933a9)) + (net 70 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp fac4a29f-a7c8-43b3-97ac-cc0c0f6bd79f)) (pad "44" smd roundrect (at -2.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 135 "/SD6") (pinfunction "DQ9") (pintype "bidirectional") (tstamp 19e64116-7e27-4249-a060-453e5cd2e53b)) + (net 135 "/SD6") (pinfunction "DQ9") (pintype "bidirectional") (tstamp 6972763c-fa0f-487c-8dd0-46f63004da75)) (pad "45" smd roundrect (at -3.2 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 90 "/SD5") (pinfunction "DQ10") (pintype "bidirectional") (tstamp 71aa6672-be52-473e-ad0b-d7e51458865c)) + (net 90 "/SD5") (pinfunction "DQ10") (pintype "bidirectional") (tstamp 34186e96-7869-4c38-a128-99776e10764d)) (pad "46" smd roundrect (at -4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp a7a3de98-65c0-4df5-8317-a857c9636ef2)) + (net 2 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 5bdd5e96-8d33-49ab-b16c-30eee9245ddc)) (pad "47" smd roundrect (at -4.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 91 "/SD4") (pinfunction "DQ11") (pintype "bidirectional") (tstamp bfce08d4-e36b-48f0-8eb8-602ca4f3a1f8)) + (net 91 "/SD4") (pinfunction "DQ11") (pintype "bidirectional") (tstamp a36f4ace-ea0b-4ba9-8281-43dccf2fc712)) (pad "48" smd roundrect (at -5.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 87 "/SD3") (pinfunction "DQ12") (pintype "bidirectional") (tstamp a01861a9-bf5f-4566-82d3-6427aeb7b715)) + (net 87 "/SD3") (pinfunction "DQ12") (pintype "bidirectional") (tstamp 6eb4433e-7cd3-4695-b92b-0e2032300d8a)) (pad "49" smd roundrect (at -6.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 49493cc1-2a6f-4c57-878f-5758ac2105ff)) + (net 70 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 45fe8c8a-3e97-4c7d-aceb-4568e05c18d6)) (pad "50" smd roundrect (at -7.2 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 88 "/SD2") (pinfunction "DQ13") (pintype "bidirectional") (tstamp a249fd81-83f6-40fe-9e03-bca954584709)) + (net 88 "/SD2") (pinfunction "DQ13") (pintype "bidirectional") (tstamp 71c9b410-13ee-4998-8267-1c491918ea18)) (pad "51" smd roundrect (at -8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 86 "/SD1") (pinfunction "DQ14") (pintype "bidirectional") (tstamp f4295fbc-bd22-4989-a1aa-dcb506a577c3)) + (net 86 "/SD1") (pinfunction "DQ14") (pintype "bidirectional") (tstamp 45ca4051-dee6-47ae-ba2c-d11d4099f0eb)) (pad "52" smd roundrect (at -8.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp ec6c344d-4668-4902-9439-93025697e67b)) + (net 2 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp bb6c3fb7-97f7-4375-aa9c-8b0b60f4f4f9)) (pad "53" smd roundrect (at -9.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 85 "/SD0") (pinfunction "DQ15") (pintype "bidirectional") (tstamp 2d306160-072e-4550-a6fb-09f44208cfda)) + (net 85 "/SD0") (pinfunction "DQ15") (pintype "bidirectional") (tstamp b36ad517-3cc2-46c9-b884-5cfb6fce13ed)) (pad "54" smd roundrect (at -10.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp b2ca0bf9-07b4-429a-8175-97f28efb2c28)) + (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 45724e8a-677e-4a6d-9712-dfd021c4172d)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSOP-II-54_22.2x10.16mm_P0.8mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3872,40 +3809,40 @@ (attr smd) (fp_text reference "R18" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 3b27dc2d-c16e-4645-9b22-eacfe663a5cb) + (tstamp c0be2c33-11e2-4712-aeda-9c644e08ec22) ) (fp_text value "10k" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp dc26f71b-7071-43c7-b83a-9b05febbabdc) + (tstamp 10f06759-d5ac-4db0-bd9c-ecd1488d410a) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 9c840261-6167-45fc-a20f-b1b44f39a989) + (tstamp 4f0f8253-ab50-495e-8731-d8a880f9eb49) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bcb7a0d5-bade-4af2-8b41-20729343d013)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8e5fa7b9-c2b2-4290-bd5b-46f90b050b24)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 818adeac-7411-4646-8100-e2a1c94b2177)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c541d7bd-2b34-4307-983a-73034bbd43b1)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1c641638-b252-4b25-9eb6-8b6fc79ee733)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5bf42043-d3c4-452b-83d4-16c338cb9546)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4a961173-5b68-4231-ad0c-f50e33e4bff2)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3879c278-559a-4f3a-9b71-94925dc811b6)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d542191a-89fb-4a8b-9ff0-cb096a847028)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5602735b-2b8e-481b-9780-1f041a752b44)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 43101910-cefc-4a2b-935b-ddf080ea5963)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b6599358-3235-48a3-adcf-c8a59e68f5ea)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9202f919-e949-4786-b98d-9a6edd361ee9)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ee68c419-9067-473e-82b1-9bc5f8a5c016)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e34fcfcb-4e87-4815-ab2b-89868ef90fba)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c20c0d7a-a518-429e-8c12-598e30dff89f)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 50c9fefb-4564-4802-8d2b-298e8414093f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 956eb429-5e13-4a90-a5f5-92a769106c4c)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fe44c5d5-65f2-4fdc-87aa-62d8b3d18372)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4d713c8f-a584-48c9-a03e-5b7630e59625)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 7b8bcca5-04af-4368-8527-6b35ca228b9a)) + (net 70 "+3V3") (pintype "passive") (tstamp 5def9dd6-6513-40ef-9cd2-5676cc7477e6)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 148 "/~{INIT}") (pintype "passive") (tstamp 3297b5e9-484b-4f2a-ac21-34cf4ea5f9d3)) + (net 146 "/~{INIT}") (pintype "passive") (tstamp 0567a019-9562-4f71-a50d-4913bb03fe47)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3917,7 +3854,7 @@ (tstamp 9185038d-0fca-4955-be12-109ed79bc619) (at 114.35 121.35 180) (tags "SOT-353 SC-70-5") - (property "LCSC Part" "C12519") + (property "LCSC Part" "C12495") (property "Sheetfile" "GR8RAM.kicad_sch") (property "Sheetname" "") (path "/00000000-0000-0000-0000-0000602499a6") @@ -3926,44 +3863,44 @@ (attr smd) (fp_text reference "U14" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e7b783ae-d808-49e3-a8ad-6e55080924f6) + (tstamp 61672c2b-ac22-4e62-984e-2657bbe3643f) ) - (fp_text value "74LVC1G125GW" (at 0 -0.35) (layer "F.Fab") + (fp_text value "74AHCT1G125GW" (at 0 -0.35) (layer "F.Fab") (effects (font (size 0.1905 0.1905) (thickness 0.047625))) - (tstamp 8f927b19-3b55-4f29-82db-eff86f1fd369) + (tstamp f6f96317-b1a5-44eb-aea6-956f058482d4) ) (fp_line (start -1.16 -0.73) (end -1.16 1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5d336753-0dad-407c-a3d0-5b88261456d0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 838e4f47-549b-41f6-bc6f-619f6cfd6270)) (fp_line (start 1.16 0.68) (end 1.16 -0.73) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 92248281-b236-4de5-923e-239026ebc888)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4d54886a-2fd5-42d9-9c14-d72f70e02027)) (fp_line (start -1.3 -1.6) (end 1.3 -1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e89063ff-4c25-4a4d-a9dd-710140629a01)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b57f1be7-60de-4602-af9c-635e1662f48b)) (fp_line (start -1.3 1.6) (end -1.3 -1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dcaa2036-62b8-4350-a5f9-1a3d44b210b5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bdf54b2e-054e-47d1-ba42-cb3476005e92)) (fp_line (start 1.3 -1.6) (end 1.3 1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9109170b-446b-4f72-9a70-1ba7cccc6eb5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b3df9469-748a-4868-bf8a-021db281cbf2)) (fp_line (start 1.3 1.6) (end -1.3 1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ec6ac291-4fe3-4849-85f4-e4027d576ab1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a4d9d354-6d88-4e50-82fa-4223912116e4)) (fp_line (start -1.1 -0.67) (end -1.1 0.18) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dfdcb1f8-27f3-45da-b99a-0c0cab7f24a3)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a883a6dd-ba72-44aa-8c3f-1c5ef7708953)) (fp_line (start -1.1 -0.67) (end 1.1 -0.67) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 16c6024c-a88d-4081-84a8-59dac4e88a2d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 18026f22-ead8-40ee-9d9b-22c2d014ff47)) (fp_line (start -1.1 0.18) (end -0.6 0.68) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc8ad404-2956-4dc0-8859-4fb207d5f977)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dc91ba9e-c6d2-4cbc-9c82-cd1019c8e3cc)) (fp_line (start -0.6 0.68) (end 1.1 0.68) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 344fb0fa-c94b-4bd5-8fad-454101dc5bbe)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e8f60d00-13b2-402f-adbc-c3185c52d4e6)) (fp_line (start 1.1 -0.67) (end 1.1 0.68) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a7f6da81-6cd8-49e0-a183-a4c1277bbbbb)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 085409bf-427b-4544-b949-c79428c10722)) (pad "1" smd roundrect (at -0.65 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 121 "/RES~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp c665e6f6-ddfc-45f3-a96a-70d7e434c075)) + (net 121 "/RES~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 224047f1-6328-4fbe-85c0-af19e1d5ac3b)) (pad "2" smd roundrect (at 0 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "A") (pintype "input") (tstamp 7519597b-e87a-4721-802d-6ec3dc3d5959)) + (net 2 "GND") (pinfunction "A") (pintype "input") (tstamp 00cda05e-57e1-4fb7-9abe-d8fe958c317d)) (pad "3" smd roundrect (at 0.65 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 89ae8613-7faa-423b-9b46-b64c14d6673a)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp c113c8c7-ea02-4dde-a128-2f584523ac28)) (pad "4" smd roundrect (at 0.65 -0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 143 "Net-(U14-Y)") (pinfunction "Y") (pintype "output") (tstamp 8fc54c85-2dab-4f83-989e-a8168142624c)) + (net 35 "/~{RES}") (pinfunction "Y") (pintype "output") (tstamp f857265e-9f10-4668-aec7-af260776608d)) (pad "5" smd roundrect (at -0.65 -0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 7e7c546a-7a4c-43dc-b0ca-350914660b96)) + (net 1 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp f29b00fe-62f8-49da-8bad-d59804d80054)) (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3984,42 +3921,42 @@ (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference "C24" (at 0 0 90) (layer "F.Fab") + (fp_text reference "C24" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e9d70667-0079-4773-b793-ff169029bace) + (tstamp 625b8311-fc03-4afa-a48d-9f42ccd77101) ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 580eda44-c176-499b-ae63-0ae778811188) + (tstamp 0b97cf75-267f-4fbc-bac7-14d2164d30f7) ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 1055104d-96dd-409c-bca3-5d100f09b76f) + (tstamp 256a1a3b-46f4-4e61-bbab-ac9ad934edbc) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 683c9e58-4538-4861-a4cc-48b24ff326cd)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 84a826eb-c28e-4062-b5f7-f4843d7f6f27)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c65d803b-16f3-4d1a-96ee-2765b3c8c698)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b782eae1-85ec-4990-9601-f47e82d79c7d)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 635b91da-59d3-4fae-8b3e-717d8370f977)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82d15be9-afbe-4ea2-a161-197061749f20)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3fa60bdd-bc1f-45e4-bcbe-4ca121f12f24)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9837fc76-b11f-43cd-9b2f-bd6aeb3b7b61)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bec7c715-92a3-4b47-9c8c-6270a886b993)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8cfd0ec2-71d9-4bf2-b0ad-3becbf904e84)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d6084285-411f-4671-97e8-6a1f6b125e64)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d4d827f6-60c1-4437-887b-1ac18bd2ea3c)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0fc46337-826c-4f60-a156-af6d2212f852)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4663e3fb-9575-49c7-8cba-0cd380706beb)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6ceb35ee-ce21-499b-8b6e-313d735b794f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4acd4817-5938-43be-86f4-f48117b5d70e)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a5874317-4d64-4159-9c03-db1906bb5063)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 705642d8-dd34-468c-b36f-36517785d362)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ca3a1a91-f09b-41ce-81e4-ceb78eb956bc)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5a64f111-2a32-4d63-8452-bd0970cfdf32)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 8d282159-f964-43f5-9a38-c3e0b4ff6571)) + (net 70 "+3V3") (pintype "passive") (tstamp 55b87e0d-b495-4222-b2e5-922dd350f3b8)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 5632df4b-399f-4116-98ce-ea835530fa81)) + (net 2 "GND") (pintype "passive") (tstamp c2cc0c41-1be0-4aa3-9344-e136eea06a6f)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4041,42 +3978,42 @@ (attr smd) (fp_text reference "U13" (at 0 0) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp f91d4a3e-7e9d-40b3-b170-e89543e39242) + (tstamp 5bfb9a99-cf03-441f-8126-bb58b967fb80) ) (fp_text value "25M" (at 0 0.75) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 4a87ae59-8d62-4144-a2e1-43a153af0dae) + (tstamp 3b3b5cf0-87f2-44cd-821a-582467003161) ) (fp_line (start -2.15 1.1) (end -2.15 1.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 003593e6-aed0-46f4-93b6-3358d899f1a1)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 8bcca129-0b60-470f-b3ae-6bd77ac353cf)) (fp_line (start -2.15 1.8) (end -1.45 1.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 996ff6a9-8874-4788-9ae2-18caa589148e)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 2f40db59-ec6d-4c68-a926-f32ba2f218a8)) (fp_line (start -2.1 -1.7) (end -2.1 1.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp de7b3654-1133-4535-81b3-b4cca4d9ea65)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8cbc5229-4b79-4b52-ba1b-6fa46f6f907a)) (fp_line (start -2.1 1.7) (end 2.1 1.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ef226d5-74ee-443b-99f4-a16d324a8dfd)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e9aba490-dfa7-44a5-9503-56e5324fd574)) (fp_line (start 2.1 -1.7) (end -2.1 -1.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4164ab8b-6763-40a2-b5ce-59a0ca7127e3)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a83c69b2-6e98-4492-bce3-7f803b39ef18)) (fp_line (start 2.1 1.7) (end 2.1 -1.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 454cc1fb-971b-4b79-b589-9649dda2d269)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aa98dab2-d374-4b0a-bd8b-5cf98b7ce32e)) (fp_line (start -1.6 -1.25) (end -1.6 1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9e9b40cb-100a-4749-8df2-a92d40f405ab)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 20b56255-c7bb-4d79-914d-d29db99deeb3)) (fp_line (start -1.6 0.25) (end -0.6 1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 02dfaa08-25ce-4586-b6a6-b4d45c3e4063)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0e3cff1f-72eb-4540-8678-ecbd6f683c9f)) (fp_line (start -1.6 1.25) (end 1.6 1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cb454f67-6ec8-422e-805c-f3ac31610a79)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bd9b7b59-4ed3-4b62-b3f5-818ed7b910b2)) (fp_line (start 1.6 -1.25) (end -1.6 -1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9e4ef174-d9c2-4cd1-a9da-2f920b4c25d0)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ab3a22f-18ce-4176-a84a-2cc757034be6)) (fp_line (start 1.6 1.25) (end 1.6 -1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0378f27f-344d-4d22-b8a8-258962e76002)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 155885da-8501-4626-a649-73303bb9ad5b)) (pad "1" smd roundrect (at -1.1 0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "EN") (pintype "input") (tstamp 25fdf426-fcf8-44f0-b147-5398ad0e6d8d)) + (net 70 "+3V3") (pinfunction "EN") (pintype "input") (tstamp 53ce4c2e-4d28-4344-a944-fdc008b2dcb0)) (pad "2" smd roundrect (at 1.1 0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3aa18cb0-0e10-45a2-a95f-fdfc02de3c20)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 6b97ea06-230c-494d-a222-74aa2334bfe2)) (pad "3" smd roundrect (at 1.1 -0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 141 "Net-(U13-Output)") (pinfunction "Output") (pintype "output") (tstamp c9f940ee-6cb6-45db-b253-cf6d9d371421)) + (net 141 "Net-(U13-Output)") (pinfunction "Output") (pintype "output") (tstamp 3c033556-fbf8-468f-9543-2201f9a14a22)) (pad "4" smd roundrect (at -1.1 -0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "Vdd") (pintype "power_in") (tstamp 41dd4b63-50b0-4178-baac-8f1ae44f6b91)) + (net 70 "+3V3") (pinfunction "Vdd") (pintype "power_in") (tstamp 130e878f-0302-4e78-92eb-3445612916ce)) (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4099,40 +4036,40 @@ (attr smd) (fp_text reference "C44" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp c867c9a9-722e-465f-94be-fdb67f57c46a) + (tstamp 0bc07ec9-49c6-4125-ada0-638619e9df66) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 1d5820d5-14ac-45bb-a685-e9e0d8bd0ec6) + (tstamp 78b5047a-02b6-4f2c-9a50-15ffb81d1c98) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 3e44c06c-7386-4cb0-a2c2-70c6d37bf48b) + (tstamp 054a2dee-23de-4b7b-b194-e1347851ee62) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ed607f09-2e9f-4c8a-ba95-a605bb0e3e0f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba9c1bab-4395-48cd-9cc7-b22f2484d069)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eb1dd6aa-0316-4c37-a6b4-fd27d292d614)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3318ad7b-c6ea-460b-adae-2850f8a03b78)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 741150e1-cb8e-4b6d-b925-907a3cc7969b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e74e0ab9-b52d-47a3-85db-bcc9d85aee78)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 902e7148-83d1-41e4-b6ea-816de122a3ea)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 44c6fc8c-9075-458e-a422-4885f9863b82)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cc567751-39dd-4d79-b398-b1c112e20d43)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5962dae4-84af-4c15-b6a7-aeea23c9a1a3)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58f49ad4-75bc-4d38-8d0b-d9f65b38ddaa)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c3b438ee-25f2-4888-b91d-ebc79f429289)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7e822cd0-672e-4156-829a-022eae6aa67a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 366ec907-e033-4163-87d5-df8180092163)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7237bd20-c92b-4a0e-bb4e-bbe40f943b61)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e62187ae-f1bd-41e0-8d9c-3ac9f4fbd45e)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 36837607-2b6b-4f83-a6b6-0a7b307efdba)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e30bfa2c-891f-4049-a3ab-cccdf84a7b79)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 916492f0-0724-4ca9-82f8-8b183935604f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 131104fd-5d85-47df-b17c-163d8b8890ad)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 81b2a0da-5789-4e86-b281-9d943e3a006b)) + (net 70 "+3V3") (pintype "passive") (tstamp 9b54797b-a590-45b8-bf33-46cc0bc553ba)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp af0cae45-ca7d-435d-adae-c9f65e1c2e3b)) + (net 2 "GND") (pintype "passive") (tstamp 5aa3b8f9-c034-4b26-9315-7e4cc1757842)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4153,42 +4090,42 @@ (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference "C43" (at 0 0 90) (layer "F.Fab") + (fp_text reference "C43" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 262e1934-2eb0-4388-8d02-be62d2df9f99) + (tstamp 691b0217-5e5a-4ccf-8f77-1ff2863f51be) ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp be3896ba-f08d-4755-87fe-93fde234a19e) + (tstamp 2606d386-0eb1-49a5-bcc5-65e05a96524b) ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 239ee31f-79cb-4afd-8df3-d4052de5a1ea) + (tstamp 77ed5264-374d-4100-ad89-e38b6cfd31d1) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 070558cd-ed14-4d96-8841-c88370d6d235)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 26bd5849-4752-4675-89d6-58f544581d74)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 81243ec3-7ad3-410a-a86f-009705a5d9f1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0f199de3-dfbc-4498-b11e-3723b2c07ac9)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d6084e9e-33a2-4f13-99af-ee44127c4cdc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4167b461-d1db-487b-a9ad-37234ac04049)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6d589d95-9eaa-47fc-8fc1-97b866b7d527)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3655c0c3-e900-48e8-be16-9fae03c46587)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 68a4d64c-08ec-442d-b61b-ff1e28eee2b4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0c938db0-eaee-418c-8ed9-5e2558f38d71)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e3a0e2e0-e49b-4bd4-a168-54a54e31da1d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f7279dd2-5157-4194-9e95-63b20aea4a18)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 135bf72e-b7e7-4ff5-b521-f923b26dacdd)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 336328f1-c8c3-4049-9631-6ddfc055f9a5)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5c553624-60b2-4928-9f03-b1a065a0e6eb)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4ec4e193-1473-4df1-be8e-ea8bd0603569)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c5c76e40-7bc1-489b-b52b-607571d63336)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 59688e6b-55c4-4150-8790-dfe10bc205fa)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 11dd1ce4-acf5-4d0d-b261-81ef39c3e9a2)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 71a357a8-df7b-4985-8418-7c53b3074b87)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp dfe3bbcc-a1de-464e-9055-8264b7126474)) + (net 70 "+3V3") (pintype "passive") (tstamp e1ddef59-bc9d-459d-820a-73f432c71b33)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 688b1962-e715-4853-9717-458f9748be3c)) + (net 2 "GND") (pintype "passive") (tstamp c8ecb98f-d634-4d9a-9d14-9658e77df580)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4211,40 +4148,40 @@ (attr smd) (fp_text reference "C5" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 239915fe-0a24-4e7e-a48d-35c77f6636a5) + (tstamp b6ea6995-6ec3-4314-9ca6-ec02e2f2f267) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp e785274f-7559-4054-994b-d38d1f7ae212) + (tstamp fbe5332b-9e96-495d-a18c-b684f3eb66c2) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp ef001db4-3d86-4bad-bf46-87eecc3bd28b) + (tstamp 9c4e06cc-559c-4ef0-a9fd-0a479efcbdb9) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fe9110e3-ea4c-4c68-9f06-bc2f2ca5eeca)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c409188d-9901-4d76-a07a-5daa1eba54f9)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b1b0bd33-1af2-4a8c-ae24-10b5a3d836cb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 413208c8-06f8-41b9-92ed-64418518aa07)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 75509961-fda5-48ff-9508-e5c59ee66441)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7afdf34c-526f-4c47-96b7-21ffb16dd68a)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5cd689e8-8c95-4de3-be8d-09b6e62b9491)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e16c5904-8e42-480e-bee4-9b0ccc588fe9)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 47033c19-8138-4c29-99a3-efe3a48050f1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d76abf97-a2e9-4912-bc1b-549577519dd9)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0efcbed9-cc8c-48f1-a591-3b6f7eaa163d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 54fb596a-324c-4870-a619-3f21298df765)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8d21b916-003a-4532-82d3-634e3d0a5c79)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9908a0f4-b4de-46b8-865c-e9ffe4daffc2)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7b9e3150-5a4b-4060-8ff0-00f0a86a042a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 751eb807-350d-4a9e-b12f-51dc016dcbd4)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc7469fd-a147-40f4-835b-0c727b3a120e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 61e66719-06b6-47af-8f6d-3bf4eb462141)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 503f6c0b-88b6-4c1f-ba49-65c086b544e0)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 23016a3a-16be-48f1-b051-7fb662fc2377)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp f3d092e3-23c7-4be9-b0e8-6161605be370)) + (net 70 "+3V3") (pintype "passive") (tstamp bd273f57-7bbf-4e57-80cf-8e8c9cce0082)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp b1c09a40-5910-49af-b489-4494f91edd90)) + (net 2 "GND") (pintype "passive") (tstamp b61c7e93-b1d5-4d28-85f8-903f2423c917)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4267,40 +4204,40 @@ (attr smd) (fp_text reference "C1" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp cad0791a-7fe1-4f6d-9cad-54688a3b9604) + (tstamp 60f1049f-8442-4cbf-a50f-ca435e6ec60f) ) (fp_text value "10u" (at 0 0.35 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp cfc9ffc6-fd37-4f96-ae62-b762d8147df9) + (tstamp 5003b8fb-d8cd-48b2-a2af-f64a211264b6) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e3ecd7f8-d52c-421e-aea3-0fd3c18ce214) + (tstamp 63255087-ea18-4f5c-8f7f-f2b3f2b07d37) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp cbfa043d-52b7-4915-9a49-3e5205fb3ac8)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp fcb4229f-0e05-4889-84cb-a8307e37a2bc)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 835bb042-97aa-4831-b86a-470162770cfe)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 111af2a8-574c-4290-9e7b-5563b1c58cfe)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b3886326-4842-4a2f-ac4b-aa0d54e100a6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 576003cc-54b5-4b18-b332-3bba150c83b9)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6791c4cb-de9a-4e3a-a120-157cb8fb6841)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 609ad77e-1f13-4caa-b120-2339218d88f7)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 52897b20-1f82-47c9-8aa9-ba9be0281f02)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fe22976e-001d-4f9e-9682-c7592de23260)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1e4f6953-195d-4120-94e7-5c8bcde0aaf8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 006ddc59-ff43-44b6-ac8a-bf6dd62c2e10)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bc7bf5b0-dbda-4b44-941b-53e7bd8f78a6)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d6a69d6b-4165-4bc4-b0f7-d9125f3af08a)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1bdf12e4-5856-4112-8333-b909163fac81)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4dbfbde5-70b2-48bd-b375-5c1d8757499a)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 994e7323-b587-414b-ae77-c27990ca369d)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 64d09e4b-96c2-41b3-b75b-840a87dc91d8)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 53d2eda6-b70c-467d-a2b5-7dfb5e2402ba)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 60867593-bbc5-4673-bcff-3bbc8955a459)) (pad "1" smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "+5V") (pintype "passive") (tstamp 8ae3b857-74c5-4862-84ea-770427bc1dc4)) + (net 1 "+5V") (pintype "passive") (tstamp 52b4a41f-ff73-4b92-926e-17cda5e0ebe5)) (pad "2" smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 9f12e9ff-a3cc-4f73-a41a-25cdf47f112d)) + (net 2 "GND") (pintype "passive") (tstamp af621d99-1ae4-4470-afd8-506724cbac61)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4321,16 +4258,16 @@ (attr exclude_from_pos_files) (fp_text reference "H4" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.4 0.4) (thickness 0.1))) - (tstamp 374ce811-0e35-4661-9a48-3ad92ceac2c2) + (tstamp c8e536a9-dede-4a70-afb0-4a71a966e41d) ) (fp_text value " " (at 0 2 90) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 85bff722-c74b-4dcf-ae3e-f5f68772e151) + (tstamp c8b20b71-ca47-45d7-ae6c-cf1b699dfa45) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 46061da0-0c38-47dd-b284-d8284a461bef)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 01bd6f3c-b1df-4db8-b90c-5614601e1221)) (pad "" np_thru_hole circle (at 0 0 90) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") - (solder_mask_margin 0.148) (tstamp 6162a26b-8f91-44a3-964e-1d5ccbf4cebe)) + (solder_mask_margin 0.148) (tstamp c4dcf698-c7f4-4534-82c5-b9f161896cf0)) ) (footprint "stdpads:SOIC-8_5.3mm" (layer "F.Cu") @@ -4347,64 +4284,64 @@ (attr smd) (fp_text reference "U3" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp b3ead1c5-e007-4e0f-a09f-99f880b6b9c3) + (tstamp 15c0aa6d-6701-4689-ac6a-0005f5273c7a) ) (fp_text value "W25Q128JVSIQ" (at 1.27 0 90) (layer "F.Fab") (effects (font (size 0.381 0.381) (thickness 0.09525))) - (tstamp f9c32814-74b3-478b-b608-be20fbd8701b) + (tstamp a7ffff5b-0c3a-474f-83c0-27272145b49f) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 4b9e5c64-9ae2-42cc-88a3-7148c5f7fa23) + (tstamp ab3adb56-af9a-4052-971f-d10f9ae27cd1) ) (fp_line (start -2.755 -2.75) (end -2.455 -2.75) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5198c564-12ec-41a6-bee7-609417e8bc3e)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b0280789-680d-4e5e-b415-59a4acbaca21)) (fp_line (start -2.755 2.75) (end -2.755 -2.75) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2e44dc9a-49e5-41ab-a088-59e68ae90ff7)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a6d5b9a2-a027-4cad-8a74-e431053b5ff8)) (fp_line (start -2.755 2.75) (end -2.55 2.75) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 7c0c4888-0050-44bf-a1de-258e50b897fd)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f77d82e6-cd86-41ce-bcfd-e168bf6e53b7)) (fp_line (start -2.55 2.75) (end -2.55 4.5) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 88755605-6b33-4c79-8782-c2a388f6a54b)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ba2db48f-3c10-462a-8abe-5be4c07e4677)) (fp_line (start 2.755 -2.75) (end 2.455 -2.75) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp cf168aa5-5f01-4526-befd-8b90fc7ef600)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 80899722-652e-48fa-a039-93ae282e2a40)) (fp_line (start 2.755 2.75) (end 2.455 2.75) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c0f17fac-fcaa-4171-a252-133821f26093)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 8acedf2f-a5f4-48cb-a627-3a8a153f12b5)) (fp_line (start 2.755 2.75) (end 2.755 -2.75) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6f1f39b1-85cb-4629-acf8-29918fc07845)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 96048a13-08a4-44c0-abc1-aa949520f85b)) (fp_line (start -2.95 -4.75) (end 2.95 -4.75) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 587aa892-526b-4cd2-8672-257026790a20)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp db669403-232d-4088-bc3d-d04b11f3f17e)) (fp_line (start -2.95 4.75) (end -2.95 -4.75) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 37ad663c-14b6-4edb-8f28-21b5da0aa937)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 03871f58-9143-4523-8375-0248ffe10faf)) (fp_line (start -2.95 4.75) (end 2.95 4.75) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ebb78524-0e10-41a7-9133-261dfe76c696)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6450285b-41d9-47e5-8373-eec6ae4f0bfd)) (fp_line (start 2.95 4.75) (end 2.95 -4.75) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1b5f2e6c-f186-4a1f-8d22-35bde5d3941c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5738cdf7-5d3d-49a7-b5a3-629b360f7823)) (fp_line (start -2.65 -2.65) (end 2.65 -2.65) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ed18ce3a-7c29-49df-8b7f-4ad9ede81ae2)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5a8b6302-5538-4d0a-83e8-0fc3acc9c46b)) (fp_line (start -2.65 1.65) (end -2.65 -2.65) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4b751e68-5e11-4971-880d-9754de05c75d)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c4013256-9daa-4a8d-90d0-e598b7126a20)) (fp_line (start -1.65 2.65) (end -2.65 1.65) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3503bb18-4b83-4edc-9016-1ed4a1934e35)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp cad9e4fa-6bcb-46e5-a5fd-e3b727760400)) (fp_line (start 2.65 -2.65) (end 2.65 2.65) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 35fd434c-03e4-4092-ba73-fb7f057f4bb5)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b7ee0b65-113a-41e9-a1c5-104ab90b90e9)) (fp_line (start 2.65 2.65) (end -1.65 2.65) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 283bfa53-0e86-422b-9d56-0e8259eb176c)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 38695ee0-c531-43e4-97ee-8ccc1e286921)) (pad "1" smd roundrect (at -1.905 3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 119 "/F~{CS}") (pinfunction "~{CS}") (pintype "input") (tstamp 6eb459e9-c5c8-4928-9a96-f6b3b4a13fc3)) + (net 119 "/F~{CS}") (pinfunction "~{CS}") (pintype "input") (tstamp ade44295-ada3-4607-b4bb-f83b93b2bbbc)) (pad "2" smd roundrect (at -0.635 3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 117 "/MISO") (pinfunction "DO/IO1") (pintype "bidirectional") (tstamp 753624ab-68ba-4c36-8790-5dbffeb6698c)) + (net 117 "/MISO") (pinfunction "DO/IO1") (pintype "bidirectional") (tstamp 875e4d36-5b1b-4e6f-8d9b-d7e87ba5fad6)) (pad "3" smd roundrect (at 0.635 3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 122 "/FD2") (pinfunction "~{WP}/IO2") (pintype "bidirectional") (tstamp fc3ff1ba-7b84-41e0-93d9-626dacea4f99)) + (net 122 "/FD2") (pinfunction "~{WP}/IO2") (pintype "bidirectional") (tstamp 66e53a7c-b88e-435e-952e-8e8b84f87dc8)) (pad "4" smd roundrect (at 1.905 3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 721b21ba-2276-45d2-ab01-dbc85ac0cf16)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp c78f296e-54ee-48e5-8b19-9f5d3e7a20b7)) (pad "5" smd roundrect (at 1.905 -3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 118 "/MOSI") (pinfunction "DI/IO0") (pintype "bidirectional") (tstamp 9bd73e65-a33c-498e-8488-4f11e650c754)) + (net 118 "/MOSI") (pinfunction "DI/IO0") (pintype "bidirectional") (tstamp 2260e5c2-41e3-4d02-bb07-2d004c8d546e)) (pad "6" smd roundrect (at 0.635 -3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 120 "/FCK") (pinfunction "CLK") (pintype "input") (tstamp fd02ca4b-47e0-4d18-98dc-e27f1671144d)) + (net 120 "/FCK") (pinfunction "CLK") (pintype "input") (tstamp 68034693-3a6c-4e30-9973-caa15419c984)) (pad "7" smd roundrect (at -0.635 -3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 123 "/FD3") (pinfunction "~{HLD}/IO3") (pintype "bidirectional") (tstamp f9696dcb-9d8f-469b-8a83-64b20f0c0999)) + (net 123 "/FD3") (pinfunction "~{HLD}/IO3") (pintype "bidirectional") (tstamp 8d2d61fc-ad1c-4a3e-a7c3-dd02f091b9e9)) (pad "8" smd roundrect (at -1.905 -3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp cf6fff5c-fff6-465f-8b67-f9bdc896b8dc)) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp af2ae0c6-b936-4a83-a319-ae4b6561017a)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4427,40 +4364,40 @@ (attr smd) (fp_text reference "R3" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 674a5c79-e912-49f4-aec3-c1e81190d0de) + (tstamp 809d4a63-50c6-4f91-a2a3-202717994328) ) (fp_text value "47" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 1f498271-9ec5-4138-af2d-f75bf1b785ee) + (tstamp c6dafa39-d673-4b26-a4c0-9a23ef5b14a6) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 50da90af-25e5-4482-a0e9-595d7ea5b95b) + (tstamp a907cb33-b812-48e4-a5da-b1bda9e59a57) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aa6ca9cd-de3e-48cb-94b9-e146f5aba7d4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb180acc-6e92-4878-8b5e-e90b40decc94)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 06d8e1f3-e00e-4c22-ae9c-9b92967a91eb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d295e813-fc64-4b93-ab46-09b8dd68b442)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f23c5c59-ae18-4408-bc35-c3a07f9c50cb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 661bb88e-d528-4db9-ba95-672f1d2367e8)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a6ebf43b-74bb-45dc-9bff-e1e42cbd7e13)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d653f585-cb2f-4302-87a4-0971831f620a)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f6dbad1d-5800-4eb0-8994-8a771f551ec9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 77d25121-ca24-434f-ba51-a1adf5c2ca20)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 97b5cc59-7dec-4b1d-9d0f-253359556e93)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d9ad2212-5da4-48b9-a402-fd8ab136eea9)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c443036c-3de5-409c-866a-719dbad38555)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7e3fbf15-c0f3-4141-b503-9f40e2fbd06b)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8941bab9-3d4b-4eb7-9962-6ed973a4e2aa)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e1619712-2f23-49f8-b49e-4e046bb8dd19)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 88ba171a-77e8-4b7c-8bd5-949800a56054)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eaa93071-b76d-47d1-a852-c213f57dc09c)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7dcc18d2-42ba-4b62-93e0-9a9ff5036af2)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ffaaf167-9e5a-494e-a36d-e9bf05460864)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 83 "/ACLK") (pintype "passive") (tstamp b312e957-814d-42ff-9823-82f7bef3a15f)) + (net 83 "/ACLK") (pintype "passive") (tstamp fae3d1c5-2c2e-48f7-b4e4-390df5c5d3f3)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 141 "Net-(U13-Output)") (pintype "passive") (tstamp 3383ebd2-e71a-4303-b68e-9d0313413926)) + (net 141 "Net-(U13-Output)") (pintype "passive") (tstamp dd9ab5fc-7043-404a-9d2b-805fa2058945)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4481,16 +4418,72 @@ (attr exclude_from_pos_files) (fp_text reference "H5" (at 0 0) (layer "F.Fab") (effects (font (size 0.4 0.4) (thickness 0.1))) - (tstamp 7ccb5cd7-ee49-404e-843f-97b8ee59dd6d) + (tstamp 34895d80-168b-4d16-89ce-78ee362fbcfa) ) (fp_text value " " (at 0 2) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 2857d83e-1ab1-4841-981d-a1af2178f0e6) + (tstamp c3012f65-4238-4848-ac81-83f26b110868) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 68fc889d-ddb9-476e-b714-39efde6e1033)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 18b064d7-2909-4dce-9ade-3a473f4748d7)) (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") - (solder_mask_margin 0.148) (tstamp af5f4439-87ae-46d5-bfaf-0edfcbe359f8)) + (solder_mask_margin 0.148) (tstamp 485edc7a-bd2e-4750-8b57-bf7d77f17f6b)) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp b660ec96-df53-4f9e-ae49-a6282f40b5d6) + (at 118.85 118.7) + (tags "resistor") + (property "LCSC Part" "C4190") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/853c73f1-37c2-41ec-92a6-da4e30ef355a") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R16" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1728cf47-98ff-4e60-8ebe-e69d16e41837) + ) + (fp_text value "2k2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 12fd8b21-f2bb-4fde-bf59-3b2c01d11a74) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp aa2bb8cb-ee62-414d-af15-8477e02c8b21) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6d19bcac-6f20-46ee-a10d-eaec81f35683)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 80c81b73-e67b-4e08-95ed-fcbd9c6145da)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6c8095af-9036-494c-be28-6fdb2369f358)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2c2c2827-482f-486c-92fa-f84ecc460834)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bb99b2e1-305b-4c8f-bf9e-5161374713de)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 94533ce4-77b9-408b-b754-475e4880f751)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 57ca7512-349d-4e28-9655-a9121c174375)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e0b59f02-98e7-4091-98ad-ff3ce1a61c18)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp be1e65b9-23f0-4c77-b67d-40f02e17e887)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f98c7307-c63b-45ec-974d-0c3f8b39dcb2)) + (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 97b96fdc-0f13-4ad3-b95b-639a344d8a70)) + (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 144 "/IRQ~{OE}") (pintype "passive") (tstamp ad62def1-0427-4707-955e-f4db0791bff2)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) (footprint "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (layer "F.Cu") @@ -4504,62 +4497,62 @@ (property "ki_keywords" "connector") (path "/00000000-0000-0000-0000-0000607c0f47") (attr exclude_from_pos_files) - (fp_text reference "J5" (at 0 5 -90) (layer "F.SilkS") hide + (fp_text reference "J3" (at 0 5 -90) (layer "F.SilkS") hide (effects (font (size 1 1) (thickness 0.15))) - (tstamp 020dbde7-04fc-49c4-aa94-651249362063) + (tstamp 3cc42c6a-50be-477e-9518-dfe3851a9612) ) - (fp_text value "Flash" (at 0 -4.8 -90) (layer "F.Fab") hide + (fp_text value "Flash" (at 0 -4.8 -90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) - (tstamp 9222b043-8542-4412-8215-52fb553b9470) + (tstamp 62f47dfd-ba22-4706-b2f5-c7511644b1f8) ) (fp_text user "KEEPOUT" (at 0 0 -90) (layer "Cmts.User") (effects (font (size 0.4 0.4) (thickness 0.07))) - (tstamp c7488a81-7cf7-4147-9e66-96d7c342cf20) + (tstamp ebeb6739-d376-420d-86eb-a96f719dea5c) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) - (tstamp f012ff63-3633-4a89-a82a-be71c3237818) + (tstamp 55ca6697-af54-476c-999d-32e96d708ed4) ) (fp_line (start -3.175 1.27) (end -3.175 0.635) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba236bf1-c8a6-40ed-8750-9ef3b523fc9e)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5ea34125-e389-4635-82b3-5aae7e14b7bc)) (fp_line (start -2.54 1.27) (end -3.175 1.27) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fceb5fee-be10-41d9-951b-6d769420b498)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 69375c92-b2d5-4bbc-a88e-ce4c08b0a23e)) (fp_line (start -5.5 -4.25) (end 4.75 -4.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ae8d42e8-36a2-4f8f-88b3-14c3ccd1fb9d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ce302b0a-e004-4602-bfbd-c2d2ea88cedd)) (fp_line (start -5.5 4.25) (end -5.5 -4.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bb61b5ea-caa6-4b15-b1ad-1c9d099267f5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7076c8fa-09e2-4eb3-84fd-8816f9ef4784)) (fp_line (start 4.75 -4.25) (end 4.75 4.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f9e6812e-a813-415d-a4d0-31c1adbf1f12)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a3476d8f-8680-44d5-ae4a-fd4fc04d3673)) (fp_line (start 4.75 4.25) (end -5.5 4.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a7272d39-4280-44b6-8e46-10bc4e0da007)) - (pad "" np_thru_hole circle (at -3.81 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 7af42f98-5bae-4544-893b-b525a5009575)) - (pad "" np_thru_hole circle (at -3.81 0 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 07353f25-9a07-49a2-b43b-72b595461c22)) - (pad "" np_thru_hole circle (at -3.81 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 0ab40a38-55a2-49c9-a970-03ebfdfde6a2)) - (pad "" np_thru_hole circle (at 1.905 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 7b4979f1-f917-4d84-a583-efd273c282e9)) - (pad "" np_thru_hole circle (at 1.905 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp b6c3c716-1806-452e-971c-4f344367f699)) - (pad "" np_thru_hole circle (at 3.81 -1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp aa43125c-3a58-47ba-b403-81358996c88e)) - (pad "" np_thru_hole circle (at 3.81 1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 152c1d3d-6bfc-4b30-8aa0-cead4d9b43b0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 718cb91e-9c9f-4523-b0f4-568f2c60cd05)) + (pad "" np_thru_hole circle (at -3.81 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 02803cde-9a61-4c8c-965d-73c36c6e4f7e)) + (pad "" np_thru_hole circle (at -3.81 0 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 1ee1d800-776b-45c9-8e85-cb25e6d9db6d)) + (pad "" np_thru_hole circle (at -3.81 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp c8b0cc1f-9cdb-4d08-821d-efe23eb67a7e)) + (pad "" np_thru_hole circle (at 1.905 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 2bc51fab-e907-489e-b280-3148a630547a)) + (pad "" np_thru_hole circle (at 1.905 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 264e1308-ec45-4fef-93e6-456d251e5fcd)) + (pad "" np_thru_hole circle (at 3.81 -1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 0fde4b52-4108-40bf-a15a-51daa1c0fb84)) + (pad "" np_thru_hole circle (at 3.81 1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp b696d7bc-155e-4313-a418-b95ae3a38286)) (pad "1" connect circle (at -2.54 0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 119 "/F~{CS}") (pinfunction "Pin_1") (pintype "passive") (tstamp 4794b95c-f069-4993-ad8a-7a6e17f97684)) + (net 119 "/F~{CS}") (pinfunction "Pin_1") (pintype "passive") (tstamp cbdc9a1a-d7c6-4745-9cc0-cbc43e73610c)) (pad "2" connect circle (at -1.27 0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 70 "+3V3") (pinfunction "Pin_2") (pintype "passive") (tstamp f1eb1144-62aa-4b23-ba35-034545ecb0ef)) + (net 70 "+3V3") (pinfunction "Pin_2") (pintype "passive") (tstamp 3f1a49cc-79d7-4e10-8a97-83292af89760)) (pad "3" connect circle (at 0 0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 117 "/MISO") (pinfunction "Pin_3") (pintype "passive") (tstamp 61b36d9d-52ec-4553-9406-09e5c1bfccd5)) + (net 117 "/MISO") (pinfunction "Pin_3") (pintype "passive") (tstamp f1a2a31c-bd98-476a-b620-cbb84e62ce69)) (pad "4" connect circle (at 1.27 0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 123 "/FD3") (pinfunction "Pin_4") (pintype "passive") (tstamp a87c2bcf-c808-47a3-803d-b81452b96e90)) + (net 123 "/FD3") (pinfunction "Pin_4") (pintype "passive") (tstamp d32d32c6-4a01-4d50-8372-1f23cdd311d1)) (pad "5" connect circle (at 2.54 0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 122 "/FD2") (pinfunction "Pin_5") (pintype "passive") (tstamp 1bcf71f4-d9c6-4813-895b-03c4b7696724)) + (net 122 "/FD2") (pinfunction "Pin_5") (pintype "passive") (tstamp cbfede27-3be8-4452-a031-d67d69ed2cbe)) (pad "6" connect circle (at 2.54 -0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 132 "Net-(J5-Pin_6)") (pinfunction "Pin_6") (pintype "passive") (tstamp 2c97b216-176d-40bb-bdc7-51a4c6f57903)) + (net 129 "Net-(J3-Pin_6)") (pinfunction "Pin_6") (pintype "passive") (tstamp 0516fffc-48e1-40a4-8230-a6b088738b55)) (pad "7" connect circle (at 1.27 -0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 2 "GND") (pinfunction "Pin_7") (pintype "passive") (tstamp 2b5bad71-4eee-4429-8980-5b8a6082a13c)) + (net 2 "GND") (pinfunction "Pin_7") (pintype "passive") (tstamp 0e985eb1-3bcc-4a1b-89fc-1f556b404c7a)) (pad "8" connect circle (at 0 -0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 118 "/MOSI") (pinfunction "Pin_8") (pintype "passive") (tstamp d370a3cf-5e74-4206-b030-0fd697dd4798)) + (net 118 "/MOSI") (pinfunction "Pin_8") (pintype "passive") (tstamp 74b6e411-954f-446f-ae14-bf3d6a75b0de)) (pad "9" connect circle (at -1.27 -0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 133 "unconnected-(J5-Pin_9-Pad9)") (pinfunction "Pin_9") (pintype "passive+no_connect") (tstamp fa8762af-85e6-4a4f-91cf-8bc8a4f06214)) + (net 130 "unconnected-(J3-Pin_9-Pad9)") (pinfunction "Pin_9") (pintype "passive+no_connect") (tstamp dfe1909f-8097-4a04-8817-cfa330e705ef)) (pad "10" connect circle (at -2.54 -0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 134 "unconnected-(J5-Pin_10-Pad10)") (pinfunction "Pin_10") (pintype "passive+no_connect") (tstamp 431478fe-29bc-4e99-846a-65cc1099585c)) - (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 561ffb3a-8a50-4e29-8932-ace4bb101202) (hatch full 0.508) + (net 131 "unconnected-(J3-Pin_10-Pad10)") (pinfunction "Pin_10") (pintype "passive+no_connect") (tstamp 83b29357-6c3c-478c-98b5-bf077a8aad13)) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 48101544-8198-4960-92f6-50d0b7d68cc3) (hatch full 0.508) (connect_pads (clearance 0)) (min_thickness 0.254) (filled_areas_thickness no) (keepout (tracks allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints not_allowed)) @@ -4590,40 +4583,40 @@ (attr smd) (fp_text reference "C9" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 558720fd-ed2f-4732-af06-375f1752bcb9) + (tstamp 8c977e11-3027-4b11-96fe-7f2a48e12d79) ) (fp_text value "22p" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 7fb214e5-fe0d-47c4-b84f-c88b3d769e93) + (tstamp f2082dad-67d6-4dbe-81e3-b2f483df802a) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 31e025c9-f50b-4a72-b96c-19bd3846ac40) + (tstamp 650511ab-09cd-474f-8cd8-264a8964f666) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 32fdbe6c-1e5b-4538-90f9-09b5a1966e50)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 875f4439-74a0-43fe-8c66-a62e3de7c1cd)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f1879ba3-7f72-47da-bbe4-f711c8481a32)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5a8655f2-1076-4a6a-b697-ae7b9ee85d99)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 30e7c49f-7f23-452f-b0dc-6e63c492f459)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2eaa1ef6-31a9-4c42-b0d3-bcf555840781)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b424ac29-b79b-4efb-8451-3c9432b61008)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ecbb7c90-83d9-43b2-bdd9-6c21a3570fb3)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82faeade-2a96-4b73-88fa-7670e16d952d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 13f3b618-9036-4045-9e3e-638deb46ad32)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 72e64a59-3c12-4fe1-a931-a44736afda03)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5dba7b57-bb68-489e-bf13-975b2edf7f95)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1d43ceb4-733c-4958-b8a4-234a61cfde16)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2a228d14-ff12-4332-8d42-e175e72a923c)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ba12d58-da64-4c00-bd22-c24e617408a5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b4aebf39-84ca-454b-8b15-c74d5961fec6)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f8f123bc-2cce-42ce-9a47-aa6f4c6e4511)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 52edb99d-34ce-474f-ad6b-9bdd20bbf5fb)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 93f4a6e7-ad1c-433a-96fd-f7dd576798fa)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 408329a7-30fe-45f8-8e17-1d54d13ee5e7)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 125 "Net-(U7-XI)") (pintype "passive") (tstamp 99f96d59-f552-4423-818f-74f24e3775b0)) + (net 125 "Net-(U7-XI)") (pintype "passive") (tstamp a496c18d-87a2-4821-9375-cef8e36af368)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 3290c096-cc61-4384-a247-ce03d537a90b)) + (net 2 "GND") (pintype "passive") (tstamp 329a4c55-df45-481e-9745-66fb7dcf1386)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4644,42 +4637,42 @@ (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference "C22" (at 0 0) (layer "F.Fab") + (fp_text reference "C22" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 9bd8c484-b2f7-4063-9fb3-1b55d2cb7297) + (tstamp 12c7792d-c294-45f8-b1dd-db481aca4f2a) ) - (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 1e91e090-0824-46e9-84c2-73b2d7f6c1d3) + (tstamp 7204155d-4a3e-4340-bdaf-0e2b17ff3992) ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp ebc22280-13f8-46d2-a3f2-3673d3aa4f35) + (tstamp 1d57fa42-03d2-4ee9-9c94-678e7a61ab2f) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8572d073-3547-49f3-b4f0-c92b8a7f1c74)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 33828006-40b4-454c-bbe1-fc94bb1fd4b9)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9074f74f-5c7a-4e07-8bb7-a0432e0743d5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 17076620-0f3a-46cc-bb8e-c78b438536de)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7352d82b-7c3e-4aff-890f-496ee8f22d80)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dbbdc92b-8085-4027-8d1a-dc4686faa0c1)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c284ce55-c25e-4059-9116-5b32ad791830)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 77495bb9-e40d-4ddc-afb7-cb4ed6b4319b)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f80f5cc7-36a5-430d-ab65-dcfc5cc980b4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f12a5534-ce6c-479a-9a8d-e84b2716db35)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d5b164cc-71da-4cb9-bb93-af8a9e244560)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 267ffc31-d295-4e5f-aba1-3dc0498e9b8f)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5d465f28-c9fe-465a-a3a5-3f689d3c8e97)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9687de7c-4804-4ead-8dbf-05752e896a71)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 45418e06-4bf5-4615-9d49-61156a01d47a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 679ba5af-152b-40de-b683-d129c864afb9)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a000eaab-e649-4339-a662-77c8d4b05214)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f94bc63f-6d42-4db2-9d2b-4f8b20c80c42)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a08c13ab-1387-4ce7-91c2-f1cd38f063a9)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4d50aea7-3b8b-4a41-9a57-6f007e29d295)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 3b4a8685-ee2c-4613-8883-53a463aadedb)) + (net 70 "+3V3") (pintype "passive") (tstamp e5f501b1-c216-4215-86f3-32d7e6a11e72)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp d68c9640-e3c8-4a1c-b431-fdedf1b7df75)) + (net 2 "GND") (pintype "passive") (tstamp b4fe9a9a-b337-449f-94b5-b84d22358909)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4702,40 +4695,40 @@ (attr smd) (fp_text reference "C32" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 5f426a7f-5676-44a0-93df-dfc090abdfe8) + (tstamp 87beab5f-542c-42f9-bbb6-b533913a7e36) ) (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp d7bb52f0-671f-449a-933b-58f15f9d47f1) + (tstamp 83416825-7094-46e0-81d5-dcb4cd0e5ba8) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 62f2b734-6789-4e30-a6ce-3a9d973e4a5b) + (tstamp 7aeb7ad3-f918-4407-92dd-9634b6a130db) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 656c9885-782e-4c76-8907-f7f0b60609a3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 160f667a-bfe2-4baa-9fd9-b6431dddbf51)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 176dc78d-e508-44ae-b400-1ef1e52111f2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 55f070f7-bbb1-4eb2-a938-627fb6648b51)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c8e07d1b-2f90-48be-9f80-48e3cceee8ac)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9a3dfce7-eb35-4221-9529-929a6a31ef1e)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp be3011a5-ecfc-4bd5-b7e7-ac7b26021f5c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1feac85f-979a-40da-88b4-e26d85ea14df)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 36c44e3f-4443-4fa5-91f9-55fd48d3159b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7901e45f-dc6b-4f86-8ac1-0968307fbfca)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6820bca6-af0a-4348-b0d9-e2f57a931652)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f5bcc27e-6fab-4780-8060-a4395e0c3729)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 683b0444-ab3e-46e7-812f-62daf952b347)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bd349f57-0462-4f5f-a929-0f78c34fb564)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e0003ce1-635e-4690-8da7-7ac86afd3e6c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c53a8990-6f72-4803-97e5-083da37218ab)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c54615f4-5f80-4061-a135-d7ac2839bcde)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7606fec0-b9db-4798-9746-14ebf4ee3b75)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d1585a8c-4be1-4b69-8c1c-01775d2ad5fe)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 07311a7d-f71e-4001-a7aa-aca4b6acbcb8)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 881e29a6-0ba9-4833-b887-f6ac735c20d0)) + (net 70 "+3V3") (pintype "passive") (tstamp af8e6520-496a-4464-9e76-10b20aeb528b)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 12854305-cfaf-44e4-8fca-da40b1c6276f)) + (net 2 "GND") (pintype "passive") (tstamp 38bfcef6-ebb7-43db-9347-6532bf74ffb5)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4758,40 +4751,40 @@ (attr smd) (fp_text reference "C11" (at 0 0 270) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e7428c3f-cc09-41aa-8b9f-b08b40cf375b) + (tstamp ed29d112-6c0e-44db-9764-0f0e04f9f4b1) ) (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp b220d888-90e8-4a5b-93ad-b2e88d4b450c) + (tstamp e0d8cb09-b35e-4dd8-921a-b9673618c78b) ) (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp f61d74d0-bc4a-46b3-b371-27268567d178) + (tstamp c8bf3af0-d59a-4bc9-baf2-168d53aa382f) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 943c4f2b-ee69-4fae-b3c6-c95534fb2b91)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f4042aae-d996-4cc4-93cd-c51fc6a567ea)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 16c44211-5e22-4d95-a007-74a58379b1a8)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 993ce764-6cbd-4692-be5e-cc8cb7e03b34)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 407be8f5-f76c-4a7c-afbb-d26557e1389c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 66c4f044-bd7e-46d3-86ce-75cf94bbea25)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 40aecedf-ea8a-4c97-a1b2-9c8189651c2a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2804efd4-ad46-42b0-8d5b-4a36132f57b7)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bdac1b99-315f-47f8-9368-67ead63028a4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5a3acfbf-445f-40fd-a387-fda726476d5a)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ff36283b-fcb2-4771-91d6-7c190c04d4aa)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0564f8eb-e9dd-4da7-9af0-192d50b6b10b)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 83a55212-eb83-4f7c-9a7f-732f8b10b5bb)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3f90bde3-246f-4dda-aa17-f64616c79336)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7e074eed-d9e9-4552-bb5d-7e84b07729d6)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 88022cb8-b7ec-4baa-82eb-3c3ef7bf7bbb)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c4cd0586-9d4e-435b-a1dd-5f0ce7b792b0)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a17fa4c6-604c-40c7-801a-1370de4730b8)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 61f6b6f9-65f4-44fa-8943-b96e0f7f7616)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5f30344b-2ddf-4578-93de-45644b550eea)) (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 85dfa765-19b5-4f72-809e-fcd80081451a)) + (net 70 "+3V3") (pintype "passive") (tstamp 378ae866-368a-43bc-a891-d9127f99ed17)) (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp ba36c849-33cd-4484-8a56-d1f7fb2354ca)) + (net 2 "GND") (pintype "passive") (tstamp e75be068-0cba-4933-b868-c18389de1eec)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4812,16 +4805,16 @@ (attr smd) (fp_text reference "FID5" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.381 0.381) (thickness 0.09525))) - (tstamp f83560ef-52c4-4fe6-9ad1-11e66106aa30) + (tstamp 80de4318-3b67-4ee8-ac38-aea6a3dcc3d4) ) (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 1cdbe1e8-52ba-4cdc-8c99-f66b66b941b3) + (tstamp 7c3ee504-26d7-42a2-abba-99cc61171974) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp df757911-308c-47bb-aa46-6d1c40c9d058)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 2bb43452-95d1-4e25-b08c-27634c67cf39)) (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") - (solder_mask_margin 0.5) (clearance 0.575) (tstamp 0bf3d804-9582-4315-8967-b4baba41bca6)) + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 13f60a91-096e-4bef-b2f7-6728c6cb2bda)) ) (footprint "stdpads:Fiducial" (layer "F.Cu") @@ -4837,16 +4830,16 @@ (attr smd) (fp_text reference "FID3" (at 0 0.05 90) (layer "F.Fab") (effects (font (size 0.381 0.381) (thickness 0.09525))) - (tstamp 1c842cfb-b98c-4083-b116-750e9b0d9a18) + (tstamp 82947009-342a-4523-b81d-e460d71c865c) ) (fp_text value "Fiducial" (at 0 1.651 90) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 05d0255d-ce6e-4de8-bd7e-41c7f208fa7a) + (tstamp 53b6c6d7-989e-4c71-85df-f1f151a3d4ea) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 5f4d995d-80cb-4584-97b1-97b1dadd4326)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp d89ac960-f9c4-49e1-a73a-4e802bf01b30)) (pad "~" smd circle (at 0 0 90) (size 1 1) (layers "F.Cu" "F.Mask") - (solder_mask_margin 0.5) (clearance 0.575) (tstamp 44e1cce1-a67c-485b-a9af-4ec13c9010f1)) + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 6da522a1-227f-4edb-8d27-114859c27121)) ) (footprint "stdpads:C_0603" (layer "F.Cu") @@ -4864,40 +4857,40 @@ (attr smd) (fp_text reference "C20" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a44d5544-0a9b-4eee-98b2-9af69b5d3448) + (tstamp a114ff0c-307a-4cec-874b-5046af23c781) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp a17b0c2b-e9e6-4cd5-a1e3-cd13c18cd20a) + (tstamp 85106dc9-5a6c-45a3-ba93-b69c291ab9b4) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 30a52ac4-4367-44c3-abc5-307cd6a8625e) + (tstamp 12bb3ab2-5703-41b9-9c1d-d204c3d67e28) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8fec008c-def2-420d-8fb8-84cd6ea15e3c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d96dc94-f93c-40de-8c38-2d9af6e72c09)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a20b6bdb-e59a-4e1c-b0b7-d10b842471a8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 372b46ce-a7d6-47be-b32e-c01f4f311fab)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7b18dc9b-7212-4ee0-bf26-8410d662e7de)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3dc1fa74-aa2a-4a7e-9ef0-c784b320d7b2)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 55cbc5cb-a2ce-4fe6-8524-a208abda232c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58386c61-3d3d-4d9a-9fd5-12a0be66dd01)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d423a7ea-1cc5-45c1-83a4-d76abe5d7e96)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 77b7212b-42fd-49ab-aa16-51b86351a128)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 13556e1a-630a-4dba-b863-66904d7c719c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 79af0f55-804f-4917-a45c-78738fcd59f6)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f06f911f-6587-4d82-b583-426d505e6e8f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9fec68cb-69ff-4ab9-851c-42e9c49933f8)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 22fc6760-005c-4024-b10e-0d6ac5c2a6bc)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f950f29c-bb31-42aa-b42b-217baccc4f5f)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 95abd2c8-a683-4cd9-aa62-a98d5b5c89af)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 448bfebe-15db-4788-9746-33a324817681)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e281e108-5be0-45a1-a231-00fb6bcbdf9a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 448b4f67-fa3e-4044-9dd6-c0be1c9564e2)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 151 "+1V2") (pintype "passive") (tstamp b72bdc07-a631-472c-8a6c-c451480b68d2)) + (net 149 "+1V2") (pintype "passive") (tstamp 708a626d-4d0f-4180-b98c-1aa9fb743be6)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 042594fd-1b1f-4098-8192-dbc2eb1ce035)) + (net 2 "GND") (pintype "passive") (tstamp 84dd92ce-7161-427c-b7e9-22e7c470f2e6)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4920,40 +4913,40 @@ (attr smd) (fp_text reference "R7" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 08ecc9a6-35fe-4f6b-af3f-367c466d80f1) + (tstamp 37d5ddb9-bb5d-4375-b289-2ef39626809f) ) (fp_text value "10k" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 6ba5b86c-dfb4-4a98-9a2e-5740a8e3295b) + (tstamp af9f78c2-57b5-4433-8706-fd559777cce7) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp f179590a-dea7-44b5-b39f-9283d50059a2) + (tstamp a99c81c7-7c81-4cbe-8a77-78377e0dc963) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0afd1da2-d8ce-437e-a2fa-b1c522e8c223)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 52f1567e-f1be-4b04-83fd-50ed156851a6)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7b07c613-9477-4d6b-b1b2-af945fb5ed15)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c5b71311-0e6a-4ec7-8a00-9379589a8dd0)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f29c9145-a6c2-463d-8914-3782eca69c94)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6c78e9c6-fa03-421f-a690-fd460ed455a3)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b5483473-6b7a-4831-87f6-944d557b5858)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 08d04a0e-a694-457f-8135-51d025247ecd)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58809b9b-3357-431a-aa4f-859dfc5a4914)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6ac686f6-3283-4bdf-a90b-f4be36aeb2d4)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d6222b43-7370-4ffe-999c-1e48eb065a97)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a229a896-e676-4066-af3e-e0dc6fc15efc)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8be2d4b3-6c8f-48a4-b92f-71adf61eb3e2)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b85d139b-9fab-4c39-892b-48338e3f3657)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1551e1b2-3e4a-4e3a-ab85-465acc2a4bb0)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 057ab60e-67bb-49c1-87b5-a38b48458326)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5fae0ae6-9892-4569-b3ae-274d1c5222ee)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3fdcea2d-459f-4730-aed7-b8f14444aab0)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0cce5158-e3b6-434b-b513-b13592cec634)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d2dbdc8e-6513-4ee3-8cbc-40ee5108432a)) (pad "1" smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp cfa9b39d-6968-40dc-bfd7-71ffbc3f7c38)) + (net 70 "+3V3") (pintype "passive") (tstamp 2b8e0510-f056-423d-ab8f-f15fccdb193c)) (pad "2" smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 48 "/TMS") (pintype "passive") (tstamp 54368c9e-3a66-40ba-a087-d6298f1ab59c)) + (net 48 "/TMS") (pintype "passive") (tstamp f2f4e3ab-30f8-4116-8555-94108d2f51a3)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4962,54 +4955,54 @@ ) (footprint "stdpads:R_0603" (layer "F.Cu") - (tstamp cbb07c07-7189-4e3a-b0e7-770e7ac974cb) - (at 119.45 124.35 180) + (tstamp d12a177e-014b-4c63-96a2-5e7e942a263b) + (at 115.8 118.7 180) (tags "resistor") - (property "LCSC Part" "C23345") + (property "LCSC Part" "C4190") (property "Sheetfile" "GR8RAM.kicad_sch") (property "Sheetname" "") (property "ki_description" "Resistor, small symbol") (property "ki_keywords" "R resistor") - (path "/b1655eaa-2a10-4ed9-badb-1b7a4eeb6c42") + (path "/ff089d63-d700-47a3-a9f1-a609d4fabdfa") (solder_mask_margin 0.05) (solder_paste_margin -0.05) (attr smd) - (fp_text reference "R13" (at 0 0) (layer "F.Fab") + (fp_text reference "R14" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 1ed94863-a5d8-4ffe-af2c-6cc0339050f8) + (tstamp 7b8e93fe-0ebb-4d16-8f8c-cab0da7ca3f0) ) - (fp_text value "47" (at 0 0.25) (layer "F.Fab") + (fp_text value "2k2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp b4e916b1-e65e-4355-ae6b-b7e55207b266) + (tstamp 4afbc31b-1427-4042-99b8-0d7999d1a899) ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp d0c9bf0f-f5a9-41c2-958b-a1bce9205a85) + (tstamp 29db368d-1920-4389-9488-1e2e53f65acf) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9c013c77-31ea-4504-886f-8300857eea2c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be61be48-e8c7-43a2-9fa4-84c01e05138d)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59d8c343-47db-4439-819d-8ecfba887cd0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7f0aa271-e1f8-4bc9-85fc-96f98516acf8)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7bea51ea-60f5-4f33-93be-b7b88cfdf850)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cfbd4b35-1d91-4b41-bfbc-1a79f160a791)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9ddf311d-2e97-41e8-9ae8-0f3c41aafc2c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 13221ae4-7154-4cb5-9882-149e48a8cac2)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dd6a453c-8fd5-4f2d-9b18-664021bc0fcc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 17b0553d-a2fa-4303-a8aa-09f06c5b4bc9)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dee7f38e-b7e0-4c97-9ae4-54d38f36fbea)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 991fb0ae-9644-4770-bf2b-6ce9ed73b5b7)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 25c78f1f-7815-492b-982d-b6eb3fd83c7a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cd452490-08d4-4d0a-9e77-f69496c121d3)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d61bf0a0-8ec9-4c47-85eb-d6d4a666f940)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a8a689c4-1252-4d68-a8c5-98d6c4cba5ad)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2b889d52-3f7e-43c4-b60b-52393ebc33ae)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5445ee49-3bb3-449f-9b98-e7d0f2582578)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 15f531a9-b1f8-4bbb-ab16-6dbdd98d19af)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5851431b-cf18-40ac-9037-da40741c3026)) (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 34 "/~{IRQ}") (pintype "passive") (tstamp 244f84ad-808f-42f2-b117-e2eecf4d0f52)) + (net 70 "+3V3") (pintype "passive") (tstamp 6e50bef0-584d-4f9e-9838-d9c17ef62adc)) (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 145 "Net-(U11-Y)") (pintype "passive") (tstamp cff6196b-376c-4959-af9e-35e3bf8e0de1)) + (net 121 "/RES~{OE}") (pintype "passive") (tstamp 1c61362d-fc7e-4030-a7ed-5397487e9f26)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5030,99 +5023,43 @@ (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference "C25" (at 0 0) (layer "F.Fab") + (fp_text reference "C25" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 2796af65-484d-4404-94ff-8d3297d6e042) + (tstamp fb6684fa-3c0a-42df-ab9b-2ff21daa3b6e) ) - (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 393dd04e-8aed-43d5-b9a6-7cebb3853ff9) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp c0c2c9ff-1298-491a-89c1-7cc98ca028cd) - ) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab6b11ce-2caf-4553-b7f3-05448b20429b)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d04afca6-efdb-4c7f-b482-bf81c7e22c26)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aec2d9b0-969c-42c3-8e4c-31ad583da1ba)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 481d6ba0-dec6-4062-9520-b7b9be66088a)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8a7959a3-5b0e-48f1-b7ca-c3b14e41bddb)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1d49265b-533d-4094-8f56-0de904b586e3)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 99676c2d-4ef7-4d52-961a-f8ba0c33f89d)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 521b5da6-c6ae-4fb7-8d6c-75e4e291ba02)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fe4bf008-a892-4b66-9de7-0f344024522b)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3e3cec06-2252-4960-88ba-8e4c983dce01)) - (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp fd16274b-6183-4469-b343-8511bea3d836)) - (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp adeec9d1-bf04-42e2-b0c0-9386b11fc3ed)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:R_0603" (layer "F.Cu") - (tstamp d759f502-db8a-41fd-9b3b-963ba25714e5) - (at 114.8 124.35 180) - (tags "resistor") - (property "LCSC Part" "") - (property "Sheetfile" "GR8RAM.kicad_sch") - (property "Sheetname" "") - (property "ki_description" "Resistor, small symbol") - (property "ki_keywords" "R resistor") - (path "/7f02bd1d-3ceb-4b8d-a3ef-2a0349fbbc6b") - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference "R11" (at 0 0 180) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp ce3744f4-c845-497e-ac2f-9074cabb2dd5) - ) - (fp_text value "DNP" (at 0 0.25 180) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 61a335c9-ab25-414f-b77d-0dad20190ff4) + (tstamp 80a69826-5b70-4ff0-8dd9-de79689c2a70) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 7257663b-5296-4006-9d34-f8c48bd9d00c) + (tstamp dacc969b-a9f9-4758-8e95-811858b8f737) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 18a4f06b-c0ea-4fff-b1df-6d6c9641a0a0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3aef1bb9-2ea6-49df-9aaf-4c4a169a2c24)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 863de5c8-0e99-4e57-9639-66eb11bfa872)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 65707b6f-90a1-4607-ad07-bc644385590b)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1b2497ed-2a05-4dd7-b0ad-a1a94a711fad)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b1c611c8-e79f-4133-916f-c5c6d98d139e)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 93ebba4c-cd61-41eb-b8ec-d8ac7c2df3dc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c433ea03-fc45-46d1-904d-f9e4ec0030c6)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f81e9c63-a4d1-46b5-b3e0-ae3223adfa9d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fb658648-2a2a-4664-927e-6dc9321ee7a7)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a4fe7e3a-e8b4-4a94-b9c5-96a26e9298cf)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a8a90c0b-b05f-4413-89f5-5cdf6cfdcbb6)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 541b1fec-e62d-4dd9-985c-4d9b5efc9ee8)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 172e8eea-4632-4040-8afb-503e60a89d83)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a48216fa-be79-4505-95ee-e22b03b7a263)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9234ecb4-7a1d-402d-93e2-ec021015b34c)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2c45f020-fe35-46b2-a1e0-24ec0f3f73f7)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0a24bfd9-8e1d-4509-aacc-5f20fa662ae1)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fd896a5f-9b1e-4616-ace9-67ab5bd06fc8)) - (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 35 "/~{RES}") (pintype "passive") (tstamp c0397573-d58d-4a18-be34-bcaeb6703130)) - (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 143 "Net-(U14-Y)") (pintype "passive") (tstamp d4059ea9-1b4d-4c0d-8f96-86be9de60dcc)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f1d5398e-e526-40f6-bf96-debf2d3b1a04)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp bb9090f6-1764-4036-987c-20203b1cba03)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 3140de70-6279-491c-a037-f81033932954)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -5142,16 +5079,16 @@ (attr exclude_from_pos_files) (fp_text reference "H2" (at 0 0) (layer "F.Fab") (effects (font (size 0.4 0.4) (thickness 0.1))) - (tstamp 697b04dd-120e-4e23-811b-b790c5af5ac1) + (tstamp 7dcfcb79-9c3e-4014-96eb-66e34184465f) ) (fp_text value " " (at 0 2) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 005b4273-c07f-40aa-80c0-33edb611d714) + (tstamp bf5dc4d6-aa41-4e5e-9e04-3d9c67256447) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 8f23f6e8-b35a-4f1b-bf14-4dd82268c7af)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 4d34698a-d386-4a98-807a-794595ea7a9c)) (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") - (solder_mask_margin 0.148) (tstamp 1b0aa41b-c870-4a9c-b14a-32798649e073)) + (solder_mask_margin 0.148) (tstamp 52290ea5-b1f0-423a-a109-f1d655803c98)) ) (footprint "stdpads:C_0805" (layer "F.Cu") @@ -5169,40 +5106,40 @@ (attr smd) (fp_text reference "C3" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp f6ef92ac-bc66-40b6-8434-540fcfd8d3e4) + (tstamp 79832479-c4dc-4599-ac0f-34756f5a89ea) ) (fp_text value "10u" (at 0 0.35) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 7ea7280d-5eb7-490e-8f6a-56a3c7cee3f2) + (tstamp e7a1f39c-6cb3-4e8c-af7b-1e6a2ce0c3cf) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp d0c904ae-cf29-4675-bf53-9de14df92a96) + (tstamp a1183e78-292a-4bcb-a485-553a5e1383ca) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f064d702-3282-41b4-ab0c-dd63c4869fba)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 3eb3ca87-25ed-4fcc-9944-2c5c5ef866e0)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f0636470-89df-483b-8c0c-f47bbca05a83)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 1f5e1389-a1a0-43b1-a605-06bd94b2f60a)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8718e308-36b1-420a-a234-f0cb66feb2b5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a641844d-6595-45e0-adb5-42d50e6460f1)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 83c68b70-cdb2-44f2-b645-b71b8f7c2bfb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0d4057fa-4ff5-4c30-ae21-1dda12603ec0)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 10f5eb45-8acd-46e5-a947-82644a6ec8e9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3639e737-b60d-46f6-8928-c5cb542b725e)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 732ddf0c-c549-44c9-8e2a-597b4a9c5bcd)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 648bc23f-8696-4f37-90df-eea16abe96b8)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6f9c9bfe-269b-4bcd-804b-a952d612529e)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp cc1b4a84-4841-4c42-a3bb-6ef56abb533f)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4e8fed5d-1cee-4db9-92f0-6bbf06fa3183)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 55807310-78a0-411f-b978-016c1427e53c)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c69cad72-bf96-4b43-8658-035f6a8d57ef)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b2876c0f-6b84-44b9-8f62-993366302578)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 127e62d6-afbd-4b5b-baf3-1da955514010)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2a94d75f-6ca0-497a-bd6c-c2e64ed6d3b1)) (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 4c88d114-2e06-4acb-bb2b-b7cf549a6d3e)) + (net 2 "GND") (pintype "passive") (tstamp 3dba2636-e766-4811-979a-0900ba531cdf)) (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 24 "-5V") (pintype "passive") (tstamp 3d0027b1-e93c-4744-a4ce-48f6d106b238)) + (net 24 "-5V") (pintype "passive") (tstamp 752e7985-7b6b-467a-875f-5df16c2cddba)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5224,78 +5161,78 @@ (attr smd) (fp_text reference "U5" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 570e09d8-fe4a-4170-b7b2-1ef0bcdd96ca) + (tstamp a5c39635-a52c-40dd-9203-7562898a16ce) ) (fp_text value "74AHC245PW" (at 0 1.016 180) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 5db1368a-4f79-4ead-8b04-e3494129ebc5) + (tstamp f036f5a5-5def-4cc7-9a64-27bd9cc3e34b) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 85468525-2c50-466d-aea3-5b8726856f7d) + (tstamp f07f91c3-eef0-4227-8980-d04f4cc90964) ) (fp_line (start -3.45 3.75) (end -3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 4da5596b-9296-4c64-952e-4500783b1244)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b020ad48-c0d3-4f60-a381-a7deb42db4ec)) (fp_line (start 3.45 2.225) (end 3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9b9c2a4f-3425-4425-9220-cb26ad72ef2e)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6d1e4999-cc65-45cc-8d73-ef48c2a99671)) (fp_line (start -3.55 -3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2c1601cc-817f-46af-a284-37cb83e5355d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 57aa81bc-6c5a-45c8-b2df-82f347ea2696)) (fp_line (start -3.55 3.95) (end -3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82119468-8be9-4df7-857e-ae077b872a3d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d9293e79-de67-4321-afcd-23088601ccf1)) (fp_line (start -3.55 3.95) (end 3.55 3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f0f80c1b-cd3f-476b-a977-9cfb0fcb5659)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp de0a477c-f877-41f6-9594-5360db1f4c6d)) (fp_line (start 3.55 3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bde72f34-2280-4206-b2b5-407182fd90d7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ce4bd729-580c-435b-bccb-7bb4a0751054)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c7e1dd7d-b83a-4e95-b64c-1216c86166c6)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 44124022-0c0a-4e2f-adb7-a95ca4534007)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 52046fc8-1e7e-43f8-94f4-8a19f684ef5c)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9592718d-e847-4a17-947e-62490fff687a)) (fp_line (start -2.25 2.2) (end -3.25 1.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1446bc0d-6061-474a-a499-32a8f9709dce)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1d153164-ca7b-4732-9105-987791d7183a)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ca8086b8-806f-4cb6-a92b-8452ff54baf6)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 029323ab-7ed4-4ac8-b3f1-cf684624b383)) (fp_line (start 3.25 2.2) (end -2.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0703579d-84c0-460c-a53c-03ed11ca847a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1d9fff11-4870-4d9c-804f-1c74b3a8658d)) (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp 2ee9f82c-387a-46d2-9f7b-d2d93cf646f7)) + (net 70 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp 7ca8edf9-8eb0-44dc-8657-54715420446a)) (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 25 "/~{IOSEL}") (pinfunction "A0") (pintype "bidirectional") (tstamp 599bb419-6123-4444-b55e-7b9d375d33ed)) + (net 25 "/~{IOSEL}") (pinfunction "A0") (pintype "bidirectional") (tstamp af4fc447-ba12-430f-8ed8-9e37e8054864)) (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 43 "/~{DEVSEL}") (pinfunction "A1") (pintype "bidirectional") (tstamp 6c85f54b-12da-4503-9816-ddfd8d41f64d)) + (net 43 "/~{DEVSEL}") (pinfunction "A1") (pintype "bidirectional") (tstamp a0521f14-1fc6-48ff-a814-86b0d7ed049a)) (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 42 "/PHI0") (pinfunction "A2") (pintype "bidirectional") (tstamp 9b139438-285e-4f3b-989a-23532d1b48a2)) + (net 42 "/PHI0") (pinfunction "A2") (pintype "bidirectional") (tstamp b426d210-922e-4210-83a7-8d62d0cc8ce2)) (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 32 "/~{IOSTRB}") (pinfunction "A3") (pintype "bidirectional") (tstamp 64a35d0a-76cf-4347-b994-ed3f6757a4b7)) + (net 32 "/~{IOSTRB}") (pinfunction "A3") (pintype "bidirectional") (tstamp 4819fe5d-03a5-4730-ae57-d88bbc2dfee4)) (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 35 "/~{RES}") (pinfunction "A4") (pintype "bidirectional") (tstamp 58e275f2-3c30-4e24-9050-e104c9cee3ee)) + (net 35 "/~{RES}") (pinfunction "A4") (pintype "bidirectional") (tstamp 918df1a4-77b9-4cb1-8e7e-16902e608dea)) (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 31 "/R~{W}") (pinfunction "A5") (pintype "bidirectional") (tstamp 11437bf2-96ee-4795-9fd3-15cdbef54f93)) + (net 31 "/R~{W}") (pinfunction "A5") (pintype "bidirectional") (tstamp f72529ba-a5f7-456e-bbb4-92dd7f147184)) (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "A6") (pintype "bidirectional") (tstamp 8d3e234a-c409-4910-b979-53522de32b73)) + (net 2 "GND") (pinfunction "A6") (pintype "bidirectional") (tstamp 1fab13e2-004b-40bb-ab99-f5a99c231d3a)) (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "A7") (pintype "bidirectional") (tstamp 7eb9fbb3-8da2-4708-adb2-b1b17ffac613)) + (net 2 "GND") (pinfunction "A7") (pintype "bidirectional") (tstamp 7a405fcc-2031-4e49-824e-b03b40547c32)) (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 4a138505-1cca-4d42-9ac4-acf6fa08103c)) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 5d66c343-240f-48ea-8da4-9bdff5891dc2)) (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 138 "unconnected-(U5-B7-Pad11)") (pinfunction "B7") (pintype "bidirectional+no_connect") (tstamp 578ad0f1-6a32-44f0-b567-fc58c2b8c767)) + (net 138 "unconnected-(U5-B7-Pad11)") (pinfunction "B7") (pintype "bidirectional+no_connect") (tstamp 190ec334-862d-422b-bd15-b0b9f8c5d95e)) (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 139 "unconnected-(U5-B6-Pad12)") (pinfunction "B6") (pintype "bidirectional+no_connect") (tstamp 2db592cd-caf5-4290-bbbd-b2658bc8c504)) + (net 139 "unconnected-(U5-B6-Pad12)") (pinfunction "B6") (pintype "bidirectional+no_connect") (tstamp 79401d6e-22fc-4096-9431-4308c6f4e4bd)) (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 103 "/RR~{W}in") (pinfunction "B5") (pintype "bidirectional") (tstamp 3ec085b0-e5fd-4b55-b895-0f5c3b414f9f)) + (net 103 "/RR~{W}in") (pinfunction "B5") (pintype "bidirectional") (tstamp de06493b-3cee-41db-bbd5-60464b8cc244)) (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 72 "/R~{RES}") (pinfunction "B4") (pintype "bidirectional") (tstamp 6bbaf1fb-1663-458b-9742-c149319daeee)) + (net 72 "/R~{RES}") (pinfunction "B4") (pintype "bidirectional") (tstamp aa098e3a-9df6-4f76-bc77-13766e801102)) (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "/R~{IOSTRB}") (pinfunction "B3") (pintype "bidirectional") (tstamp 059cf10d-ce40-470b-b969-eeb30c92d7f4)) + (net 73 "/R~{IOSTRB}") (pinfunction "B3") (pintype "bidirectional") (tstamp 38d7184c-b762-4f60-b02a-4c0d603c9ed4)) (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 124 "/RPHI0") (pinfunction "B2") (pintype "bidirectional") (tstamp 78cb4d23-67f0-41ce-8bb0-a55935cc720a)) + (net 124 "/RPHI0") (pinfunction "B2") (pintype "bidirectional") (tstamp 215b9999-8254-4882-aa9a-f66162dcb90d)) (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 74 "/R~{DEVSEL}") (pinfunction "B1") (pintype "bidirectional") (tstamp aa793292-f534-4e06-abe9-9f621880f578)) + (net 74 "/R~{DEVSEL}") (pinfunction "B1") (pintype "bidirectional") (tstamp a6454208-85e3-40f3-80d9-c335acac41b7)) (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 75 "/R~{IOSEL}") (pinfunction "B0") (pintype "bidirectional") (tstamp c51f5c5e-0de0-4357-b4ee-1f7edc2bf9cb)) + (net 75 "/R~{IOSEL}") (pinfunction "B0") (pintype "bidirectional") (tstamp 1e2f195c-f19f-4a46-956b-b5767c52a8e5)) (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 54e977f4-0fdc-482e-987c-44d55df9493f)) + (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp b69976aa-33cb-4542-882c-7040f83fc6bc)) (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp f5dcce9f-6470-4291-8a77-cc206b3c7fa2)) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp f973805d-d9f7-4217-982a-c6a954d665a7)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5307,7 +5244,7 @@ (tstamp e739df97-6bb5-4d9d-88a2-7e0e3ab1ea20) (at 68.45 100.45 180) (tags "resistor") - (property "LCSC Part" "") + (property "LCSC Part" "C4190") (property "Sheetfile" "GR8RAM.kicad_sch") (property "Sheetname" "") (property "ki_description" "Resistor, small symbol") @@ -5316,42 +5253,42 @@ (solder_mask_margin 0.05) (solder_paste_margin -0.05) (attr smd) - (fp_text reference "R20" (at 0 0) (layer "F.Fab") + (fp_text reference "R20" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 974e82b9-def0-49c0-a6c1-805a9b343adb) + (tstamp f6d49a60-cccc-4fcc-851d-772e2197d170) ) - (fp_text value "2k2" (at 0 0.25) (layer "F.Fab") + (fp_text value "2k2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp fd3e1073-9957-4dfe-af98-358d3f90735b) + (tstamp e1dcab7a-e7ef-4f57-938c-d64d6344a48a) ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp bc4dc881-b485-40b1-bd0f-9b316e3b4339) + (tstamp fdd17907-3942-4f49-8f1f-4fabd88be780) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 905e4d9c-eeaf-46c4-acb0-f5d2d31db568)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 77f9efb3-ff76-470b-919b-eff826514880)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e69740c-b279-4c28-8d83-ab2ae647333f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 43f10c90-b35b-4722-841f-13195e102f7d)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fe4d4bd0-3f94-461d-b51b-08cd358ac898)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 506a6d83-338b-4bcd-8431-2956ff971bd5)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c5546bff-fb19-41a7-be14-696915771c4a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2e6556e1-83aa-4365-abe6-e22d63aba64e)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 861d79ff-3b9a-4157-8782-cc7a968e48d0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b84190e2-4e95-4756-b9c9-09caa57454ce)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e6e59bf2-2d99-41ac-ae3d-3bbe8ae6b3d5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 846f312f-ef4f-4803-a6e6-e0534401e0db)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 81f77197-532a-4bcf-a64f-94335196d246)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 122a76bd-1f10-4921-81c0-0e430d279772)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3925bef5-b802-4934-bda8-ae5ea38d7942)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6c7d3386-0790-4285-bbdb-305314e92b74)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp be7c9fbf-c50b-4455-83f4-f4b7a6510896)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c80e87f2-9bac-43f8-b6c5-fe799bc8fdbc)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5bc12dfe-9c13-477f-bb54-168a38ab016c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 61739a7a-5809-4a0c-8b1b-0a1f6c6cebdc)) (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 150 "/~{JTAGEN}") (pintype "passive") (tstamp e92eea5d-7e67-45ad-9533-dd0611a4b4d8)) + (net 148 "/~{JTAGEN}") (pintype "passive") (tstamp a00a67d2-f514-465a-8f7e-c3043e99ede9)) (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 47e44415-1ea0-4b6b-860b-fc73f373369a)) + (net 2 "GND") (pintype "passive") (tstamp 5339a0e4-42c8-41e3-b90b-11ec89e69940)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5374,40 +5311,40 @@ (attr smd) (fp_text reference "R12" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp c9b6249e-5106-41fe-9eee-d075d75d1b7e) + (tstamp a46a4e57-039b-4ec9-8772-859799a2a08b) ) (fp_text value "47" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp a47b2472-edd7-457e-965c-9dbdbc95893e) + (tstamp 641d917a-8bf4-412e-86f0-0eb345860c07) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 44e61c56-fc8c-4d5a-823e-0a304cd7a8d4) + (tstamp b3e97cb6-8646-4867-a98a-d62e138c3963) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp acfb7482-6025-4bea-9459-8b40f06d3768)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c7c7097e-5cf0-43df-8584-2f9a7836c76e)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f498ee64-486d-4de2-a2f6-44989f4f215c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00d4fd07-c82a-44ed-9ffc-b18b6ac8dbe5)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58300f0d-53d9-423e-b4b8-5e3308c7d7cf)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a724f69d-e112-4d96-99fe-bfadaaefc650)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3f6e56e5-d03f-4681-961c-33c8cb09e246)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b82b7f00-0b20-4abb-a4de-fc6beada51be)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32b719d6-5d20-40a5-ad8b-2d013e8377d0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7c336dac-4d15-4cda-8b33-9da43bd420e0)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 47b33066-19f9-4cad-b70b-27e73641cc20)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b1f0f139-e5bf-4bfe-be42-e078fc6a6a33)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dfc1e110-cc0a-40b8-90b8-e2d7c4e8fff6)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8e5dfe1e-1a9a-4432-ba36-44d001236de3)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 21b181ac-e2c7-4032-84ee-cdc0cf4870b8)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f314461b-a2c1-466c-89e0-7696d49292d8)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4fc2aaa7-4ff2-4c86-9282-98c032543436)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fd9e5368-fbe1-4b9f-99eb-6f703d04337b)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 929d0086-4387-403d-87e9-0d2dd014dc8f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp da04d18b-8fc9-4acf-82d7-e0493b05f7a9)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 144 "Net-(J2-Pin_1)") (pintype "passive") (tstamp e1502880-a764-444a-9a18-340b878bdad3)) + (net 132 "Net-(J4-Pin_1)") (pintype "passive") (tstamp f25695b5-6ec5-419d-bed0-14da028c4280)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 46 "/TCK") (pintype "passive") (tstamp 087de6cd-0605-4604-a740-10136f7728bb)) + (net 46 "/TCK") (pintype "passive") (tstamp ddd60aa4-a4da-4e7c-8b15-6a0ecd720326)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5428,42 +5365,42 @@ (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference "C19" (at 0 0 90) (layer "F.Fab") + (fp_text reference "C19" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 1b65b681-fe3f-4b2c-8a3d-166b07f4688e) + (tstamp 01ba2791-4080-4b67-8699-18e981735fb2) ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp eba614c9-e28b-4ac0-b8e2-f01c64fef1cf) + (tstamp 871d4fec-0d34-4476-abb0-571d6b5ca6b6) ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 074240be-7cf5-48e1-a8b9-809623606aba) + (tstamp ffae0ad0-b930-41be-bbdf-c46fa6dec7b1) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e8358afe-d8a3-419c-8ad7-c32e7dd2e5b2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 71791007-6704-4cd8-bd84-01a6f828b07b)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 09093eef-227f-4a70-9566-c75db1defc2c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2d75e899-00e3-4b67-8c4b-80b32944c8a6)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 99b4e128-74ea-4632-aeb4-02a49800b0df)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d087612c-1d62-4256-9f51-c93fc6ab9bc9)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b898491a-637b-4001-9c70-c710071e4315)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4f952230-0da5-470a-a54f-207f891066eb)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2e246b8a-c8d8-493e-b624-0906bd107b29)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e8afccf1-40a4-4048-bbd9-4399ea7c9345)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c73d7979-57cf-45be-9410-00bef5a6cdcf)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ac00ed3d-f90f-4bee-836a-d5c10d0a9af7)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp febbe604-507f-4eaa-87a7-85a1dbda0132)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 559cf86d-5c22-439c-a238-17af9a6ee0d2)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cf878516-4f90-4c4b-9b2c-ac227573e312)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c76c7261-0ff3-480b-bb40-4a292fb58d90)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fb871071-06fa-4e84-b9d8-9167dad06019)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6686a91d-866a-42c5-a3de-5bdb2286e637)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a4e7e25a-be98-4bd5-a689-2170be3d8f8a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ab80b5ec-b0f4-4740-ae21-e92f9959a45e)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 151 "+1V2") (pintype "passive") (tstamp 33d82c07-35a8-4943-8d87-ec1e15d0b151)) + (net 149 "+1V2") (pintype "passive") (tstamp d884ac10-eac5-495f-8058-342cfe3d933a)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp cd70c3ad-b009-422a-9ae8-944bd43ed64d)) + (net 2 "GND") (pintype "passive") (tstamp ac9e6caf-ff62-4302-96be-0b5d750738d5)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5486,40 +5423,40 @@ (attr smd) (fp_text reference "C7" (at 0 0 270) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 42a22975-6535-4681-b8e8-da9807c74341) + (tstamp c61303bd-5630-4287-a5e8-17810c892958) ) (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp b2ca5099-6bfd-4e26-949b-fe335a71f111) + (tstamp d1d3aeee-f86e-4987-b408-3b075a80dc89) ) (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp db80120d-8a9d-42c7-9ab0-22875683ccbd) + (tstamp a5ccdada-92c4-408a-b04d-4f80ebe80382) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 4db05ef0-f00d-4dfc-a7e2-9b13d13482cb)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 4aab1d52-17d3-426b-aead-561defaa07e1)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 4221116c-eb4d-499c-a47b-511917d8f617)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp fa92ae9a-6fa0-4329-a284-a90ed92cd857)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0f522515-62c3-49b2-a774-6fff7c4dc0ea)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 98ef9112-5830-43d8-a5a9-fbf8203b9868)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5a7fe039-1254-4299-8641-11e264bae0f5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ccd1f31c-6d04-4ec1-9212-7a56903e1204)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 40bac4e2-d7ca-4602-846f-7eaa34599d40)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1093e311-b478-4e29-8e39-e27521d9d2dd)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp da225667-2ce3-411a-ae03-b0504d044ebf)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b5b8f9a9-fbc0-4928-a980-831205b5c487)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c0108fd9-cadf-4b8d-9bb0-aa4dc8c3a561)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bd9df004-28a8-44a0-913f-133f9f601e36)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 74ba2eb6-2d5d-41bc-8392-9cfdba34899c)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a6f347d1-03e7-4295-b22b-ac1a20ba6ced)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5ebf5e88-55ec-4449-b631-942bbec049d6)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3f96ade4-205c-4497-b09e-7f14f3cd15af)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e3b7dfd0-cc2c-4034-a49e-994b258655d5)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 79c7d42f-2529-4348-af12-098431137740)) (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "+5V") (pintype "passive") (tstamp da2e2de3-761d-4cde-b220-aec29b1a51d2)) + (net 1 "+5V") (pintype "passive") (tstamp a7f0fd17-91a1-4813-bb95-6d7e24c20d3c)) (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 991ccbac-cf90-4c89-a778-86c272666060)) + (net 2 "GND") (pintype "passive") (tstamp 86f8934c-90e3-4fa1-8891-78cb10c05bcb)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5542,40 +5479,40 @@ (attr smd) (fp_text reference "C21" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 523dc316-e156-49e0-8141-ce6733129af4) + (tstamp aafcaf21-c32a-46f8-bdbe-11ed1c6fa2ed) ) (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 8f78f3c5-1ea9-4c94-91fd-0da01f54f58f) + (tstamp bcefcfaf-3799-436e-afcb-299bdc04e62c) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 2d1b48f1-d92c-4822-be79-888c1b64542c) + (tstamp 5232fd0f-21f0-4da5-b714-269d61c1257f) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6b74318d-1d95-4632-a8a8-05762cd5249a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3fdaece5-6dbf-4860-9fc4-a53de74b42bb)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 37ed23b5-5653-441e-ad0d-f0fcb3cc84e0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f8fdb660-1053-4f14-8935-e02459af04fe)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b2b445de-2cd1-4344-8b4f-915679d7230d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c8841e21-510d-4ce9-b279-440534f608a0)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 53c2df05-4120-4706-bd86-41f294bfb36a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f32520a6-2c95-4148-8c0c-76a9c05c74ad)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 22c67ede-7183-45d0-9963-500fe88cdf5c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp db196634-52f5-4d7b-8b88-c3ff7ab65c05)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2e7b4c4a-3820-4937-8f2c-e009c16ae856)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 53be2249-6f03-4c6c-8ed6-15007be20187)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 90117214-012e-4cf8-84ec-97fb6d2b1a9d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d1b302f0-81d2-4925-bf51-5ceded11d8c7)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ebafbe23-10f7-4f24-b16d-e52700baeb88)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2b499284-be05-4083-bf2f-fbb98cdf9c3c)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b639e65d-6f30-4f1d-a5cf-612e66a7f649)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 019886f6-070c-408d-a28a-c30429108f04)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0b27154f-8f32-4be6-9871-59fe0f62bb83)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 78437a42-97b4-40a7-9142-0bdf9b63be07)) (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp eeff1d26-9049-4eed-89ea-133b6cb72374)) + (net 70 "+3V3") (pintype "passive") (tstamp 5f62e04b-c31f-42ac-90c1-a6c1080c14cf)) (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 464a3db7-dd52-46e6-ae7f-e8afd9ff5e0e)) + (net 2 "GND") (pintype "passive") (tstamp 519ee24f-ac37-41fd-aad7-8f9a5bd1680a)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5599,40 +5536,40 @@ (attr smd) (fp_text reference "C37" (at 0 0 270) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 49c5e771-5a1f-4974-9355-3cdaec230d75) + (tstamp 98653139-aa07-4496-8f68-53bff4b3d83d) ) (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 41051ead-b607-4c9c-871e-83c747c4d388) + (tstamp aa1fce28-73e2-4961-96d4-18af1d4b3282) ) (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 9947868b-acef-4b03-b04e-2a00934532d2) + (tstamp 9b0f143b-ffa5-4392-bcea-72e08874af6a) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ed7ce568-524b-41bd-a8f5-747475e34e11)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp e914a88b-5d75-4b42-9e65-6a0cd7d4403f)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 03988c88-394d-4177-8a92-5f8da6bd8cce)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 80dc9d3a-ac90-4cbf-8570-f9bd5584e7c9)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 59a25ef0-e273-456e-9034-5cc49f09ac32)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 141e605d-b0db-4c15-a3c0-e075baabd3af)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 01cfaf8c-7921-40fb-ba9c-086079d9b635)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b94ccdb0-7d7f-4d58-92d6-b577e0cd5c20)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32f137b5-a1e6-443e-9060-431418d35441)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 69abace0-986e-406c-b8bb-88b2afae7646)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a3006712-aa35-4712-b745-2e42b852e1e5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f4dba6e7-5754-4493-8b26-7dc363297c61)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2351d9e7-8565-4787-bc17-92c5e081993e)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5574e3e9-d2df-40b5-b884-7f10f290a644)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 40e331c4-fa6a-42f9-b043-693a1ea6c3c2)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 8cbf1d41-ba4b-4854-88b0-e52d4411ef2b)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a92cf457-ee80-4a9c-8693-12b89dbbb732)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1b193e55-5de7-44ec-b961-7834654dca0a)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 68ac8712-b084-401d-9770-f8aed66230ac)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d8155d4f-c9bb-4038-a4e1-9ef81a0f9595)) (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 151 "+1V2") (pintype "passive") (tstamp 921f4444-049e-4afa-84ec-c4658b258b2d)) + (net 149 "+1V2") (pintype "passive") (tstamp 8791faa1-4de1-4141-a889-f2d23a6088ce)) (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 68c56f22-fe40-43ac-92b7-b3c22808b09d)) + (net 2 "GND") (pintype "passive") (tstamp dff03d73-29b7-4635-991f-215cd0103ffc)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5655,40 +5592,40 @@ (attr smd) (fp_text reference "C13" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 52fd44b4-6895-4ed6-8500-ff205e75ad60) + (tstamp 6e61cc22-0058-47b0-9ec9-377053c0773d) ) (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp b5efd421-abbf-45fb-90ee-8bb1f00f81ef) + (tstamp 893358c5-b577-46ad-8344-2105b95f5853) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 34d1602c-57ca-49bb-a35d-8596c198954a) + (tstamp 583f02d7-220c-44ed-b5c4-2be4db2a24bc) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7a850268-2e14-408e-98f9-3192a66ad8b9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a8ce89e3-eefd-4a06-84bd-62e56ff83c3a)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f6448918-3779-431f-b502-c38d286d99d9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f4736301-5770-4d2d-b8f8-06298312e436)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 69b9806a-4e1f-47a7-a9aa-d481ad9a5e36)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1c484d0f-5893-4083-8902-cc3595ef0ec0)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp edd1d548-8121-4a80-a785-6e11603ddb38)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f05b2364-3c87-4bc9-aba1-0eafbd373ae1)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7c325bff-5db7-4727-ac96-d5e8dfafd005)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 09557e9b-911a-40fc-b1e9-4d8d5669d95c)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3967d5a3-1215-4b90-8fd1-4fbbb41f9793)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d6dba6f3-f47e-4c31-ba2f-2c7a145bb791)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c62d07f4-0324-4823-a765-e45b7330b476)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f47d2639-aa92-4d63-89af-06a605acc214)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0eaf852-60ac-4df5-98c6-9b445e38b6cb)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 57416406-359e-47a0-8e00-eb328acd9253)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 56237e75-9456-4ca1-91e1-bf9b3c53a3a0)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0823580-ecff-423a-bbfb-69d9f00a68eb)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c01d06a9-c75d-4bca-8723-b3fd5eb86897)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 14a2e5c8-a388-487f-b8ac-5336d580a72b)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 5e9b812f-1965-48e1-9614-2be01e537065)) + (net 70 "+3V3") (pintype "passive") (tstamp 532b6fbf-ad9c-4c24-a483-53d293cbe9d5)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 38e1807f-db7a-4a31-9fc0-1e14c5a2afb2)) + (net 2 "GND") (pintype "passive") (tstamp 36b1516b-a852-4d3c-a464-96951fc1e95b)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -8944,40 +8881,40 @@ (attr smd) (fp_text reference "C29" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 334df495-381d-4cfb-9469-5b9b040d75c9) + (tstamp 78d56c81-47fa-4200-8969-1198ea896334) ) (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp d6f53d52-91cf-4a24-8aeb-800182af16a2) + (tstamp ccd177ea-9944-495c-8e18-69573499d19a) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 3691bd8d-110a-48eb-a9dc-caa9190c49fd) + (tstamp 073f0c87-9c2f-4ad1-9ecd-730359dcc2f3) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 80ec472a-443a-4405-a6f1-7a160282120a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b7b08e2-e412-431c-91e3-b7dd014f6251)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0d220369-092a-48ac-ab61-ff3d5b9bc34c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cdc7aef8-b9e5-4b16-9c11-c87825efb252)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fdf98dd9-3405-4778-9583-ae75f131d9a7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp da8782c4-ff24-4e29-8738-c79f5817b67f)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 98d39742-130f-4874-82ff-31a42e9dbe87)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp abeffab5-6402-4914-9fdc-3d37d7e1b84d)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3561524e-38e9-43f4-ae47-4b2029167bfd)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0cf02776-7c88-47b6-a63b-80578cb1783e)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0726041b-bb70-4364-8dd8-a2cecf1f3e19)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d8fc417e-6ded-4201-976b-2d652ab0bee4)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b738f0cb-0456-461c-a774-9ca720b2a239)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a62fcfee-4c40-4785-a4f0-efe69d0a6d93)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d5875217-2d3b-4d3e-9d81-10df71151a5a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3c556f69-b903-4dac-9ffb-490ac100e8c6)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp de8fdfd8-851a-49f9-82ac-ce250e1d2b68)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ba3c152a-79b9-4133-a316-d0a3513847db)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7432ccf1-5a70-41c3-9eea-f995fa41a041)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d144b483-6dd6-464f-95e5-7358aaed6f3f)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "+3V3") (pintype "passive") (tstamp 4eb889f1-c2df-4df6-b136-d60f827cb15d)) + (net 70 "+3V3") (pintype "passive") (tstamp 739ff155-e4a6-49ab-acfb-24a9caed6b1a)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (pintype "passive") (tstamp 6f246cfc-6b9f-413f-8011-94016ec07642)) + (net 2 "GND") (pintype "passive") (tstamp c50acfe2-013f-4acb-9b25-77a3624b263e)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -12456,62 +12393,62 @@ (property "ki_keywords" "connector") (path "/00000000-0000-0000-0000-00005e4199b1") (attr exclude_from_pos_files) - (fp_text reference "J2" (at 0 -5 90) (layer "B.SilkS") hide + (fp_text reference "J4" (at 0 -5 90) (layer "B.SilkS") hide (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 19db510e-f648-42f7-b4d4-1ddb5a837d42) + (tstamp c45d2499-b76d-405d-ae4a-3bbcafcd5880) ) (fp_text value "JTAG" (at 0 4.8 90) (layer "B.Fab") (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp 68116745-0a1c-46d1-b64a-44616a010db7) + (tstamp ce36f23c-dcf6-4577-8e9c-340dcf1a2033) ) (fp_text user "KEEPOUT" (at 0 0 90) (layer "Cmts.User") (effects (font (size 0.4 0.4) (thickness 0.07))) - (tstamp ac0f5d96-be47-4b88-992d-76ae9f6d0b45) + (tstamp f757deb5-66fe-4472-a33d-c3dcb9f55d75) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp dd471340-b994-4070-8066-88ab7b53111a) + (tstamp fe755747-3527-4314-89d8-8f78ddab4637) ) (fp_line (start -3.175 -1.27) (end -3.175 -0.635) - (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp b8508cbb-e2a7-4437-977d-043c62c0b75e)) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp cf8a3889-68e8-4b12-8908-c51050b2213c)) (fp_line (start -2.54 -1.27) (end -3.175 -1.27) - (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp a08d6ab8-ffa7-413c-a95f-0b556d3864f6)) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 3f71116b-0122-45a0-9863-f616a42dfc6e)) (fp_line (start -5.5 -4.25) (end -5.5 4.25) - (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 67a6bbc8-82e6-48ec-9c7f-4f9c9559c080)) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 32ee579a-c840-4e0b-a674-0a5f21981b89)) (fp_line (start -5.5 4.25) (end 4.75 4.25) - (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp c424dd56-b5ca-46a9-a1c1-43a68dcf3b69)) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp b1566e4e-a717-4bab-a4b1-2e8969c124d5)) (fp_line (start 4.75 -4.25) (end -5.5 -4.25) - (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 609e4151-0fe8-4bc5-9a70-7b32885581d0)) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp f69a97fd-9b25-42c8-b87f-258f4addf37c)) (fp_line (start 4.75 4.25) (end 4.75 -4.25) - (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 9ed4f18a-0a6a-42c2-b6ff-fd2941818e92)) - (pad "" np_thru_hole circle (at -3.81 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp e205c9a9-81d1-40b9-be92-df42984390f8)) - (pad "" np_thru_hole circle (at -3.81 0 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 7a31f67e-efe8-473c-9ca7-acb7a96a7995)) - (pad "" np_thru_hole circle (at -3.81 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp ceb81d8c-6fb5-4ca5-86c7-e78da490b37b)) - (pad "" np_thru_hole circle (at 1.905 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 715d5487-b5dd-4e68-8e86-e2e30e1c0b35)) - (pad "" np_thru_hole circle (at 1.905 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 44fa0cb8-e0fb-40e1-b5b1-f29316fb1fa1)) - (pad "" np_thru_hole circle (at 3.81 -1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp f56ed743-4cb4-4209-a8a7-f6de61d060ce)) - (pad "" np_thru_hole circle (at 3.81 1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 77674813-9ba3-4e4a-9b5c-2ea087f421f2)) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp a0f47ef7-1379-4211-82e4-5b6db6b41bc2)) + (pad "" np_thru_hole circle (at -3.81 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp a5be43ab-4321-4e5e-badb-0419562afa77)) + (pad "" np_thru_hole circle (at -3.81 0 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 190adcd1-b3de-429c-8671-ec868a82451b)) + (pad "" np_thru_hole circle (at -3.81 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 6ec0009e-f569-4704-ac0c-ab4e36f145f6)) + (pad "" np_thru_hole circle (at 1.905 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 4f021d10-f143-46fc-b3e5-675bc67e8652)) + (pad "" np_thru_hole circle (at 1.905 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp eb294d82-1a2e-4419-8009-f68cbfd3e252)) + (pad "" np_thru_hole circle (at 3.81 -1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp f930a4e7-7c4a-4e30-be2f-b4eabcdff831)) + (pad "" np_thru_hole circle (at 3.81 1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp e02822a8-c4d1-4af0-b357-8d79b342e68f)) (pad "1" connect circle (at -2.54 -0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 144 "Net-(J2-Pin_1)") (pinfunction "Pin_1") (pintype "passive") (tstamp 268ba742-d0da-485b-9f5a-9884773a89b4)) + (net 132 "Net-(J4-Pin_1)") (pinfunction "Pin_1") (pintype "passive") (tstamp ca5cf9e4-9c6a-4f4b-a187-6ceae708523a)) (pad "2" connect circle (at -1.27 -0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 2 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 21a904ff-4193-4cd8-8392-b2dffe97562a)) + (net 2 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 51c97e33-abec-4cbe-a8e2-864ea9ec2e7d)) (pad "3" connect circle (at 0 -0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 48 "/TMS") (pinfunction "Pin_3") (pintype "passive") (tstamp fb46eb02-6abf-4903-94b6-0b9bd59a2b11)) + (net 48 "/TMS") (pinfunction "Pin_3") (pintype "passive") (tstamp 81a8c606-fac4-4141-8d1d-bd5a46680546)) (pad "4" connect circle (at 1.27 -0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 2 "GND") (pinfunction "Pin_4") (pintype "passive") (tstamp db41d605-6ed8-422d-9800-18ff96bda888)) + (net 2 "GND") (pinfunction "Pin_4") (pintype "passive") (tstamp 68b0b6c0-7d4d-4442-a3b2-11717afebd7f)) (pad "5" connect circle (at 2.54 -0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 49 "/TDI") (pinfunction "Pin_5") (pintype "passive") (tstamp b704ffcd-9188-4c43-94f2-4baafe5c966f)) + (net 49 "/TDI") (pinfunction "Pin_5") (pintype "passive") (tstamp 3de372f7-b551-471a-b92a-e57217e3be2e)) (pad "6" connect circle (at 2.54 0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 70 "+3V3") (pinfunction "Pin_6") (pintype "passive") (tstamp bbe0d39c-d63a-4a08-a7d5-1fc8dbc7d88b)) + (net 70 "+3V3") (pinfunction "Pin_6") (pintype "passive") (tstamp 67f18351-f11a-4cf9-829e-8ed6a4226a46)) (pad "7" connect circle (at 1.27 0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 47 "/TDO") (pinfunction "Pin_7") (pintype "passive") (tstamp 2d1f4477-f190-4413-8f6b-f7d14e6df755)) + (net 47 "/TDO") (pinfunction "Pin_7") (pintype "passive") (tstamp 4d7f0b4f-64cf-4d2b-ae1a-3b4e4788709d)) (pad "8" connect circle (at 0 0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 128 "unconnected-(J2-Pin_8-Pad8)") (pinfunction "Pin_8") (pintype "passive+no_connect") (tstamp 391bf667-7dbc-4ed8-8779-9baa6e685995)) + (net 133 "unconnected-(J4-Pin_8-Pad8)") (pinfunction "Pin_8") (pintype "passive+no_connect") (tstamp 24244f0e-991c-4291-bb30-7b0fe6c04fe4)) (pad "9" connect circle (at -1.27 0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 126 "unconnected-(J2-Pin_9-Pad9)") (pinfunction "Pin_9") (pintype "passive+no_connect") (tstamp 659e255c-92d7-4475-aeeb-5b687b9ebf06)) + (net 134 "unconnected-(J4-Pin_9-Pad9)") (pinfunction "Pin_9") (pintype "passive+no_connect") (tstamp 8b2f47dc-88cf-4f91-82c6-93c69d2e225d)) (pad "10" connect circle (at -2.54 0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 127 "unconnected-(J2-Pin_10-Pad10)") (pinfunction "Pin_10") (pintype "passive+no_connect") (tstamp c9249315-cd6c-4628-8368-78b2e73922d9)) - (zone (net 0) (net_name "") (layer "B.Cu") (tstamp a030150f-81fc-4aa1-8a67-d760ad4804e4) (hatch full 0.508) + (net 143 "unconnected-(J4-Pin_10-Pad10)") (pinfunction "Pin_10") (pintype "passive+no_connect") (tstamp 7fda9607-a2de-45b8-a126-182496eff6d9)) + (zone (net 0) (net_name "") (layer "B.Cu") (tstamp 893ff191-0a24-4f3a-8b6a-0745bc5ebe7a) (hatch full 0.508) (connect_pads (clearance 0)) (min_thickness 0.254) (filled_areas_thickness no) (keepout (tracks allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints not_allowed)) @@ -12527,6 +12464,24 @@ ) ) + (gr_line (start 143.383 102.362) (end 143.002 101.981) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 3b60aa7e-c575-44eb-85a1-8fdd2d269c7d)) + (gr_line (start 142.113 116.459) (end 143.383 116.459) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 8d19ad26-2389-4662-a676-d24fe9b656a8)) + (gr_line (start 143.383 116.459) (end 143.002 116.84) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 9542fd2e-2292-468d-894a-f26d30532a2c)) + (gr_line (start 142.113 102.362) (end 143.383 102.362) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp bfdea041-d0bf-4540-88d3-f21116a27ccd)) + (gr_line (start 143.383 116.459) (end 143.002 116.078) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp c0c32f54-af9a-4fee-9b94-2382e59c60d4)) + (gr_line (start 143.383 102.362) (end 143.002 102.743) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp e0086954-3cd0-4412-986f-bcd4ac8c1727)) + (gr_line (start 141.732 117.6655) (end 143.637 118.745) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp ee0248e8-d930-43fe-bc89-71b7da951fc1)) + (gr_line (start 143.637 118.745) (end 141.732 119.8245) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp f7ac579f-66a1-401b-a459-11bdef3cb6a4)) + (gr_line (start 141.732 119.8245) (end 141.732 117.6655) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp f9770c9a-cf86-4c26-beb3-4e2eca93a19b)) (gr_line (start 129.032 110.49) (end 129.032 108.712) (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 1dc77f56-39c6-4931-9914-62fb66184291)) (gr_line (start 129.032 107.95) (end 129.032 106.172) @@ -12627,10 +12582,16 @@ (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp d1644852-b4c5-4bb1-af22-97a63f1905b6)) (gr_arc (start 45.593 93.599) (mid 45.723345 92.795781) (end 46.101001 92.074999) (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp e7f3912a-92ea-40d8-b15d-b012d4c2b90a)) + (gr_text "!" (at 142.4305 118.745 -90) (layer "B.SilkS") (tstamp 1f87e6e9-963e-43ce-bc37-ce00b13814bb) + (effects (font (size 0.8128 0.8128) (thickness 0.1905)) (justify mirror)) + ) + (gr_text "Toward Rear" (at 142.621 109.347 -90) (layer "B.SilkS") (tstamp 35550233-7e0e-440f-83a0-f8a43bb0b80f) + (effects (font (size 1.27 1.27) (thickness 0.2)) (justify mirror)) + ) (gr_text "ZK, GF" (at 132.461 129.921) (layer "B.SilkS") (tstamp 7a17f3a3-d393-4254-8e0d-4675b63e33a0) (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) ) - (gr_text "B10B" (at 71.755 129.921) (layer "B.SilkS") (tstamp f293dcdc-0078-4171-acc4-c0bec3e55ed2) + (gr_text "B10C" (at 71.755 129.921) (layer "B.SilkS") (tstamp f293dcdc-0078-4171-acc4-c0bec3e55ed2) (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) ) (gr_text "Firmware Select:" (at 135.636 102.235) (layer "F.SilkS") (tstamp 288591eb-e0b4-4f0a-a2ab-ca51785dc45d) @@ -12639,6 +12600,9 @@ (gr_text "1 MB Slinky" (at 131.318 104.521) (layer "F.SilkS") (tstamp 5f8645f3-0da2-4b8f-9941-bc3e13c84bec) (effects (font (size 0.9525 0.9525) (thickness 0.2032)) (justify left)) ) + (gr_text "JLCJLCJLC" (at 92.8 101.4 90) (layer "F.SilkS") (tstamp 6d725ab9-250c-433c-adaf-c91ab3befef9) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) (gr_text "8 MB RAMFactor" (at 131.318 109.601) (layer "F.SilkS") (tstamp 858864ab-3393-45e6-8d98-b721775db8a6) (effects (font (size 0.9525 0.9) (thickness 0.2032)) (justify left)) ) @@ -12646,42 +12610,99 @@ (effects (font (size 0.9 0.9525) (thickness 0.2032)) (justify left)) ) + (segment (start 116.5 122.35) (end 117.2 122.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp 03dcccfe-88d2-4adf-8f33-107f883215f8)) (segment (start 137.3 126.378) (end 137.922 127) (width 0.8) (layer "F.Cu") (net 1) (tstamp 06241d46-5e1c-4813-afa7-4eb2af315151)) (segment (start 137.16 127) (end 137.75 127) (width 0.8) (layer "F.Cu") (net 1) (tstamp 11a2f776-5332-456e-ab0c-d55470632cc6)) + (segment (start 115 122.2) (end 115 123.1) (width 0.4) (layer "F.Cu") (net 1) (tstamp 20237107-7440-4c37-a5e8-fd3dcbffedc5)) (segment (start 137.3 124.2) (end 137.3 126.86) (width 0.8) (layer "F.Cu") (net 1) (tstamp 31feea27-63b9-4899-b34d-76f81b91c6cd)) (segment (start 137.3 124.2) (end 138.25 124.2) (width 0.8) (layer "F.Cu") (net 1) (tstamp 411d2afc-4f44-4af0-aded-b0c12da4a073)) + (segment (start 121.2 122.35) (end 121.2 123.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 4feb38bc-4431-4c85-9535-316804e01bab)) + (segment (start 121.9 122.35) (end 122.05 122.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp 50af49cc-7f36-485c-bd0d-33633eea7668)) (segment (start 137.16 135.382) (end 137.16 129.794) (width 1.524) (layer "F.Cu") (net 1) (tstamp 50d7ff85-ed28-4ca3-ac32-abe641d81044)) (segment (start 137.16 128.27) (end 137.16 129.794) (width 0.8) (layer "F.Cu") (net 1) (tstamp 604e7d9c-6d11-4f75-9ce6-64024173a23b)) (segment (start 137.668 129.794) (end 138.303 129.159) (width 0.8) (layer "F.Cu") (net 1) (tstamp 66032601-1b35-4e5f-9915-554522951112)) + (segment (start 121.2 122.35) (end 121.9 122.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp 66c0a216-f806-4dd5-a491-a6ca56aa8864)) (segment (start 138.303 127.381) (end 138.303 128.27) (width 0.8) (layer "F.Cu") (net 1) (tstamp 6bed2c3e-1d2d-488b-a1b7-c4938bcb4aa7)) (segment (start 137.16 130.937) (end 137.16 135.382) (width 0.8) (layer "F.Cu") (net 1) (tstamp 6c471c9e-a894-4697-b5f9-0b2b686f78e8)) (segment (start 137.16 128.27) (end 137.16 127) (width 0.762) (layer "F.Cu") (net 1) (tstamp 6e5d014e-1560-4057-97af-60d23a39f2f2)) + (segment (start 115.7 122.35) (end 115.55 122.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp 75a689b4-4549-4033-bf25-ff4d01c45eb1)) + (segment (start 121.2 122.35) (end 120.4 122.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp 7c1381a1-9427-4cb3-8db1-7b26ddddfeb4)) (segment (start 137.922 127) (end 138.303 127.381) (width 0.8) (layer "F.Cu") (net 1) (tstamp 81367138-1f84-4d47-8da2-af30a1b1f8a5)) + (segment (start 119.65 122.2) (end 120.25 122.2) (width 0.4) (layer "F.Cu") (net 1) (tstamp 8b334a5b-f873-4635-af5b-05a6e8f8007a)) (segment (start 137.3 124.2) (end 137.3 126.378) (width 0.8) (layer "F.Cu") (net 1) (tstamp 8e8b8d37-acd4-4221-99ac-8920c0b8635d)) + (segment (start 115 122.2) (end 115.55 122.2) (width 0.4) (layer "F.Cu") (net 1) (tstamp 942b2a5d-6b34-45af-8794-4b9496627a21)) + (segment (start 120.4 122.35) (end 120.25 122.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp a09aa31a-bc1b-4760-bc93-aecd04af86db)) (segment (start 139.1 125.05) (end 140.1 125.05) (width 0.8) (layer "F.Cu") (net 1) (tstamp a802a026-92eb-46da-b10d-2bc040baa4c4)) + (segment (start 116.5 122.35) (end 115.7 122.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp cfeee019-92c8-4ce0-98fa-7b70839234bb)) (segment (start 138.303 129.159) (end 138.303 128.27) (width 0.8) (layer "F.Cu") (net 1) (tstamp df274c2a-7b37-419c-88e8-820b3c2b5da1)) (segment (start 137.16 129.794) (end 137.668 129.794) (width 0.8) (layer "F.Cu") (net 1) (tstamp e04c1b1b-f9ba-4354-9b69-1ef01f812d66)) (segment (start 138.25 124.2) (end 139.1 125.05) (width 0.8) (layer "F.Cu") (net 1) (tstamp eae122ae-0fa5-409a-8b02-09a75f5186d5)) + (segment (start 116.5 122.35) (end 116.5 123.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp ec4b722e-d5fb-4955-86b8-9a4d8146333b)) (segment (start 137.16 127) (end 137.922 127) (width 0.8) (layer "F.Cu") (net 1) (tstamp f3fd1041-9ad6-4f2a-8f8e-9c86bffa562d)) (segment (start 137.3 126.86) (end 137.16 127) (width 0.8) (layer "F.Cu") (net 1) (tstamp f61fb7ff-2a7a-4a44-a77c-39e0b2881851)) + (segment (start 119.65 122.2) (end 119.65 123.1) (width 0.4) (layer "F.Cu") (net 1) (tstamp f895ac4a-3b23-496d-b2e8-01eb0886c5a1)) + (segment (start 117.2 122.35) (end 117.35 122.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp ff08cd3b-1d6e-4351-a1b6-50db74914354)) (segment (start 137.16 128.27) (end 138.303 128.27) (width 0.762) (layer "F.Cu") (net 1) (tstamp ff9df2a5-e6e1-4efc-8868-dcf0c1f0b4d7)) + (via (at 122.05 122.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0eead382-2424-4df0-a1d1-e1fd1f070aa8)) + (via (at 120.25 122.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2eacc2f6-ab8b-4949-8858-667496f86dce)) + (via (at 119.65 123.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 41ebb8b1-4031-4064-9610-c4ed3f38862e)) (via (at 137.16 129.794) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4ef2f292-b9bc-4f42-8df2-e1c7428cc1b3)) (via (at 138.303 128.27) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 672bdffc-1eb0-4ead-a9e0-48b010b7526c)) + (via (at 115 123.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 918b1b59-d2f3-43b1-a511-9fcc55b83219)) + (via (at 121.2 123.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 94763772-4e85-4220-83b3-5a926b150db5)) + (via (at 115.55 122.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp aa215153-208f-4f17-bc2f-baafbaba6de9)) (via (at 137.16 127) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp b7264378-77e2-4902-a98f-19f8a2d36640)) + (via (at 116.5 123.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp d45de0c2-61b3-4404-8dfa-53f6f726fca4)) + (via (at 117.35 122.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp e177ca17-38c1-4f80-80b6-816d61ae2918)) + (segment (start 122.525 122.675) (end 123 123.15) (width 0.5) (layer "B.Cu") (net 1) (tstamp 0b4cebad-72b2-4453-8de4-b95b04ede888)) + (segment (start 135.89 128.27) (end 128.12 128.27) (width 0.5) (layer "B.Cu") (net 1) (tstamp 0ddbfad6-53aa-4043-99e5-0f2fb1929bd4)) + (segment (start 119 122.45) (end 120.3 122.45) (width 0.5) (layer "B.Cu") (net 1) (tstamp 19f17f02-e2d3-42e8-affa-5db0c49d16de)) + (segment (start 119.65 123.1) (end 120.3 122.45) (width 0.5) (layer "B.Cu") (net 1) (tstamp 22baf620-6fb4-4b9e-a814-c7fca2dd13cb)) (segment (start 136.915002 127) (end 137.16 127) (width 0.8) (layer "B.Cu") (net 1) (tstamp 264198bd-9cc8-4806-a6e3-a255875c29c9)) + (segment (start 117.45 122.2) (end 120.25 122.2) (width 0.5) (layer "B.Cu") (net 1) (tstamp 2ce8ffaf-be37-4cd5-a3a1-3daa364a74b6)) + (segment (start 116.5 123.15) (end 116.975 122.675) (width 0.5) (layer "B.Cu") (net 1) (tstamp 3145853b-1be3-4899-afac-d0127e5e2413)) + (segment (start 122.525 122.675) (end 120.725 122.675) (width 0.5) (layer "B.Cu") (net 1) (tstamp 32b71c49-da31-475c-b7ba-8685e4a81cbf)) + (segment (start 116.975 122.675) (end 115.075 122.675) (width 0.5) (layer "B.Cu") (net 1) (tstamp 402d79d7-6b90-4561-81bb-3e07286b2f11)) + (segment (start 119.7 123.15) (end 119.65 123.1) (width 0.5) (layer "B.Cu") (net 1) (tstamp 512804b4-72ec-47f6-aebe-04d9eec68e36)) + (segment (start 120.725 122.675) (end 121.2 123.15) (width 0.5) (layer "B.Cu") (net 1) (tstamp 57ae0f00-efed-447a-a865-e3f83f913ab3)) + (segment (start 116.975 122.675) (end 117.2 122.45) (width 0.5) (layer "B.Cu") (net 1) (tstamp 5c54840c-681f-4fd9-9a2f-b0536bbe8167)) (segment (start 137.16 129.794) (end 137.16 127) (width 1.524) (layer "B.Cu") (net 1) (tstamp 5cab1af2-3f89-4e66-933f-56b6e298b385)) (segment (start 138.6205 127.9525) (end 138.1125 127.9525) (width 0.8) (layer "B.Cu") (net 1) (tstamp 64291182-0650-4e50-870b-e7acbad24398)) + (segment (start 135.89 128.27) (end 137.16 129.54) (width 0.5) (layer "B.Cu") (net 1) (tstamp 67eaf98d-775c-4841-a488-0e8f7f8163c0)) + (segment (start 120.6 122.8) (end 120.725 122.675) (width 0.5) (layer "B.Cu") (net 1) (tstamp 683aaf9e-7e4b-47c2-9115-39388df8658d)) + (segment (start 115 123.1) (end 115 122.75) (width 0.5) (layer "B.Cu") (net 1) (tstamp 6bb8487a-dadf-4bb0-9bcb-5b375ebbc7f9)) + (segment (start 137.16 127) (end 135.89 128.27) (width 0.5) (layer "B.Cu") (net 1) (tstamp 78b5145b-fff6-45e3-b678-000a45c700bb)) + (segment (start 115 122.75) (end 115.55 122.2) (width 0.5) (layer "B.Cu") (net 1) (tstamp 85ca8ba0-e572-48e7-aab5-ac61cd5f596c)) + (segment (start 115.05 123.15) (end 116.5 123.15) (width 0.5) (layer "B.Cu") (net 1) (tstamp 8c578d24-9bc7-45ab-a0d5-87f356c1a79c)) + (segment (start 120.25 122.2) (end 122.05 122.2) (width 0.5) (layer "B.Cu") (net 1) (tstamp 981881bb-5a0b-48f1-88af-7049d440f315)) + (segment (start 122.05 122.2) (end 122.525 122.675) (width 0.5) (layer "B.Cu") (net 1) (tstamp a385f529-578b-48b8-9d6b-1275f57009b4)) + (segment (start 119 122.45) (end 119.35 122.8) (width 0.5) (layer "B.Cu") (net 1) (tstamp a463ed4b-0911-4c12-ab8c-1b1ce499cf11)) (segment (start 137.856 129.794) (end 137.16 129.794) (width 0.45) (layer "B.Cu") (net 1) (tstamp a5efb8ad-e7ab-4a1c-86d7-fccbb5c7ba1f)) (segment (start 137.16 128.94) (end 137.16 129.794) (width 0.5) (layer "B.Cu") (net 1) (tstamp b0738cb0-38ce-4a11-92e4-3ea64dbd7ea3)) + (segment (start 121.2 123.15) (end 119.7 123.15) (width 0.5) (layer "B.Cu") (net 1) (tstamp b51a834a-8779-41a9-9b37-d23243442c1d)) + (segment (start 119.35 122.8) (end 120.6 122.8) (width 0.5) (layer "B.Cu") (net 1) (tstamp b8027f3d-0fa1-4ab4-a843-a9006d5fb97b)) (segment (start 137.16 127) (end 136.906 127) (width 0.8) (layer "B.Cu") (net 1) (tstamp bac785c5-b656-46a9-aa74-53d37bc3e178)) + (segment (start 120.725 122.675) (end 120.5 122.45) (width 0.5) (layer "B.Cu") (net 1) (tstamp bf5a00ad-34b7-4a5b-9b42-c19be91f1ccd)) + (segment (start 120.3 122.45) (end 120.5 122.45) (width 0.5) (layer "B.Cu") (net 1) (tstamp c010f914-3606-4809-95af-c67f39d62fe9)) (segment (start 138.303 128.651) (end 137.16 129.794) (width 1.524) (layer "B.Cu") (net 1) (tstamp c0f92c7a-4588-4cc5-bd96-2e915f275b68)) + (segment (start 115 123.1) (end 115.05 123.15) (width 0.5) (layer "B.Cu") (net 1) (tstamp c36a3c98-c9cc-4c1a-a53a-0e43dd0ba8a3)) + (segment (start 119.35 122.8) (end 119.65 123.1) (width 0.5) (layer "B.Cu") (net 1) (tstamp c95d6f53-2bd6-43fd-b265-970091286ba5)) (segment (start 138.303 128.143) (end 137.16 127) (width 1.524) (layer "B.Cu") (net 1) (tstamp ccfe55fd-fdb9-4f2d-936a-65f6b9a341d6)) + (segment (start 138.303 128.27) (end 135.89 128.27) (width 0.5) (layer "B.Cu") (net 1) (tstamp cdfa3e12-0b2f-4511-8385-e60e50ff21da)) + (segment (start 117.35 122.2) (end 117.45 122.2) (width 0.5) (layer "B.Cu") (net 1) (tstamp ce1aad9c-a6c0-4fe4-9662-ee301b246c01)) + (segment (start 120.5 122.45) (end 120.25 122.2) (width 0.5) (layer "B.Cu") (net 1) (tstamp d5bacfca-c64d-4ac9-833c-bc86670815d8)) (segment (start 138.1125 127.9525) (end 137.16 127) (width 0.8) (layer "B.Cu") (net 1) (tstamp d676b569-d681-4bf8-a85b-92d3c37b424d)) (segment (start 138.303 128.27) (end 138.303 128.651) (width 1.524) (layer "B.Cu") (net 1) (tstamp dd2b280a-7d54-47ad-aead-8a140db2118b)) + (segment (start 115.55 122.2) (end 117.35 122.2) (width 0.5) (layer "B.Cu") (net 1) (tstamp e2163f15-59c0-4ed5-97ba-e3320900a721)) + (segment (start 121.2 123.15) (end 123 123.15) (width 0.5) (layer "B.Cu") (net 1) (tstamp e27b37a7-212e-47ec-a634-4bf27c199318)) (segment (start 138.303 128.27) (end 138.303 128.143) (width 1.524) (layer "B.Cu") (net 1) (tstamp e550eaf5-5d50-4046-aad6-ae9a2630e2db)) + (segment (start 128.12 128.27) (end 123 123.15) (width 0.5) (layer "B.Cu") (net 1) (tstamp e5a65bb1-6798-47fc-9544-15b9c2d4f667)) + (segment (start 117.2 122.45) (end 117.45 122.2) (width 0.5) (layer "B.Cu") (net 1) (tstamp f5e8fd2e-7340-409d-bc27-dc2435c7f1d3)) (segment (start 138.53 128.27) (end 138.303 128.27) (width 0.5) (layer "B.Cu") (net 1) (tstamp f7b0bcc0-0c9e-4c92-b96d-319b4393de6b)) (segment (start 137.16 127) (end 137.31 126.85) (width 0.5) (layer "B.Cu") (net 1) (tstamp f85da873-2ed1-4f97-811a-92278eef7eab)) + (segment (start 137.16 129.54) (end 137.16 129.794) (width 0.5) (layer "B.Cu") (net 1) (tstamp f8f298fe-1cb7-4771-ae34-619ccab6ff4c)) (segment (start 137.16 127) (end 137.16 127.96) (width 0.8) (layer "B.Cu") (net 1) (tstamp f9e39b9b-aea7-48da-ba27-e53c03da3624)) + (segment (start 117.2 122.45) (end 119 122.45) (width 0.5) (layer "B.Cu") (net 1) (tstamp fd01328a-fcdf-4d98-93c9-1d3ca7bf12ab)) (segment (start 122.9 115.35) (end 122.9 116.1) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00fe0601-70ec-47d4-a1c6-367bacada232)) (segment (start 47 96) (end 47 94.65) (width 0.6) (layer "F.Cu") (net 2) (tstamp 02928e2b-a702-4c36-a56f-5c4798cab35f)) (segment (start 111.55 124.95) (end 111.55 123.8) (width 0.45) (layer "F.Cu") (net 2) (tstamp 02af81d3-25a6-4ca2-b063-585dacd40361)) @@ -12697,6 +12718,7 @@ (segment (start 97.05 91.65) (end 97.05 92.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp 0aadfa65-ace8-4f9b-84d2-b0828f570c8a)) (segment (start 116.5 120.85) (end 117.2 120.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp 0ae49ead-7227-426e-8973-81523728911b)) (segment (start 104.95 102.95) (end 104.95 102.6) (width 0.3) (layer "F.Cu") (net 2) (tstamp 0b992d02-e3a7-424f-bee1-77fe50a03374)) + (segment (start 141.05 118.7) (end 141.2 118.55) (width 0.5) (layer "F.Cu") (net 2) (tstamp 0ba933cd-ad6a-4aa7-a8c8-b32d6dba6f4c)) (segment (start 85.8 120.55) (end 85.05 120.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp 0bac275b-d37d-4f74-84bb-bd344754b325)) (segment (start 114.15 119.6) (end 113.7 119.6) (width 0.15) (layer "F.Cu") (net 2) (tstamp 0bf49080-e4f4-4ccc-b6fd-0729f3ea4479)) (segment (start 76.6 120.55) (end 76.6 121.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 0d145b31-d07f-4a90-9bbf-ec0d7d614764)) @@ -12756,8 +12778,6 @@ (segment (start 83.95 124.95) (end 84.6 124.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 36ad1ea0-7d26-4b88-8dc5-9d57a65b9c5f)) (segment (start 63.8175 99.9095) (end 64.54 99.9095) (width 0.5) (layer "F.Cu") (net 2) (tstamp 37ec4567-4123-4fb8-a094-9b021f95a125)) (segment (start 126.45 114.3) (end 126.45 113.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 38aaf7b6-268b-4a05-a47d-44e736935c76)) - (segment (start 111.75 92.5) (end 111.9 92.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp 3936eea2-b4c1-4083-a26b-764c25b997dc)) - (segment (start 140.1 118.7) (end 141.1 118.7) (width 0.8) (layer "F.Cu") (net 2) (tstamp 3c0139b5-4736-459b-8bff-2c4005642469)) (segment (start 113.7 120.5) (end 113.7 119.6) (width 0.4) (layer "F.Cu") (net 2) (tstamp 3c762360-8a95-4f24-be53-689448bcabe4)) (segment (start 102.35 124.95) (end 103 124.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 3cbf9b0b-5162-43d1-beaa-2faf12bf4b4c)) (segment (start 58.293 92.734) (end 59.0155 92.734) (width 0.5) (layer "F.Cu") (net 2) (tstamp 3d7ddd67-cc3f-4f09-9f0a-43529dec642a)) @@ -12830,7 +12850,6 @@ (segment (start 90.55 110.1) (end 90.7 110.25) (width 0.3) (layer "F.Cu") (net 2) (tstamp 7e8c5c57-673e-4cb8-83cf-0c4035ec5227)) (segment (start 102.85 98.4) (end 103.8 98.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp 7f87d87a-dde7-4155-8a33-89de58d0d533)) (segment (start 69.6595 122.174) (end 70.8025 121.031) (width 0.5) (layer "F.Cu") (net 2) (tstamp 807c94e2-858b-4ba4-a424-ea19b0fc76df)) - (segment (start 141.1 118.7) (end 141.35 118.95) (width 0.8) (layer "F.Cu") (net 2) (tstamp 81290b1f-583e-4ff4-8bf1-909b04954852)) (segment (start 86.3875 103.4) (end 87.45 103.4) (width 0.3) (layer "F.Cu") (net 2) (tstamp 81602e21-ebdc-46a5-ad2e-98185d97c962)) (segment (start 112.9 114.7) (end 112.9 115.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 81cca4fd-86be-4598-aa19-2f17a54adfd9)) (segment (start 109.5 103.3) (end 109.45 103.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp 821663c7-bba5-49dd-bed4-80050e4ad371)) @@ -12888,6 +12907,7 @@ (segment (start 93.15 124.95) (end 93.8 124.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 9edecd43-7abc-46ff-91f4-444a6f6d7171)) (segment (start 135.128 99.695) (end 135.128 100.838) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9f033158-f354-484d-83c4-11c37cd439f7)) (segment (start 106.35 120) (end 106.35 119.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp 9f18383a-e09b-4a5e-ae01-1bfa43fab615)) + (segment (start 140.1 118.7) (end 141.05 118.7) (width 0.5) (layer "F.Cu") (net 2) (tstamp a35ff1fc-ec35-4004-ad84-5cb8c9f3c2ee)) (segment (start 122.9 114.6) (end 123.05 114.45) (width 0.6) (layer "F.Cu") (net 2) (tstamp a5518be1-02ae-4fe1-92bb-5ff0f5d6eadd)) (segment (start 101.7125 103.9) (end 100.55 103.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp a5ce56b2-9933-470f-b241-6bb8a02c9cb5)) (segment (start 130.35 117.65) (end 130.35 118.7) (width 0.8) (layer "F.Cu") (net 2) (tstamp a5f36455-f280-4860-b634-b1c3f112dde9)) @@ -12935,6 +12955,7 @@ (segment (start 97.25 92.55) (end 97.05 92.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp cc69bcd8-9eb4-4d48-8f24-2bf3fcd63df4)) (segment (start 126.45 94.15) (end 127.2 94.15) (width 0.8) (layer "F.Cu") (net 2) (tstamp ccdb65be-d817-4467-928d-03dfe69ceddf)) (segment (start 121.2 120.85) (end 121.2 120.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp cf6acf9f-392e-4e5c-9ad2-388784d679e7)) + (segment (start 112.9 92.65) (end 111.75 92.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp d0d53e33-9eaf-430a-952c-3936c7dc5540)) (segment (start 70.8025 121.031) (end 70.8025 120.7135) (width 0.5) (layer "F.Cu") (net 2) (tstamp d110a6c4-970e-4d39-9668-6edf93416cea)) (segment (start 130.35 123.35) (end 129.15 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp d1d25bd6-bdf0-4a39-a015-0840e3ff3e8d)) (segment (start 104.7 98.2) (end 104.5 98.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp d2d39d32-b551-4c03-801c-bfd21bb1104f)) @@ -12962,7 +12983,6 @@ (segment (start 98.05 110.45) (end 98.25 110.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp e2d586a6-c7f9-4694-a15f-d46df3831567)) (segment (start 97.4 92.55) (end 97.25 92.55) (width 0.5) (layer "F.Cu") (net 2) (tstamp e31a677a-2f84-4b0a-9df8-ffd72548cb08)) (segment (start 67.65 100.45) (end 66.9 100.45) (width 0.15) (layer "F.Cu") (net 2) (tstamp e3d43cff-e3f9-4290-ae8f-ebab07578d59)) - (segment (start 111.9 92.65) (end 112.9 92.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp e8c52372-a660-4e6e-8014-e5e9be58df2d)) (segment (start 51.9 91.8506) (end 52.1 91.6506) (width 0.5) (layer "F.Cu") (net 2) (tstamp e935c35f-82c3-4542-9eef-b83585a3fa71)) (segment (start 121.2 120.85) (end 120.5 120.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp ea0b3117-6870-49d0-92dd-84eea5b49df2)) (segment (start 131.35 123.35) (end 131.55 123.55) (width 0.8) (layer "F.Cu") (net 2) (tstamp ea18633a-b570-4654-ab02-12f34fda038f)) @@ -13042,6 +13062,7 @@ (via (at 48.895 117.221) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1b30e592-a285-4e6f-997b-3315b7f6dc42)) (via (at 89.4 129.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1c157ab2-b679-4026-b813-1454709671e8)) (via (at 129.15 118.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1c686292-b800-4c00-afc2-ff67d331616f)) + (via (at 143.383 121.285) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1dc8c23a-34dc-4ed6-9c0c-440ff3bace5f)) (via (at 138.43 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1e37f16f-56d6-4bfd-86db-f945e1e90575)) (via (at 90.9 126.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1f599b20-ce97-4762-bd77-c7b97cd97881)) (via (at 104.65 108.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1f6662d5-9fa0-437f-81dd-73f31f5d3d35)) @@ -13086,13 +13107,13 @@ (via (at 100.33 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3841a6ef-1cf7-4cde-bf96-128c2e03fca0)) (via (at 114.3 128.27) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 387110d3-549c-4ec3-9782-ec10fb05cc69)) (via (at 102.87 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 38fe6000-ffcc-48e3-b772-9f2c2d63833d)) - (via (at 143.383 116.205) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 395063e4-af68-4b84-af9c-70f5da51e419)) (via (at 140.1 122.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 39aa1751-337b-4228-af70-55d8cf3b96c1)) (via (at 103 124.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3a490b2d-5321-41de-84f5-6cac9ac5f4ab)) (via (at 70.485 119.38) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3bc7f104-0bb6-4d69-97da-0981ef6398ad)) (via (at 118.65 110.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3c7ce8b9-2a07-4701-bd09-6620b9adc5c2)) (via (at 130.683 93.345) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3d687d80-3a1e-4d2a-9cbf-27222befeaa5)) (via (at 98.2 112) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3d7bd394-34cc-44dd-a46f-dd15f0f6ba67)) + (via (at 111.5 98.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 3d982866-5727-4e99-a6ff-fdd6407c47cb)) (via (at 85.2 103.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3eaf926a-e7e8-414f-8fac-c7945803b30d)) (via (at 64.262 118.491) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3eb4090f-bc3f-4196-8580-2c6fedd43f67)) (via (at 126.45 95.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3ecac774-0cb7-4bfa-a1d2-2d2fe9b03d88)) @@ -13136,12 +13157,13 @@ (via (at 91.95 126.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 55b5a7e2-e5c3-4b77-860f-e9dc958438ec)) (via (at 128.143 111.125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 55c18f96-9b13-483f-8aa1-a26f83e102d1)) (via (at 111.55 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5654a2dd-6276-4ecc-8c55-c1076a312c83)) + (via (at 82.45 109.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 56a455d1-67fa-4926-b889-08ec36787404)) (via (at 128.143 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 575fa6a1-4470-466b-9886-5b0e0452f65d)) (via (at 143.383 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 57cf1ccb-146c-4df1-a0b7-df39250a3859)) (via (at 130.35 122.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 581423a1-8b49-4e29-9da9-66720d52cac1)) + (via (at 75.35 112.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 593b3b19-35bf-4e03-9635-cd482c59a1c3)) (via (at 102.85 98.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5998c438-efd1-49ca-b5b7-0003bbdd3997)) (via (at 64.7065 100.076) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 59f2ee1c-c395-4a2d-a1b5-64d5ffa6bc0c)) - (via (at 143.383 121.285) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5a648d5e-a072-4d16-b643-c39f325026ef)) (via (at 114.05 111.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5a723e84-20c3-4c2d-8d97-49b026f04e55)) (via (at 90.9 112) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5b01b194-fc4f-4235-bdc0-d94c688ff4b3)) (via (at 97.45 126.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5b6f47b8-7508-480a-ad25-d29f509452df)) @@ -13179,6 +13201,7 @@ (via (at 118.65 105.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6c002042-5f7d-40e1-866f-67f977c6f02a)) (via (at 51.435 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6c8188f8-7c29-498f-a9fd-7a7a63a81439)) (via (at 120.35 121) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6d5ee040-f3a5-4378-8d15-88b40727bc59)) + (via (at 84 110.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6dc73d3d-b876-498c-aadd-f9bd690b2952)) (via (at 82.423 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6e5afb31-2f67-4eb0-96c9-1094223107cb)) (via (at 125.603 118.745) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6eae82f3-5fae-4032-b0e1-93a1c9ba2ed6)) (via (at 61.5315 114.7445) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6f1c65ee-d0ca-41a6-abc0-701fd327c001)) @@ -13188,7 +13211,6 @@ (via (at 59.055 107.1245) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 724748b2-0d40-4677-9f49-5a707ac03e2a)) (via (at 117.983 86.9315) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7286f930-da1e-427b-ad49-c0936e1cf2e4)) (via (at 59.055 122.3645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7352f88a-4226-41e3-a2f1-3c1758afed75)) - (via (at 130.683 128.905) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 736aad82-483e-4d56-af0f-dbc1b0178579)) (via (at 84.6 124.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 73835d00-ad2b-4268-a050-1fba28e73bcd)) (via (at 56.515 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 74350283-aebe-4cce-b44c-546874b12c94)) (via (at 125.603 123.825) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 74bff47e-3e06-4829-95ab-f7077517ac4d)) @@ -13215,6 +13237,7 @@ (via (at 62.103 95.0595) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8319ddbb-7ab8-4ebe-bdaa-e2370e36525f)) (via (at 57.912 86.36) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 834b809f-9130-4dfa-8bae-309b14f24c9d)) (via (at 86.85 129.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 835d161f-67dd-4091-b617-1596ded38ccc)) + (via (at 73.75 111.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 835d1698-9595-4e0a-b9ca-ca26eb40eb31)) (via (at 56.388 95.0595) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 83cd0bde-2b9b-4fb6-a2f9-8a1e94708378)) (via (at 64.643 98.425) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 83ceb150-f400-4055-9e45-de20e066dd1c)) (via (at 118.35 119.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 840131d4-042d-4506-8fa9-9cb1aad7bff3)) @@ -13223,7 +13246,6 @@ (via (at 74.549 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 864cc345-abb0-4927-bfb2-281065490b40)) (via (at 108.9 113.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 867c3bdc-5547-4c24-b65b-2be671518dac)) (via (at 102.35 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8680100a-0ba0-4611-ae3b-6f258aaca8c8)) - (via (at 143.383 111.125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8708132a-c19a-4927-ad64-6085e7a97428)) (via (at 77.47 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 87874745-8daa-49e1-b85e-16339221012a)) (via (at 135.509 127) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 87ec9f7a-fb19-4473-a3d5-5c4e64bf59c5)) (via (at 128.143 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 88e545af-c014-481a-a2b1-7b77fd968095)) @@ -13236,6 +13258,8 @@ (via (at 53.848 128.016) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8f1b8cef-56be-44e6-8b3b-48b383b56003)) (via (at 78 107.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8f6191fa-7520-4b97-a83d-10206f5e8f79)) (via (at 88.1 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8f9e7ebf-12ae-443b-8356-92a9795a3dca)) + (via (at 141.2 118.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 915334bc-2ceb-4693-b33c-148ea7f4cf8f)) + (via (at 111.75 92.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 91824d5f-aff3-4507-98e4-6e329cd046f5)) (via (at 52.0065 90.297) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 918d63e9-bf67-4c89-a3eb-aa9f527a8590)) (via (at 138.4 119.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 91c5e535-7611-44dc-a7d3-07b8280842ca)) (via (at 55.4355 88.773) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 92301bab-19d3-4763-b920-ad4ca5dd4f65)) @@ -13303,7 +13327,7 @@ (via (at 105.05 120.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp abb11a06-23bf-42d4-bab7-9bbf642fc023)) (via (at 62.103 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ac630860-7778-4449-a5ec-37f7b74cca05)) (via (at 77.216 98.298) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ae4162b5-d0f0-4407-87be-9e2d6f28fcfb)) - (via (at 110.75 96.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp ae53a75a-6e6b-44a9-b7fa-d4e59150d08b)) + (via (at 110.55 96.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp ae53a75a-6e6b-44a9-b7fa-d4e59150d08b)) (via (at 114.05 92.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ae8bb30e-5dd5-4a4e-a738-3e3e5fd252b6)) (via (at 76.454 97.0915) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ae8f191c-55aa-4eff-a354-be971ad8ffbc)) (via (at 104.7 98.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp aec327bf-d499-4fd5-afac-503863b83c64)) @@ -13325,6 +13349,7 @@ (via (at 112.9 91.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b873072f-75ae-4400-b5a8-f6662da19ad4)) (via (at 143.002 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b8875d18-3d6f-4d8b-a3d1-c49affd94605)) (via (at 135.128 100.838) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b9caabe1-2940-4482-b662-775ea350c8fa)) + (via (at 83 111.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ba27ac2b-19ff-454d-8577-fa0bdc34b91e)) (via (at 94.1 120.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp bb194516-f388-44ed-8922-89da64d02e3e)) (via (at 95.123 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp bb9cdb25-088c-4b22-b37d-dcac0a042a5d)) (via (at 52.324 94.5515) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp bdd0d8ee-6fd9-45d4-af02-fe5664342a77)) @@ -13345,13 +13370,12 @@ (via (at 144.78 93.345) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c868f48b-5c25-48af-9bbc-742e407898bc)) (via (at 128.143 126.365) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c9e27860-5984-4946-9482-269f4afef219)) (via (at 127.35 113.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ca1c7ea1-0342-4285-8796-79b977f3a404)) - (via (at 143.383 106.045) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cc42b92e-82bc-4996-85af-a93a8c3fe991)) (via (at 97.663 86.9315) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cc56370a-43b9-4fff-82bd-e97d2556e228)) (via (at 105.283 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cda55ff5-6603-4645-9aa6-ae692175b5aa)) (via (at 47 94.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cdf8c384-46fe-4a02-9fbd-60979e7083dd)) (via (at 49.9745 93.345) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ce540e5c-5b4c-425b-b59a-d4253f0f08ab)) (via (at 135.89 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ce61fceb-1cb6-4841-af1f-30897e9d9c0e)) - (via (at 87.8 114.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cf2355d2-7435-42de-b302-b22dd9ba156f)) + (via (at 87.6 114.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cf2355d2-7435-42de-b302-b22dd9ba156f)) (via (at 109.45 103.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d090a1b7-3ffb-4fea-b1bc-dc447111e8c5)) (via (at 95.25 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d0a8024c-4422-44a3-bb99-4a333c4bd752)) (via (at 72.263 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d17852a8-e093-4198-aa57-ec3143a0e29b)) @@ -13360,13 +13384,13 @@ (via (at 123.19 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d220f2eb-e561-49cd-9c34-90a503223c5e)) (via (at 87.63 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d3dc4b7d-3dcc-454a-90ca-60a64f7ddb98)) (via (at 59.309 90.4875) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d447cff0-2cea-466d-9677-28d009621ea1)) - (via (at 111.75 92.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d48a06e9-8a8f-4593-a8b1-025dc425ef4b)) (via (at 130.81 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d5239d78-0924-409d-90bc-86df1f263ec3)) (via (at 72.263 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d62f7c56-2b95-449b-9795-ea7b6cf81627)) (via (at 94.45 111.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d678e837-82b5-4625-adbc-36815ee9c3fe)) (via (at 50.75 102.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d67effba-ecce-4481-9d7b-bc9352f54e6a)) (via (at 67.183 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d6deb891-73da-4dad-817c-b26d8fef97b0)) (via (at 126.45 114.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp d791d52d-d88f-4613-a557-f76cb392e505)) + (via (at 89.15 113.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d8a3e6cc-2f4a-4c91-a725-3cb34cd1de41)) (via (at 101 111.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d8ad5fbe-a7d4-46c6-8419-1a4963b98dc8)) (via (at 120.523 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d9104138-e5fa-4e29-ad3c-3f2cc939a949)) (via (at 123.063 126.365) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d9db4216-de03-4ccf-ae0f-b913b7cede57)) @@ -13374,6 +13398,7 @@ (via (at 85.09 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp da49f4d3-0ddc-4f23-8d62-3b429a572dd4)) (via (at 127.45 108.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp dad69e48-46e0-4a84-b1fd-ac1854da706d)) (via (at 53.975 107.1245) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp db8726d5-f5e9-4525-9f9b-a4a712250fc5)) + (via (at 86.2 114.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp dba84098-82c5-459f-92e6-d16bfc4798e4)) (via (at 92.55 127.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp dc03da78-20bd-48ad-b546-1a721eb948ba)) (via (at 125.603 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp dc0d70ac-2166-401b-b7b9-a6950489fa0e)) (via (at 113.1 120.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp df580ab1-92e1-42a8-9764-3b704fbc716b)) @@ -13415,7 +13440,6 @@ (via (at 125.73 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f411b0b4-ac15-4a2f-b401-5afff914b623)) (via (at 86.65 120.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f42dd40e-4eb6-4434-acf3-0fad12606221)) (via (at 78.9 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f5205a54-71e8-4c93-afa8-6734ba5b0c67)) - (via (at 141.35 118.95) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp f55e78c9-9337-4d56-b0d4-f4a7b90e06b4)) (via (at 88.4 128.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f5eddeb9-2468-4a33-9bc1-a1070a7979c7)) (via (at 128.143 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f62a784b-6cc7-4062-8714-33779c63d905)) (via (at 46.355 93.472) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f652096c-fce0-41de-9aae-5c9ba030ddb6)) @@ -13604,22 +13628,23 @@ (segment (start 109.35 127.95) (end 108.1 126.7) (width 0.15) (layer "B.Cu") (net 32) (tstamp bf488eb5-7fef-486f-ac5c-11978fdf4c1b)) (segment (start 113.15 127.95) (end 109.35 127.95) (width 0.15) (layer "B.Cu") (net 32) (tstamp e0a313ba-90ea-4dfc-8dc0-710d5dbdb867)) (segment (start 108.1 124) (end 108.3 123.8) (width 0.15) (layer "B.Cu") (net 32) (tstamp f8c8f263-38af-484d-9b66-2f2cfa69b523)) - (segment (start 120.25 124.35) (end 121 124.35) (width 0.15) (layer "F.Cu") (net 34) (tstamp 83bace42-9047-4e1a-b97e-b5df2dd780b5)) - (via (at 121 124.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 34) (tstamp 2d52bb21-f178-4105-80dd-47d3f725c29d)) - (segment (start 121 124.35) (end 121.75 124.35) (width 0.15) (layer "B.Cu") (net 34) (tstamp 382bacc5-e72e-43f7-ab74-59d0227c77db)) - (segment (start 127 129.6) (end 127 135.282) (width 0.15) (layer "B.Cu") (net 34) (tstamp 93289ee2-cf47-4e7a-a360-780ff5d5518d)) - (segment (start 121.75 124.35) (end 127 129.6) (width 0.15) (layer "B.Cu") (net 34) (tstamp dfea9e86-c7ce-45bb-8df9-9fb158454e56)) - (segment (start 115.6 124.35) (end 115.6 125.8) (width 0.15) (layer "F.Cu") (net 35) (tstamp 54e63554-8d3d-4ee0-af0f-8820c4f3c882)) - (segment (start 108.95 123.05) (end 108.95 124.95) (width 0.15) (layer "F.Cu") (net 35) (tstamp b3e8fd8d-3e94-4e1c-a896-4a11ae5f51ca)) - (segment (start 115.6 125.8) (end 116.35 125.8) (width 0.15) (layer "F.Cu") (net 35) (tstamp ca4e7437-5138-4757-8b97-06373bccc7c5)) - (via (at 108.95 123.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 6bdbd4df-b890-467f-8601-e5f1ca9a13d1)) - (via (at 116.35 125.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp a52174ec-bd49-40b7-b946-9ff5c5d6db12)) - (segment (start 124.46 129.46) (end 124.46 135.382) (width 0.15) (layer "B.Cu") (net 35) (tstamp 0749d84c-4638-4094-9c79-f3fb6374cb1a)) - (segment (start 116.35 125.8) (end 112.338 125.8) (width 0.15) (layer "B.Cu") (net 35) (tstamp 25d4d26f-1c45-4771-bb5b-ae74a6e10cc2)) - (segment (start 112.338 125.8) (end 109.588 123.05) (width 0.15) (layer "B.Cu") (net 35) (tstamp 3d915ffd-e619-42f9-bdf2-fd21dddf698a)) - (segment (start 109.588 123.05) (end 108.95 123.05) (width 0.15) (layer "B.Cu") (net 35) (tstamp 5c3361b6-af09-41d0-b9a8-2e9ead42eb8b)) - (segment (start 116.35 125.8) (end 120.8 125.8) (width 0.15) (layer "B.Cu") (net 35) (tstamp 651e5d77-aaac-4411-a562-0b69273ed709)) - (segment (start 120.8 125.8) (end 124.46 129.46) (width 0.15) (layer "B.Cu") (net 35) (tstamp 71f3a3e7-4829-4061-a748-5e1700b1c121)) + (segment (start 118.35 122.2) (end 118.35 123.5) (width 0.15) (layer "F.Cu") (net 34) (tstamp cb87fba4-c48a-4941-af2c-9f5aeac740f6)) + (via (at 118.35 123.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 34) (tstamp 123cc977-9e73-4bca-aaeb-114e00ad051d)) + (segment (start 118.35 123.5) (end 119.35 124.5) (width 0.15) (layer "B.Cu") (net 34) (tstamp 39f9926c-7889-4654-a532-7df1e2048c23)) + (segment (start 121.9 124.5) (end 127 129.6) (width 0.15) (layer "B.Cu") (net 34) (tstamp 6d7442c1-7de3-4567-8943-4de3baebebc5)) + (segment (start 127 129.6) (end 127 135.282) (width 0.15) (layer "B.Cu") (net 34) (tstamp 8a456bac-f17e-4012-b08a-4880c1831d48)) + (segment (start 119.35 124.5) (end 121.9 124.5) (width 0.15) (layer "B.Cu") (net 34) (tstamp be67da07-2596-4976-974d-242f9aefb510)) + (segment (start 109.05 123.1) (end 108.95 123.2) (width 0.15) (layer "F.Cu") (net 35) (tstamp 2e3aad70-c4c6-4aec-bee2-167fbba785e2)) + (segment (start 108.95 123.2) (end 108.95 124.95) (width 0.15) (layer "F.Cu") (net 35) (tstamp 63159108-6948-40e0-806f-efacd60e2719)) + (segment (start 113.7 122.2) (end 113.7 123.1) (width 0.15) (layer "F.Cu") (net 35) (tstamp 7a94a444-3769-4b6a-8e4f-36903cd717bb)) + (via (at 109.05 123.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 6bdbd4df-b890-467f-8601-e5f1ca9a13d1)) + (via (at 113.7 123.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 89aa5f8c-61aa-4485-b6d5-67f2e79d3c4e)) + (segment (start 116.35 125.75) (end 113.7 123.1) (width 0.15) (layer "B.Cu") (net 35) (tstamp 10db9604-fece-4dfe-ab92-9fc3aafedf0e)) + (segment (start 124.46 135.382) (end 124.46 129.46) (width 0.15) (layer "B.Cu") (net 35) (tstamp 5a4fd1f5-5057-4631-98dd-e1e236eda22c)) + (segment (start 120.8 125.8) (end 116.35 125.8) (width 0.15) (layer "B.Cu") (net 35) (tstamp 6783f4fe-60c1-477a-af55-d0c73e632f7f)) + (segment (start 109.05 123.1) (end 113.7 123.1) (width 0.15) (layer "B.Cu") (net 35) (tstamp 71797f94-46c9-4200-89f6-4f87a4f2a5d9)) + (segment (start 124.46 129.46) (end 120.8 125.8) (width 0.15) (layer "B.Cu") (net 35) (tstamp 73ea309d-1cae-4409-9d4e-0b22c1d03af5)) + (segment (start 116.35 125.8) (end 116.35 125.75) (width 0.15) (layer "B.Cu") (net 35) (tstamp d8652c20-ebea-4f6e-9f84-550b722f602e)) (segment (start 107.65 124.95) (end 107.65 123.05) (width 0.15) (layer "F.Cu") (net 42) (tstamp 2b85ca24-d85e-4403-9ce4-dcf2ec53038d)) (via (at 107.65 123.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 42) (tstamp e0670a15-7388-4213-afca-d99e7e58ef8d)) (segment (start 104.394 130.302) (end 102.489 130.302) (width 0.15) (layer "B.Cu") (net 42) (tstamp 5255e459-1895-434b-9c1d-35922335e207)) @@ -13860,17 +13885,17 @@ (segment (start 82.05 99.3) (end 83.45 100.7) (width 0.15) (layer "F.Cu") (net 57) (tstamp eee04587-fb11-40b0-b183-736f0ed423f1)) (segment (start 83.45 100.7) (end 85.15 100.7) (width 0.15) (layer "F.Cu") (net 57) (tstamp fa6b4a32-8a9b-48be-9a46-e05d9106dc73)) (segment (start 75.1 97.1) (end 77.7 94.5) (width 0.15) (layer "F.Cu") (net 57) (tstamp fa77dc36-3edd-43ee-aa6f-403b3f182f4d)) - (segment (start 85 106.7) (end 83.85 106.7) (width 0.15) (layer "F.Cu") (net 58) (tstamp 0e13045f-c27a-49a3-b4b6-2e0014f2d6e1)) - (segment (start 86.3875 106.9) (end 85.2 106.9) (width 0.15) (layer "F.Cu") (net 58) (tstamp 1268edf1-ea6b-45f8-80a1-362f2ed66930)) - (segment (start 97.8 117.75) (end 97.8 119.05) (width 0.15) (layer "F.Cu") (net 58) (tstamp 32f5a83c-bb0c-46d4-82f4-6bedef84349e)) - (segment (start 95.7 116.8) (end 96.85 116.8) (width 0.15) (layer "F.Cu") (net 58) (tstamp 43b0641d-2760-4bd3-b1d5-14bf7647a14c)) - (segment (start 82.95 110.75) (end 86.15 113.95) (width 0.15) (layer "F.Cu") (net 58) (tstamp 5268d014-c054-4291-a121-c2bf84375e13)) - (segment (start 83.85 106.7) (end 82.95 107.6) (width 0.15) (layer "F.Cu") (net 58) (tstamp 6c408dda-42fc-4fda-b8ca-b28d960811e6)) - (segment (start 86.15 113.95) (end 92.85 113.95) (width 0.15) (layer "F.Cu") (net 58) (tstamp 8fe2d832-2fed-46e9-8f47-a38f27ca9ce6)) - (segment (start 82.95 107.6) (end 82.95 110.75) (width 0.15) (layer "F.Cu") (net 58) (tstamp bba63bb1-3796-4305-b74e-ce89fb25166c)) - (segment (start 85.2 106.9) (end 85 106.7) (width 0.15) (layer "F.Cu") (net 58) (tstamp d24ca3f4-60ec-4954-9278-1d1882d89f8f)) - (segment (start 96.85 116.8) (end 97.8 117.75) (width 0.15) (layer "F.Cu") (net 58) (tstamp dc4834f7-9de9-413f-8e0b-12d07550df37)) - (segment (start 92.85 113.95) (end 95.7 116.8) (width 0.15) (layer "F.Cu") (net 58) (tstamp f53b2a57-50b0-4feb-b4bf-3c4342cb81f9)) + (segment (start 85 106.7) (end 83.85 106.7) (width 0.15) (layer "F.Cu") (net 58) (tstamp 084e78fd-ec12-4871-83bc-648d43df1244)) + (segment (start 86.3875 106.9) (end 85.2 106.9) (width 0.15) (layer "F.Cu") (net 58) (tstamp 152a5625-12e4-4d63-b48d-94e2cfa69eba)) + (segment (start 83.85 106.7) (end 82.95 107.6) (width 0.15) (layer "F.Cu") (net 58) (tstamp 1cd244c9-dde4-45f4-bc7c-632c57289cb7)) + (segment (start 82.95 110.3) (end 86.6 113.95) (width 0.15) (layer "F.Cu") (net 58) (tstamp 2c1fd93b-c80a-49f3-8344-02c778713b82)) + (segment (start 97.8 117.75) (end 97.8 119.05) (width 0.15) (layer "F.Cu") (net 58) (tstamp 38fde0c2-3ed0-468e-b0ba-fb9ed5fc0e7c)) + (segment (start 86.6 113.95) (end 92.85 113.95) (width 0.15) (layer "F.Cu") (net 58) (tstamp 4e342c77-ed1c-4f2a-a557-3e7b6ef63f55)) + (segment (start 96.85 116.8) (end 97.8 117.75) (width 0.15) (layer "F.Cu") (net 58) (tstamp 62004cbb-d1eb-4130-a5c3-79be32a7c9e8)) + (segment (start 82.95 107.6) (end 82.95 110.3) (width 0.15) (layer "F.Cu") (net 58) (tstamp b860ed54-add3-4ab7-8786-e3f7a4d4bf86)) + (segment (start 92.85 113.95) (end 95.7 116.8) (width 0.15) (layer "F.Cu") (net 58) (tstamp d6d01d71-bc3f-4e6c-b7d7-76d726a99869)) + (segment (start 95.7 116.8) (end 96.85 116.8) (width 0.15) (layer "F.Cu") (net 58) (tstamp d9de3ddb-dea5-488c-8a15-0877ad7ca3c7)) + (segment (start 85.2 106.9) (end 85 106.7) (width 0.15) (layer "F.Cu") (net 58) (tstamp fead41bf-ba95-40e4-b176-cee2d4481a8b)) (segment (start 93 113.65) (end 95.85 116.5) (width 0.15) (layer "F.Cu") (net 59) (tstamp 0df4ecf2-6d5f-479f-b6a0-b53e1f658aae)) (segment (start 97 116.5) (end 98.45 117.95) (width 0.15) (layer "F.Cu") (net 59) (tstamp 2e13d44f-effb-461c-9498-c4920ca89c6d)) (segment (start 98.45 117.95) (end 98.45 119.05) (width 0.15) (layer "F.Cu") (net 59) (tstamp 7196dcf3-dbcf-4bef-b25d-4448f50833f4)) @@ -13978,7 +14003,6 @@ (segment (start 58.293 96.458) (end 58.293 95.0595) (width 0.5) (layer "F.Cu") (net 70) (tstamp 01b671f2-3ca5-4060-b212-4d936b36bdef)) (segment (start 126.45 111.85) (end 127.2 111.85) (width 0.6) (layer "F.Cu") (net 70) (tstamp 03553f6f-1a0b-495c-90a6-bfa135c85276)) (segment (start 104.2 119.05) (end 105.075 119.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp 0371ca81-2d52-475b-8902-0f9e558fdb22)) - (segment (start 116.5 122.35) (end 117.2 122.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 03dcccfe-88d2-4adf-8f33-107f883215f8)) (segment (start 101.7125 107.4) (end 102.75 107.4) (width 0.3) (layer "F.Cu") (net 70) (tstamp 051fcf3d-cea4-4651-b1f6-87630bf22517)) (segment (start 127.85 118.6) (end 127.85 117.65) (width 0.8) (layer "F.Cu") (net 70) (tstamp 05688865-64c8-429c-857c-0275c5b1b566)) (segment (start 54.483 101.408) (end 54.483 102.8065) (width 0.5) (layer "F.Cu") (net 70) (tstamp 06ccf75b-24d0-409d-be94-701d6be82aed)) @@ -14037,9 +14061,7 @@ (segment (start 110.85 104.85) (end 110.8 104.8) (width 0.6) (layer "F.Cu") (net 70) (tstamp 4baa644b-f082-4883-b3c8-dcfa8d1b2807)) (segment (start 88.55 92.35) (end 88.75 92.55) (width 0.5) (layer "F.Cu") (net 70) (tstamp 4e3eae06-7321-4096-b6c2-92029c6d7900)) (segment (start 90.05 109.0625) (end 90.05 108) (width 0.3) (layer "F.Cu") (net 70) (tstamp 4f60338a-11db-4169-8e11-cf82087fb88e)) - (segment (start 121.2 122.35) (end 121.2 123.15) (width 0.5) (layer "F.Cu") (net 70) (tstamp 4feb38bc-4431-4c85-9535-316804e01bab)) (segment (start 114.4 90) (end 114.25 89.85) (width 0.6) (layer "F.Cu") (net 70) (tstamp 4fff39d8-d7ed-4b14-a3ef-48fd58122007)) - (segment (start 121.9 122.35) (end 122.05 122.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp 50af49cc-7f36-485c-bd0d-33633eea7668)) (segment (start 140.1 120.4) (end 138.4 120.4) (width 0.8) (layer "F.Cu") (net 70) (tstamp 50bb5474-d936-489e-9d91-aa35260b9077)) (segment (start 110.95 104.65) (end 110.8 104.8) (width 0.508) (layer "F.Cu") (net 70) (tstamp 50d247df-60f5-44e2-ab9e-f78fd017bf50)) (segment (start 89.55 110.45) (end 89.75 110.25) (width 0.5) (layer "F.Cu") (net 70) (tstamp 533628d4-43c8-419f-ae93-084ad9c79484)) @@ -14066,7 +14088,6 @@ (segment (start 81.026 104.361) (end 81.026 105.6005) (width 0.5) (layer "F.Cu") (net 70) (tstamp 6440b5c8-6d1b-4f29-88a5-2107da1e4230)) (segment (start 99.35 110.25) (end 99.2 110.25) (width 0.5) (layer "F.Cu") (net 70) (tstamp 64ba954d-e255-401f-95d1-05bf4783845a)) (segment (start 95 119.05) (end 95 118.1) (width 0.8) (layer "F.Cu") (net 70) (tstamp 66126686-77b6-43f4-b20a-b984dae66d21)) - (segment (start 121.2 122.35) (end 121.9 122.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 66c0a216-f806-4dd5-a491-a6ca56aa8864)) (segment (start 99.05 108) (end 99.2 107.85) (width 0.3) (layer "F.Cu") (net 70) (tstamp 6796b529-d333-4797-8400-d04caa1b0b0f)) (segment (start 127.45 103.3) (end 127.2 103.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp 6813aa29-8acc-4aab-b122-d7b45f32844d)) (segment (start 58.293 94.234) (end 58.293 95.0595) (width 0.5) (layer "F.Cu") (net 70) (tstamp 68d147ed-0aa6-4b00-8ae4-b671722519fb)) @@ -14082,15 +14103,12 @@ (segment (start 99.05 110.1) (end 99.2 110.25) (width 0.3) (layer "F.Cu") (net 70) (tstamp 735fbe74-e47a-41a2-8402-1b70c95556a4)) (segment (start 124.4 115.35) (end 125.25 115.35) (width 0.6) (layer "F.Cu") (net 70) (tstamp 74e54124-a383-4987-8087-ec11180f4781)) (segment (start 89.55 111.15) (end 89.55 111.85) (width 0.5) (layer "F.Cu") (net 70) (tstamp 753f9843-ac81-438e-8cde-8bce76657ceb)) - (segment (start 115.7 122.35) (end 115.55 122.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp 75a689b4-4549-4033-bf25-ff4d01c45eb1)) (segment (start 104.5 106.9) (end 104.65 107.05) (width 0.5) (layer "F.Cu") (net 70) (tstamp 7682c44e-f663-4a6b-abd9-ef42c1024cc9)) (segment (start 62.8 101.408) (end 62.8015 101.4095) (width 0.5) (layer "F.Cu") (net 70) (tstamp 76b232c2-f40a-46c0-88c4-8792b061eaa2)) (segment (start 102.75 107.4) (end 102.9 107.25) (width 0.3) (layer "F.Cu") (net 70) (tstamp 76de06ab-dca8-4a01-a50d-73df6368b5bc)) - (segment (start 115 122.2) (end 115 122.9) (width 0.4) (layer "F.Cu") (net 70) (tstamp 776e503c-5a75-4481-a5d8-9ae9655f7bc6)) (segment (start 105.7 119.05) (end 105.7 117.95) (width 0.45) (layer "F.Cu") (net 70) (tstamp 786e8e4c-1247-433f-96bd-c4260e9ff004)) (segment (start 114.4 90.75) (end 114.4 90) (width 0.6) (layer "F.Cu") (net 70) (tstamp 793cbd12-315e-46e8-a771-a006feb8d4b6)) (segment (start 110.85 105.65) (end 110.85 104.85) (width 0.6) (layer "F.Cu") (net 70) (tstamp 7ac22346-b99d-4f48-9705-a7cc26daaa60)) - (segment (start 121.2 122.35) (end 120.4 122.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 7c1381a1-9427-4cb3-8db1-7b26ddddfeb4)) (segment (start 68.3895 119.634) (end 66.294 119.634) (width 0.5) (layer "F.Cu") (net 70) (tstamp 7c51d60a-36ea-4757-88a4-289394f368d1)) (segment (start 127.2 107.05) (end 127.45 107.3) (width 0.6) (layer "F.Cu") (net 70) (tstamp 7dd77d6b-eb7d-49cb-ba1b-7af91c1498e3)) (segment (start 67.65 95.95) (end 66.9 95.95) (width 0.15) (layer "F.Cu") (net 70) (tstamp 7e96f679-beb7-45ca-aa97-3244e524c9c1)) @@ -14099,13 +14117,13 @@ (segment (start 85.2 97.7) (end 85.2 97.55) (width 0.5) (layer "F.Cu") (net 70) (tstamp 8498de2f-2553-4000-9b26-0a68a1af2cc4)) (segment (start 59.563 96.458) (end 59.563 95.0595) (width 0.5) (layer "F.Cu") (net 70) (tstamp 84cd6e77-f75b-4de8-a8b3-854a8a34cfad)) (segment (start 64.516 101.4095) (end 64.7065 101.219) (width 0.5) (layer "F.Cu") (net 70) (tstamp 85ed99f1-a771-4f28-bc3e-2514bd8e917e)) + (segment (start 117.325 118.7) (end 117.325 119.6) (width 0.3) (layer "F.Cu") (net 70) (tstamp 868081cd-8786-4c64-9339-3c202ab95b40)) (segment (start 132.75 125.05) (end 132.75 126.1) (width 0.8) (layer "F.Cu") (net 70) (tstamp 86fc7d63-d2be-4f81-a6fb-8edd1de035db)) (segment (start 124.4 103.05) (end 123.25 103.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp 870c570e-723c-4180-a703-a399b11e0fd6)) (segment (start 105.7 119.05) (end 105.075 119.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp 870cb006-1878-4d0c-95e1-477f9f4b9b20)) (segment (start 63.4365 122.0595) (end 63.4365 122.809) (width 0.15) (layer "F.Cu") (net 70) (tstamp 89a1e3ca-cc81-4f67-888b-950e680c17a9)) (segment (start 87.3 119.05) (end 87.3 117.95) (width 0.45) (layer "F.Cu") (net 70) (tstamp 89e64923-7481-4007-8b41-67334864aa3f)) (segment (start 98.35 92.55) (end 98.55 92.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 8b0e0b4e-4dbe-4bdd-ac5d-8de4db556200)) - (segment (start 119.65 122.2) (end 120.25 122.2) (width 0.4) (layer "F.Cu") (net 70) (tstamp 8b334a5b-f873-4635-af5b-05a6e8f8007a)) (segment (start 94.25 119.05) (end 94.15 119.15) (width 0.508) (layer "F.Cu") (net 70) (tstamp 8ba1f675-cfbe-459e-8a93-09f9fa123f71)) (segment (start 98.55 91.65) (end 98.55 90.95) (width 0.5) (layer "F.Cu") (net 70) (tstamp 8d9332fa-9a26-4490-9e29-294ad3bffe31)) (segment (start 103.8 106.9) (end 104.5 106.9) (width 0.5) (layer "F.Cu") (net 70) (tstamp 8e6c2f0e-3196-41af-9763-0544ba856d4c)) @@ -14114,7 +14132,6 @@ (segment (start 63.8175 101.4095) (end 63.8175 102.235) (width 0.5) (layer "F.Cu") (net 70) (tstamp 926e50dc-a062-47b4-b8f0-a6796220e107)) (segment (start 89.05 92.7) (end 88.9 92.55) (width 0.3) (layer "F.Cu") (net 70) (tstamp 939bf220-a301-4f75-ad08-bc1cb72bba33)) (segment (start 81.065 104.4) (end 81.026 104.361) (width 0.5) (layer "F.Cu") (net 70) (tstamp 93c78d0f-78b7-4e74-986f-b494a2f54309)) - (segment (start 115 122.2) (end 115.55 122.2) (width 0.4) (layer "F.Cu") (net 70) (tstamp 942b2a5d-6b34-45af-8794-4b9496627a21)) (segment (start 131.35 125.05) (end 131.55 124.85) (width 0.8) (layer "F.Cu") (net 70) (tstamp 95ad384f-8339-4658-aebb-b29f09f2dace)) (segment (start 114.4 90.75) (end 115.25 90.75) (width 0.6) (layer "F.Cu") (net 70) (tstamp 9824b448-5f96-4882-ad72-9c2fefe8437e)) (segment (start 132.75 125.05) (end 131.75 125.05) (width 0.8) (layer "F.Cu") (net 70) (tstamp 983acc8e-9052-4537-9901-6a01cb050482)) @@ -14127,7 +14144,6 @@ (segment (start 78.1 119.05) (end 77.475 119.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp 9c0e2fa8-a865-417c-a922-498479c98b0a)) (segment (start 104.175 119.05) (end 103.45 119.05) (width 0.508) (layer "F.Cu") (net 70) (tstamp 9d659317-8d9a-4b79-9ad2-a6fb4c2bb9ea)) (segment (start 106 101.65) (end 104.9 101.65) (width 0.5) (layer "F.Cu") (net 70) (tstamp 9e131668-1682-4bbb-a603-145355ad9e36)) - (segment (start 120.4 122.35) (end 120.25 122.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp a09aa31a-bc1b-4760-bc93-aecd04af86db)) (segment (start 104.9 103.55) (end 105.1 103.35) (width 0.15) (layer "F.Cu") (net 70) (tstamp a0be9c5c-d0a8-437e-a7fc-2a1b86630b5e)) (segment (start 98.55 90.95) (end 98.4 90.8) (width 0.5) (layer "F.Cu") (net 70) (tstamp a1b6e0e0-8af1-4966-9e47-1438d65752fe)) (segment (start 85.2 104.2) (end 85.2 104.05) (width 0.5) (layer "F.Cu") (net 70) (tstamp a3131ef5-80e8-43d8-8c83-fcd6807ab216)) @@ -14139,10 +14155,10 @@ (segment (start 114.4 115.35) (end 114.4 116.1) (width 0.6) (layer "F.Cu") (net 70) (tstamp a98d91e5-95fe-4988-97d0-758299a5a1fb)) (segment (start 130.35 125.05) (end 129.35 125.05) (width 0.8) (layer "F.Cu") (net 70) (tstamp a9e8aa4c-112d-4b84-aa05-6f2501e010a6)) (segment (start 126.45 103.05) (end 126.45 102.1) (width 0.6) (layer "F.Cu") (net 70) (tstamp a9ec8a4c-ee9f-4e66-892b-af7e0f95a59a)) + (segment (start 116.6 118.7) (end 117.325 118.7) (width 0.3) (layer "F.Cu") (net 70) (tstamp aafcab3a-32ec-493b-8cb8-988706aa7221)) (segment (start 85.35 103.9) (end 85.2 104.05) (width 0.3) (layer "F.Cu") (net 70) (tstamp ac2236c1-4996-4e53-9c57-fd540cc795af)) (segment (start 82.8 105.05) (end 82.65 105.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp ac766225-a03d-4a30-b81c-07aa69f4dcd2)) (segment (start 104.05 101.65) (end 104.9 101.65) (width 0.5) (layer "F.Cu") (net 70) (tstamp ad36f189-9983-4817-b2fd-301d2fa2f584)) - (segment (start 115 122.9) (end 114.8 123.1) (width 0.4) (layer "F.Cu") (net 70) (tstamp ae674ea3-c45a-4885-a068-c96de4f68bee)) (segment (start 58.9915 94.234) (end 59.182 94.0435) (width 0.5) (layer "F.Cu") (net 70) (tstamp af4eda89-d964-4610-90d8-410057a3d915)) (segment (start 96.5 124.95) (end 96.5 123.8) (width 0.45) (layer "F.Cu") (net 70) (tstamp afdc5861-faab-477c-9d5a-002277ec5616)) (segment (start 76.6 119.05) (end 76.6 118.2) (width 0.6) (layer "F.Cu") (net 70) (tstamp b06bf04f-9a7c-4f42-86c8-c03d70fd6ba4)) @@ -14171,7 +14187,6 @@ (segment (start 112.9 109.45) (end 111.75 109.45) (width 0.45) (layer "F.Cu") (net 70) (tstamp ce7b0758-1873-4176-9591-6056f081c86c)) (segment (start 87.3 120.15) (end 87.3 119.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp cec3559c-ac52-4539-9152-6a06d6996bf2)) (segment (start 98.05 92.7) (end 98.2 92.55) (width 0.3) (layer "F.Cu") (net 70) (tstamp cfe20e2a-bf86-43e3-90d2-93a4005abfa9)) - (segment (start 116.5 122.35) (end 115.7 122.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp cfeee019-92c8-4ce0-98fa-7b70839234bb)) (segment (start 99.05 109.0625) (end 99.05 108) (width 0.3) (layer "F.Cu") (net 70) (tstamp d0cc4729-3c69-44dd-b16d-0be381d96661)) (segment (start 110.85 109.45) (end 111.75 109.45) (width 0.508) (layer "F.Cu") (net 70) (tstamp d37cb73b-d4a8-42ec-ac3a-136c280c0ade)) (segment (start 124.4 103.05) (end 125.55 103.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp d482c2e3-010e-4657-a951-b3aa2259bd9e)) @@ -14187,7 +14202,6 @@ (segment (start 78.1 119.05) (end 78.1 120.15) (width 0.45) (layer "F.Cu") (net 70) (tstamp dfa0307a-733f-408e-8f14-bafbb27ec9b5)) (segment (start 102.85 97.4) (end 102.85 97.15) (width 0.5) (layer "F.Cu") (net 70) (tstamp e402b262-6773-4298-bec3-fa85dfe4fa00)) (segment (start 103.8 96.9) (end 103.1 96.9) (width 0.5) (layer "F.Cu") (net 70) (tstamp ea461bd3-6d00-47e7-a4bc-767fd03280f7)) - (segment (start 116.5 122.35) (end 116.5 123.15) (width 0.5) (layer "F.Cu") (net 70) (tstamp ec4b722e-d5fb-4955-86b8-9a4d8146333b)) (segment (start 99.05 109.0625) (end 99.05 110.1) (width 0.3) (layer "F.Cu") (net 70) (tstamp ecde9ca4-551f-43df-a503-c16af4efdecf)) (segment (start 124.4 90.75) (end 124.4 91.95) (width 0.6) (layer "F.Cu") (net 70) (tstamp ed3ac577-e922-48ba-9bc4-ebc5fc7230b4)) (segment (start 62.103 101.408) (end 62.103 102.8065) (width 0.5) (layer "F.Cu") (net 70) (tstamp edf87293-1eae-4a0c-8064-26e5551e05d7)) @@ -14198,15 +14212,14 @@ (segment (start 127.2 103.05) (end 126.45 103.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp f1176fac-4d87-4ea5-8247-4ae47a064dd3)) (segment (start 75.85 119.05) (end 75.7 119.2) (width 0.6) (layer "F.Cu") (net 70) (tstamp f456d0e1-2a98-4c7b-bc82-eec0e61d050e)) (segment (start 86.3875 97.4) (end 85.35 97.4) (width 0.3) (layer "F.Cu") (net 70) (tstamp f51356b0-1d5c-443c-85d0-f6f30c07874c)) + (segment (start 117.325 118.7) (end 118.05 118.7) (width 0.3) (layer "F.Cu") (net 70) (tstamp f65edc81-862e-4fd7-bb93-4b63fda93209)) (segment (start 104.5 96.9) (end 104.65 97.05) (width 0.5) (layer "F.Cu") (net 70) (tstamp f78dc336-f380-479e-94e1-dcbe3a3ee17f)) - (segment (start 119.65 122.2) (end 119.65 123.1) (width 0.4) (layer "F.Cu") (net 70) (tstamp f895ac4a-3b23-496d-b2e8-01eb0886c5a1)) (segment (start 114.4 91.5) (end 114.25 91.65) (width 0.6) (layer "F.Cu") (net 70) (tstamp f977ce64-2b43-44aa-8d25-05938b066010)) (segment (start 76.6 119.05) (end 75.85 119.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp f999c216-bf22-4e45-bfe1-05ee2777f186)) (segment (start 83.6 97.9) (end 83.45 97.75) (width 0.5) (layer "F.Cu") (net 70) (tstamp fa3f5adf-b23e-4347-8519-a5668ae4e97f)) (segment (start 114.4 90.75) (end 114.4 91.5) (width 0.6) (layer "F.Cu") (net 70) (tstamp fb1d337f-2247-4d70-af6e-7b22bd2fc32e)) (segment (start 86 111.15) (end 86 112.05) (width 0.15) (layer "F.Cu") (net 70) (tstamp fbaab89f-455e-4e77-af34-0887f7fc85f7)) (segment (start 127.85 118.6) (end 126.6 118.6) (width 0.8) (layer "F.Cu") (net 70) (tstamp fc4ef5ad-b8bb-4501-88b2-07d9b47501db)) - (segment (start 117.2 122.35) (end 117.35 122.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp ff08cd3b-1d6e-4351-a1b6-50db74914354)) (via (at 123.25 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 01e99336-9da4-4427-b0fe-cfdc8d316f60)) (via (at 55.753 102.8065) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 0206cf06-ef76-4b3e-871e-3d830b7f0a2c)) (via (at 105.7 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 04165c5f-4d0f-4fff-b2fd-2984d1bda615)) @@ -14217,7 +14230,6 @@ (via (at 102.85 97.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 09ebb211-9bd7-4074-a2fc-c2835978de93)) (via (at 125.25 115.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 0a2520b3-dd58-436e-934e-7322a678df62)) (via (at 127.85 117.65) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 0c1c7af2-16d8-407f-bf57-76f8a29be472)) - (via (at 122.05 122.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 0eead382-2424-4df0-a1d1-e1fd1f070aa8)) (via (at 87.6 104.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 0f7810a8-b76b-4061-9f09-d1e1c6141254)) (via (at 100.55 97.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 12985057-b45e-4d7c-a956-d14e97a2f54d)) (via (at 126.45 111) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 14b862ab-def2-4a38-aef5-dc6e69703c47)) @@ -14227,6 +14239,7 @@ (via (at 114.25 89.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 191e26ac-6397-40ca-8f72-12fe75c52bd6)) (via (at 125.55 107.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1afaf8db-3a47-4219-b717-ed9fd81edcdf)) (via (at 115.25 115.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1b67d0c4-b291-4fcb-a44a-9088192a7188)) + (via (at 117.325 119.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1ba41db3-77cb-4930-8c1d-7dae7e5529a1)) (via (at 111.75 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1c0d4fc7-da80-4254-b71a-2a1ddf1d1acc)) (via (at 62.103 102.8065) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1cebc1ac-ef01-4dee-8525-6553ecdb2282)) (via (at 81.026 105.6005) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1ea3e080-ff6c-4ce0-b636-84b2fdd65532)) @@ -14240,13 +14253,11 @@ (via (at 124.25 89.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2b3cf195-9fd2-4db8-8321-977d88ab7e3e)) (via (at 114.25 116.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2d737cd1-ca5b-4058-8fe6-2431344b950a)) (via (at 105.075 119.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2e536f46-042c-4b1d-bed2-6f962a3f868a)) - (via (at 120.25 122.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2eacc2f6-ab8b-4949-8858-667496f86dce)) (via (at 87.3 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 30d4d9dc-1505-43ba-9290-25b0f760e371)) (via (at 86.675 119.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 31ac0b6c-04c2-4bf3-97a2-f334ec0836d8)) (via (at 95.875 119.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 34f61e0a-920b-492c-851a-d69ed2235238)) (via (at 81.95 104.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 38f8c066-0a6e-48da-8339-82f3164c8d01)) (via (at 130.35 126.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 41e76cf6-2b5b-4ef3-aa20-f64519f7cefd)) - (via (at 119.65 123.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 41ebb8b1-4031-4064-9610-c4ed3f38862e)) (via (at 129.15 124.85) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 49328385-8dc7-4a2d-922f-4159e30ba8e4)) (via (at 86 112.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 49c82cb2-70f2-4cd3-acb2-70b357833b62)) (via (at 95 118.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 4b50a0da-7a67-44d5-a259-cd330898c5bb)) @@ -14279,7 +14290,6 @@ (via (at 96.5 120.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 8f572090-d9ec-4195-821d-306d2c9e276e)) (via (at 141.35 120.15) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 917516b1-9262-44fa-a789-aee08351c3e9)) (via (at 59.182 94.0435) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 94480a48-1d49-4910-b51b-5155bcf0123c)) - (via (at 121.2 123.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 94763772-4e85-4220-83b3-5a926b150db5)) (via (at 87.3 120.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 96bd6251-7da6-4fb8-8ec1-ad543159bc3a)) (via (at 114.05 109.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 99a13f7c-57c9-4743-9c28-c62710345450)) (via (at 126.45 106.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 9abf2843-ea30-457a-8c5a-7cf395257513)) @@ -14290,7 +14300,6 @@ (via (at 66.9 98.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp a3506f81-8476-43d3-af80-dfaa5f3c0582)) (via (at 127.45 107.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp a4cf755c-4536-4377-b76d-3cb40c6bcbfc)) (via (at 125.55 111.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp a5e446c4-c46b-48b1-b255-ab2e85b23b96)) - (via (at 115.55 122.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp aa215153-208f-4f17-bc2f-baafbaba6de9)) (via (at 64.7065 101.219) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp aa8e9b8d-9483-4294-b486-2b965a2bdcb0)) (via (at 114.25 114.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp abd346b8-339f-40c7-b7a6-e3d46c75c24c)) (via (at 84.25 105.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp af477251-c23d-4b42-a03c-680f1643ff25)) @@ -14298,7 +14307,6 @@ (via (at 98.2 92.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b483b4b7-b45c-4c67-bfb2-533d25131084)) (via (at 82.65 105.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b519971f-74ba-4598-be27-c727815433b3)) (via (at 99.4 112) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b59cef19-717d-4065-84ef-a133a6e5ffe6)) - (via (at 114.8 123.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b6bfa3ce-677d-456b-8439-045f3eed085b)) (via (at 76.6 118.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b6c05514-bbff-460d-9d65-cd029ff9752c)) (via (at 127.45 103.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b79d67e3-e6e8-4b93-a354-7e3f8219d787)) (via (at 114.05 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b8345ab5-e21f-4378-85c4-ca67a192ad5c)) @@ -14317,13 +14325,11 @@ (via (at 88.9 92.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp d150952e-6cf4-4b8b-87da-d945fc71c5fa)) (via (at 66.294 119.634) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp d3cd2ed0-ab56-473b-8dbe-d0ffabb5ceb7)) (via (at 89.9 110.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp d3f54f68-7696-4cfc-bf96-dfa7b5af1e92)) - (via (at 116.5 123.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp d45de0c2-61b3-4404-8dfa-53f6f726fca4)) (via (at 132.75 126.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp d527b9a8-1503-4555-971d-03108f0a69b8)) (via (at 110.85 110.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp d59691eb-d123-4b99-b69e-1ea74e6d3dc4)) (via (at 134 124.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp dad44c3e-4735-4592-9444-b7e7e8f95e09)) (via (at 88.7 90.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp dfda29c5-c11c-4823-8de3-575d35a2209b)) (via (at 96.5 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp e09412a6-f0f5-4285-9b8d-160778526b6a)) - (via (at 117.35 122.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp e177ca17-38c1-4f80-80b6-816d61ae2918)) (via (at 85.2 97.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp e20581ed-c38c-4691-a415-65c74f82f9f9)) (via (at 77.475 119.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp e298e2cd-8fb1-41c2-b847-c965d7cd30bc)) (via (at 126.6 118.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp e38dcaa2-2a3d-44ff-8a8d-4791d9ef120b)) @@ -14601,48 +14607,48 @@ (segment (start 98.55 93.7375) (end 98.55 94.628248) (width 0.15) (layer "F.Cu") (net 97) (tstamp c7fb5a10-0157-4ec6-bdff-147c2570d6c7)) (via (at 122.6 95.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 97) (tstamp 6890cc83-bfe6-4940-834e-f6c27069e6dc)) (via (at 97.8 95.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 97) (tstamp 88c1ea63-d10f-43d9-a64e-5ee8697e0fcf)) - (segment (start 98 95.85) (end 97.9 95.85) (width 0.15) (layer "B.Cu") (net 97) (tstamp 5e24e406-fbde-47bb-aacc-e25b6de0040d)) - (segment (start 122.6 95.85) (end 114.8 95.85) (width 0.15) (layer "B.Cu") (net 97) (tstamp 642d685c-fa89-4d90-98b7-0b039d300b7d)) - (segment (start 111.8 96.35) (end 109.85 94.4) (width 0.15) (layer "B.Cu") (net 97) (tstamp 8103bf62-d3db-420d-a8df-bb3bdcbaeb71)) - (segment (start 109.85 94.4) (end 98.95 94.4) (width 0.15) (layer "B.Cu") (net 97) (tstamp a75c8efc-9ad9-4e66-a92a-64f78ab0b9e4)) - (segment (start 98.7 94.65) (end 98.7 95.15) (width 0.15) (layer "B.Cu") (net 97) (tstamp aaab7770-58fd-4a63-bb1f-9913c2b316cc)) - (segment (start 114.3 96.35) (end 111.8 96.35) (width 0.15) (layer "B.Cu") (net 97) (tstamp af1f6855-171c-42f5-983c-f9fb90c5dc03)) - (segment (start 98.7 95.15) (end 98 95.85) (width 0.15) (layer "B.Cu") (net 97) (tstamp cc9466c1-0a6e-4da9-be93-2f0ff3fce3cb)) - (segment (start 98.95 94.4) (end 98.7 94.65) (width 0.15) (layer "B.Cu") (net 97) (tstamp e0b57d28-b58f-45ed-9497-c581cde281a2)) - (segment (start 114.8 95.85) (end 114.3 96.35) (width 0.15) (layer "B.Cu") (net 97) (tstamp e84f2a86-8c45-4b40-a596-7dc12b7679a7)) + (segment (start 122.6 95.85) (end 114.8 95.85) (width 0.15) (layer "B.Cu") (net 97) (tstamp 0f6be3c8-3d1e-4240-9b88-596c5e8ccfe4)) + (segment (start 98.7 94.65) (end 98.7 95.15) (width 0.15) (layer "B.Cu") (net 97) (tstamp 19c480d4-c8d6-4dfe-a372-1455e8218ce2)) + (segment (start 98.7 95.15) (end 98 95.85) (width 0.15) (layer "B.Cu") (net 97) (tstamp 57915844-383d-46c4-ad63-910878279e93)) + (segment (start 111.6 96.35) (end 109.65 94.4) (width 0.15) (layer "B.Cu") (net 97) (tstamp 68503d3c-c3c1-4f82-81b6-13a17409055f)) + (segment (start 114.8 95.85) (end 114.3 96.35) (width 0.15) (layer "B.Cu") (net 97) (tstamp 8457040b-1056-4442-8d83-30b5f4718ccd)) + (segment (start 98 95.85) (end 97.9 95.85) (width 0.15) (layer "B.Cu") (net 97) (tstamp 9bec8054-61a3-488f-be39-b1e635cbdd95)) + (segment (start 98.95 94.4) (end 98.7 94.65) (width 0.15) (layer "B.Cu") (net 97) (tstamp b99f398c-01ae-4ebb-9621-5946b2381194)) + (segment (start 109.65 94.4) (end 98.95 94.4) (width 0.15) (layer "B.Cu") (net 97) (tstamp d9c37f90-d7f7-4a50-8836-37b55a21eea1)) + (segment (start 114.3 96.35) (end 111.6 96.35) (width 0.15) (layer "B.Cu") (net 97) (tstamp fa121659-49c7-4dc3-91b1-d182ce372060)) (segment (start 124.4 93.45) (end 121.95 93.45) (width 0.15) (layer "F.Cu") (net 98) (tstamp 0119464a-06f5-4c00-988b-0da8cd1051c2)) (segment (start 95.55 93.7375) (end 95.55 92.75) (width 0.15) (layer "F.Cu") (net 98) (tstamp 8f4bc65b-76ae-4b40-819a-36942e1b3130)) (segment (start 95.55 92.75) (end 95.4 92.6) (width 0.15) (layer "F.Cu") (net 98) (tstamp cbb465ac-95e5-4011-9e87-ef87007dc95d)) (via (at 95.4 92.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 98) (tstamp 210bd94c-286c-465a-a391-093c9a43da92)) (via (at 121.95 93.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 98) (tstamp e9d2066d-9c53-438b-aaed-466780050d0a)) - (segment (start 96 91.65) (end 99.3 91.65) (width 0.15) (layer "B.Cu") (net 98) (tstamp 135bfe2b-c03c-4350-b6a9-4cf594db4be3)) - (segment (start 95.4 92.25) (end 96 91.65) (width 0.15) (layer "B.Cu") (net 98) (tstamp 14e1e5b8-16cd-49a4-9ace-3f5eded68a54)) - (segment (start 95.4 92.6) (end 95.4 92.25) (width 0.15) (layer "B.Cu") (net 98) (tstamp 1a499076-b9df-402d-b73a-f4e65689302a)) - (segment (start 114.5 93.95) (end 114.9 93.95) (width 0.15) (layer "B.Cu") (net 98) (tstamp 392fb758-f1b0-440a-8c34-8572a69932fa)) - (segment (start 115.4 93.45) (end 121.95 93.45) (width 0.15) (layer "B.Cu") (net 98) (tstamp 64250ca9-8fd6-49ee-91f3-1e0a99fe985b)) - (segment (start 111.85 93.75) (end 114.3 93.75) (width 0.15) (layer "B.Cu") (net 98) (tstamp 66ed3007-da60-4acd-9d8d-159742a7e394)) - (segment (start 110.65 92.55) (end 111.85 93.75) (width 0.15) (layer "B.Cu") (net 98) (tstamp 8690194c-77b0-4121-b27f-494a33868fec)) - (segment (start 100.2 92.55) (end 110.65 92.55) (width 0.15) (layer "B.Cu") (net 98) (tstamp a437232e-7cbd-4e60-b122-c186ec333bbc)) - (segment (start 99.3 91.65) (end 100.2 92.55) (width 0.15) (layer "B.Cu") (net 98) (tstamp a60d1609-2b8d-4229-ad03-d460c3420a0b)) - (segment (start 114.3 93.75) (end 114.5 93.95) (width 0.15) (layer "B.Cu") (net 98) (tstamp d61b9878-ae9c-4130-9c32-86c2f504392f)) - (segment (start 114.9 93.95) (end 115.4 93.45) (width 0.15) (layer "B.Cu") (net 98) (tstamp e0159a7b-f8aa-476a-85a4-80f5e288170b)) + (segment (start 114.5 93.95) (end 114.9 93.95) (width 0.15) (layer "B.Cu") (net 98) (tstamp 2d003c26-887a-4a8a-9e73-03c6fa48a958)) + (segment (start 96 91.65) (end 99.3 91.65) (width 0.15) (layer "B.Cu") (net 98) (tstamp 2e12c943-9330-4b30-832b-9f9448610dcd)) + (segment (start 100.2 92.55) (end 110.5 92.55) (width 0.15) (layer "B.Cu") (net 98) (tstamp 2e91a0e4-9b81-4e44-8efa-91b97827edf0)) + (segment (start 114.3 93.75) (end 114.5 93.95) (width 0.15) (layer "B.Cu") (net 98) (tstamp 3874fa5c-9341-418a-8651-78163252cc75)) + (segment (start 95.4 92.25) (end 96 91.65) (width 0.15) (layer "B.Cu") (net 98) (tstamp 521f7ea5-22a7-457f-9665-c628f8db1ac8)) + (segment (start 95.4 92.6) (end 95.4 92.25) (width 0.15) (layer "B.Cu") (net 98) (tstamp 53a70593-ce20-45de-b556-39a328a6b915)) + (segment (start 114.9 93.95) (end 115.4 93.45) (width 0.15) (layer "B.Cu") (net 98) (tstamp 9804e6d2-9aa8-46e7-a457-afcbeac033c5)) + (segment (start 111.7 93.75) (end 114.3 93.75) (width 0.15) (layer "B.Cu") (net 98) (tstamp 9986fde7-8272-4c8d-9a8e-db0e09b96325)) + (segment (start 110.5 92.55) (end 111.7 93.75) (width 0.15) (layer "B.Cu") (net 98) (tstamp da2762e0-200a-424b-ba36-f9b7919eb7fd)) + (segment (start 99.3 91.65) (end 100.2 92.55) (width 0.15) (layer "B.Cu") (net 98) (tstamp e14da4e7-c557-4306-9edb-eaf45b2cd9b9)) + (segment (start 115.4 93.45) (end 121.95 93.45) (width 0.15) (layer "B.Cu") (net 98) (tstamp e2009c2d-86e3-4922-99dd-1c379fa2fbfe)) (segment (start 100.05 92.35) (end 100.55 91.85) (width 0.15) (layer "F.Cu") (net 99) (tstamp 0a13393b-886d-4f2d-b3c7-870fd05b072b)) (segment (start 112.9 93.45) (end 114.7 93.45) (width 0.15) (layer "F.Cu") (net 99) (tstamp 5fe5bf2f-1525-4a08-ad09-fdf25228903c)) (segment (start 100.05 93.7375) (end 100.05 92.35) (width 0.15) (layer "F.Cu") (net 99) (tstamp ab8c45ec-3dc2-4a53-9205-f2f2049709d1)) (via (at 114.7 93.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 99) (tstamp 24f1a35b-40fc-4536-a0a2-c1a2be40dad9)) (via (at 100.55 91.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 99) (tstamp dcc83db7-0599-4d01-9017-a138b9a51483)) - (segment (start 112 93.45) (end 114.7 93.45) (width 0.15) (layer "B.Cu") (net 99) (tstamp 42598e6a-1b9b-497a-96f9-ef3c07acd3d0)) - (segment (start 100.95 92.25) (end 110.8 92.25) (width 0.15) (layer "B.Cu") (net 99) (tstamp 53f6f44c-92dc-4c79-848a-a755a7afaae8)) - (segment (start 110.8 92.25) (end 112 93.45) (width 0.15) (layer "B.Cu") (net 99) (tstamp 88a29aa4-6078-465f-9ce3-22aef5eac667)) - (segment (start 100.55 91.85) (end 100.95 92.25) (width 0.15) (layer "B.Cu") (net 99) (tstamp c1c4b6fb-e233-46e4-aa37-20ed0fc3540c)) + (segment (start 100.55 91.85) (end 100.95 92.25) (width 0.15) (layer "B.Cu") (net 99) (tstamp 0becf8a0-03a0-4aea-917c-a942b6b5a5ce)) + (segment (start 100.95 92.25) (end 110.65 92.25) (width 0.15) (layer "B.Cu") (net 99) (tstamp 25ae6560-dd77-4f54-b063-22118321869f)) + (segment (start 110.65 92.25) (end 111.85 93.45) (width 0.15) (layer "B.Cu") (net 99) (tstamp 8b738ab9-1838-4550-9944-791e384e01f9)) + (segment (start 111.85 93.45) (end 114.7 93.45) (width 0.15) (layer "B.Cu") (net 99) (tstamp ea280017-77c1-41d8-a31a-9c214ec93828)) (segment (start 94.55 93.7375) (end 94.55 94.9) (width 0.15) (layer "F.Cu") (net 100) (tstamp f51fa11a-a8e3-4bd4-9258-9a5607ec9e03)) (segment (start 112.9 95.05) (end 114.7 95.05) (width 0.15) (layer "F.Cu") (net 100) (tstamp fd93788f-4e11-45c2-8dab-61ce664b374d)) (via (at 114.7 95.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 100) (tstamp 6f60aa84-f575-4717-acef-f698294cf3fa)) (via (at 94.55 94.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 100) (tstamp def53d56-09f8-43ae-b810-ed0bc0ccdc36)) - (segment (start 110.225 93.45) (end 96 93.45) (width 0.15) (layer "B.Cu") (net 100) (tstamp 52ee7d34-15f6-410f-9ab0-27b043bc0b75)) - (segment (start 96 93.45) (end 94.55 94.9) (width 0.15) (layer "B.Cu") (net 100) (tstamp 5dbcb8a3-2344-425b-8e1e-b097e26e6fe5)) - (segment (start 111.825 95.05) (end 110.225 93.45) (width 0.15) (layer "B.Cu") (net 100) (tstamp 9b69ceaf-d6bb-4305-8d03-f752f7b57b1d)) - (segment (start 114.7 95.05) (end 111.825 95.05) (width 0.15) (layer "B.Cu") (net 100) (tstamp a09e65b2-a7ca-4bf9-a8b7-f8bec434fb43)) + (segment (start 110.05 93.45) (end 96 93.45) (width 0.15) (layer "B.Cu") (net 100) (tstamp 86287b1b-f8a9-49a5-a84e-882f370cf65e)) + (segment (start 114.7 95.05) (end 111.65 95.05) (width 0.15) (layer "B.Cu") (net 100) (tstamp b69b361a-ca5f-40d7-97cb-075b5d0a01c8)) + (segment (start 111.65 95.05) (end 110.05 93.45) (width 0.15) (layer "B.Cu") (net 100) (tstamp ce721c96-5af6-4e76-a1fa-54a26cb5d8de)) + (segment (start 96 93.45) (end 94.55 94.9) (width 0.15) (layer "B.Cu") (net 100) (tstamp f724ee46-9335-4c86-9a43-08e99172f8df)) (segment (start 112.9 99.85) (end 114.7 99.85) (width 0.15) (layer "F.Cu") (net 101) (tstamp 96e5b4c4-ee99-4fef-ae6d-5e3dfcad3914)) (segment (start 101.7125 100.4) (end 100.55 100.4) (width 0.15) (layer "F.Cu") (net 101) (tstamp d6ba6955-8bf9-4fd3-a0d7-38f45248e375)) (via (at 100.55 100.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 101) (tstamp f551a373-ebbc-435c-bc71-8c0c469c7072)) @@ -14681,144 +14687,139 @@ (segment (start 112.9 99.05) (end 114.05 99.05) (width 0.15) (layer "F.Cu") (net 106) (tstamp a86d8aa0-0aca-4795-8078-bdef874fd079)) (via (at 114.05 99.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 106) (tstamp 727f1876-f54d-491c-9265-372cf065f529)) (via (at 100.55 99.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 106) (tstamp bc80c017-18f8-44ac-b401-895e94342b64)) - (segment (start 100.55 99.4) (end 100.7 99.25) (width 0.15) (layer "B.Cu") (net 106) (tstamp 3769f05f-54de-4841-bb3f-5288ee1b7223)) - (segment (start 109.6 99.25) (end 109.8 99.05) (width 0.15) (layer "B.Cu") (net 106) (tstamp b0a83193-fcf4-461d-b199-2cd39868f16f)) - (segment (start 100.7 99.25) (end 109.6 99.25) (width 0.15) (layer "B.Cu") (net 106) (tstamp b7ca3673-233d-419f-b969-735923c2a7c8)) - (segment (start 109.8 99.05) (end 114.05 99.05) (width 0.15) (layer "B.Cu") (net 106) (tstamp d5c02a4b-10bb-43cc-88a6-ef5079622732)) + (segment (start 100.55 99.4) (end 100.7 99.25) (width 0.15) (layer "B.Cu") (net 106) (tstamp 1256ffdf-6ddd-47a2-b445-ea0eaee1c960)) + (segment (start 113.85 99.25) (end 114.05 99.05) (width 0.15) (layer "B.Cu") (net 106) (tstamp 68760b1b-324b-41df-b5ce-5f3350e44e2e)) + (segment (start 100.7 99.25) (end 113.85 99.25) (width 0.15) (layer "B.Cu") (net 106) (tstamp ffb1ac52-6b47-40e5-be31-3aa3daabb3eb)) (segment (start 124.4 98.25) (end 123.25 98.25) (width 0.15) (layer "F.Cu") (net 107) (tstamp 21744bfd-9518-4746-bff5-538d3d79010a)) (segment (start 101.7125 98.9) (end 99.65 98.9) (width 0.15) (layer "F.Cu") (net 107) (tstamp 50c6d9a3-1af2-4b2c-a8dc-e53ec43170be)) (via (at 99.65 98.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 107) (tstamp 58e27ccd-0fa1-4829-9f3a-82df2e679d81)) (via (at 123.25 98.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 107) (tstamp db3ae858-4466-4d85-bf23-d0e260df38c6)) - (segment (start 99.65 98.9) (end 100.75 98.9) (width 0.15) (layer "B.Cu") (net 107) (tstamp 1824b199-83f6-4f22-a867-bf6bf48faf4e)) - (segment (start 113.85 98.55) (end 114.3 98.55) (width 0.15) (layer "B.Cu") (net 107) (tstamp 2e7e88db-bc6a-42a5-b13f-8e6c1b617d31)) - (segment (start 114.9 98.75) (end 115.4 98.25) (width 0.15) (layer "B.Cu") (net 107) (tstamp 3977e95a-5442-43b8-be61-8089bb556091)) - (segment (start 114.5 98.75) (end 114.9 98.75) (width 0.15) (layer "B.Cu") (net 107) (tstamp 4949f669-bf18-4bec-a0e6-695747bc8687)) - (segment (start 100.8 98.95) (end 109.45 98.95) (width 0.15) (layer "B.Cu") (net 107) (tstamp 6a059198-0c0b-4d3e-a116-7f9854710194)) - (segment (start 109.45 98.95) (end 109.65 98.75) (width 0.15) (layer "B.Cu") (net 107) (tstamp 799948cf-b0fd-47c3-b550-064bb3952594)) - (segment (start 114.3 98.55) (end 114.5 98.75) (width 0.15) (layer "B.Cu") (net 107) (tstamp 8c0fecc9-b7d0-4c73-b00b-90e7ca98f089)) - (segment (start 113.65 98.75) (end 113.85 98.55) (width 0.15) (layer "B.Cu") (net 107) (tstamp 9fb632b2-5769-43ca-9875-f239578a1fa6)) - (segment (start 109.65 98.75) (end 113.65 98.75) (width 0.15) (layer "B.Cu") (net 107) (tstamp c6d8e2af-e532-402e-b3c1-b85f5d4ecf94)) - (segment (start 115.4 98.25) (end 123.25 98.25) (width 0.15) (layer "B.Cu") (net 107) (tstamp d0357894-7324-46bb-a0b4-a075acaf2082)) - (segment (start 100.75 98.9) (end 100.8 98.95) (width 0.15) (layer "B.Cu") (net 107) (tstamp fadd7bad-bb1a-4903-b798-53e8414a295d)) + (segment (start 114.5 98.75) (end 114.9 98.75) (width 0.15) (layer "B.Cu") (net 107) (tstamp 1b130c72-9376-4188-9fb2-f8280580f4dc)) + (segment (start 113.45 98.95) (end 113.85 98.55) (width 0.15) (layer "B.Cu") (net 107) (tstamp 302b196c-f202-4532-b4aa-0e06cb3f6531)) + (segment (start 100.8 98.95) (end 113.45 98.95) (width 0.15) (layer "B.Cu") (net 107) (tstamp 3121809b-fe94-47f1-a1af-cbafa0ef7c3c)) + (segment (start 113.85 98.55) (end 114.3 98.55) (width 0.15) (layer "B.Cu") (net 107) (tstamp 4fc43320-6c48-4653-b128-c0a492c6d252)) + (segment (start 114.9 98.75) (end 115.4 98.25) (width 0.15) (layer "B.Cu") (net 107) (tstamp 52b39084-1e9b-47b7-9929-63aa4a2f9c01)) + (segment (start 114.3 98.55) (end 114.5 98.75) (width 0.15) (layer "B.Cu") (net 107) (tstamp 643d1a30-4b7c-45ba-bffd-e2158ccce3d1)) + (segment (start 115.4 98.25) (end 123.25 98.25) (width 0.15) (layer "B.Cu") (net 107) (tstamp 84f1935c-65aa-4de0-8dc4-05e28fa23398)) + (segment (start 99.65 98.9) (end 100.75 98.9) (width 0.15) (layer "B.Cu") (net 107) (tstamp de142aeb-fccb-49c9-99c9-91f978e9a4d0)) + (segment (start 100.75 98.9) (end 100.8 98.95) (width 0.15) (layer "B.Cu") (net 107) (tstamp e41ac8ff-a9d6-4172-9e59-366774bf2972)) (segment (start 101.7125 97.9) (end 99.65 97.9) (width 0.15) (layer "F.Cu") (net 108) (tstamp cdd51af2-168f-4870-b5cc-1dcfd8bcff26)) (segment (start 112.9 98.25) (end 114.7 98.25) (width 0.15) (layer "F.Cu") (net 108) (tstamp fad038bd-372e-4320-bd0e-716c196bfb69)) (via (at 99.65 97.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 108) (tstamp 010218a9-77f4-4f28-8aeb-9f0bda9f524c)) (via (at 114.7 98.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 108) (tstamp 0e419580-90b7-439a-a5e9-2ff36c762116)) - (segment (start 114.7 98.25) (end 113.7 98.25) (width 0.15) (layer "B.Cu") (net 108) (tstamp 02a8bd7e-28f1-478d-9afb-501705f12439)) - (segment (start 113.7 98.25) (end 113.5 98.45) (width 0.15) (layer "B.Cu") (net 108) (tstamp 1676bbd2-52e9-457f-8d11-8cb6a426cf40)) - (segment (start 109.5 98.45) (end 109.3 98.65) (width 0.15) (layer "B.Cu") (net 108) (tstamp 4fce8cf7-5a9c-4c7a-a34d-a7fcb625a99d)) - (segment (start 113.5 98.45) (end 109.5 98.45) (width 0.15) (layer "B.Cu") (net 108) (tstamp 8bf0496e-7acc-48c2-a776-09bee665e52f)) - (segment (start 103.85 98.65) (end 103.1 97.9) (width 0.15) (layer "B.Cu") (net 108) (tstamp af788682-217e-4ea4-b366-faa1b8729a81)) - (segment (start 103.1 97.9) (end 99.65 97.9) (width 0.15) (layer "B.Cu") (net 108) (tstamp f1ed20e4-4f6a-491a-9cb9-518002c9edb6)) - (segment (start 109.3 98.65) (end 103.85 98.65) (width 0.15) (layer "B.Cu") (net 108) (tstamp fca688a2-397f-41c1-af7e-eecf8506e621)) + (segment (start 114.7 98.25) (end 113.7 98.25) (width 0.15) (layer "B.Cu") (net 108) (tstamp 6fa7c1ac-63f7-4957-9b3e-884ed37788b9)) + (segment (start 113.3 98.65) (end 103.85 98.65) (width 0.15) (layer "B.Cu") (net 108) (tstamp 77e122bd-43d1-4ae2-bdbd-af9115ff150f)) + (segment (start 113.7 98.25) (end 113.3 98.65) (width 0.15) (layer "B.Cu") (net 108) (tstamp af17f8f9-b10b-4fa7-a9d5-001e313cdb55)) + (segment (start 103.1 97.9) (end 99.65 97.9) (width 0.15) (layer "B.Cu") (net 108) (tstamp bc597d8a-e6ea-428e-b505-b7528d41971e)) + (segment (start 103.85 98.65) (end 103.1 97.9) (width 0.15) (layer "B.Cu") (net 108) (tstamp c085e64f-e59a-42cc-a7d5-6b8af5c65359)) (segment (start 124.4 97.45) (end 122.6 97.45) (width 0.15) (layer "F.Cu") (net 109) (tstamp a9665cbf-93c5-435a-a50c-187b07e93c81)) (segment (start 101.7125 96.4) (end 100.55 96.4) (width 0.15) (layer "F.Cu") (net 109) (tstamp cf192c62-521a-4063-851a-50b038a4e646)) (via (at 122.6 97.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 109) (tstamp 5d5024e5-3641-478e-9cdf-bbfe872df1d3)) (via (at 100.55 96.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 109) (tstamp a2b16df9-42f3-47d6-a240-a5d32468e8d5)) - (segment (start 108.9 96.2) (end 110.25 97.55) (width 0.15) (layer "B.Cu") (net 109) (tstamp 37bf7108-db7b-47fc-9144-77e2384dd62e)) - (segment (start 114.8 97.45) (end 122.6 97.45) (width 0.15) (layer "B.Cu") (net 109) (tstamp 53fac61e-a833-4407-ab67-9bed982b4e03)) - (segment (start 100.75 96.2) (end 102.35 96.2) (width 0.15) (layer "B.Cu") (net 109) (tstamp 5ec4ecec-fd5f-4652-b87e-0da99fe47c18)) - (segment (start 114.3 97.95) (end 114.8 97.45) (width 0.15) (layer "B.Cu") (net 109) (tstamp 5f3ec1ae-d53d-4ad9-8ae0-4fe5506118c4)) - (segment (start 100.55 96.4) (end 100.75 96.2) (width 0.15) (layer "B.Cu") (net 109) (tstamp 753b9b33-d489-4e69-960e-2a48bd9ef7ec)) - (segment (start 110.25 97.55) (end 113.45 97.55) (width 0.15) (layer "B.Cu") (net 109) (tstamp 7fea3533-8b10-41d1-89c8-0313557825cd)) - (segment (start 103.55 96.2) (end 108.9 96.2) (width 0.15) (layer "B.Cu") (net 109) (tstamp c1432e08-6d28-478e-ae94-e867f6af8a5d)) - (segment (start 102.35 96.2) (end 102.75 96.6) (width 0.15) (layer "B.Cu") (net 109) (tstamp da526624-1ae9-4e46-ba7f-8267fbaa04d6)) - (segment (start 113.85 97.95) (end 114.3 97.95) (width 0.15) (layer "B.Cu") (net 109) (tstamp ef58390c-ffa1-45d8-81c0-9bc6d737327f)) - (segment (start 102.75 96.6) (end 103.15 96.6) (width 0.15) (layer "B.Cu") (net 109) (tstamp f3ff0b73-3be8-4152-9abe-41174eee85c5)) - (segment (start 103.15 96.6) (end 103.55 96.2) (width 0.15) (layer "B.Cu") (net 109) (tstamp f82317c1-cbf2-496e-9eda-9adc9bf2273f)) - (segment (start 113.45 97.55) (end 113.85 97.95) (width 0.15) (layer "B.Cu") (net 109) (tstamp f90320e1-8475-4b6f-9b05-e398b2d43245)) + (segment (start 113.45 97.55) (end 113.85 97.95) (width 0.15) (layer "B.Cu") (net 109) (tstamp 223947f9-a3af-43d6-bfec-480a108d5732)) + (segment (start 103.15 96.6) (end 103.55 96.2) (width 0.15) (layer "B.Cu") (net 109) (tstamp 3a7252e4-d5a6-40bb-83d5-73131f4c8361)) + (segment (start 113.85 97.95) (end 114.3 97.95) (width 0.15) (layer "B.Cu") (net 109) (tstamp 4b618ec5-7660-4d14-b84d-5260d9299ee2)) + (segment (start 102.35 96.2) (end 102.75 96.6) (width 0.15) (layer "B.Cu") (net 109) (tstamp 7ae0e546-cc84-488c-a198-2d7064932dae)) + (segment (start 108.725 96.2) (end 110.075 97.55) (width 0.15) (layer "B.Cu") (net 109) (tstamp 8a790663-eebd-4caf-9671-a339ff20241a)) + (segment (start 103.55 96.2) (end 108.725 96.2) (width 0.15) (layer "B.Cu") (net 109) (tstamp 9fc1045e-54bb-4ffe-b2c0-eaf14db57471)) + (segment (start 110.075 97.55) (end 113.45 97.55) (width 0.15) (layer "B.Cu") (net 109) (tstamp adf3bc3c-c3fc-4960-8c24-9dbfcab5c450)) + (segment (start 114.8 97.45) (end 122.6 97.45) (width 0.15) (layer "B.Cu") (net 109) (tstamp c05531ab-df18-4e6a-89ed-bcf7374fb2ee)) + (segment (start 100.75 96.2) (end 102.35 96.2) (width 0.15) (layer "B.Cu") (net 109) (tstamp d0d2b257-8a16-4cee-ab36-d329e6ccb050)) + (segment (start 102.75 96.6) (end 103.15 96.6) (width 0.15) (layer "B.Cu") (net 109) (tstamp d75bc7a6-1c85-4cf4-beb9-7a349901ed5a)) + (segment (start 114.3 97.95) (end 114.8 97.45) (width 0.15) (layer "B.Cu") (net 109) (tstamp f3d7d8c4-a26e-4e72-b774-0795be7ca6c5)) + (segment (start 100.55 96.4) (end 100.75 96.2) (width 0.15) (layer "B.Cu") (net 109) (tstamp f9433600-5170-4a9b-a629-efaa72e2bba2)) (segment (start 101.7125 95.9) (end 102.75 95.9) (width 0.15) (layer "F.Cu") (net 110) (tstamp 87ca525a-0480-411e-9e2a-ff6ae431ce41)) (segment (start 102.75 95.9) (end 102.95 96.1) (width 0.15) (layer "F.Cu") (net 110) (tstamp 88024e70-7d79-4a2f-b30b-e6cdde200d9e)) (segment (start 112.9 97.45) (end 114.05 97.45) (width 0.15) (layer "F.Cu") (net 110) (tstamp c519e67d-ae75-4b45-a284-799aeb14184d)) (via (at 114.05 97.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 110) (tstamp 2001ef3c-8c26-4268-b5b5-1753c6b6939b)) (via (at 102.95 96.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 110) (tstamp dcd38665-31d2-43f9-9873-cb30edeb5068)) - (segment (start 110.4 97.25) (end 113.85 97.25) (width 0.15) (layer "B.Cu") (net 110) (tstamp 271b9c8a-b01e-402f-92ae-9f6ed90bd6b2)) - (segment (start 113.85 97.25) (end 114.05 97.45) (width 0.15) (layer "B.Cu") (net 110) (tstamp 27dee903-c439-4cc8-b9d5-a726e1168551)) - (segment (start 102.95 96.1) (end 103.15 95.9) (width 0.15) (layer "B.Cu") (net 110) (tstamp 78cbc512-b733-44be-aeaa-92434da2a6ff)) - (segment (start 109.05 95.9) (end 110.4 97.25) (width 0.15) (layer "B.Cu") (net 110) (tstamp 97a65ae8-a7e4-4c07-9b30-89b7ecbc3d38)) - (segment (start 103.15 95.9) (end 109.05 95.9) (width 0.15) (layer "B.Cu") (net 110) (tstamp ed27c615-1762-4b8b-8ac0-3873a7456933)) + (segment (start 113.85 97.25) (end 114.05 97.45) (width 0.15) (layer "B.Cu") (net 110) (tstamp 13dfeafc-b8af-4a09-9844-647aa229b0a9)) + (segment (start 108.85 95.9) (end 110.2 97.25) (width 0.15) (layer "B.Cu") (net 110) (tstamp 7c7105e1-59bd-41db-9c00-63dbaf6990a2)) + (segment (start 103.15 95.9) (end 108.85 95.9) (width 0.15) (layer "B.Cu") (net 110) (tstamp 8b188329-95e9-42fb-ac16-00cd1e59ed4f)) + (segment (start 102.95 96.1) (end 103.15 95.9) (width 0.15) (layer "B.Cu") (net 110) (tstamp 96da02bd-0678-40b6-bf83-206701e6fd2b)) + (segment (start 110.2 97.25) (end 113.85 97.25) (width 0.15) (layer "B.Cu") (net 110) (tstamp ffb88640-e8d4-41a5-a328-7607cca7f4ed)) (segment (start 124.4 96.65) (end 123.25 96.65) (width 0.15) (layer "F.Cu") (net 111) (tstamp 04b946c0-31f2-4b17-8a3c-7b6694245691)) (segment (start 101.7125 96.9) (end 99.65 96.9) (width 0.15) (layer "F.Cu") (net 111) (tstamp 2ca9feab-e83d-4c4d-8d1f-97e27e74cd51)) (via (at 123.25 96.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 111) (tstamp 932dc4e0-1b7e-49d4-bcc8-8f95840aa602)) (via (at 99.65 96.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 111) (tstamp e0360cfd-b9c5-4da7-8499-5762a7ceb9da)) - (segment (start 114.3 96.95) (end 110.55 96.95) (width 0.15) (layer "B.Cu") (net 111) (tstamp 0cb309bf-1860-4ac5-86f9-5219084ec388)) - (segment (start 123.25 96.65) (end 115.4 96.65) (width 0.15) (layer "B.Cu") (net 111) (tstamp 421d9caf-736d-4f2b-92b0-c3b7b88a2baf)) - (segment (start 114.5 97.15) (end 114.3 96.95) (width 0.15) (layer "B.Cu") (net 111) (tstamp 4ba3c490-a785-44d2-989f-050ce682abd8)) - (segment (start 114.9 97.15) (end 114.5 97.15) (width 0.15) (layer "B.Cu") (net 111) (tstamp 61dcd98b-cc54-4ff5-8335-f6542fe275ab)) - (segment (start 110.55 96.95) (end 109.2 95.6) (width 0.15) (layer "B.Cu") (net 111) (tstamp 873e09a7-d667-4b97-b7a8-30ad92d55cc5)) - (segment (start 102.75 95.6) (end 102.45 95.9) (width 0.15) (layer "B.Cu") (net 111) (tstamp c403f3c5-8a01-4e14-add2-b61e4eb933f4)) - (segment (start 115.4 96.65) (end 114.9 97.15) (width 0.15) (layer "B.Cu") (net 111) (tstamp c5b2a521-ac30-4a5f-bb6b-aa427325338b)) - (segment (start 102.45 95.9) (end 100.35 95.9) (width 0.15) (layer "B.Cu") (net 111) (tstamp cb044df0-82a5-4582-a50d-2defbb19a43b)) - (segment (start 100.35 95.9) (end 99.65 96.6) (width 0.15) (layer "B.Cu") (net 111) (tstamp db9c5e44-13ad-46dd-a3a8-c15057b716af)) - (segment (start 99.65 96.6) (end 99.65 96.9) (width 0.15) (layer "B.Cu") (net 111) (tstamp ed1a8e3e-9d26-4b82-9518-230a311bc189)) - (segment (start 109.2 95.6) (end 102.75 95.6) (width 0.15) (layer "B.Cu") (net 111) (tstamp f0561e65-f3dd-412b-9086-9870544d2bab)) + (segment (start 99.65 96.6) (end 99.65 96.9) (width 0.15) (layer "B.Cu") (net 111) (tstamp 0d58f8fa-2bf7-4291-9386-d34ac82dbdaf)) + (segment (start 114.9 97.15) (end 114.5 97.15) (width 0.15) (layer "B.Cu") (net 111) (tstamp 0e95930f-faa3-4f46-9b1b-3ec8a4a54e84)) + (segment (start 102.75 95.6) (end 102.45 95.9) (width 0.15) (layer "B.Cu") (net 111) (tstamp 151d7df7-2f30-4519-8664-20c74ff92f33)) + (segment (start 114.3 96.95) (end 110.35 96.95) (width 0.15) (layer "B.Cu") (net 111) (tstamp 2c281afe-b8ff-4a83-8651-bee054cdac62)) + (segment (start 109 95.6) (end 102.75 95.6) (width 0.15) (layer "B.Cu") (net 111) (tstamp 39251be9-7b81-462e-a531-b5ddeaddb940)) + (segment (start 115.4 96.65) (end 114.9 97.15) (width 0.15) (layer "B.Cu") (net 111) (tstamp 5744c4e8-2ed2-439b-9e64-f109be48f811)) + (segment (start 123.25 96.65) (end 115.4 96.65) (width 0.15) (layer "B.Cu") (net 111) (tstamp 6d1c4cfd-82de-4a67-b26f-bf21e12bce73)) + (segment (start 100.35 95.9) (end 99.65 96.6) (width 0.15) (layer "B.Cu") (net 111) (tstamp 75520c87-23b7-4efe-8409-00f31232bf5c)) + (segment (start 110.35 96.95) (end 109 95.6) (width 0.15) (layer "B.Cu") (net 111) (tstamp 8453a770-bba6-45e6-af72-66b5687e9342)) + (segment (start 114.5 97.15) (end 114.3 96.95) (width 0.15) (layer "B.Cu") (net 111) (tstamp bbaced6b-a8ee-481a-9d7c-19647157e41e)) + (segment (start 102.45 95.9) (end 100.35 95.9) (width 0.15) (layer "B.Cu") (net 111) (tstamp c1876ee2-8223-4f9a-9747-2e09f26028cd)) (segment (start 112.9 96.65) (end 114.7 96.65) (width 0.15) (layer "F.Cu") (net 112) (tstamp 51986a06-e019-4a9f-b20f-1eecadda4f06)) (segment (start 99.05 93.7375) (end 99.05 94.75) (width 0.15) (layer "F.Cu") (net 112) (tstamp 9b86f633-4c55-4fd1-b521-8ae46d89a012)) (segment (start 99.05 94.75) (end 99.2 94.9) (width 0.15) (layer "F.Cu") (net 112) (tstamp bb206bce-56df-4161-8a8d-6a4129f475aa)) (via (at 114.7 96.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 112) (tstamp e67a4957-725e-4a2c-9739-e5977af2f7c3)) (via (at 99.2 94.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 112) (tstamp eabc430b-0dc2-4b9a-9464-e367773aced5)) - (segment (start 114.7 96.65) (end 111.65 96.65) (width 0.15) (layer "B.Cu") (net 112) (tstamp 004a8e40-4623-493e-855d-4991ee1a26f9)) - (segment (start 111.65 96.65) (end 109.7 94.7) (width 0.15) (layer "B.Cu") (net 112) (tstamp 0bd97159-7694-456a-9c2f-c11f78e3468d)) - (segment (start 109.7 94.7) (end 99.4 94.7) (width 0.15) (layer "B.Cu") (net 112) (tstamp 87d4e42b-477f-46b5-a9aa-f3405d167443)) - (segment (start 99.4 94.7) (end 99.2 94.9) (width 0.15) (layer "B.Cu") (net 112) (tstamp c28058ca-bdfc-4f8a-a901-30ab79551568)) + (segment (start 109.5 94.7) (end 99.4 94.7) (width 0.15) (layer "B.Cu") (net 112) (tstamp 2591bc61-9240-48ff-8683-025c2a31a3d6)) + (segment (start 114.7 96.65) (end 111.45 96.65) (width 0.15) (layer "B.Cu") (net 112) (tstamp 4879231e-94fa-40ba-adca-3af6b31a5c4e)) + (segment (start 111.45 96.65) (end 109.5 94.7) (width 0.15) (layer "B.Cu") (net 112) (tstamp a2d94bef-8d32-41ea-8c39-49fe5ff00530)) + (segment (start 99.4 94.7) (end 99.2 94.9) (width 0.15) (layer "B.Cu") (net 112) (tstamp d84f08b5-5a2a-4f81-b008-ee4186de96e6)) (segment (start 96.7 94.95) (end 96.7 95.7) (width 0.15) (layer "F.Cu") (net 113) (tstamp 49b811f4-4bb3-450b-a85a-8b49452781fb)) (segment (start 97.05 93.7375) (end 97.05 94.6) (width 0.15) (layer "F.Cu") (net 113) (tstamp 76c7fa01-0429-470a-a57d-699d8b242f00)) (segment (start 112.9 95.85) (end 114.05 95.85) (width 0.15) (layer "F.Cu") (net 113) (tstamp ab9e9bbe-f793-4d22-aab2-8b24c0977b64)) (segment (start 97.05 94.6) (end 96.7 94.95) (width 0.15) (layer "F.Cu") (net 113) (tstamp c12aae9b-4c45-488b-a46f-5bcbbea38d25)) (via (at 96.7 95.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 113) (tstamp 8039e76e-4b63-4066-b2df-3913a8b24fb7)) (via (at 114.05 95.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 113) (tstamp e7df8884-b43e-49a1-975a-11b18c42723f)) - (segment (start 97.35 94.05) (end 96.7 94.7) (width 0.15) (layer "B.Cu") (net 113) (tstamp 1fb4af28-2bf5-4821-b324-d1dbb8fad577)) - (segment (start 96.7 94.7) (end 96.7 95.65) (width 0.15) (layer "B.Cu") (net 113) (tstamp 2ec6535f-d06e-43b9-81eb-2fd9b82d5f82)) - (segment (start 111.75 95.85) (end 109.95 94.05) (width 0.15) (layer "B.Cu") (net 113) (tstamp 31ef2f9d-515b-41aa-ac9b-f12cdc5cd940)) - (segment (start 114.05 95.85) (end 111.75 95.85) (width 0.15) (layer "B.Cu") (net 113) (tstamp 42c3b074-ee18-45df-aaa3-3ee481d97f1e)) - (segment (start 109.95 94.05) (end 97.35 94.05) (width 0.15) (layer "B.Cu") (net 113) (tstamp 94a82973-b3ea-4335-8aa4-f88e027dc13f)) + (segment (start 97.35 94.05) (end 96.7 94.7) (width 0.15) (layer "B.Cu") (net 113) (tstamp 58b446ae-0e92-48bf-adbd-76a1ea970408)) + (segment (start 109.75 94.05) (end 97.35 94.05) (width 0.15) (layer "B.Cu") (net 113) (tstamp 96215605-ac4a-485f-9dec-e66d66650934)) + (segment (start 111.55 95.85) (end 109.75 94.05) (width 0.15) (layer "B.Cu") (net 113) (tstamp 9e578291-e785-44ec-9a6d-1d5b5d584a0c)) + (segment (start 96.7 94.7) (end 96.7 95.65) (width 0.15) (layer "B.Cu") (net 113) (tstamp a5285a45-c8c0-433f-8495-c0521663e4a3)) + (segment (start 114.05 95.85) (end 111.55 95.85) (width 0.15) (layer "B.Cu") (net 113) (tstamp c19eb831-ea22-4f66-b519-2423fccb85ea)) (segment (start 124.4 95.05) (end 123.25 95.05) (width 0.15) (layer "F.Cu") (net 114) (tstamp 07f9be8d-6892-4bcb-896d-47f7a326422e)) (segment (start 96.05 93.7375) (end 96.05 94.9) (width 0.15) (layer "F.Cu") (net 114) (tstamp c7f4226b-8002-446a-a928-8390072873dc)) (via (at 123.25 95.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 114) (tstamp 026ca6f1-1b73-4ce4-b4e5-306dc3e9a76c)) (via (at 96.05 94.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 114) (tstamp f4080e19-7fdd-4908-8f74-2bb41c85cfec)) - (segment (start 96.05 94.9) (end 97.2 93.75) (width 0.15) (layer "B.Cu") (net 114) (tstamp 06e897df-4cfd-4c62-849e-7e56f8eefd22)) - (segment (start 110.1 93.75) (end 111.7 95.35) (width 0.15) (layer "B.Cu") (net 114) (tstamp 22b541e8-59b6-45f4-a4ad-d5fdacae3653)) - (segment (start 111.7 95.35) (end 114.3 95.35) (width 0.15) (layer "B.Cu") (net 114) (tstamp 28c82cbf-ed3e-441e-9273-ae3b83d304b3)) - (segment (start 114.5 95.55) (end 114.9 95.55) (width 0.15) (layer "B.Cu") (net 114) (tstamp 3bb10396-8b27-42e8-8998-ab4a5fa0e843)) - (segment (start 97.2 93.75) (end 110.1 93.75) (width 0.15) (layer "B.Cu") (net 114) (tstamp 54a09ddb-0e3f-45a8-a567-605e3676b49a)) - (segment (start 114.9 95.55) (end 115.4 95.05) (width 0.15) (layer "B.Cu") (net 114) (tstamp 5a36c6f3-fc69-492c-845a-4bd566b9a804)) - (segment (start 114.3 95.35) (end 114.5 95.55) (width 0.15) (layer "B.Cu") (net 114) (tstamp 66024d0e-da34-4972-b116-d34990bb24f0)) - (segment (start 115.4 95.05) (end 123.25 95.05) (width 0.15) (layer "B.Cu") (net 114) (tstamp c86d9ede-623a-43c4-87f1-97181108cbcf)) + (segment (start 114.3 95.35) (end 114.5 95.55) (width 0.15) (layer "B.Cu") (net 114) (tstamp 31c55ca6-2560-4f4f-a439-d312105bd61a)) + (segment (start 109.9 93.75) (end 111.5 95.35) (width 0.15) (layer "B.Cu") (net 114) (tstamp 54f75ab2-7f9d-49e2-aef7-bf798116b0c5)) + (segment (start 96.05 94.9) (end 97.2 93.75) (width 0.15) (layer "B.Cu") (net 114) (tstamp 758e22b7-38f3-491c-8923-87fd2ea584f7)) + (segment (start 114.5 95.55) (end 114.9 95.55) (width 0.15) (layer "B.Cu") (net 114) (tstamp afb82f40-e604-4579-9fbd-63c9937b04bb)) + (segment (start 115.4 95.05) (end 123.25 95.05) (width 0.15) (layer "B.Cu") (net 114) (tstamp b34daf71-5153-4c0f-8fdc-466edb0260e9)) + (segment (start 114.9 95.55) (end 115.4 95.05) (width 0.15) (layer "B.Cu") (net 114) (tstamp c0d303da-6ae3-4087-a337-d0b47fc8852b)) + (segment (start 111.5 95.35) (end 114.3 95.35) (width 0.15) (layer "B.Cu") (net 114) (tstamp c274a794-32b5-4509-8931-9ce39689d683)) + (segment (start 97.2 93.75) (end 109.9 93.75) (width 0.15) (layer "B.Cu") (net 114) (tstamp ee6aade1-3053-47ea-84d4-0c8a92232958)) (segment (start 96.55 93.7375) (end 96.55 92.75) (width 0.15) (layer "F.Cu") (net 115) (tstamp 4a3cf076-2111-4ad1-84df-06ace77e90cf)) (segment (start 96.55 92.75) (end 96.4 92.6) (width 0.15) (layer "F.Cu") (net 115) (tstamp a0c44a28-a822-4d52-87bb-d7f6e35f5b74)) (segment (start 124.4 94.25) (end 122.6 94.25) (width 0.15) (layer "F.Cu") (net 115) (tstamp a343859f-e8ea-4b17-9746-680f27abbdcd)) (via (at 96.4 92.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 115) (tstamp 1a8442a5-aee2-4504-ad24-bb861f653dbc)) (via (at 122.6 94.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 115) (tstamp cc69cd1d-4091-4d4f-805c-19ff2924cedf)) - (segment (start 114.8 94.25) (end 114.3 94.75) (width 0.15) (layer "B.Cu") (net 115) (tstamp a1368991-dcc2-495d-9e57-f950b004d9b4)) - (segment (start 96.95 93.15) (end 96.4 92.6) (width 0.15) (layer "B.Cu") (net 115) (tstamp ad3a6703-c641-4a31-bc5e-b1a398e3663c)) - (segment (start 122.6 94.25) (end 114.8 94.25) (width 0.15) (layer "B.Cu") (net 115) (tstamp b9dc1d23-64f3-4f27-a506-ab4cf094c2f7)) - (segment (start 114.3 94.75) (end 111.95 94.75) (width 0.15) (layer "B.Cu") (net 115) (tstamp cabf73e5-e474-4614-91da-fae911fdf79f)) - (segment (start 110.35 93.15) (end 96.95 93.15) (width 0.15) (layer "B.Cu") (net 115) (tstamp db646670-5e9b-45eb-8ea7-fca29ba2ada8)) - (segment (start 111.95 94.75) (end 110.35 93.15) (width 0.15) (layer "B.Cu") (net 115) (tstamp e3500646-c9d8-4ac6-ad23-5fe1e28cb93f)) + (segment (start 114.8 94.25) (end 114.3 94.75) (width 0.15) (layer "B.Cu") (net 115) (tstamp 4d4ebb29-a36f-4c99-b8ec-8020ddcbca2a)) + (segment (start 114.3 94.75) (end 111.8 94.75) (width 0.15) (layer "B.Cu") (net 115) (tstamp 6a271e7d-f750-4b7f-a4e9-e4b54cd2a98f)) + (segment (start 110.2 93.15) (end 96.95 93.15) (width 0.15) (layer "B.Cu") (net 115) (tstamp 6e7b8c0a-3784-4f76-9b3c-a26e62be9fbc)) + (segment (start 111.8 94.75) (end 110.2 93.15) (width 0.15) (layer "B.Cu") (net 115) (tstamp 74e3caa5-3a85-4fee-93cf-36ad3895138e)) + (segment (start 122.6 94.25) (end 114.8 94.25) (width 0.15) (layer "B.Cu") (net 115) (tstamp 8f4b60aa-a790-46b8-b2a8-f299ca9be4cf)) + (segment (start 96.95 93.15) (end 96.4 92.6) (width 0.15) (layer "B.Cu") (net 115) (tstamp aa4e6ac5-81b8-4b86-9e85-a774b784a2da)) (segment (start 99.55 93.7375) (end 99.55 92.6) (width 0.15) (layer "F.Cu") (net 116) (tstamp 08841ac1-3054-4153-9e72-14673ec042e3)) (segment (start 112.9 94.25) (end 114.05 94.25) (width 0.15) (layer "F.Cu") (net 116) (tstamp 1681667d-1b22-4cc6-a3b7-9f88242b1a18)) (via (at 114.05 94.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 116) (tstamp 48b29a6a-5e2e-4796-8b02-c25754a5036b)) (via (at 99.55 92.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 116) (tstamp 60797d33-af72-4ab3-b6bf-9d79615532a9)) - (segment (start 99.8 92.85) (end 110.5 92.85) (width 0.15) (layer "B.Cu") (net 116) (tstamp 1b12f211-3ce8-4328-bc7e-5669e77eaf0b)) - (segment (start 99.55 92.6) (end 99.8 92.85) (width 0.15) (layer "B.Cu") (net 116) (tstamp 39273b83-44fb-4c14-b539-5768cc53a022)) - (segment (start 111.9 94.25) (end 114.05 94.25) (width 0.15) (layer "B.Cu") (net 116) (tstamp 6fadb7ed-1392-414f-9ac2-078967ced214)) - (segment (start 110.5 92.85) (end 111.9 94.25) (width 0.15) (layer "B.Cu") (net 116) (tstamp c883392e-6a53-4f62-b5f6-bf33e511dee7)) - (segment (start 75.85 104.9) (end 77.7 106.75) (width 0.15) (layer "F.Cu") (net 117) (tstamp 0164203c-82e3-4b35-957e-3db884629d76)) + (segment (start 110.35 92.85) (end 111.75 94.25) (width 0.15) (layer "B.Cu") (net 116) (tstamp 15a56fb6-f0a3-417f-988d-7a205cada91a)) + (segment (start 99.55 92.6) (end 99.8 92.85) (width 0.15) (layer "B.Cu") (net 116) (tstamp 165723c2-c244-4da6-8c68-ab18a7f2c1d2)) + (segment (start 99.8 92.85) (end 110.35 92.85) (width 0.15) (layer "B.Cu") (net 116) (tstamp 1ed5502d-f399-417e-85ec-6cf77dcd090e)) + (segment (start 111.75 94.25) (end 114.05 94.25) (width 0.15) (layer "B.Cu") (net 116) (tstamp 75a55608-8a81-4889-a96a-40347d91c0c5)) + (segment (start 75.85 104.9) (end 77.7 106.75) (width 0.15) (layer "F.Cu") (net 117) (tstamp 0096180f-69ab-48c3-badb-b1c7910fc223)) (segment (start 68.2625 107.442) (end 68.2625 110.998) (width 0.15) (layer "F.Cu") (net 117) (tstamp 14bd98ff-6d51-4489-b410-5c5c8d5035c2)) (segment (start 63.754 115.5065) (end 63.754 118.6815) (width 0.15) (layer "F.Cu") (net 117) (tstamp 1d18194f-d32b-46aa-b9f9-e90a8a5c95f0)) (segment (start 79.756 95.9485) (end 79.756 97.061) (width 0.15) (layer "F.Cu") (net 117) (tstamp 1d25fa15-7af4-4320-a448-950eb58aa1bb)) (segment (start 80.01 95.6945) (end 79.756 95.9485) (width 0.15) (layer "F.Cu") (net 117) (tstamp 37da3976-0649-4b60-9164-8f9e3a5b8433)) (segment (start 68.2625 110.998) (end 63.754 115.5065) (width 0.15) (layer "F.Cu") (net 117) (tstamp 39bf0136-8209-4e49-814f-3e6d8ccca2a0)) - (segment (start 79.756 98.298) (end 75.85 102.204) (width 0.15) (layer "F.Cu") (net 117) (tstamp 3a37926b-33c9-4747-aa79-1d0eecd992d2)) - (segment (start 83.15 106.4) (end 86.3875 106.4) (width 0.15) (layer "F.Cu") (net 117) (tstamp 56648327-2692-44c3-a61d-8260e366b034)) - (segment (start 79.756 97.061) (end 79.756 98.298) (width 0.15) (layer "F.Cu") (net 117) (tstamp 62b80929-11e4-40da-85cb-1a4ae0212d02)) - (segment (start 82.8 106.75) (end 83.15 106.4) (width 0.15) (layer "F.Cu") (net 117) (tstamp 6bf15a25-ccea-46a4-8d4d-4b0bec858dc6)) - (segment (start 75.85 102.204) (end 75.85 104.9) (width 0.15) (layer "F.Cu") (net 117) (tstamp 83a75e21-f5ff-49f3-a51e-1ad92fcf6624)) + (segment (start 83.35 106.75) (end 83.7 106.4) (width 0.15) (layer "F.Cu") (net 117) (tstamp 3a5bd393-042a-41e9-9f21-caedec1975f5)) + (segment (start 83.7 106.4) (end 86.3875 106.4) (width 0.15) (layer "F.Cu") (net 117) (tstamp 793990a7-32b0-47b6-acdf-9d71a16a62c9)) + (segment (start 77.7 106.75) (end 83.35 106.75) (width 0.15) (layer "F.Cu") (net 117) (tstamp 8f6ea8ff-85ab-4ab6-a31f-5a91bc439a5b)) (segment (start 70.0405 105.664) (end 68.2625 107.442) (width 0.15) (layer "F.Cu") (net 117) (tstamp 9f8cffb0-bc10-4c12-b414-670e4470a648)) (segment (start 67.6275 120.142) (end 68.3895 120.904) (width 0.15) (layer "F.Cu") (net 117) (tstamp aa888815-a6d0-4697-babe-de05df3195f1)) (segment (start 65.2145 120.142) (end 67.6275 120.142) (width 0.15) (layer "F.Cu") (net 117) (tstamp b17f9285-ad74-4191-b8da-abb3f977b49c)) + (segment (start 79.756 98.298) (end 75.85 102.204) (width 0.15) (layer "F.Cu") (net 117) (tstamp b2e5556a-1732-43c4-a5a0-c6e37d5f8146)) (segment (start 63.754 118.6815) (end 65.2145 120.142) (width 0.15) (layer "F.Cu") (net 117) (tstamp b3e6b24e-9cfe-4b0d-a4ac-15023ed1ad02)) - (segment (start 77.7 106.75) (end 82.8 106.75) (width 0.15) (layer "F.Cu") (net 117) (tstamp d164b71a-002a-4acf-8c88-8d49a5cc4e5b)) + (segment (start 75.85 102.204) (end 75.85 104.9) (width 0.15) (layer "F.Cu") (net 117) (tstamp f2c04bda-3706-4ceb-baa1-eed4617bd505)) + (segment (start 79.756 97.061) (end 79.756 98.298) (width 0.15) (layer "F.Cu") (net 117) (tstamp f754ba2d-7d67-42a1-af91-68350e197d95)) (via (at 80.01 95.6945) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 117) (tstamp d6b9ac0b-fd48-4b15-8b1d-a9d3b240c26d)) (via (at 70.0405 105.664) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 117) (tstamp ed8d1f65-e8ce-4443-b651-5e56526c4a01)) (segment (start 70.0405 105.664) (end 71.9455 105.664) (width 0.15) (layer "B.Cu") (net 117) (tstamp 29098d57-810f-4be1-b8e8-81a965bd3631)) @@ -14827,19 +14828,19 @@ (segment (start 69.9135 120.904) (end 69.6595 120.904) (width 0.15) (layer "F.Cu") (net 118) (tstamp 053e2f44-71b4-4de8-9408-1dfd1859f12f)) (segment (start 70.0405 112.3315) (end 73.5965 115.8875) (width 0.15) (layer "F.Cu") (net 118) (tstamp 18f7f917-fc7f-4a78-8f3a-5010942bc6be)) (segment (start 73.5965 115.8875) (end 73.5965 117.983) (width 0.15) (layer "F.Cu") (net 118) (tstamp 2e27ce50-e48d-4894-8e25-cb93805de12f)) - (segment (start 86.3875 105.9) (end 85.4 105.9) (width 0.15) (layer "F.Cu") (net 118) (tstamp 307ba85a-ce10-4189-b6d9-55e82feaca56)) (segment (start 70.2945 108.1405) (end 70.0405 108.3945) (width 0.15) (layer "F.Cu") (net 118) (tstamp 31342641-392a-4d38-af4f-a014e4877222)) (segment (start 73.5965 117.983) (end 72.4535 119.126) (width 0.15) (layer "F.Cu") (net 118) (tstamp 328b6fd4-3f80-4d30-9d19-bbdfa392702e)) - (segment (start 77.216 105.8035) (end 77.8625 106.45) (width 0.15) (layer "F.Cu") (net 118) (tstamp 3b335ad3-b44b-47f7-9029-660fdaa17781)) + (segment (start 83.55 106.1) (end 83.2 106.45) (width 0.15) (layer "F.Cu") (net 118) (tstamp 3964d93f-20f6-4a3a-98a9-4937f310e437)) + (segment (start 85.2 106.1) (end 83.55 106.1) (width 0.15) (layer "F.Cu") (net 118) (tstamp 57f511bc-3019-48e6-98fc-c55d4a753dce)) (segment (start 77.216 104.361) (end 77.216 103.124) (width 0.15) (layer "F.Cu") (net 118) (tstamp 58679917-7e53-4894-978b-f6afbcd1ec6b)) - (segment (start 85.4 105.9) (end 85.2 106.1) (width 0.15) (layer "F.Cu") (net 118) (tstamp 63021d80-0302-4d2b-a8b5-08c5c5cd698d)) - (segment (start 77.216 104.361) (end 77.216 105.8035) (width 0.15) (layer "F.Cu") (net 118) (tstamp 7ec43dca-646a-493a-8d40-71377d04e974)) - (segment (start 77.8625 106.45) (end 82.65 106.45) (width 0.15) (layer "F.Cu") (net 118) (tstamp 88a8a6da-0ea4-4f74-b563-48926894a6ee)) + (segment (start 77.216 105.8035) (end 77.216 104.361) (width 0.15) (layer "F.Cu") (net 118) (tstamp 722b4297-21ba-4842-8485-76283e66c8f9)) + (segment (start 86.3875 105.9) (end 85.4 105.9) (width 0.15) (layer "F.Cu") (net 118) (tstamp 86d1d51e-0d1f-491d-9f3f-87f31bbca03a)) + (segment (start 85.4 105.9) (end 85.2 106.1) (width 0.15) (layer "F.Cu") (net 118) (tstamp a715f4a5-207a-43b4-a669-9690336baf20)) (segment (start 72.4535 119.126) (end 71.6915 119.126) (width 0.15) (layer "F.Cu") (net 118) (tstamp b55dcc64-ac46-4d83-bddb-312fc9098169)) (segment (start 71.6915 119.126) (end 69.9135 120.904) (width 0.15) (layer "F.Cu") (net 118) (tstamp ccfc7d8f-7644-4269-85e4-ed52d93460fd)) (segment (start 70.0405 108.3945) (end 70.0405 112.3315) (width 0.15) (layer "F.Cu") (net 118) (tstamp daceeb65-8c06-4284-9c32-d8bfb2483c70)) - (segment (start 83 106.1) (end 82.65 106.45) (width 0.15) (layer "F.Cu") (net 118) (tstamp e15e3b0d-c830-4636-804a-50b8fab879dc)) - (segment (start 85.2 106.1) (end 83 106.1) (width 0.15) (layer "F.Cu") (net 118) (tstamp fdad7730-36ba-4f09-8f53-abbb7713c2a3)) + (segment (start 83.2 106.45) (end 77.8625 106.45) (width 0.15) (layer "F.Cu") (net 118) (tstamp e6c0db64-b06f-4c83-b1f5-c4661f3fc60e)) + (segment (start 77.8625 106.45) (end 77.216 105.8035) (width 0.15) (layer "F.Cu") (net 118) (tstamp ed233afe-9ea2-45d0-9ffc-22c19fcfa990)) (via (at 70.2945 108.1405) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 118) (tstamp 80c9c49c-d71e-4500-aa29-baaca296e1a3)) (via (at 77.216 103.124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 118) (tstamp c6557b60-9850-4372-bc8d-cea565de91ee)) (segment (start 72.1995 108.1405) (end 77.216 103.124) (width 0.15) (layer "B.Cu") (net 118) (tstamp 171cfbd0-9314-4524-86b9-c24b912f7956)) @@ -14876,6 +14877,7 @@ (segment (start 84.2 106.45) (end 79.25 106.45) (width 0.15) (layer "B.Cu") (net 120) (tstamp 73da2abc-feb5-4646-b8c5-cf0a804bc24f)) (segment (start 85.25 105.4) (end 84.2 106.45) (width 0.15) (layer "B.Cu") (net 120) (tstamp 957d2ac0-bc76-409b-a620-642733e5b40a)) (segment (start 79.25 106.45) (end 79.1 106.3) (width 0.15) (layer "B.Cu") (net 120) (tstamp b0838d84-ddea-4ed5-a048-eab2c5f4d25a)) + (segment (start 115 119.6) (end 115 118.7) (width 0.15) (layer "F.Cu") (net 121) (tstamp 71bbfe96-183a-44f2-82fa-d7f3109cb032)) (segment (start 91.05 108.2) (end 91.05 109.0625) (width 0.15) (layer "F.Cu") (net 121) (tstamp 748baac4-6cb4-406b-bab7-3d3a69f14741)) (segment (start 115 120.5) (end 115 119.6) (width 0.15) (layer "F.Cu") (net 121) (tstamp 8a1922dd-fd7d-4f81-98ab-ffabbc03f8c1)) (segment (start 91.8 107.8) (end 91.45 107.8) (width 0.15) (layer "F.Cu") (net 121) (tstamp dccd5455-d202-43b9-b071-d354d0929a16)) @@ -14946,37 +14948,42 @@ (segment (start 56.5494 91.6506) (end 56.55 91.65) (width 0.15) (layer "F.Cu") (net 125) (tstamp 6e7f01fe-2e1b-40f2-8fbd-ff11161c7a2e)) (segment (start 54.483 95.0595) (end 54.6919 94.8506) (width 0.15) (layer "F.Cu") (net 125) (tstamp 9137a5db-d222-44de-ab11-8c9cae065808)) (segment (start 56.75 94) (end 55.8994 94.8506) (width 0.15) (layer "F.Cu") (net 125) (tstamp a7e42594-ccc5-4b14-a555-93233caf1128)) - (segment (start 55.0765 99.8) (end 49.675 99.8) (width 0.15) (layer "F.Cu") (net 130) (tstamp 18abb7e2-57e8-4c45-a770-1003b6b59b4c)) - (segment (start 57.023 96.458) (end 57.023 97.8535) (width 0.15) (layer "F.Cu") (net 130) (tstamp 6b1e5b90-ce10-4cfe-ace3-f61269770058)) - (segment (start 57.023 97.8535) (end 55.0765 99.8) (width 0.15) (layer "F.Cu") (net 130) (tstamp e86985a0-cbeb-4956-806a-07071eafce59)) - (segment (start 54.932 99.5) (end 54.6735 99.5) (width 0.15) (layer "F.Cu") (net 131) (tstamp 0411c589-006c-4a8a-afe5-4d58b82a293d)) - (segment (start 55.753 96.458) (end 55.753 98.679) (width 0.15) (layer "F.Cu") (net 131) (tstamp 11ac9abf-384b-42d0-9b1e-740bc27128f2)) - (segment (start 53.7735 99.159607) (end 53.7735 99.2) (width 0.15) (layer "F.Cu") (net 131) (tstamp 3f6b4cc0-56d9-4512-ad25-ec808faa7343)) - (segment (start 53.4735 99.5) (end 50.9 99.5) (width 0.15) (layer "F.Cu") (net 131) (tstamp 5f0f6fe7-d9be-4582-9dbe-3153e7a65ae2)) - (segment (start 50.9 99.5) (end 50.55 99.15) (width 0.15) (layer "F.Cu") (net 131) (tstamp 62efda61-9bd0-411f-b7ee-0743dbc18122)) - (segment (start 54.3735 99.2) (end 54.3735 99.159607) (width 0.15) (layer "F.Cu") (net 131) (tstamp 70b6e24f-c682-41c8-864e-618ca1ea38d5)) - (segment (start 55.753 98.679) (end 54.932 99.5) (width 0.15) (layer "F.Cu") (net 131) (tstamp 7d03a030-a74f-4d4a-bfb4-d889232c9505)) - (segment (start 50.55 99.15) (end 49.675 99.15) (width 0.15) (layer "F.Cu") (net 131) (tstamp e21afc11-bc2a-445a-a864-f9c91b14565e)) - (arc (start 54.3735 99.159607) (mid 54.285632 98.947475) (end 54.0735 98.859607) (width 0.15) (layer "F.Cu") (net 131) (tstamp 0c8e4cdc-eae3-472a-8fc1-a52274d90b47)) - (arc (start 53.7735 99.2) (mid 53.685632 99.412132) (end 53.4735 99.5) (width 0.15) (layer "F.Cu") (net 131) (tstamp 4cd7e03c-6ba3-48f8-b694-60d88d14bd39)) - (arc (start 54.0735 98.859607) (mid 53.861368 98.947475) (end 53.7735 99.159607) (width 0.15) (layer "F.Cu") (net 131) (tstamp 5b98945b-baa6-4f91-ad7d-bdf20bc3b017)) - (arc (start 54.6735 99.5) (mid 54.461368 99.412132) (end 54.3735 99.2) (width 0.15) (layer "F.Cu") (net 131) (tstamp c1c2854a-e54b-4ec3-bfae-9a38bb9e4bda)) - (segment (start 74.295 123.5075) (end 71.6915 126.111) (width 0.15) (layer "F.Cu") (net 132) (tstamp 1363a345-ab8d-47c5-93ed-4814a0db4337)) - (segment (start 70.9295 111.8235) (end 74.295 115.189) (width 0.15) (layer "F.Cu") (net 132) (tstamp 22ffde4d-03bc-48ea-8308-e2f4f121235e)) - (segment (start 70.9295 109.2835) (end 70.9295 111.8235) (width 0.15) (layer "F.Cu") (net 132) (tstamp 3c53fb77-46e1-43a9-a4ff-1d3fe3cfa295)) - (segment (start 80.95 107.7) (end 80.1 107.7) (width 0.15) (layer "F.Cu") (net 132) (tstamp 441e91a8-fca1-4d5b-b58e-0d7056b9179a)) - (segment (start 69.723 126.111) (end 69.0245 125.4125) (width 0.15) (layer "F.Cu") (net 132) (tstamp 4547aeb1-6333-4326-ab44-b37c69cd798e)) - (segment (start 74.295 115.189) (end 74.295 123.5075) (width 0.15) (layer "F.Cu") (net 132) (tstamp 70736846-7955-4b6d-aa1c-80be953205a1)) - (segment (start 71.6915 126.111) (end 69.723 126.111) (width 0.15) (layer "F.Cu") (net 132) (tstamp a511b1d6-4404-4772-8083-7011e3132bae)) - (segment (start 71.1835 109.0295) (end 70.9295 109.2835) (width 0.15) (layer "F.Cu") (net 132) (tstamp ad2c4f4b-c1a1-4f8a-a24f-bee5fffda814)) - (segment (start 69.0245 125.4125) (end 69.0245 124.079) (width 0.15) (layer "F.Cu") (net 132) (tstamp cb60aa7d-9fbc-4bfe-ad93-c0b8a186f041)) - (segment (start 80.1 107.7) (end 80.05 107.65) (width 0.15) (layer "F.Cu") (net 132) (tstamp f0261fb4-9148-4fda-94bd-8dca131a6c46)) - (segment (start 69.0245 124.079) (end 69.6595 123.444) (width 0.15) (layer "F.Cu") (net 132) (tstamp fd7109b0-d926-4461-a00c-c2af41d6aa9b)) - (via (at 80.05 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 132) (tstamp 7a54130e-cdc8-4db4-9067-002134c72094)) - (via (at 71.1835 109.0295) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 132) (tstamp 87c2ebd7-674b-4904-b9bc-412b42068dfc)) - (segment (start 71.1835 109.0295) (end 79.7205 109.0295) (width 0.15) (layer "B.Cu") (net 132) (tstamp 2a90a7ce-550d-41dd-8bfd-f89d6a6d387c)) - (segment (start 80.05 108.7) (end 80.05 107.65) (width 0.15) (layer "B.Cu") (net 132) (tstamp 2a927021-cdea-4d26-b0c3-5670bb3715f0)) - (segment (start 79.7205 109.0295) (end 80.05 108.7) (width 0.15) (layer "B.Cu") (net 132) (tstamp b887dc30-4dfb-4d28-971d-e0174e37331c)) + (segment (start 54.932 99.5) (end 54.6735 99.5) (width 0.15) (layer "F.Cu") (net 126) (tstamp 0411c589-006c-4a8a-afe5-4d58b82a293d)) + (segment (start 55.753 96.458) (end 55.753 98.679) (width 0.15) (layer "F.Cu") (net 126) (tstamp 11ac9abf-384b-42d0-9b1e-740bc27128f2)) + (segment (start 53.7735 99.159607) (end 53.7735 99.2) (width 0.15) (layer "F.Cu") (net 126) (tstamp 3f6b4cc0-56d9-4512-ad25-ec808faa7343)) + (segment (start 53.4735 99.5) (end 50.9 99.5) (width 0.15) (layer "F.Cu") (net 126) (tstamp 5f0f6fe7-d9be-4582-9dbe-3153e7a65ae2)) + (segment (start 50.9 99.5) (end 50.55 99.15) (width 0.15) (layer "F.Cu") (net 126) (tstamp 62efda61-9bd0-411f-b7ee-0743dbc18122)) + (segment (start 54.3735 99.2) (end 54.3735 99.159607) (width 0.15) (layer "F.Cu") (net 126) (tstamp 70b6e24f-c682-41c8-864e-618ca1ea38d5)) + (segment (start 55.753 98.679) (end 54.932 99.5) (width 0.15) (layer "F.Cu") (net 126) (tstamp 7d03a030-a74f-4d4a-bfb4-d889232c9505)) + (segment (start 50.55 99.15) (end 49.675 99.15) (width 0.15) (layer "F.Cu") (net 126) (tstamp e21afc11-bc2a-445a-a864-f9c91b14565e)) + (arc (start 54.3735 99.159607) (mid 54.285632 98.947475) (end 54.0735 98.859607) (width 0.15) (layer "F.Cu") (net 126) (tstamp 0c8e4cdc-eae3-472a-8fc1-a52274d90b47)) + (arc (start 53.7735 99.2) (mid 53.685632 99.412132) (end 53.4735 99.5) (width 0.15) (layer "F.Cu") (net 126) (tstamp 4cd7e03c-6ba3-48f8-b694-60d88d14bd39)) + (arc (start 54.0735 98.859607) (mid 53.861368 98.947475) (end 53.7735 99.159607) (width 0.15) (layer "F.Cu") (net 126) (tstamp 5b98945b-baa6-4f91-ad7d-bdf20bc3b017)) + (arc (start 54.6735 99.5) (mid 54.461368 99.412132) (end 54.3735 99.2) (width 0.15) (layer "F.Cu") (net 126) (tstamp c1c2854a-e54b-4ec3-bfae-9a38bb9e4bda)) + (segment (start 55.0765 99.8) (end 49.675 99.8) (width 0.15) (layer "F.Cu") (net 127) (tstamp 18abb7e2-57e8-4c45-a770-1003b6b59b4c)) + (segment (start 57.023 96.458) (end 57.023 97.8535) (width 0.15) (layer "F.Cu") (net 127) (tstamp 6b1e5b90-ce10-4cfe-ace3-f61269770058)) + (segment (start 57.023 97.8535) (end 55.0765 99.8) (width 0.15) (layer "F.Cu") (net 127) (tstamp e86985a0-cbeb-4956-806a-07071eafce59)) + (segment (start 74.295 123.5075) (end 71.6915 126.111) (width 0.15) (layer "F.Cu") (net 129) (tstamp 1363a345-ab8d-47c5-93ed-4814a0db4337)) + (segment (start 70.9295 111.8235) (end 74.295 115.189) (width 0.15) (layer "F.Cu") (net 129) (tstamp 22ffde4d-03bc-48ea-8308-e2f4f121235e)) + (segment (start 70.9295 109.2835) (end 70.9295 111.8235) (width 0.15) (layer "F.Cu") (net 129) (tstamp 3c53fb77-46e1-43a9-a4ff-1d3fe3cfa295)) + (segment (start 80.95 107.7) (end 80.1 107.7) (width 0.15) (layer "F.Cu") (net 129) (tstamp 441e91a8-fca1-4d5b-b58e-0d7056b9179a)) + (segment (start 69.723 126.111) (end 69.0245 125.4125) (width 0.15) (layer "F.Cu") (net 129) (tstamp 4547aeb1-6333-4326-ab44-b37c69cd798e)) + (segment (start 74.295 115.189) (end 74.295 123.5075) (width 0.15) (layer "F.Cu") (net 129) (tstamp 70736846-7955-4b6d-aa1c-80be953205a1)) + (segment (start 71.6915 126.111) (end 69.723 126.111) (width 0.15) (layer "F.Cu") (net 129) (tstamp a511b1d6-4404-4772-8083-7011e3132bae)) + (segment (start 71.1835 109.0295) (end 70.9295 109.2835) (width 0.15) (layer "F.Cu") (net 129) (tstamp ad2c4f4b-c1a1-4f8a-a24f-bee5fffda814)) + (segment (start 69.0245 125.4125) (end 69.0245 124.079) (width 0.15) (layer "F.Cu") (net 129) (tstamp cb60aa7d-9fbc-4bfe-ad93-c0b8a186f041)) + (segment (start 80.1 107.7) (end 80.05 107.65) (width 0.15) (layer "F.Cu") (net 129) (tstamp f0261fb4-9148-4fda-94bd-8dca131a6c46)) + (segment (start 69.0245 124.079) (end 69.6595 123.444) (width 0.15) (layer "F.Cu") (net 129) (tstamp fd7109b0-d926-4461-a00c-c2af41d6aa9b)) + (via (at 80.05 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 129) (tstamp 7a54130e-cdc8-4db4-9067-002134c72094)) + (via (at 71.1835 109.0295) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 129) (tstamp 87c2ebd7-674b-4904-b9bc-412b42068dfc)) + (segment (start 71.1835 109.0295) (end 79.7205 109.0295) (width 0.15) (layer "B.Cu") (net 129) (tstamp 2a90a7ce-550d-41dd-8bfd-f89d6a6d387c)) + (segment (start 80.05 108.7) (end 80.05 107.65) (width 0.15) (layer "B.Cu") (net 129) (tstamp 2a927021-cdea-4d26-b0c3-5670bb3715f0)) + (segment (start 79.7205 109.0295) (end 80.05 108.7) (width 0.15) (layer "B.Cu") (net 129) (tstamp b887dc30-4dfb-4d28-971d-e0174e37331c)) + (segment (start 68.35 114.65) (end 68.35 113.75) (width 0.15) (layer "F.Cu") (net 132) (tstamp f984fbde-cdac-4f31-bc88-b0736a570c2a)) + (via (at 68.35 113.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 132) (tstamp b5bcb3c5-3a0f-4fa0-a357-62f58a2011b3)) + (segment (start 69.9425 118.081) (end 69.9425 115.3425) (width 0.15) (layer "B.Cu") (net 132) (tstamp 25c2b5e2-b1d1-4957-8f86-7eb774e3da3e)) + (segment (start 69.9425 115.3425) (end 68.35 113.75) (width 0.15) (layer "B.Cu") (net 132) (tstamp 6d8918c3-2d0e-4915-9ad6-090e311c30b5)) + (segment (start 69.6595 118.364) (end 69.9425 118.081) (width 0.15) (layer "B.Cu") (net 132) (tstamp 8d48fc62-1c7b-4dd6-ac1e-a62f64fb89a7)) (segment (start 114.65 105.45) (end 114.75 105.35) (width 0.15) (layer "F.Cu") (net 135) (tstamp 521a121c-e0d8-4130-947d-ae77e5d27ff0)) (segment (start 112.9 105.45) (end 114.6 105.45) (width 0.15) (layer "F.Cu") (net 135) (tstamp 733d6c87-021c-4521-ac64-5369356f98d2)) (segment (start 122.65 105.45) (end 124.4 105.45) (width 0.15) (layer "F.Cu") (net 135) (tstamp 9e1fcba5-db97-4fb0-ac9d-c931089f0717)) @@ -14997,153 +15004,140 @@ (segment (start 94.05 93.7375) (end 94.05 92.6) (width 0.15) (layer "F.Cu") (net 136) (tstamp ab3cfc7c-46e1-445d-a820-aa84ea1edc24)) (via (at 135.128 90.932) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 136) (tstamp 5d14fb21-2c44-4d85-ba39-d06590b2a57f)) (via (at 93.9 92.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 136) (tstamp 99144119-5f2f-4185-a880-a259e1720596)) - (segment (start 135.128 90.932) (end 135.128 90.678) (width 0.15) (layer "B.Cu") (net 136) (tstamp 0bdc97af-e5af-466d-80d1-27edc8792ce4)) - (segment (start 135.128 90.678) (end 132.2 87.75) (width 0.15) (layer "B.Cu") (net 136) (tstamp 2e92171c-cd3f-46e2-b730-068e18cadc50)) - (segment (start 93.9 88.8) (end 93.9 92.45) (width 0.15) (layer "B.Cu") (net 136) (tstamp 95582926-a59d-41b5-ab95-8e876faf2173)) - (segment (start 132.2 87.75) (end 94.95 87.75) (width 0.15) (layer "B.Cu") (net 136) (tstamp 99510f7a-c1c2-4840-b951-15e519582675)) - (segment (start 94.95 87.75) (end 93.9 88.8) (width 0.15) (layer "B.Cu") (net 136) (tstamp eb4f8db5-0ed8-4df2-b4c0-ce8561ea4e92)) + (segment (start 135.128 90.678) (end 132.2 87.75) (width 0.15) (layer "B.Cu") (net 136) (tstamp 1634e847-f321-48e3-b24e-368c40c2e308)) + (segment (start 97.65 87.75) (end 93.9 91.5) (width 0.15) (layer "B.Cu") (net 136) (tstamp 682b0b83-9280-47e4-9523-21ade4d80ae9)) + (segment (start 93.9 91.5) (end 93.9 92.45) (width 0.15) (layer "B.Cu") (net 136) (tstamp 98e924c7-6115-4534-b21b-4e53e9c0fb4c)) + (segment (start 132.2 87.75) (end 97.65 87.75) (width 0.15) (layer "B.Cu") (net 136) (tstamp a90cc4c2-5785-45ed-8c3e-54ed9ba04b0b)) + (segment (start 135.128 90.932) (end 135.128 90.678) (width 0.15) (layer "B.Cu") (net 136) (tstamp e2b5e0c0-247c-4367-a1ab-2b2604074f1c)) (segment (start 93.55 93.7375) (end 93.55 92.8) (width 0.15) (layer "F.Cu") (net 137) (tstamp 31460f41-a167-4148-948d-b892ddd9f6c7)) (segment (start 136.398 92.075) (end 136.398 90.932) (width 0.5) (layer "F.Cu") (net 137) (tstamp 4b5d9a3e-e0c1-419a-ae7b-535e0b38518e)) (segment (start 92.95 92.2) (end 92.95 92) (width 0.15) (layer "F.Cu") (net 137) (tstamp 5a1de68b-3b57-4546-9c48-397eb2bdd0a8)) (segment (start 93.55 92.8) (end 92.95 92.2) (width 0.15) (layer "F.Cu") (net 137) (tstamp cbea363f-43e2-4e34-981a-076be2d74ad6)) (via (at 92.95 92) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 137) (tstamp 2ed6bf0c-1982-46cb-b847-36409541e010)) (via (at 136.398 90.932) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 137) (tstamp 59a1e2e7-9bb0-43d5-b8bb-033a676bf201)) - (segment (start 94.8 87.45) (end 132.35 87.45) (width 0.15) (layer "B.Cu") (net 137) (tstamp 2e4b8d13-7601-484f-b222-41e39285ff4c)) - (segment (start 93.6 88.65) (end 94.8 87.45) (width 0.15) (layer "B.Cu") (net 137) (tstamp 67a2902c-1e1b-496f-a1cf-3a6572599d83)) - (segment (start 135.832 90.932) (end 136.398 90.932) (width 0.15) (layer "B.Cu") (net 137) (tstamp 92bcf305-006f-48a2-b8c8-bcb03a95d8a1)) - (segment (start 132.35 87.45) (end 135.832 90.932) (width 0.15) (layer "B.Cu") (net 137) (tstamp a9568207-fb9b-4a71-8373-0f148f679b8b)) - (segment (start 93.6 91.35) (end 93.6 88.65) (width 0.15) (layer "B.Cu") (net 137) (tstamp b634b15d-24d1-478b-ac3d-992e6e5a15bb)) - (segment (start 92.95 92) (end 93.6 91.35) (width 0.15) (layer "B.Cu") (net 137) (tstamp c42bc392-4a0f-41e1-81fd-6b537d3e1a43)) + (segment (start 92.95 92) (end 97.5 87.45) (width 0.15) (layer "B.Cu") (net 137) (tstamp 0ebbd8a4-0b08-45c7-a1e4-d0325cae9c94)) + (segment (start 135.832 90.932) (end 136.398 90.932) (width 0.15) (layer "B.Cu") (net 137) (tstamp 7aaf4db5-7f5f-42ea-ab7a-4d08e2807855)) + (segment (start 97.5 87.45) (end 132.35 87.45) (width 0.15) (layer "B.Cu") (net 137) (tstamp 910c42f1-7497-4689-b309-ca34e3a12a0a)) + (segment (start 132.35 87.45) (end 135.832 90.932) (width 0.15) (layer "B.Cu") (net 137) (tstamp f15d189f-6e8b-4b85-8e1a-4a56b95f35f6)) (segment (start 60.833 96.458) (end 60.833 94.6275) (width 0.15) (layer "F.Cu") (net 140) (tstamp 9167e59c-dc0f-47c5-83f6-dfed3ce0002e)) (segment (start 108.2 101.65) (end 108.2 100.05) (width 0.15) (layer "F.Cu") (net 141) (tstamp 21ae2fd5-2518-4601-ac77-3d70445dd240)) - (segment (start 113.7 123.15) (end 114 123.45) (width 0.15) (layer "F.Cu") (net 143) (tstamp 030ad50d-6456-41a0-941e-5eb4889582ad)) - (segment (start 114 123.45) (end 114 124.35) (width 0.15) (layer "F.Cu") (net 143) (tstamp 1905c60b-d0f9-4412-8159-85b37af2df90)) - (segment (start 114 125.8) (end 114 124.35) (width 0.15) (layer "F.Cu") (net 143) (tstamp 3534b3f9-6e70-4115-a4db-cb17e196852b)) - (segment (start 113.7 122.2) (end 113.7 123.15) (width 0.15) (layer "F.Cu") (net 143) (tstamp b6f5e3b7-e73d-44c5-92d4-a821534ddde7)) - (segment (start 68.35 114.65) (end 68.35 113.75) (width 0.15) (layer "F.Cu") (net 144) (tstamp f984fbde-cdac-4f31-bc88-b0736a570c2a)) - (via (at 68.35 113.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 144) (tstamp b5bcb3c5-3a0f-4fa0-a357-62f58a2011b3)) - (segment (start 69.9425 118.081) (end 69.9425 115.3425) (width 0.15) (layer "B.Cu") (net 144) (tstamp 25c2b5e2-b1d1-4957-8f86-7eb774e3da3e)) - (segment (start 69.9425 115.3425) (end 68.35 113.75) (width 0.15) (layer "B.Cu") (net 144) (tstamp 6d8918c3-2d0e-4915-9ad6-090e311c30b5)) - (segment (start 69.6595 118.364) (end 69.9425 118.081) (width 0.15) (layer "B.Cu") (net 144) (tstamp 8d48fc62-1c7b-4dd6-ac1e-a62f64fb89a7)) - (segment (start 118.35 122.2) (end 118.35 123.15) (width 0.15) (layer "F.Cu") (net 145) (tstamp 1219ae5b-a21a-4a6a-bc6a-db255335a9e0)) - (segment (start 118.35 123.15) (end 118.65 123.45) (width 0.15) (layer "F.Cu") (net 145) (tstamp a48daae3-78f2-4f53-99c6-1467f05bae2e)) - (segment (start 118.65 123.45) (end 118.65 124.35) (width 0.15) (layer "F.Cu") (net 145) (tstamp b1ffc832-3c66-4285-9895-886e5e1af5f1)) - (segment (start 119.65 120.5) (end 119.65 119.6) (width 0.15) (layer "F.Cu") (net 146) (tstamp 35c450c5-278c-4132-a5d9-47f7883ddeed)) - (segment (start 93.55 109.0625) (end 93.55 107.9) (width 0.15) (layer "F.Cu") (net 146) (tstamp 7d3b9ff6-088a-44ba-a297-b97c56bdc732)) - (via (at 119.65 119.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 146) (tstamp 232d646a-8329-413e-beed-1b4c3780134f)) - (via (at 93.55 107.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 146) (tstamp cf4ee83d-ae13-40e1-b0d6-87459f2750c8)) - (segment (start 93.95 113.65) (end 96.55 116.25) (width 0.15) (layer "B.Cu") (net 146) (tstamp 270f8100-c32a-4216-8bb0-f508eeb043fc)) - (segment (start 93.95 108.3) (end 93.95 113.65) (width 0.15) (layer "B.Cu") (net 146) (tstamp 528a4757-9d6f-4d41-a09a-95bd3c25eff5)) - (segment (start 93.55 107.9) (end 93.95 108.3) (width 0.15) (layer "B.Cu") (net 146) (tstamp 5bb917ad-c7cf-4efb-a106-ea852e59cbae)) - (segment (start 96.55 116.25) (end 106.9 116.25) (width 0.15) (layer "B.Cu") (net 146) (tstamp 7d12dbaf-9b97-4523-9886-42af512501db)) - (segment (start 118.7 118.65) (end 119.65 119.6) (width 0.15) (layer "B.Cu") (net 146) (tstamp d7787211-1eb9-4842-8926-ebb19d9b94c9)) - (segment (start 106.9 116.25) (end 109.3 118.65) (width 0.15) (layer "B.Cu") (net 146) (tstamp f8a57b40-d940-41d6-bedf-bb44da478e76)) - (segment (start 109.3 118.65) (end 118.7 118.65) (width 0.15) (layer "B.Cu") (net 146) (tstamp fc64b583-65e6-4acb-9506-1d71654e21a9)) - (segment (start 86.4 95.3875) (end 86.4 94.85) (width 0.15) (layer "F.Cu") (net 147) (tstamp 5cb50720-f8fd-46c4-a462-5c7fdc65f9b2)) - (segment (start 69.25 95.95) (end 70 95.95) (width 0.15) (layer "F.Cu") (net 147) (tstamp 7c6b63e6-00a1-466e-a214-bc8407bcf40b)) - (segment (start 86.3875 95.4) (end 86.4 95.3875) (width 0.15) (layer "F.Cu") (net 147) (tstamp c1049932-c58c-493e-aead-be028084fb6e)) - (via (at 86.4 94.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 147) (tstamp 3eafda7e-dba3-454d-83bb-c0a380cb2ae8)) - (via (at 70 95.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 147) (tstamp b1ebde0c-e746-4068-b0e8-210bc0524a88)) - (segment (start 86.4 94.85) (end 86.4 93.65) (width 0.15) (layer "B.Cu") (net 147) (tstamp 6b178bee-7919-4a1b-9338-b476cd142395)) - (segment (start 82.55 89.8) (end 76.15 89.8) (width 0.15) (layer "B.Cu") (net 147) (tstamp 8277ca5c-9354-416b-a31b-385d23f1fed6)) - (segment (start 86.4 93.65) (end 82.55 89.8) (width 0.15) (layer "B.Cu") (net 147) (tstamp 8b753b37-5f05-48f8-a363-d53e7f2e69d1)) - (segment (start 76.15 89.8) (end 70 95.95) (width 0.15) (layer "B.Cu") (net 147) (tstamp b87e7a30-ba4b-40ce-8aef-9b5fce3831e3)) - (segment (start 69.25 98.95) (end 70 98.95) (width 0.15) (layer "F.Cu") (net 148) (tstamp 24f445b0-408f-491f-9af3-49e5f45f69fa)) - (segment (start 86.3875 95.9) (end 85.35 95.9) (width 0.15) (layer "F.Cu") (net 148) (tstamp 335f997d-7ca3-489f-95a9-4f178e394b60)) - (segment (start 85.35 95.9) (end 85.2 95.75) (width 0.15) (layer "F.Cu") (net 148) (tstamp 384bc593-c00b-4368-b8f7-45cadd2bdab5)) - (via (at 70 98.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 148) (tstamp 12f26dd0-82ce-4c0a-9e7c-e1ad5e93d65a)) - (via (at 85.2 95.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 148) (tstamp 64c36a4a-03e8-406f-b087-a1c28abd7579)) - (segment (start 85.2 94.05) (end 82.5 91.35) (width 0.15) (layer "B.Cu") (net 148) (tstamp 271cf20b-4d4b-49f3-be37-92a5e0e21619)) - (segment (start 70 98.15) (end 70 98.95) (width 0.15) (layer "B.Cu") (net 148) (tstamp 6bfeb3e6-b379-48d5-804a-64e30954d0e7)) - (segment (start 76.8 91.35) (end 70 98.15) (width 0.15) (layer "B.Cu") (net 148) (tstamp 9e7509d3-1635-4a7c-bf77-ef689e7118da)) - (segment (start 82.5 91.35) (end 76.8 91.35) (width 0.15) (layer "B.Cu") (net 148) (tstamp e393c2ed-9464-440e-a486-eb1636dddfd8)) - (segment (start 85.2 95.75) (end 85.2 94.05) (width 0.15) (layer "B.Cu") (net 148) (tstamp f2c4e55a-befe-4bbb-ae0d-56dd5aba8525)) - (segment (start 86.3875 97.9) (end 87.25 97.9) (width 0.15) (layer "F.Cu") (net 149) (tstamp 58229306-570d-4250-a3ba-153e6a649375)) - (segment (start 87.25 97.9) (end 87.6 98.25) (width 0.15) (layer "F.Cu") (net 149) (tstamp 9f0c9867-c02f-4e89-b8b0-578e6367c7c1)) - (segment (start 69.25 97.45) (end 70 97.45) (width 0.15) (layer "F.Cu") (net 149) (tstamp abfce902-ca56-47c9-a019-27a0ca7c418f)) - (segment (start 87.6 98.25) (end 88.75 98.25) (width 0.15) (layer "F.Cu") (net 149) (tstamp f92694e5-9dd3-4eb2-b4d1-48f72362ca4c)) - (via (at 88.75 98.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 149) (tstamp 8a0d8d3c-79a7-4856-836e-8d7f3cc25312)) - (via (at 70 97.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 149) (tstamp e32f77b4-05c5-485e-93fb-a5fdfee26dfa)) - (segment (start 70.25 97.45) (end 70 97.45) (width 0.15) (layer "B.Cu") (net 149) (tstamp 2db0f8bb-1eb9-42f1-baca-dc1e06eb97cf)) - (segment (start 85.5 95.35) (end 85.5 93.9) (width 0.15) (layer "B.Cu") (net 149) (tstamp 3edb924c-ed68-4720-9a83-b0b1f2070e69)) - (segment (start 82.65 91.05) (end 76.65 91.05) (width 0.15) (layer "B.Cu") (net 149) (tstamp 483e3494-f563-421c-9f4c-191323aab4c3)) - (segment (start 87.75 96.2) (end 86.35 96.2) (width 0.15) (layer "B.Cu") (net 149) (tstamp 4f976774-e092-4817-98f2-94b2a874c919)) - (segment (start 86.35 96.2) (end 85.5 95.35) (width 0.15) (layer "B.Cu") (net 149) (tstamp 597f4640-387e-47ab-9312-2ddba486bdcf)) - (segment (start 88.75 98.25) (end 88.75 97.2) (width 0.15) (layer "B.Cu") (net 149) (tstamp 967304cd-c6e2-41dc-bd96-405c4af40288)) - (segment (start 76.65 91.05) (end 70.25 97.45) (width 0.15) (layer "B.Cu") (net 149) (tstamp a6319252-c3bb-40a8-a31d-f75f1e278b85)) - (segment (start 85.5 93.9) (end 82.65 91.05) (width 0.15) (layer "B.Cu") (net 149) (tstamp b91e4d2e-7f5b-4e3c-8719-e1b684b93b8a)) - (segment (start 88.75 97.2) (end 87.75 96.2) (width 0.15) (layer "B.Cu") (net 149) (tstamp b95fffb3-e510-4ab2-94de-63dba7c4d2f5)) - (segment (start 86.3875 98.4) (end 87.3 98.4) (width 0.15) (layer "F.Cu") (net 150) (tstamp 347a1160-1b71-467f-a346-190f4a78e7ec)) - (segment (start 87.3 98.4) (end 87.9 99) (width 0.15) (layer "F.Cu") (net 150) (tstamp 9f20b9c2-3b2e-4f29-9cf4-e76b61abefee)) - (segment (start 69.25 100.45) (end 70 100.45) (width 0.15) (layer "F.Cu") (net 150) (tstamp e55d44d7-d6cd-40b7-bfdb-1a1451873a5e)) - (via (at 70 100.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 150) (tstamp 79128c02-4674-47b7-9012-f1f66a6abf91)) - (via (at 87.9 99) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 150) (tstamp 7e2ac9ae-09fb-4102-9816-c28bb7310279)) - (segment (start 87.3 98.4) (end 87.9 99) (width 0.15) (layer "B.Cu") (net 150) (tstamp 0932fc26-20bc-4ce4-a2ac-f5c523018f1a)) - (segment (start 84.3 97.85) (end 84.85 98.4) (width 0.15) (layer "B.Cu") (net 150) (tstamp 0fbe58cf-a759-44d1-ae96-f101228beab0)) - (segment (start 82.65 92.55) (end 84.3 94.2) (width 0.15) (layer "B.Cu") (net 150) (tstamp 4334f19a-68df-4c57-aa42-1c7e96f259c4)) - (segment (start 70 100.45) (end 77.9 92.55) (width 0.15) (layer "B.Cu") (net 150) (tstamp b6491825-b9d0-4e5b-a252-33adfef44345)) - (segment (start 84.3 94.2) (end 84.3 97.85) (width 0.15) (layer "B.Cu") (net 150) (tstamp c887bef6-c89b-4325-bcb5-e7f4ab8e7431)) - (segment (start 77.9 92.55) (end 82.65 92.55) (width 0.15) (layer "B.Cu") (net 150) (tstamp e572bb6b-a75f-467a-a145-2212c8f0777c)) - (segment (start 84.85 98.4) (end 87.3 98.4) (width 0.15) (layer "B.Cu") (net 150) (tstamp f08fc490-e58b-4312-b078-89278556ac78)) - (segment (start 128.95 120.4) (end 128.85 120.5) (width 0.8) (layer "F.Cu") (net 151) (tstamp 065a5332-9ab4-45d3-9607-6342893015cb)) - (segment (start 129.35 120.4) (end 129.15 120.2) (width 0.8) (layer "F.Cu") (net 151) (tstamp 09ad776c-dba8-4775-8e13-1e4e317e149a)) - (segment (start 128.85 120.5) (end 129.15 120.2) (width 0.8) (layer "F.Cu") (net 151) (tstamp 22530b9e-411d-4e05-8975-cd03e9881192)) - (segment (start 102.8 95.4) (end 103.8 95.4) (width 0.5) (layer "F.Cu") (net 151) (tstamp 28b75256-97b0-47ba-b4e8-6a29c2305e52)) - (segment (start 135.2 120.4) (end 134 120.4) (width 0.6) (layer "F.Cu") (net 151) (tstamp 2fe8ad4f-e4ce-45ab-8615-6057e9ff290a)) - (segment (start 130.35 120.4) (end 130.35 121.35) (width 0.5) (layer "F.Cu") (net 151) (tstamp 3e0e23bd-6f89-48ba-93ba-fad1aa6ec2c0)) - (segment (start 130.35 120.4) (end 129.35 120.4) (width 0.8) (layer "F.Cu") (net 151) (tstamp 4213cad6-d6c2-4c2b-b653-b913b16c186e)) - (segment (start 85.3 107.4) (end 84.3 107.4) (width 0.5) (layer "F.Cu") (net 151) (tstamp 4acc90c2-11e8-4259-ac05-beed33eda6ab)) - (segment (start 127.85 120.5) (end 126.6 120.5) (width 0.8) (layer "F.Cu") (net 151) (tstamp 4b3131b0-4022-4baf-885c-f427a8956141)) - (segment (start 132.75 120.4) (end 130.35 120.4) (width 0.8) (layer "F.Cu") (net 151) (tstamp 50291fa1-9735-4250-aa82-6e2e1f8aa386)) - (segment (start 87.8 107.4) (end 86.3875 107.4) (width 0.3) (layer "F.Cu") (net 151) (tstamp 56739c26-e3fb-42c8-ab11-6f7ff2194bba)) - (segment (start 101.7125 95.4) (end 102.8 95.4) (width 0.3) (layer "F.Cu") (net 151) (tstamp 5ea8395c-6b9f-4e05-b962-89eb2bec1588)) - (segment (start 127.85 120.5) (end 128.85 120.5) (width 0.8) (layer "F.Cu") (net 151) (tstamp 66a7dfca-688a-4ec5-bdbf-4a2dfcc43f5e)) - (segment (start 88.4 106.8) (end 87.8 107.4) (width 0.3) (layer "F.Cu") (net 151) (tstamp 68e78394-7a65-48d1-b9f8-225d1c5bdc72)) - (segment (start 95.3 100.05) (end 99.95 95.4) (width 0.3) (layer "F.Cu") (net 151) (tstamp 79b7eec7-1185-425d-a762-bfd9bd309e9f)) - (segment (start 130.35 120.4) (end 131.35 120.4) (width 0.8) (layer "F.Cu") (net 151) (tstamp 87190d19-8cca-4e49-b826-f0fa4ea9a18a)) - (segment (start 86.3875 107.4) (end 85.3 107.4) (width 0.3) (layer "F.Cu") (net 151) (tstamp 96780293-a010-4318-b396-e31412f93970)) - (segment (start 132.75 120.4) (end 132.75 121.35) (width 0.5) (layer "F.Cu") (net 151) (tstamp 9c1debb3-4511-4331-a1bc-d86331ea92a9)) - (segment (start 95.3 106.8) (end 88.4 106.8) (width 0.3) (layer "F.Cu") (net 151) (tstamp a1e60673-1ed3-4f56-9ed7-0cedce14e32c)) - (segment (start 131.75 120.4) (end 131.55 120.2) (width 0.8) (layer "F.Cu") (net 151) (tstamp a5e65b60-d5f2-4ce8-a92e-e6e4eda4db1a)) - (segment (start 131.35 120.4) (end 131.55 120.2) (width 0.8) (layer "F.Cu") (net 151) (tstamp a9c74493-ff99-4da1-96c5-f8184c72734d)) - (segment (start 130.35 120.4) (end 128.95 120.4) (width 0.8) (layer "F.Cu") (net 151) (tstamp b5643723-4552-4a8a-a14a-34405d04d985)) - (segment (start 132.75 120.4) (end 134 120.4) (width 0.8) (layer "F.Cu") (net 151) (tstamp b7476033-ccf1-407a-96a7-7c18b93e3f63)) - (segment (start 132.75 120.4) (end 131.75 120.4) (width 0.8) (layer "F.Cu") (net 151) (tstamp de8d65c8-c9b2-47f9-8e5a-ba540c18dc2d)) - (segment (start 99.95 95.4) (end 101.7125 95.4) (width 0.3) (layer "F.Cu") (net 151) (tstamp e324bc28-2ac4-4b29-ba1b-c6077802f0a4)) - (segment (start 95.3 106.8) (end 95.3 100.05) (width 0.3) (layer "F.Cu") (net 151) (tstamp e32b0ea7-6d3b-4ef2-af3b-bc1b2147dd16)) - (segment (start 135.2 120.4) (end 135.2 121.15) (width 0.6) (layer "F.Cu") (net 151) (tstamp f1a52cee-5211-4c36-95c1-4bf3f95b3f60)) - (via (at 134 120.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 151) (tstamp 0d3dfde0-51be-4352-90e8-28ce29825dd3)) - (via (at 135.2 121.15) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 151) (tstamp 388076a4-c85e-4d08-9b55-e30d21548bf1)) - (via (at 132.75 121.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 151) (tstamp 3c00f62d-63fb-4bde-a3bf-d6c0b5b38be9)) - (via (at 131.55 120.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 151) (tstamp 589fd65e-0984-41c6-ba9f-36c0822c77e0)) - (via (at 126.6 120.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 151) (tstamp 67049f18-9d45-4eb9-bea4-272516adca85)) - (via (at 130.35 121.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 151) (tstamp a42482f2-e065-4059-8562-c08464375354)) - (via (at 95.3 106.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 151) (tstamp bca6cd3e-fe07-4792-8e63-fd2a5dd05b6a)) - (via (at 129.15 120.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 151) (tstamp e321c7dd-4f0a-4e7f-bd55-4a483b2d646d)) - (segment (start 135.2 121.15) (end 134.9905 120.9405) (width 0.8) (layer "B.Cu") (net 151) (tstamp 0162c65f-85a6-4ebf-a689-2362eaf42a8d)) - (segment (start 122.8 117.5) (end 125.5 120.2) (width 0.8) (layer "B.Cu") (net 151) (tstamp 0454debb-2b79-493a-8ced-98e8ac02e494)) - (segment (start 126.65 121.35) (end 126.25 120.95) (width 0.8) (layer "B.Cu") (net 151) (tstamp 08aba319-41cc-4ea4-b432-f70186984eec)) - (segment (start 130.35 121.35) (end 126.65 121.35) (width 0.8) (layer "B.Cu") (net 151) (tstamp 342d7cb9-9476-41c9-87b3-c2bcaa44458c)) - (segment (start 135 120.95) (end 135.2 121.15) (width 0.8) (layer "B.Cu") (net 151) (tstamp 3c0ce421-7303-4fe3-9f42-c94eee656064)) - (segment (start 135.2 121.15) (end 134.25 120.2) (width 0.8) (layer "B.Cu") (net 151) (tstamp 48f0ef2c-1167-4533-94f7-4d0386da6a90)) - (segment (start 126.25 120.95) (end 125.8 120.5) (width 0.8) (layer "B.Cu") (net 151) (tstamp 4b2d10de-724d-4dc3-926e-bb2e1415913c)) - (segment (start 125.8 120.5) (end 126.6 120.5) (width 0.8) (layer "B.Cu") (net 151) (tstamp 4e88522f-3e79-4bb6-95d7-0dbcda19405f)) - (segment (start 135.2 121.15) (end 135 121.35) (width 0.8) (layer "B.Cu") (net 151) (tstamp 67715162-6d3b-4ab5-ad9d-4fe826568fcb)) - (segment (start 126.25 120.95) (end 135 120.95) (width 0.8) (layer "B.Cu") (net 151) (tstamp 680ca7fe-699a-458a-af9b-0e4b8682bb5a)) - (segment (start 107.55 114.9) (end 110.15 117.5) (width 0.8) (layer "B.Cu") (net 151) (tstamp 7258e7cd-d627-4e4c-a126-7ca1133ae7d4)) - (segment (start 125.5 120.2) (end 125.8 120.5) (width 0.8) (layer "B.Cu") (net 151) (tstamp 88661f61-2612-47b8-ae1e-5e80d5be7464)) - (segment (start 126.6 120.5) (end 134.55 120.5) (width 0.8) (layer "B.Cu") (net 151) (tstamp 9fbbc70b-ecf7-47bb-ad81-95e42b2f3f21)) - (segment (start 95.3 112.95) (end 97.25 114.9) (width 0.8) (layer "B.Cu") (net 151) (tstamp a2f12c92-d0ba-4f7a-a60d-0ecf635b0e31)) - (segment (start 134.55 120.5) (end 135.2 121.15) (width 0.8) (layer "B.Cu") (net 151) (tstamp a6c86459-2a27-4fd3-bccd-0d5e8ba8cc1b)) - (segment (start 95.3 106.8) (end 95.3 112.95) (width 0.8) (layer "B.Cu") (net 151) (tstamp b21f3dd5-7921-4b23-8ade-707967d1895c)) - (segment (start 97.25 114.9) (end 107.55 114.9) (width 0.8) (layer "B.Cu") (net 151) (tstamp bde2a791-14bb-428b-9799-43c1b27a7e48)) - (segment (start 134.25 120.2) (end 129.15 120.2) (width 0.8) (layer "B.Cu") (net 151) (tstamp c3dcd8ce-a9e8-42ba-afee-61d8bc9fa555)) - (segment (start 129.15 120.2) (end 125.5 120.2) (width 0.8) (layer "B.Cu") (net 151) (tstamp c4f8c29d-bd5f-4523-868b-01085bc7b1a5)) - (segment (start 110.15 117.5) (end 122.8 117.5) (width 0.8) (layer "B.Cu") (net 151) (tstamp c72466fc-36eb-4b64-b93e-559e5e6b4890)) - (segment (start 135 121.35) (end 130.35 121.35) (width 0.8) (layer "B.Cu") (net 151) (tstamp e3641db2-9dfe-44e6-8b92-d3cc918b633e)) - (segment (start 102.95 100.9) (end 105.3 98.55) (width 0.15) (layer "F.Cu") (net 152) (tstamp 39b93d72-5d8b-4b84-9f35-b39388f51260)) - (segment (start 105.3 98.55) (end 106.6 98.55) (width 0.15) (layer "F.Cu") (net 152) (tstamp 5072dbb5-353d-4f74-9eb4-edd8da3158e5)) - (segment (start 101.7125 100.9) (end 102.95 100.9) (width 0.15) (layer "F.Cu") (net 152) (tstamp f7d5ad85-a348-42ea-a14b-06ab954f41d1)) + (segment (start 119.65 120.5) (end 119.65 119.6) (width 0.15) (layer "F.Cu") (net 144) (tstamp 35c450c5-278c-4132-a5d9-47f7883ddeed)) + (segment (start 93.55 109.0625) (end 93.55 107.9) (width 0.15) (layer "F.Cu") (net 144) (tstamp 7d3b9ff6-088a-44ba-a297-b97c56bdc732)) + (segment (start 119.65 119.6) (end 119.65 118.7) (width 0.15) (layer "F.Cu") (net 144) (tstamp ced4e55b-12d4-427c-aba1-6f4575ddb8a7)) + (via (at 119.65 119.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 144) (tstamp 232d646a-8329-413e-beed-1b4c3780134f)) + (via (at 93.55 107.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 144) (tstamp cf4ee83d-ae13-40e1-b0d6-87459f2750c8)) + (segment (start 93.95 113.65) (end 96.55 116.25) (width 0.15) (layer "B.Cu") (net 144) (tstamp 270f8100-c32a-4216-8bb0-f508eeb043fc)) + (segment (start 93.95 108.3) (end 93.95 113.65) (width 0.15) (layer "B.Cu") (net 144) (tstamp 528a4757-9d6f-4d41-a09a-95bd3c25eff5)) + (segment (start 93.55 107.9) (end 93.95 108.3) (width 0.15) (layer "B.Cu") (net 144) (tstamp 5bb917ad-c7cf-4efb-a106-ea852e59cbae)) + (segment (start 96.55 116.25) (end 106.9 116.25) (width 0.15) (layer "B.Cu") (net 144) (tstamp 7d12dbaf-9b97-4523-9886-42af512501db)) + (segment (start 118.7 118.65) (end 119.65 119.6) (width 0.15) (layer "B.Cu") (net 144) (tstamp d7787211-1eb9-4842-8926-ebb19d9b94c9)) + (segment (start 106.9 116.25) (end 109.3 118.65) (width 0.15) (layer "B.Cu") (net 144) (tstamp f8a57b40-d940-41d6-bedf-bb44da478e76)) + (segment (start 109.3 118.65) (end 118.7 118.65) (width 0.15) (layer "B.Cu") (net 144) (tstamp fc64b583-65e6-4acb-9506-1d71654e21a9)) + (segment (start 86.4 95.3875) (end 86.4 94.85) (width 0.15) (layer "F.Cu") (net 145) (tstamp 5cb50720-f8fd-46c4-a462-5c7fdc65f9b2)) + (segment (start 69.25 95.95) (end 70 95.95) (width 0.15) (layer "F.Cu") (net 145) (tstamp 7c6b63e6-00a1-466e-a214-bc8407bcf40b)) + (segment (start 86.3875 95.4) (end 86.4 95.3875) (width 0.15) (layer "F.Cu") (net 145) (tstamp c1049932-c58c-493e-aead-be028084fb6e)) + (via (at 86.4 94.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 145) (tstamp 3eafda7e-dba3-454d-83bb-c0a380cb2ae8)) + (via (at 70 95.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 145) (tstamp b1ebde0c-e746-4068-b0e8-210bc0524a88)) + (segment (start 86.4 94.85) (end 86.4 93.65) (width 0.15) (layer "B.Cu") (net 145) (tstamp 6b178bee-7919-4a1b-9338-b476cd142395)) + (segment (start 82.55 89.8) (end 76.15 89.8) (width 0.15) (layer "B.Cu") (net 145) (tstamp 8277ca5c-9354-416b-a31b-385d23f1fed6)) + (segment (start 86.4 93.65) (end 82.55 89.8) (width 0.15) (layer "B.Cu") (net 145) (tstamp 8b753b37-5f05-48f8-a363-d53e7f2e69d1)) + (segment (start 76.15 89.8) (end 70 95.95) (width 0.15) (layer "B.Cu") (net 145) (tstamp b87e7a30-ba4b-40ce-8aef-9b5fce3831e3)) + (segment (start 69.25 98.95) (end 70 98.95) (width 0.15) (layer "F.Cu") (net 146) (tstamp 24f445b0-408f-491f-9af3-49e5f45f69fa)) + (segment (start 86.3875 95.9) (end 85.35 95.9) (width 0.15) (layer "F.Cu") (net 146) (tstamp 335f997d-7ca3-489f-95a9-4f178e394b60)) + (segment (start 85.35 95.9) (end 85.2 95.75) (width 0.15) (layer "F.Cu") (net 146) (tstamp 384bc593-c00b-4368-b8f7-45cadd2bdab5)) + (via (at 70 98.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 146) (tstamp 12f26dd0-82ce-4c0a-9e7c-e1ad5e93d65a)) + (via (at 85.2 95.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 146) (tstamp 64c36a4a-03e8-406f-b087-a1c28abd7579)) + (segment (start 85.2 94.05) (end 82.5 91.35) (width 0.15) (layer "B.Cu") (net 146) (tstamp 271cf20b-4d4b-49f3-be37-92a5e0e21619)) + (segment (start 70 98.15) (end 70 98.95) (width 0.15) (layer "B.Cu") (net 146) (tstamp 6bfeb3e6-b379-48d5-804a-64e30954d0e7)) + (segment (start 76.8 91.35) (end 70 98.15) (width 0.15) (layer "B.Cu") (net 146) (tstamp 9e7509d3-1635-4a7c-bf77-ef689e7118da)) + (segment (start 82.5 91.35) (end 76.8 91.35) (width 0.15) (layer "B.Cu") (net 146) (tstamp e393c2ed-9464-440e-a486-eb1636dddfd8)) + (segment (start 85.2 95.75) (end 85.2 94.05) (width 0.15) (layer "B.Cu") (net 146) (tstamp f2c4e55a-befe-4bbb-ae0d-56dd5aba8525)) + (segment (start 86.3875 97.9) (end 87.25 97.9) (width 0.15) (layer "F.Cu") (net 147) (tstamp 58229306-570d-4250-a3ba-153e6a649375)) + (segment (start 87.25 97.9) (end 87.6 98.25) (width 0.15) (layer "F.Cu") (net 147) (tstamp 9f0c9867-c02f-4e89-b8b0-578e6367c7c1)) + (segment (start 69.25 97.45) (end 70 97.45) (width 0.15) (layer "F.Cu") (net 147) (tstamp abfce902-ca56-47c9-a019-27a0ca7c418f)) + (segment (start 87.6 98.25) (end 88.75 98.25) (width 0.15) (layer "F.Cu") (net 147) (tstamp f92694e5-9dd3-4eb2-b4d1-48f72362ca4c)) + (via (at 88.75 98.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 147) (tstamp 8a0d8d3c-79a7-4856-836e-8d7f3cc25312)) + (via (at 70 97.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 147) (tstamp e32f77b4-05c5-485e-93fb-a5fdfee26dfa)) + (segment (start 70.25 97.45) (end 70 97.45) (width 0.15) (layer "B.Cu") (net 147) (tstamp 2db0f8bb-1eb9-42f1-baca-dc1e06eb97cf)) + (segment (start 85.5 95.35) (end 85.5 93.9) (width 0.15) (layer "B.Cu") (net 147) (tstamp 3edb924c-ed68-4720-9a83-b0b1f2070e69)) + (segment (start 82.65 91.05) (end 76.65 91.05) (width 0.15) (layer "B.Cu") (net 147) (tstamp 483e3494-f563-421c-9f4c-191323aab4c3)) + (segment (start 87.75 96.2) (end 86.35 96.2) (width 0.15) (layer "B.Cu") (net 147) (tstamp 4f976774-e092-4817-98f2-94b2a874c919)) + (segment (start 86.35 96.2) (end 85.5 95.35) (width 0.15) (layer "B.Cu") (net 147) (tstamp 597f4640-387e-47ab-9312-2ddba486bdcf)) + (segment (start 88.75 98.25) (end 88.75 97.2) (width 0.15) (layer "B.Cu") (net 147) (tstamp 967304cd-c6e2-41dc-bd96-405c4af40288)) + (segment (start 76.65 91.05) (end 70.25 97.45) (width 0.15) (layer "B.Cu") (net 147) (tstamp a6319252-c3bb-40a8-a31d-f75f1e278b85)) + (segment (start 85.5 93.9) (end 82.65 91.05) (width 0.15) (layer "B.Cu") (net 147) (tstamp b91e4d2e-7f5b-4e3c-8719-e1b684b93b8a)) + (segment (start 88.75 97.2) (end 87.75 96.2) (width 0.15) (layer "B.Cu") (net 147) (tstamp b95fffb3-e510-4ab2-94de-63dba7c4d2f5)) + (segment (start 86.3875 98.4) (end 87.3 98.4) (width 0.15) (layer "F.Cu") (net 148) (tstamp 347a1160-1b71-467f-a346-190f4a78e7ec)) + (segment (start 87.3 98.4) (end 87.9 99) (width 0.15) (layer "F.Cu") (net 148) (tstamp 9f20b9c2-3b2e-4f29-9cf4-e76b61abefee)) + (segment (start 69.25 100.45) (end 70 100.45) (width 0.15) (layer "F.Cu") (net 148) (tstamp e55d44d7-d6cd-40b7-bfdb-1a1451873a5e)) + (via (at 70 100.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 148) (tstamp 79128c02-4674-47b7-9012-f1f66a6abf91)) + (via (at 87.9 99) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 148) (tstamp 7e2ac9ae-09fb-4102-9816-c28bb7310279)) + (segment (start 87.3 98.4) (end 87.9 99) (width 0.15) (layer "B.Cu") (net 148) (tstamp 0932fc26-20bc-4ce4-a2ac-f5c523018f1a)) + (segment (start 84.3 97.85) (end 84.85 98.4) (width 0.15) (layer "B.Cu") (net 148) (tstamp 0fbe58cf-a759-44d1-ae96-f101228beab0)) + (segment (start 82.65 92.55) (end 84.3 94.2) (width 0.15) (layer "B.Cu") (net 148) (tstamp 4334f19a-68df-4c57-aa42-1c7e96f259c4)) + (segment (start 70 100.45) (end 77.9 92.55) (width 0.15) (layer "B.Cu") (net 148) (tstamp b6491825-b9d0-4e5b-a252-33adfef44345)) + (segment (start 84.3 94.2) (end 84.3 97.85) (width 0.15) (layer "B.Cu") (net 148) (tstamp c887bef6-c89b-4325-bcb5-e7f4ab8e7431)) + (segment (start 77.9 92.55) (end 82.65 92.55) (width 0.15) (layer "B.Cu") (net 148) (tstamp e572bb6b-a75f-467a-a145-2212c8f0777c)) + (segment (start 84.85 98.4) (end 87.3 98.4) (width 0.15) (layer "B.Cu") (net 148) (tstamp f08fc490-e58b-4312-b078-89278556ac78)) + (segment (start 128.95 120.4) (end 128.85 120.5) (width 0.8) (layer "F.Cu") (net 149) (tstamp 065a5332-9ab4-45d3-9607-6342893015cb)) + (segment (start 129.35 120.4) (end 129.15 120.2) (width 0.8) (layer "F.Cu") (net 149) (tstamp 09ad776c-dba8-4775-8e13-1e4e317e149a)) + (segment (start 128.85 120.5) (end 129.15 120.2) (width 0.8) (layer "F.Cu") (net 149) (tstamp 22530b9e-411d-4e05-8975-cd03e9881192)) + (segment (start 102.8 95.4) (end 103.8 95.4) (width 0.5) (layer "F.Cu") (net 149) (tstamp 28b75256-97b0-47ba-b4e8-6a29c2305e52)) + (segment (start 135.2 120.4) (end 134 120.4) (width 0.6) (layer "F.Cu") (net 149) (tstamp 2fe8ad4f-e4ce-45ab-8615-6057e9ff290a)) + (segment (start 130.35 120.4) (end 130.35 121.35) (width 0.5) (layer "F.Cu") (net 149) (tstamp 3e0e23bd-6f89-48ba-93ba-fad1aa6ec2c0)) + (segment (start 130.35 120.4) (end 129.35 120.4) (width 0.8) (layer "F.Cu") (net 149) (tstamp 4213cad6-d6c2-4c2b-b653-b913b16c186e)) + (segment (start 85.3 107.4) (end 84.3 107.4) (width 0.5) (layer "F.Cu") (net 149) (tstamp 4acc90c2-11e8-4259-ac05-beed33eda6ab)) + (segment (start 127.85 120.5) (end 126.6 120.5) (width 0.8) (layer "F.Cu") (net 149) (tstamp 4b3131b0-4022-4baf-885c-f427a8956141)) + (segment (start 132.75 120.4) (end 130.35 120.4) (width 0.8) (layer "F.Cu") (net 149) (tstamp 50291fa1-9735-4250-aa82-6e2e1f8aa386)) + (segment (start 87.8 107.4) (end 86.3875 107.4) (width 0.3) (layer "F.Cu") (net 149) (tstamp 56739c26-e3fb-42c8-ab11-6f7ff2194bba)) + (segment (start 101.7125 95.4) (end 102.8 95.4) (width 0.3) (layer "F.Cu") (net 149) (tstamp 5ea8395c-6b9f-4e05-b962-89eb2bec1588)) + (segment (start 127.85 120.5) (end 128.85 120.5) (width 0.8) (layer "F.Cu") (net 149) (tstamp 66a7dfca-688a-4ec5-bdbf-4a2dfcc43f5e)) + (segment (start 88.4 106.8) (end 87.8 107.4) (width 0.3) (layer "F.Cu") (net 149) (tstamp 68e78394-7a65-48d1-b9f8-225d1c5bdc72)) + (segment (start 95.3 100.05) (end 99.95 95.4) (width 0.3) (layer "F.Cu") (net 149) (tstamp 79b7eec7-1185-425d-a762-bfd9bd309e9f)) + (segment (start 130.35 120.4) (end 131.35 120.4) (width 0.8) (layer "F.Cu") (net 149) (tstamp 87190d19-8cca-4e49-b826-f0fa4ea9a18a)) + (segment (start 86.3875 107.4) (end 85.3 107.4) (width 0.3) (layer "F.Cu") (net 149) (tstamp 96780293-a010-4318-b396-e31412f93970)) + (segment (start 132.75 120.4) (end 132.75 121.35) (width 0.5) (layer "F.Cu") (net 149) (tstamp 9c1debb3-4511-4331-a1bc-d86331ea92a9)) + (segment (start 95.3 106.8) (end 88.4 106.8) (width 0.3) (layer "F.Cu") (net 149) (tstamp a1e60673-1ed3-4f56-9ed7-0cedce14e32c)) + (segment (start 131.75 120.4) (end 131.55 120.2) (width 0.8) (layer "F.Cu") (net 149) (tstamp a5e65b60-d5f2-4ce8-a92e-e6e4eda4db1a)) + (segment (start 131.35 120.4) (end 131.55 120.2) (width 0.8) (layer "F.Cu") (net 149) (tstamp a9c74493-ff99-4da1-96c5-f8184c72734d)) + (segment (start 130.35 120.4) (end 128.95 120.4) (width 0.8) (layer "F.Cu") (net 149) (tstamp b5643723-4552-4a8a-a14a-34405d04d985)) + (segment (start 132.75 120.4) (end 134 120.4) (width 0.8) (layer "F.Cu") (net 149) (tstamp b7476033-ccf1-407a-96a7-7c18b93e3f63)) + (segment (start 132.75 120.4) (end 131.75 120.4) (width 0.8) (layer "F.Cu") (net 149) (tstamp de8d65c8-c9b2-47f9-8e5a-ba540c18dc2d)) + (segment (start 99.95 95.4) (end 101.7125 95.4) (width 0.3) (layer "F.Cu") (net 149) (tstamp e324bc28-2ac4-4b29-ba1b-c6077802f0a4)) + (segment (start 95.3 106.8) (end 95.3 100.05) (width 0.3) (layer "F.Cu") (net 149) (tstamp e32b0ea7-6d3b-4ef2-af3b-bc1b2147dd16)) + (segment (start 135.2 120.4) (end 135.2 121.15) (width 0.6) (layer "F.Cu") (net 149) (tstamp f1a52cee-5211-4c36-95c1-4bf3f95b3f60)) + (via (at 134 120.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 149) (tstamp 0d3dfde0-51be-4352-90e8-28ce29825dd3)) + (via (at 135.2 121.15) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 149) (tstamp 388076a4-c85e-4d08-9b55-e30d21548bf1)) + (via (at 132.75 121.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 149) (tstamp 3c00f62d-63fb-4bde-a3bf-d6c0b5b38be9)) + (via (at 131.55 120.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 149) (tstamp 589fd65e-0984-41c6-ba9f-36c0822c77e0)) + (via (at 126.6 120.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 149) (tstamp 67049f18-9d45-4eb9-bea4-272516adca85)) + (via (at 130.35 121.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 149) (tstamp a42482f2-e065-4059-8562-c08464375354)) + (via (at 95.3 106.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 149) (tstamp bca6cd3e-fe07-4792-8e63-fd2a5dd05b6a)) + (via (at 129.15 120.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 149) (tstamp e321c7dd-4f0a-4e7f-bd55-4a483b2d646d)) + (segment (start 135.2 121.15) (end 134.9905 120.9405) (width 0.8) (layer "B.Cu") (net 149) (tstamp 0162c65f-85a6-4ebf-a689-2362eaf42a8d)) + (segment (start 122.8 117.5) (end 125.5 120.2) (width 0.8) (layer "B.Cu") (net 149) (tstamp 0454debb-2b79-493a-8ced-98e8ac02e494)) + (segment (start 126.65 121.35) (end 126.25 120.95) (width 0.8) (layer "B.Cu") (net 149) (tstamp 08aba319-41cc-4ea4-b432-f70186984eec)) + (segment (start 130.35 121.35) (end 126.65 121.35) (width 0.8) (layer "B.Cu") (net 149) (tstamp 342d7cb9-9476-41c9-87b3-c2bcaa44458c)) + (segment (start 135 120.95) (end 135.2 121.15) (width 0.8) (layer "B.Cu") (net 149) (tstamp 3c0ce421-7303-4fe3-9f42-c94eee656064)) + (segment (start 135.2 121.15) (end 134.25 120.2) (width 0.8) (layer "B.Cu") (net 149) (tstamp 48f0ef2c-1167-4533-94f7-4d0386da6a90)) + (segment (start 126.25 120.95) (end 125.8 120.5) (width 0.8) (layer "B.Cu") (net 149) (tstamp 4b2d10de-724d-4dc3-926e-bb2e1415913c)) + (segment (start 125.8 120.5) (end 126.6 120.5) (width 0.8) (layer "B.Cu") (net 149) (tstamp 4e88522f-3e79-4bb6-95d7-0dbcda19405f)) + (segment (start 135.2 121.15) (end 135 121.35) (width 0.8) (layer "B.Cu") (net 149) (tstamp 67715162-6d3b-4ab5-ad9d-4fe826568fcb)) + (segment (start 126.25 120.95) (end 135 120.95) (width 0.8) (layer "B.Cu") (net 149) (tstamp 680ca7fe-699a-458a-af9b-0e4b8682bb5a)) + (segment (start 107.55 114.9) (end 110.15 117.5) (width 0.8) (layer "B.Cu") (net 149) (tstamp 7258e7cd-d627-4e4c-a126-7ca1133ae7d4)) + (segment (start 125.5 120.2) (end 125.8 120.5) (width 0.8) (layer "B.Cu") (net 149) (tstamp 88661f61-2612-47b8-ae1e-5e80d5be7464)) + (segment (start 126.6 120.5) (end 134.55 120.5) (width 0.8) (layer "B.Cu") (net 149) (tstamp 9fbbc70b-ecf7-47bb-ad81-95e42b2f3f21)) + (segment (start 95.3 112.95) (end 97.25 114.9) (width 0.8) (layer "B.Cu") (net 149) (tstamp a2f12c92-d0ba-4f7a-a60d-0ecf635b0e31)) + (segment (start 134.55 120.5) (end 135.2 121.15) (width 0.8) (layer "B.Cu") (net 149) (tstamp a6c86459-2a27-4fd3-bccd-0d5e8ba8cc1b)) + (segment (start 95.3 106.8) (end 95.3 112.95) (width 0.8) (layer "B.Cu") (net 149) (tstamp b21f3dd5-7921-4b23-8ade-707967d1895c)) + (segment (start 97.25 114.9) (end 107.55 114.9) (width 0.8) (layer "B.Cu") (net 149) (tstamp bde2a791-14bb-428b-9799-43c1b27a7e48)) + (segment (start 134.25 120.2) (end 129.15 120.2) (width 0.8) (layer "B.Cu") (net 149) (tstamp c3dcd8ce-a9e8-42ba-afee-61d8bc9fa555)) + (segment (start 129.15 120.2) (end 125.5 120.2) (width 0.8) (layer "B.Cu") (net 149) (tstamp c4f8c29d-bd5f-4523-868b-01085bc7b1a5)) + (segment (start 110.15 117.5) (end 122.8 117.5) (width 0.8) (layer "B.Cu") (net 149) (tstamp c72466fc-36eb-4b64-b93e-559e5e6b4890)) + (segment (start 135 121.35) (end 130.35 121.35) (width 0.8) (layer "B.Cu") (net 149) (tstamp e3641db2-9dfe-44e6-8b92-d3cc918b633e)) + (segment (start 102.95 100.9) (end 105.3 98.55) (width 0.15) (layer "F.Cu") (net 150) (tstamp 437efe28-eed7-4293-b32d-bf315ded600e)) + (segment (start 101.7125 100.9) (end 102.95 100.9) (width 0.15) (layer "F.Cu") (net 150) (tstamp 98ed6109-f4ed-45cc-87f0-b619c53967b5)) + (segment (start 105.3 98.55) (end 106.6 98.55) (width 0.15) (layer "F.Cu") (net 150) (tstamp c97e1444-4382-4a70-9222-c276b8924b17)) (zone (net 1) (net_name "+5V") (layer "F.Cu") (tstamp 13df2165-9fa8-49c5-9b5a-5df4221611c0) (hatch edge 0.508) (priority 1) @@ -15245,7 +15239,35 @@ ) ) ) - (zone (net 151) (net_name "+1V2") (layer "F.Cu") (tstamp dd3a9731-4f7f-4c12-9dad-b31d249c6c14) (hatch edge 0.508) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 4fb9dc41-05ad-4b80-9113-017bdf300b1a) (hatch edge 0.5) + (connect_pads (clearance 0)) + (min_thickness 0.25) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.5) (thermal_bridge_width 0.5)) + (polygon + (pts + (xy 52.6 91.15) + (xy 56 91.15) + (xy 56 93.85) + (xy 52.6 93.85) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 71463748-1297-408d-9038-2bde54bf9df4) (hatch edge 0.5) + (connect_pads (clearance 0)) + (min_thickness 0.25) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.5) (thermal_bridge_width 0.5)) + (polygon + (pts + (xy 105.4 101.15) + (xy 108.8 101.15) + (xy 108.8 103.85) + (xy 105.4 103.85) + ) + ) + ) + (zone (net 149) (net_name "+1V2") (layer "F.Cu") (tstamp dd3a9731-4f7f-4c12-9dad-b31d249c6c14) (hatch edge 0.508) (priority 1) (connect_pads (clearance 0.15)) (min_thickness 0.15) (filled_areas_thickness no) @@ -16810,10 +16832,10 @@ (xy 89.679853 113.690033) (xy 89.637716 113.719538) (xy 89.618253 113.7221) - (xy 86.275547 113.7221) - (xy 86.227209 113.704507) - (xy 86.222373 113.700074) - (xy 84.010718 111.488418) + (xy 86.725548 113.7221) + (xy 86.67721 113.704507) + (xy 86.672374 113.700074) + (xy 84.460718 111.488418) (xy 85.4971 111.488418) (xy 85.503386 111.536174) (xy 85.503388 111.53618) @@ -16879,10 +16901,10 @@ (xy 85.503386 110.763825) (xy 85.4971 110.811581) (xy 85.4971 111.488418) - (xy 84.010718 111.488418) - (xy 83.199926 110.677626) - (xy 83.178186 110.631006) - (xy 83.1779 110.624452) + (xy 84.460718 111.488418) + (xy 83.199926 110.227626) + (xy 83.178186 110.181006) + (xy 83.1779 110.174452) (xy 83.1779 109.05) (xy 83.672601 109.05) (xy 83.672601 109.147142) @@ -18220,7 +18242,7 @@ (xy 141.957899 126.268856) (xy 141.9579 126.268856) (xy 141.9579 125.231145) - (xy 141.957815 125.227254) + (xy 141.957859 125.229283) (xy 141.95775 125.224264) (xy 141.957464 125.21771) (xy 141.942819 125.157861) @@ -19103,6 +19125,689 @@ (xy 110.75 125.1) (xy 110.4 125.1) (xy 110.1 125.1) + (xy 110.1 124.85) + (xy 128.592329 124.85) + (xy 128.595049 124.870665) + (xy 128.595648 124.883045) + (xy 128.594827 124.907069) + (xy 128.594828 124.907076) + (xy 128.60477 124.947876) + (xy 128.606264 124.955861) + (xy 128.61133 124.994333) + (xy 128.611331 124.994337) + (xy 128.620683 125.016915) + (xy 128.624269 125.027887) + (xy 128.630813 125.054742) + (xy 128.630814 125.054745) + (xy 128.649639 125.088223) + (xy 128.653566 125.096301) + (xy 128.667043 125.128837) + (xy 128.667044 125.128839) + (xy 128.684106 125.151074) + (xy 128.689994 125.159995) + (xy 128.705302 125.187219) + (xy 128.705309 125.187228) + (xy 128.729924 125.211843) + (xy 128.736409 125.219237) + (xy 128.755666 125.244332) + (xy 128.780763 125.263591) + (xy 128.788157 125.270076) + (xy 128.951449 125.433368) + (xy 128.953234 125.435214) + (xy 128.997791 125.482923) + (xy 128.998274 125.483217) + (xy 129.035213 125.505679) + (xy 129.041576 125.51001) + (xy 129.076471 125.536472) + (xy 129.076474 125.536473) + (xy 129.09716 125.544631) + (xy 129.108646 125.550336) + (xy 129.121082 125.557898) + (xy 129.127653 125.561894) + (xy 129.169832 125.573711) + (xy 129.1771 125.576155) + (xy 129.217862 125.59223) + (xy 129.239989 125.594503) + (xy 129.252581 125.596896) + (xy 129.274006 125.6029) + (xy 129.317796 125.6029) + (xy 129.325485 125.603293) + (xy 129.369054 125.607773) + (xy 129.369054 125.607772) + (xy 129.369056 125.607773) + (xy 129.390971 125.603994) + (xy 129.40375 125.6029) + (xy 129.584287 125.6029) + (xy 129.632625 125.620493) + (xy 129.637461 125.624926) + (xy 129.665291 125.652756) + (xy 129.691004 125.665857) + (xy 129.756039 125.698993) + (xy 129.791122 125.736613) + (xy 129.7971 125.765997) + (xy 129.7971 126.058829) + (xy 129.796456 126.068644) + (xy 129.792862 126.095956) + (xy 129.792329 126.100001) + (xy 129.796568 126.132207) + (xy 129.797034 126.136864) + (xy 129.797098 126.137807) + (xy 129.801994 126.173435) + (xy 129.80205 126.173854) + (xy 129.811505 126.245661) + (xy 129.812236 126.249283) + (xy 129.812597 126.250573) + (xy 129.840753 126.315396) + (xy 129.841253 126.316576) + (xy 129.867041 126.378833) + (xy 129.869506 126.383102) + (xy 129.869073 126.383351) + (xy 129.870413 126.385628) + (xy 129.87048 126.385588) + (xy 129.873149 126.389978) + (xy 129.915481 126.442011) + (xy 129.916807 126.44369) + (xy 129.955669 126.494336) + (xy 129.95915 126.497817) + (xy 129.959132 126.497834) + (xy 129.967564 126.50603) + (xy 129.969067 126.507877) + (xy 129.969069 126.50788) + (xy 130.020918 126.544477) + (xy 130.023332 126.546254) + (xy 130.03053 126.551777) + (xy 130.071164 126.582957) + (xy 130.073747 126.584026) + (xy 130.088339 126.592068) + (xy 130.093237 126.595526) + (xy 130.093238 126.595526) + (xy 130.093239 126.595527) + (xy 130.149686 126.615588) + (xy 130.153251 126.616958) + (xy 130.205664 126.638669) + (xy 130.212022 126.639505) + (xy 130.227389 126.643204) + (xy 130.236452 126.646425) + (xy 130.292641 126.650268) + (xy 130.297324 126.650736) + (xy 130.304163 126.651636) + (xy 130.35 126.657671) + (xy 130.359944 126.656361) + (xy 130.374889 126.655894) + (xy 130.388082 126.656797) + (xy 130.388085 126.656797) + (xy 130.388085 126.656796) + (xy 130.388086 126.656797) + (xy 130.439752 126.64606) + (xy 130.445189 126.645138) + (xy 130.494336 126.638669) + (xy 130.50693 126.633451) + (xy 130.520409 126.629299) + (xy 130.536896 126.625874) + (xy 130.536897 126.625873) + (xy 130.536899 126.625873) + (xy 130.58055 126.603254) + (xy 130.586363 126.600549) + (xy 130.628836 126.582957) + (xy 130.642513 126.57246) + (xy 130.653695 126.565352) + (xy 130.671844 126.555949) + (xy 130.705167 126.524826) + (xy 130.710701 126.520139) + (xy 130.718787 126.513935) + (xy 130.73977 126.497834) + (xy 130.744328 126.494337) + (xy 130.744328 126.494336) + (xy 130.744333 126.494333) + (xy 130.757022 126.477795) + (xy 130.765349 126.46862) + (xy 130.782923 126.452209) + (xy 130.804748 126.416317) + (xy 130.809326 126.40963) + (xy 130.832957 126.378836) + (xy 130.842312 126.356249) + (xy 130.847523 126.345977) + (xy 130.861894 126.322347) + (xy 130.872258 126.285352) + (xy 130.875195 126.276862) + (xy 130.875896 126.275171) + (xy 130.888669 126.244336) + (xy 130.892328 126.216536) + (xy 130.894471 126.206075) + (xy 130.9029 126.175994) + (xy 130.9029 126.14117) + (xy 130.903543 126.131355) + (xy 130.903554 126.131268) + (xy 130.907671 126.1) + (xy 130.903543 126.068644) + (xy 130.9029 126.058829) + (xy 130.9029 125.765997) + (xy 130.920493 125.717659) + (xy 130.943959 125.698993) + (xy 131.03471 125.652755) + (xy 131.062539 125.624926) + (xy 131.109159 125.603186) + (xy 131.115713 125.6029) + (xy 131.339285 125.6029) + (xy 131.341851 125.602943) + (xy 131.352098 125.603294) + (xy 131.407071 125.605172) + (xy 131.407072 125.605172) + (xy 131.407072 125.605171) + (xy 131.407073 125.605172) + (xy 131.407623 125.605038) + (xy 131.425428 125.6029) + (xy 131.674006 125.6029) + (xy 131.717796 125.6029) + (xy 131.725485 125.603293) + (xy 131.769054 125.607773) + (xy 131.769054 125.607772) + (xy 131.769056 125.607773) + (xy 131.790971 125.603994) + (xy 131.80375 125.6029) + (xy 131.984287 125.6029) + (xy 132.032625 125.620493) + (xy 132.037461 125.624926) + (xy 132.065291 125.652756) + (xy 132.091004 125.665857) + (xy 132.156039 125.698993) + (xy 132.191122 125.736613) + (xy 132.1971 125.765997) + (xy 132.1971 126.058829) + (xy 132.196456 126.068644) + (xy 132.192862 126.095956) + (xy 132.192329 126.100001) + (xy 132.196568 126.132207) + (xy 132.197034 126.136864) + (xy 132.197098 126.137807) + (xy 132.201994 126.173435) + (xy 132.20205 126.173854) + (xy 132.211505 126.245661) + (xy 132.212236 126.249283) + (xy 132.212597 126.250573) + (xy 132.240753 126.315396) + (xy 132.241253 126.316576) + (xy 132.267041 126.378833) + (xy 132.269506 126.383102) + (xy 132.269073 126.383351) + (xy 132.270413 126.385628) + (xy 132.27048 126.385588) + (xy 132.273149 126.389978) + (xy 132.315481 126.442011) + (xy 132.316807 126.44369) + (xy 132.355669 126.494336) + (xy 132.35915 126.497817) + (xy 132.359132 126.497834) + (xy 132.367564 126.50603) + (xy 132.369067 126.507877) + (xy 132.369069 126.50788) + (xy 132.420918 126.544477) + (xy 132.423332 126.546254) + (xy 132.43053 126.551777) + (xy 132.471164 126.582957) + (xy 132.473747 126.584026) + (xy 132.488339 126.592068) + (xy 132.493237 126.595526) + (xy 132.493238 126.595526) + (xy 132.493239 126.595527) + (xy 132.549686 126.615588) + (xy 132.553251 126.616958) + (xy 132.605664 126.638669) + (xy 132.612022 126.639505) + (xy 132.627389 126.643204) + (xy 132.636452 126.646425) + (xy 132.692641 126.650268) + (xy 132.697324 126.650736) + (xy 132.704163 126.651636) + (xy 132.75 126.657671) + (xy 132.759944 126.656361) + (xy 132.774889 126.655894) + (xy 132.788082 126.656797) + (xy 132.788085 126.656797) + (xy 132.788085 126.656796) + (xy 132.788086 126.656797) + (xy 132.839752 126.64606) + (xy 132.845189 126.645138) + (xy 132.894336 126.638669) + (xy 132.90693 126.633451) + (xy 132.920409 126.629299) + (xy 132.936896 126.625874) + (xy 132.936897 126.625873) + (xy 132.936899 126.625873) + (xy 132.98055 126.603254) + (xy 132.986363 126.600549) + (xy 133.028836 126.582957) + (xy 133.042513 126.57246) + (xy 133.053695 126.565352) + (xy 133.071844 126.555949) + (xy 133.105167 126.524826) + (xy 133.110701 126.520139) + (xy 133.118787 126.513935) + (xy 133.13977 126.497834) + (xy 133.144328 126.494337) + (xy 133.144328 126.494336) + (xy 133.144333 126.494333) + (xy 133.157022 126.477795) + (xy 133.165349 126.46862) + (xy 133.182923 126.452209) + (xy 133.204748 126.416317) + (xy 133.209326 126.40963) + (xy 133.232957 126.378836) + (xy 133.242312 126.356249) + (xy 133.247523 126.345977) + (xy 133.261894 126.322347) + (xy 133.272258 126.285352) + (xy 133.275195 126.276862) + (xy 133.275896 126.275171) + (xy 133.288669 126.244336) + (xy 133.292328 126.216536) + (xy 133.294471 126.206075) + (xy 133.3029 126.175994) + (xy 133.3029 126.14117) + (xy 133.303543 126.131355) + (xy 133.303554 126.131268) + (xy 133.307671 126.1) + (xy 133.303543 126.068644) + (xy 133.3029 126.058829) + (xy 133.3029 125.765997) + (xy 133.320493 125.717659) + (xy 133.343959 125.698993) + (xy 133.43471 125.652755) + (xy 133.462539 125.624926) + (xy 133.509159 125.603186) + (xy 133.515713 125.6029) + (xy 133.839285 125.6029) + (xy 133.841851 125.602943) + (xy 133.848036 125.603155) + (xy 133.912215 125.605348) + (xy 133.912119 125.608151) + (xy 133.952104 125.618137) + (xy 133.955451 125.620532) + (xy 133.97647 125.636472) + (xy 133.976471 125.636472) + (xy 133.976472 125.636473) + (xy 133.997162 125.644632) + (xy 134.008643 125.650334) + (xy 134.027653 125.661894) + (xy 134.069831 125.673711) + (xy 134.077105 125.676157) + (xy 134.117862 125.69223) + (xy 134.139994 125.694505) + (xy 134.15259 125.696899) + (xy 134.174006 125.7029) + (xy 134.217795 125.7029) + (xy 134.225484 125.703293) + (xy 134.269053 125.707773) + (xy 134.269053 125.707772) + (xy 134.269055 125.707773) + (xy 134.29097 125.703994) + (xy 134.303749 125.7029) + (xy 134.5719 125.7029) + (xy 134.620238 125.720493) + (xy 134.645958 125.765042) + (xy 134.6471 125.7781) + (xy 134.6471 126.008829) + (xy 134.646457 126.018644) + (xy 134.642329 126.050001) + (xy 134.646568 126.082207) + (xy 134.647034 126.086864) + (xy 134.647098 126.087807) + (xy 134.651994 126.123435) + (xy 134.65205 126.123854) + (xy 134.661505 126.195661) + (xy 134.662236 126.199283) + (xy 134.662597 126.200573) + (xy 134.690753 126.265396) + (xy 134.691253 126.266576) + (xy 134.717041 126.328833) + (xy 134.719506 126.333102) + (xy 134.719073 126.333351) + (xy 134.720413 126.335628) + (xy 134.72048 126.335588) + (xy 134.723149 126.339978) + (xy 134.739122 126.359611) + (xy 134.762655 126.388538) + (xy 134.765481 126.392011) + (xy 134.766807 126.39369) + (xy 134.805669 126.444336) + (xy 134.80915 126.447817) + (xy 134.809132 126.447834) + (xy 134.817564 126.45603) + (xy 134.819067 126.457877) + (xy 134.819069 126.45788) + (xy 134.870918 126.494477) + (xy 134.873332 126.496254) + (xy 134.888481 126.507878) + (xy 134.921164 126.532957) + (xy 134.923747 126.534026) + (xy 134.938339 126.542068) + (xy 134.943237 126.545526) + (xy 134.943238 126.545526) + (xy 134.943239 126.545527) + (xy 134.999686 126.565588) + (xy 135.003251 126.566958) + (xy 135.055664 126.588669) + (xy 135.062022 126.589505) + (xy 135.077389 126.593204) + (xy 135.086452 126.596425) + (xy 135.142641 126.600268) + (xy 135.147324 126.600736) + (xy 135.154163 126.601636) + (xy 135.2 126.607671) + (xy 135.209944 126.606361) + (xy 135.224889 126.605894) + (xy 135.238082 126.606797) + (xy 135.238085 126.606797) + (xy 135.238085 126.606796) + (xy 135.238086 126.606797) + (xy 135.289752 126.59606) + (xy 135.295189 126.595138) + (xy 135.344336 126.588669) + (xy 135.35693 126.583451) + (xy 135.370409 126.579299) + (xy 135.386896 126.575874) + (xy 135.386897 126.575873) + (xy 135.386899 126.575873) + (xy 135.43055 126.553254) + (xy 135.436363 126.550549) + (xy 135.478836 126.532957) + (xy 135.492513 126.52246) + (xy 135.503695 126.515352) + (xy 135.521844 126.505949) + (xy 135.555167 126.474826) + (xy 135.560701 126.470139) + (xy 135.594328 126.444337) + (xy 135.594328 126.444336) + (xy 135.594333 126.444333) + (xy 135.607022 126.427795) + (xy 135.615349 126.41862) + (xy 135.632923 126.402209) + (xy 135.654748 126.366317) + (xy 135.659326 126.35963) + (xy 135.682957 126.328836) + (xy 135.692312 126.306249) + (xy 135.697523 126.295977) + (xy 135.711894 126.272347) + (xy 135.722258 126.235352) + (xy 135.725195 126.226862) + (xy 135.72553 126.226055) + (xy 135.738669 126.194336) + (xy 135.742328 126.166536) + (xy 135.744471 126.156075) + (xy 135.7529 126.125994) + (xy 135.7529 126.09117) + (xy 135.753543 126.081355) + (xy 135.754381 126.074986) + (xy 135.757671 126.05) + (xy 135.753543 126.018644) + (xy 135.7529 126.008829) + (xy 135.7529 125.751673) + (xy 135.770493 125.703335) + (xy 135.795073 125.684114) + (xy 135.882898 125.641179) + (xy 135.966177 125.5579) + (xy 135.966179 125.557898) + (xy 136.017906 125.452088) + (xy 136.026601 125.392408) + (xy 136.027899 125.383502) + (xy 136.0279 125.383492) + (xy 136.0279 124.916507) + (xy 136.027899 124.916497) + (xy 136.021454 124.872266) + (xy 136.017906 124.847912) + (xy 135.966179 124.742102) + (xy 135.966177 124.7421) + (xy 135.966177 124.742099) + (xy 135.8829 124.658822) + (xy 135.867301 124.651196) + (xy 135.777088 124.607094) + (xy 135.708502 124.5971) + (xy 135.708494 124.5971) + (xy 135.275994 124.5971) + (xy 135.22146 124.5971) + (xy 135.216328 124.596925) + (xy 135.161914 124.593203) + (xy 135.150729 124.595527) + (xy 135.13543 124.5971) + (xy 134.510167 124.5971) + (xy 134.461829 124.579507) + (xy 134.456993 124.575074) + (xy 134.420071 124.538152) + (xy 134.413584 124.530756) + (xy 134.394333 124.505667) + (xy 134.386372 124.499558) + (xy 134.375482 124.489329) + (xy 134.366784 124.479354) + (xy 134.322674 124.450422) + (xy 134.31814 124.447201) + (xy 134.277794 124.416243) + (xy 134.274691 124.414182) + (xy 134.273526 124.413526) + (xy 134.2629 124.409336) + (xy 134.249247 124.402261) + (xy 134.239699 124.395999) + (xy 134.239692 124.395995) + (xy 134.192838 124.381124) + (xy 134.186811 124.378924) + (xy 134.144338 124.361331) + (xy 134.139582 124.360057) + (xy 134.13971 124.359578) + (xy 134.13715 124.358914) + (xy 134.137133 124.358987) + (xy 134.13214 124.35777) + (xy 134.120768 124.356601) + (xy 134.105713 124.353472) + (xy 134.094827 124.350017) + (xy 134.083454 124.349628) + (xy 134.049272 124.34846) + (xy 134.042027 124.347861) + (xy 134.000001 124.342329) + (xy 133.995076 124.342329) + (xy 133.995076 124.342304) + (xy 133.983323 124.342471) + (xy 133.980944 124.342226) + (xy 133.969682 124.344168) + (xy 133.954343 124.345217) + (xy 133.942931 124.344827) + (xy 133.942921 124.344828) + (xy 133.902122 124.35477) + (xy 133.894138 124.356264) + (xy 133.855668 124.36133) + (xy 133.85566 124.361332) + (xy 133.853073 124.362404) + (xy 133.837086 124.367031) + (xy 133.831166 124.368052) + (xy 133.820851 124.372957) + (xy 133.806367 124.378105) + (xy 133.795261 124.380812) + (xy 133.795259 124.380812) + (xy 133.795259 124.380813) + (xy 133.763098 124.398896) + (xy 133.761771 124.399642) + (xy 133.753697 124.403567) + (xy 133.721159 124.417045) + (xy 133.716065 124.420954) + (xy 133.7026 124.429195) + (xy 133.693909 124.433329) + (xy 133.693908 124.433329) + (xy 133.685292 124.440841) + (xy 133.672742 124.4497) + (xy 133.662774 124.455306) + (xy 133.643007 124.475074) + (xy 133.596387 124.496814) + (xy 133.589833 124.4971) + (xy 133.515713 124.4971) + (xy 133.467375 124.479507) + (xy 133.462539 124.475074) + (xy 133.43471 124.447245) + (xy 133.317466 124.387507) + (xy 133.317468 124.387507) + (xy 133.220194 124.3721) + (xy 133.220192 124.3721) + (xy 132.279808 124.3721) + (xy 132.279805 124.3721) + (xy 132.182532 124.387507) + (xy 132.06529 124.447244) + (xy 132.053773 124.458762) + (xy 132.007152 124.4805) + (xy 131.957465 124.467185) + (xy 131.947426 124.45876) + (xy 131.944336 124.45567) + (xy 131.944334 124.455668) + (xy 131.944333 124.455667) + (xy 131.936372 124.449558) + (xy 131.925477 124.439324) + (xy 131.916784 124.429354) + (xy 131.880116 124.405303) + (xy 131.872669 124.400418) + (xy 131.868137 124.397199) + (xy 131.833933 124.370954) + (xy 131.828836 124.367043) + (xy 131.828834 124.367042) + (xy 131.827785 124.366237) + (xy 131.824691 124.364182) + (xy 131.823531 124.36353) + (xy 131.823529 124.363528) + (xy 131.812902 124.359337) + (xy 131.79925 124.352262) + (xy 131.789699 124.345998) + (xy 131.78969 124.345993) + (xy 131.742831 124.331121) + (xy 131.736807 124.328923) + (xy 131.694336 124.311331) + (xy 131.694334 124.31133) + (xy 131.689582 124.310057) + (xy 131.68971 124.309578) + (xy 131.68715 124.308914) + (xy 131.687133 124.308987) + (xy 131.68214 124.30777) + (xy 131.670768 124.306601) + (xy 131.655713 124.303472) + (xy 131.644827 124.300017) + (xy 131.633454 124.299628) + (xy 131.599272 124.29846) + (xy 131.592027 124.297861) + (xy 131.550001 124.292329) + (xy 131.545076 124.292329) + (xy 131.545076 124.292304) + (xy 131.533323 124.292471) + (xy 131.530944 124.292226) + (xy 131.519682 124.294168) + (xy 131.504343 124.295217) + (xy 131.492931 124.294827) + (xy 131.492922 124.294828) + (xy 131.452123 124.30477) + (xy 131.444139 124.306264) + (xy 131.405667 124.31133) + (xy 131.405657 124.311333) + (xy 131.403069 124.312405) + (xy 131.387087 124.31703) + (xy 131.381171 124.31805) + (xy 131.381165 124.318052) + (xy 131.370844 124.32296) + (xy 131.356365 124.328106) + (xy 131.34526 124.330813) + (xy 131.345256 124.330814) + (xy 131.311771 124.349642) + (xy 131.303695 124.353568) + (xy 131.27116 124.367045) + (xy 131.271158 124.367046) + (xy 131.266065 124.370954) + (xy 131.2526 124.379195) + (xy 131.24391 124.383328) + (xy 131.243908 124.383329) + (xy 131.235296 124.390839) + (xy 131.222734 124.399706) + (xy 131.21278 124.405303) + (xy 131.21277 124.40531) + (xy 131.188156 124.429924) + (xy 131.180764 124.436408) + (xy 131.155662 124.45567) + (xy 131.152573 124.45876) + (xy 131.105953 124.4805) + (xy 131.056266 124.467186) + (xy 131.046225 124.45876) + (xy 131.03471 124.447245) + (xy 130.917466 124.387507) + (xy 130.917468 124.387507) + (xy 130.820194 124.3721) + (xy 130.820192 124.3721) + (xy 129.879808 124.3721) + (xy 129.879805 124.3721) + (xy 129.782532 124.387507) + (xy 129.665289 124.447245) + (xy 129.653775 124.45876) + (xy 129.607155 124.4805) + (xy 129.557468 124.467186) + (xy 129.547427 124.45876) + (xy 129.544336 124.455669) + (xy 129.518571 124.435899) + (xy 129.514931 124.43292) + (xy 129.514227 124.432306) + (xy 129.485467 124.410496) + (xy 129.485128 124.410237) + (xy 129.427787 124.366238) + (xy 129.424691 124.364182) + (xy 129.423524 124.363525) + (xy 129.357833 124.337619) + (xy 129.356645 124.337139) + (xy 129.294339 124.311331) + (xy 129.289582 124.310057) + (xy 129.28971 124.309578) + (xy 129.287148 124.308914) + (xy 129.287131 124.308987) + (xy 129.282137 124.307769) + (xy 129.215426 124.300911) + (xy 129.213302 124.300662) + (xy 129.15 124.292329) + (xy 129.145076 124.292329) + (xy 129.145076 124.292304) + (xy 129.133324 124.292471) + (xy 129.130948 124.292227) + (xy 129.130947 124.292227) + (xy 129.130946 124.292227) + (xy 129.112073 124.295481) + (xy 129.06839 124.303012) + (xy 129.065431 124.303461) + (xy 129.005669 124.31133) + (xy 129.00566 124.311332) + (xy 129.003073 124.312404) + (xy 128.987086 124.317031) + (xy 128.981165 124.318052) + (xy 128.927073 124.343777) + (xy 128.923555 124.345341) + (xy 128.871168 124.36704) + (xy 128.871159 124.367046) + (xy 128.866065 124.370954) + (xy 128.8526 124.379195) + (xy 128.84391 124.383328) + (xy 128.843908 124.383329) + (xy 128.801455 124.420346) + (xy 128.797814 124.423326) + (xy 128.776969 124.439321) + (xy 128.755667 124.455667) + (xy 128.749559 124.463625) + (xy 128.739327 124.474519) + (xy 128.729354 124.483214) + (xy 128.700425 124.527321) + (xy 128.697206 124.531854) + (xy 128.667042 124.571166) + (xy 128.661826 124.583758) + (xy 128.655235 124.596216) + (xy 128.645995 124.610303) + (xy 128.645994 124.610305) + (xy 128.631121 124.657169) + (xy 128.628921 124.663197) + (xy 128.61133 124.705665) + (xy 128.60908 124.722756) + (xy 128.606201 124.735686) + (xy 128.600018 124.755165) + (xy 128.600017 124.755175) + (xy 128.59846 124.800726) + (xy 128.597861 124.80797) + (xy 128.592329 124.849999) + (xy 128.592329 124.85) + (xy 110.1 124.85) (xy 110.1 124.8) (xy 110.4 124.8) (xy 110.75 124.8) @@ -19163,30 +19868,795 @@ (xy 109.21132 124.117745) (xy 109.180904 124.076261) (xy 109.1779 124.055219) - (xy 109.1779 123.423035) - (xy 109.195493 123.374697) - (xy 109.199926 123.369861) - (xy 109.238712 123.331075) - (xy 109.280016 123.289771) - (xy 109.337957 123.176055) - (xy 109.357922 123.05) - (xy 109.337957 122.923945) - (xy 109.280016 122.810229) - (xy 109.189771 122.719984) - (xy 109.076055 122.662043) - (xy 109.076057 122.662043) - (xy 108.95 122.642078) - (xy 108.823943 122.662043) - (xy 108.710228 122.719984) - (xy 108.619984 122.810228) - (xy 108.562043 122.923943) - (xy 108.542078 123.049999) - (xy 108.542078 123.05) - (xy 108.562043 123.176056) - (xy 108.619984 123.289771) - (xy 108.700074 123.369861) - (xy 108.721814 123.416481) - (xy 108.7221 123.423035) + (xy 109.1779 123.533099) + (xy 109.195493 123.484761) + (xy 109.218958 123.466095) + (xy 109.289771 123.430016) + (xy 109.380016 123.339771) + (xy 109.437957 123.226055) + (xy 109.457922 123.1) + (xy 113.292078 123.1) + (xy 113.312043 123.226056) + (xy 113.344508 123.289771) + (xy 113.369984 123.339771) + (xy 113.460229 123.430016) + (xy 113.573943 123.487956) + (xy 113.573945 123.487957) + (xy 113.7 123.507922) + (xy 113.826055 123.487957) + (xy 113.939771 123.430016) + (xy 114.030016 123.339771) + (xy 114.087957 123.226055) + (xy 114.107922 123.1) + (xy 114.592078 123.1) + (xy 114.612043 123.226056) + (xy 114.644508 123.289771) + (xy 114.669984 123.339771) + (xy 114.760229 123.430016) + (xy 114.873943 123.487956) + (xy 114.873945 123.487957) + (xy 115 123.507922) + (xy 115.126055 123.487957) + (xy 115.239771 123.430016) + (xy 115.330016 123.339771) + (xy 115.387957 123.226055) + (xy 115.407922 123.1) + (xy 115.387957 122.973945) + (xy 115.374498 122.94753) + (xy 115.361096 122.921226) + (xy 115.3529 122.887086) + (xy 115.3529 122.748044) + (xy 115.370493 122.699706) + (xy 115.415042 122.673986) + (xy 115.46224 122.68104) + (xy 115.471896 122.68596) + (xy 115.483566 122.691907) + (xy 115.493619 122.698067) + (xy 115.514807 122.713461) + (xy 115.534883 122.719984) + (xy 115.539709 122.721552) + (xy 115.550613 122.726069) + (xy 115.573942 122.737956) + (xy 115.573943 122.737956) + (xy 115.573945 122.737957) + (xy 115.599812 122.742053) + (xy 115.611271 122.744804) + (xy 115.636187 122.7529) + (xy 115.668292 122.7529) + (xy 115.879998 122.7529) + (xy 115.928336 122.770493) + (xy 115.933172 122.774926) + (xy 116.022237 122.863991) + (xy 116.022238 122.863991) + (xy 116.022239 122.863992) + (xy 116.054926 122.879971) + (xy 116.090625 122.917004) + (xy 116.0971 122.94753) + (xy 116.0971 123.112374) + (xy 116.096174 123.124138) + (xy 116.092078 123.149999) + (xy 116.092078 123.15) + (xy 116.112043 123.276056) + (xy 116.131906 123.315039) + (xy 116.169984 123.389771) + (xy 116.260229 123.480016) + (xy 116.373943 123.537956) + (xy 116.373945 123.537957) + (xy 116.5 123.557922) + (xy 116.626055 123.537957) + (xy 116.70055 123.5) + (xy 117.942078 123.5) + (xy 117.962043 123.626056) + (xy 118.009736 123.719659) + (xy 118.019984 123.739771) + (xy 118.110229 123.830016) + (xy 118.223943 123.887956) + (xy 118.223945 123.887957) + (xy 118.35 123.907922) + (xy 118.476055 123.887957) + (xy 118.589771 123.830016) + (xy 118.680016 123.739771) + (xy 118.737957 123.626055) + (xy 118.757922 123.5) + (xy 118.756014 123.487956) + (xy 118.752552 123.466095) + (xy 118.737957 123.373945) + (xy 118.680016 123.260229) + (xy 118.599925 123.180138) + (xy 118.578186 123.133518) + (xy 118.5779 123.126964) + (xy 118.5779 123.1) + (xy 119.242078 123.1) + (xy 119.262043 123.226056) + (xy 119.294508 123.289771) + (xy 119.319984 123.339771) + (xy 119.410229 123.430016) + (xy 119.523943 123.487956) + (xy 119.523945 123.487957) + (xy 119.65 123.507922) + (xy 119.776055 123.487957) + (xy 119.889771 123.430016) + (xy 119.980016 123.339771) + (xy 120.037957 123.226055) + (xy 120.057922 123.1) + (xy 120.037957 122.973945) + (xy 120.024498 122.94753) + (xy 120.011096 122.921226) + (xy 120.0029 122.887086) + (xy 120.0029 122.704236) + (xy 120.020493 122.655898) + (xy 120.065042 122.630178) + (xy 120.1157 122.639111) + (xy 120.131274 122.651062) + (xy 120.160226 122.680014) + (xy 120.160228 122.680015) + (xy 120.160229 122.680016) + (xy 120.183567 122.691907) + (xy 120.193619 122.698067) + (xy 120.214807 122.713461) + (xy 120.234883 122.719984) + (xy 120.239709 122.721552) + (xy 120.250613 122.726069) + (xy 120.273942 122.737956) + (xy 120.273943 122.737956) + (xy 120.273945 122.737957) + (xy 120.299812 122.742053) + (xy 120.311271 122.744804) + (xy 120.336187 122.7529) + (xy 120.368292 122.7529) + (xy 120.579998 122.7529) + (xy 120.628336 122.770493) + (xy 120.633172 122.774926) + (xy 120.722237 122.863991) + (xy 120.722238 122.863991) + (xy 120.722239 122.863992) + (xy 120.754926 122.879971) + (xy 120.790625 122.917004) + (xy 120.7971 122.94753) + (xy 120.7971 123.112374) + (xy 120.796174 123.124138) + (xy 120.792078 123.149999) + (xy 120.792078 123.15) + (xy 120.812043 123.276056) + (xy 120.831906 123.315039) + (xy 120.869984 123.389771) + (xy 120.960229 123.480016) + (xy 121.073943 123.537956) + (xy 121.073945 123.537957) + (xy 121.2 123.557922) + (xy 121.326055 123.537957) + (xy 121.40055 123.5) + (xy 129.4976 123.5) + (xy 129.4976 123.645156) + (xy 129.512988 123.74231) + (xy 129.572656 123.859414) + (xy 129.665585 123.952343) + (xy 129.78269 124.012011) + (xy 129.782688 124.012011) + (xy 129.879843 124.027399) + (xy 129.87985 124.0274) + (xy 130.2 124.0274) + (xy 130.2 123.5) + (xy 130.5 123.5) + (xy 130.5 124.0274) + (xy 130.82015 124.0274) + (xy 130.820156 124.027399) + (xy 130.91731 124.012011) + (xy 131.034414 123.952343) + (xy 131.127343 123.859414) + (xy 131.187011 123.74231) + (xy 131.202399 123.645156) + (xy 131.2024 123.645149) + (xy 131.2024 123.5) + (xy 131.8976 123.5) + (xy 131.8976 123.645156) + (xy 131.912988 123.74231) + (xy 131.972656 123.859414) + (xy 132.065585 123.952343) + (xy 132.18269 124.012011) + (xy 132.182688 124.012011) + (xy 132.279843 124.027399) + (xy 132.27985 124.0274) + (xy 132.6 124.0274) + (xy 132.6 123.5) + (xy 132.9 123.5) + (xy 132.9 124.0274) + (xy 133.22015 124.0274) + (xy 133.220156 124.027399) + (xy 133.31731 124.012011) + (xy 133.434414 123.952343) + (xy 133.527343 123.859414) + (xy 133.587011 123.74231) + (xy 133.602399 123.645156) + (xy 133.6024 123.645149) + (xy 133.6024 123.5) + (xy 132.9 123.5) + (xy 132.6 123.5) + (xy 131.8976 123.5) + (xy 131.2024 123.5) + (xy 130.5 123.5) + (xy 130.2 123.5) + (xy 129.4976 123.5) + (xy 121.40055 123.5) + (xy 121.439771 123.480016) + (xy 121.519787 123.4) + (xy 134.3726 123.4) + (xy 134.3726 123.483454) + (xy 134.38258 123.551942) + (xy 134.434235 123.657605) + (xy 134.517394 123.740764) + (xy 134.623057 123.792419) + (xy 134.623056 123.792419) + (xy 134.691545 123.802399) + (xy 134.691556 123.8024) + (xy 135.05 123.8024) + (xy 135.05 123.4) + (xy 135.35 123.4) + (xy 135.35 123.8024) + (xy 135.708444 123.8024) + (xy 135.708454 123.802399) + (xy 135.776942 123.792419) + (xy 135.882605 123.740764) + (xy 135.965764 123.657605) + (xy 136.017419 123.551942) + (xy 136.024988 123.5) + (xy 139.2476 123.5) + (xy 139.2476 123.645156) + (xy 139.262988 123.74231) + (xy 139.322656 123.859414) + (xy 139.415585 123.952343) + (xy 139.53269 124.012011) + (xy 139.532688 124.012011) + (xy 139.629843 124.027399) + (xy 139.62985 124.0274) + (xy 139.95 124.0274) + (xy 139.95 123.5) + (xy 140.25 123.5) + (xy 140.25 124.0274) + (xy 140.57015 124.0274) + (xy 140.570156 124.027399) + (xy 140.66731 124.012011) + (xy 140.784414 123.952343) + (xy 140.877343 123.859414) + (xy 140.937011 123.74231) + (xy 140.952399 123.645156) + (xy 140.9524 123.645149) + (xy 140.9524 123.5) + (xy 140.25 123.5) + (xy 139.95 123.5) + (xy 139.2476 123.5) + (xy 136.024988 123.5) + (xy 136.027399 123.483454) + (xy 136.0274 123.483444) + (xy 136.0274 123.4) + (xy 135.35 123.4) + (xy 135.05 123.4) + (xy 134.3726 123.4) + (xy 121.519787 123.4) + (xy 121.530016 123.389771) + (xy 121.587957 123.276055) + (xy 121.600003 123.2) + (xy 129.4976 123.2) + (xy 130.2 123.2) + (xy 130.2 122.6726) + (xy 130.5 122.6726) + (xy 130.5 123.2) + (xy 131.2024 123.2) + (xy 131.8976 123.2) + (xy 132.6 123.2) + (xy 132.6 122.6726) + (xy 132.9 122.6726) + (xy 132.9 123.2) + (xy 133.6024 123.2) + (xy 139.2476 123.2) + (xy 139.95 123.2) + (xy 139.95 122.6726) + (xy 140.25 122.6726) + (xy 140.25 123.2) + (xy 140.9524 123.2) + (xy 140.9524 123.05485) + (xy 140.952399 123.054843) + (xy 140.937011 122.957689) + (xy 140.877343 122.840585) + (xy 140.784414 122.747656) + (xy 140.667309 122.687988) + (xy 140.667311 122.687988) + (xy 140.570156 122.6726) + (xy 140.25 122.6726) + (xy 139.95 122.6726) + (xy 139.629843 122.6726) + (xy 139.532689 122.687988) + (xy 139.415585 122.747656) + (xy 139.322656 122.840585) + (xy 139.262988 122.957689) + (xy 139.2476 123.054843) + (xy 139.2476 123.2) + (xy 133.6024 123.2) + (xy 133.6024 123.1) + (xy 134.3726 123.1) + (xy 135.05 123.1) + (xy 135.05 122.6976) + (xy 135.35 122.6976) + (xy 135.35 123.1) + (xy 136.0274 123.1) + (xy 136.0274 123.016555) + (xy 136.027399 123.016545) + (xy 136.017419 122.948057) + (xy 135.965764 122.842394) + (xy 135.882605 122.759235) + (xy 135.776942 122.70758) + (xy 135.776943 122.70758) + (xy 135.708454 122.6976) + (xy 135.35 122.6976) + (xy 135.05 122.6976) + (xy 134.691545 122.6976) + (xy 134.623057 122.70758) + (xy 134.517394 122.759235) + (xy 134.434235 122.842394) + (xy 134.38258 122.948057) + (xy 134.3726 123.016545) + (xy 134.3726 123.1) + (xy 133.6024 123.1) + (xy 133.6024 123.05485) + (xy 133.602399 123.054843) + (xy 133.587011 122.957689) + (xy 133.527343 122.840585) + (xy 133.434414 122.747656) + (xy 133.317309 122.687988) + (xy 133.317311 122.687988) + (xy 133.220156 122.6726) + (xy 132.9 122.6726) + (xy 132.6 122.6726) + (xy 132.279843 122.6726) + (xy 132.182689 122.687988) + (xy 132.065585 122.747656) + (xy 131.972656 122.840585) + (xy 131.912988 122.957689) + (xy 131.8976 123.054843) + (xy 131.8976 123.2) + (xy 131.2024 123.2) + (xy 131.2024 123.05485) + (xy 131.202399 123.054843) + (xy 131.187011 122.957689) + (xy 131.127343 122.840585) + (xy 131.034414 122.747656) + (xy 130.917309 122.687988) + (xy 130.917311 122.687988) + (xy 130.820156 122.6726) + (xy 130.5 122.6726) + (xy 130.2 122.6726) + (xy 129.879843 122.6726) + (xy 129.782689 122.687988) + (xy 129.665585 122.747656) + (xy 129.572656 122.840585) + (xy 129.512988 122.957689) + (xy 129.4976 123.054843) + (xy 129.4976 123.2) + (xy 121.600003 123.2) + (xy 121.607922 123.15) + (xy 121.604273 123.126964) + (xy 121.603826 123.124138) + (xy 121.6029 123.112374) + (xy 121.6029 122.94753) + (xy 121.620493 122.899192) + (xy 121.645072 122.879971) + (xy 121.677761 122.863992) + (xy 121.715019 122.826734) + (xy 121.766828 122.774926) + (xy 121.813448 122.753186) + (xy 121.820002 122.7529) + (xy 121.963812 122.7529) + (xy 121.963813 122.7529) + (xy 121.988722 122.744805) + (xy 122.000173 122.742055) + (xy 122.026055 122.737957) + (xy 122.049397 122.726063) + (xy 122.060284 122.721554) + (xy 122.085193 122.713461) + (xy 122.106378 122.698068) + (xy 122.11643 122.691907) + (xy 122.139771 122.680016) + (xy 122.230016 122.589771) + (xy 122.236201 122.583586) + (xy 122.236208 122.583576) + (xy 122.283576 122.536208) + (xy 122.283586 122.536201) + (xy 122.380014 122.439773) + (xy 122.380016 122.439771) + (xy 122.391907 122.41643) + (xy 122.398068 122.406378) + (xy 122.413461 122.385193) + (xy 122.421554 122.360284) + (xy 122.426063 122.349397) + (xy 122.437957 122.326055) + (xy 122.442055 122.300173) + (xy 122.444805 122.288722) + (xy 122.4529 122.263813) + (xy 122.4529 122.237624) + (xy 122.453826 122.225859) + (xy 122.457922 122.2) + (xy 122.457922 122.199999) + (xy 122.453826 122.174138) + (xy 122.4529 122.162374) + (xy 122.4529 122.136188) + (xy 122.4529 122.136187) + (xy 122.444804 122.111271) + (xy 122.442053 122.099812) + (xy 122.437957 122.073945) + (xy 122.437956 122.073943) + (xy 122.437956 122.073942) + (xy 122.426069 122.050613) + (xy 122.421552 122.039709) + (xy 122.413461 122.014808) + (xy 122.413461 122.014807) + (xy 122.398067 121.993619) + (xy 122.391906 121.983565) + (xy 122.380016 121.960229) + (xy 122.380015 121.960228) + (xy 122.380014 121.960226) + (xy 122.361499 121.941711) + (xy 122.353836 121.93274) + (xy 122.338444 121.911556) + (xy 122.317259 121.896164) + (xy 122.308291 121.888504) + (xy 122.289771 121.869984) + (xy 122.266432 121.858091) + (xy 122.256375 121.851928) + (xy 122.235196 121.836541) + (xy 122.235194 121.83654) + (xy 122.235193 121.836539) + (xy 122.210283 121.828444) + (xy 122.199388 121.823931) + (xy 122.176056 121.812043) + (xy 122.176058 121.812043) + (xy 122.150187 121.807945) + (xy 122.138721 121.805192) + (xy 122.113813 121.7971) + (xy 122.087625 121.7971) + (xy 122.075861 121.796174) + (xy 122.05 121.792078) + (xy 122.024139 121.796174) + (xy 122.012375 121.7971) + (xy 121.986182 121.7971) + (xy 121.961281 121.805191) + (xy 121.949813 121.807945) + (xy 121.923943 121.812043) + (xy 121.900606 121.823933) + (xy 121.889712 121.828445) + (xy 121.86481 121.836537) + (xy 121.864805 121.836539) + (xy 121.843623 121.851929) + (xy 121.833567 121.858091) + (xy 121.81023 121.869982) + (xy 121.805442 121.873462) + (xy 121.803644 121.870987) + (xy 121.76739 121.887807) + (xy 121.717729 121.874397) + (xy 121.707813 121.86606) + (xy 121.677761 121.836008) + (xy 121.568203 121.782449) + (xy 121.497182 121.7721) + (xy 121.497174 121.7721) + (xy 120.902826 121.7721) + (xy 120.902817 121.7721) + (xy 120.831797 121.782449) + (xy 120.722237 121.836009) + (xy 120.64219 121.916056) + (xy 120.59557 121.937795) + (xy 120.545883 121.924481) + (xy 120.535847 121.91606) + (xy 120.489771 121.869984) + (xy 120.466432 121.858091) + (xy 120.456375 121.851928) + (xy 120.435196 121.836541) + (xy 120.435194 121.83654) + (xy 120.435193 121.836539) + (xy 120.410283 121.828444) + (xy 120.399388 121.823931) + (xy 120.376056 121.812043) + (xy 120.376058 121.812043) + (xy 120.350187 121.807945) + (xy 120.338721 121.805192) + (xy 120.313813 121.7971) + (xy 120.287625 121.7971) + (xy 120.275861 121.796174) + (xy 120.25 121.792078) + (xy 120.224139 121.796174) + (xy 120.212375 121.7971) + (xy 120.186182 121.7971) + (xy 120.161281 121.805191) + (xy 120.149813 121.807945) + (xy 120.123941 121.812043) + (xy 120.123941 121.812044) + (xy 120.109221 121.819543) + (xy 120.058164 121.825808) + (xy 120.015025 121.797789) + (xy 120.001331 121.767207) + (xy 119.995513 121.737956) + (xy 119.988227 121.701323) + (xy 119.932331 121.617669) + (xy 119.848677 121.561773) + (xy 119.848675 121.561772) + (xy 119.774911 121.5471) + (xy 119.525088 121.5471) + (xy 119.525087 121.547101) + (xy 119.451326 121.561772) + (xy 119.451324 121.561772) + (xy 119.451323 121.561773) + (xy 119.368108 121.617376) + (xy 119.367669 121.617669) + (xy 119.311773 121.701323) + (xy 119.311772 121.701324) + (xy 119.2971 121.775088) + (xy 119.2971 122.18229) + (xy 119.296843 122.188501) + (xy 119.293441 122.229545) + (xy 119.294798 122.234901) + (xy 119.2971 122.253364) + (xy 119.2971 122.887086) + (xy 119.288904 122.921226) + (xy 119.262043 122.973943) + (xy 119.242078 123.099999) + (xy 119.242078 123.1) + (xy 118.5779 123.1) + (xy 118.5779 122.858895) + (xy 118.595493 122.810557) + (xy 118.611319 122.79637) + (xy 118.632331 122.782331) + (xy 118.688227 122.698677) + (xy 118.7029 122.624911) + (xy 118.702899 121.77509) + (xy 118.688227 121.701323) + (xy 118.632331 121.617669) + (xy 118.548677 121.561773) + (xy 118.548675 121.561772) + (xy 118.474911 121.5471) + (xy 118.225088 121.5471) + (xy 118.225087 121.547101) + (xy 118.151326 121.561772) + (xy 118.151324 121.561772) + (xy 118.151323 121.561773) + (xy 118.068108 121.617376) + (xy 118.067669 121.617669) + (xy 118.011773 121.701323) + (xy 118.011772 121.701324) + (xy 117.9971 121.775088) + (xy 117.9971 122.624911) + (xy 117.997101 122.624912) + (xy 118.011772 122.698673) + (xy 118.011773 122.698677) + (xy 118.067669 122.782331) + (xy 118.088678 122.796368) + (xy 118.119095 122.83785) + (xy 118.1221 122.858895) + (xy 118.1221 123.126964) + (xy 118.104507 123.175302) + (xy 118.100075 123.180138) + (xy 118.019983 123.26023) + (xy 117.962043 123.373943) + (xy 117.942078 123.499999) + (xy 117.942078 123.5) + (xy 116.70055 123.5) + (xy 116.739771 123.480016) + (xy 116.830016 123.389771) + (xy 116.887957 123.276055) + (xy 116.907922 123.15) + (xy 116.904273 123.126964) + (xy 116.903826 123.124138) + (xy 116.9029 123.112374) + (xy 116.9029 122.94753) + (xy 116.920493 122.899192) + (xy 116.945072 122.879971) + (xy 116.977761 122.863992) + (xy 117.015019 122.826734) + (xy 117.066828 122.774926) + (xy 117.113448 122.753186) + (xy 117.120002 122.7529) + (xy 117.263812 122.7529) + (xy 117.263813 122.7529) + (xy 117.288722 122.744805) + (xy 117.300173 122.742055) + (xy 117.326055 122.737957) + (xy 117.349397 122.726063) + (xy 117.360284 122.721554) + (xy 117.385193 122.713461) + (xy 117.406378 122.698068) + (xy 117.41643 122.691907) + (xy 117.439771 122.680016) + (xy 117.530016 122.589771) + (xy 117.536201 122.583586) + (xy 117.536208 122.583576) + (xy 117.583576 122.536208) + (xy 117.583586 122.536201) + (xy 117.680014 122.439773) + (xy 117.680016 122.439771) + (xy 117.691907 122.41643) + (xy 117.698068 122.406378) + (xy 117.713461 122.385193) + (xy 117.721554 122.360284) + (xy 117.726063 122.349397) + (xy 117.737957 122.326055) + (xy 117.742055 122.300173) + (xy 117.744805 122.288722) + (xy 117.7529 122.263813) + (xy 117.7529 122.237624) + (xy 117.753826 122.225859) + (xy 117.757922 122.2) + (xy 117.757922 122.199999) + (xy 117.753826 122.174138) + (xy 117.7529 122.162374) + (xy 117.7529 122.136188) + (xy 117.7529 122.136187) + (xy 117.744804 122.111271) + (xy 117.742053 122.099812) + (xy 117.737957 122.073945) + (xy 117.737956 122.073943) + (xy 117.737956 122.073942) + (xy 117.726069 122.050613) + (xy 117.721552 122.039709) + (xy 117.713461 122.014808) + (xy 117.713461 122.014807) + (xy 117.698067 121.993619) + (xy 117.691906 121.983565) + (xy 117.680016 121.960229) + (xy 117.680015 121.960228) + (xy 117.680014 121.960226) + (xy 117.661499 121.941711) + (xy 117.653836 121.93274) + (xy 117.638444 121.911556) + (xy 117.617259 121.896164) + (xy 117.608291 121.888504) + (xy 117.589771 121.869984) + (xy 117.566432 121.858091) + (xy 117.556375 121.851928) + (xy 117.535196 121.836541) + (xy 117.535194 121.83654) + (xy 117.535193 121.836539) + (xy 117.510283 121.828444) + (xy 117.499388 121.823931) + (xy 117.476056 121.812043) + (xy 117.476058 121.812043) + (xy 117.450187 121.807945) + (xy 117.438721 121.805192) + (xy 117.413813 121.7971) + (xy 117.387625 121.7971) + (xy 117.375861 121.796174) + (xy 117.35 121.792078) + (xy 117.324139 121.796174) + (xy 117.312375 121.7971) + (xy 117.286182 121.7971) + (xy 117.261281 121.805191) + (xy 117.249813 121.807945) + (xy 117.223943 121.812043) + (xy 117.200606 121.823933) + (xy 117.189712 121.828445) + (xy 117.16481 121.836537) + (xy 117.164805 121.836539) + (xy 117.143623 121.851929) + (xy 117.133567 121.858091) + (xy 117.11023 121.869982) + (xy 117.105442 121.873462) + (xy 117.103644 121.870987) + (xy 117.06739 121.887807) + (xy 117.017729 121.874397) + (xy 117.007813 121.86606) + (xy 116.977761 121.836008) + (xy 116.868203 121.782449) + (xy 116.797182 121.7721) + (xy 116.797174 121.7721) + (xy 116.202826 121.7721) + (xy 116.202817 121.7721) + (xy 116.131797 121.782449) + (xy 116.022237 121.836009) + (xy 115.94219 121.916056) + (xy 115.89557 121.937795) + (xy 115.845883 121.924481) + (xy 115.835847 121.91606) + (xy 115.789771 121.869984) + (xy 115.766432 121.858091) + (xy 115.756375 121.851928) + (xy 115.735196 121.836541) + (xy 115.735194 121.83654) + (xy 115.735193 121.836539) + (xy 115.710283 121.828444) + (xy 115.699388 121.823931) + (xy 115.676056 121.812043) + (xy 115.676058 121.812043) + (xy 115.650187 121.807945) + (xy 115.638721 121.805192) + (xy 115.613813 121.7971) + (xy 115.587625 121.7971) + (xy 115.575861 121.796174) + (xy 115.55 121.792078) + (xy 115.524139 121.796174) + (xy 115.512375 121.7971) + (xy 115.486182 121.7971) + (xy 115.461281 121.805191) + (xy 115.449813 121.807944) + (xy 115.433612 121.810511) + (xy 115.383117 121.800699) + (xy 115.350742 121.760725) + (xy 115.348089 121.750908) + (xy 115.345513 121.737956) + (xy 115.338227 121.701323) + (xy 115.282331 121.617669) + (xy 115.198677 121.561773) + (xy 115.198675 121.561772) + (xy 115.124911 121.5471) + (xy 114.875088 121.5471) + (xy 114.875087 121.547101) + (xy 114.801326 121.561772) + (xy 114.801324 121.561772) + (xy 114.801323 121.561773) + (xy 114.718108 121.617376) + (xy 114.717669 121.617669) + (xy 114.661773 121.701323) + (xy 114.661772 121.701324) + (xy 114.6471 121.775088) + (xy 114.6471 122.18229) + (xy 114.646843 122.188501) + (xy 114.643441 122.229545) + (xy 114.644798 122.234901) + (xy 114.6471 122.253364) + (xy 114.6471 122.887086) + (xy 114.638904 122.921226) + (xy 114.612043 122.973943) + (xy 114.592078 123.099999) + (xy 114.592078 123.1) + (xy 114.107922 123.1) + (xy 114.087957 122.973945) + (xy 114.030016 122.860229) + (xy 114.013868 122.844081) + (xy 113.992128 122.797461) + (xy 114.004515 122.74913) + (xy 114.038227 122.698677) + (xy 114.0529 122.624911) + (xy 114.052899 121.77509) + (xy 114.038227 121.701323) + (xy 113.982331 121.617669) + (xy 113.898677 121.561773) + (xy 113.898675 121.561772) + (xy 113.824911 121.5471) + (xy 113.575088 121.5471) + (xy 113.575087 121.547101) + (xy 113.501326 121.561772) + (xy 113.501324 121.561772) + (xy 113.501323 121.561773) + (xy 113.418108 121.617376) + (xy 113.417669 121.617669) + (xy 113.361773 121.701323) + (xy 113.361772 121.701324) + (xy 113.3471 121.775088) + (xy 113.3471 122.624911) + (xy 113.347101 122.624912) + (xy 113.361772 122.698673) + (xy 113.361774 122.698679) + (xy 113.373817 122.716702) + (xy 113.390755 122.742052) + (xy 113.395483 122.749127) + (xy 113.40771 122.799093) + (xy 113.386133 122.844079) + (xy 113.369984 122.860228) + (xy 113.312043 122.973943) + (xy 113.292078 123.099999) + (xy 113.292078 123.1) + (xy 109.457922 123.1) + (xy 109.437957 122.973945) + (xy 109.380016 122.860229) + (xy 109.289771 122.769984) + (xy 109.176055 122.712043) + (xy 109.176057 122.712043) + (xy 109.05 122.692078) + (xy 108.923943 122.712043) + (xy 108.810228 122.769984) + (xy 108.719984 122.860228) + (xy 108.662043 122.973943) + (xy 108.642078 123.099999) + (xy 108.642078 123.1) + (xy 108.662043 123.226057) + (xy 108.662044 123.226061) + (xy 108.713903 123.327837) + (xy 108.7221 123.361977) (xy 108.7221 123.470765) (xy 108.704507 123.519103) (xy 108.659958 123.544823) @@ -19206,7 +20676,7 @@ (xy 107.8779 123.423035) (xy 107.895493 123.374697) (xy 107.899926 123.369861) - (xy 107.938712 123.331075) + (xy 107.930016 123.339771) (xy 107.980016 123.289771) (xy 108.037957 123.176055) (xy 108.057922 123.05) @@ -19246,7 +20716,7 @@ (xy 106.5779 123.423035) (xy 106.595493 123.374697) (xy 106.599926 123.369861) - (xy 106.638712 123.331075) + (xy 106.630016 123.339771) (xy 106.680016 123.289771) (xy 106.737957 123.176055) (xy 106.757922 123.05) @@ -20864,1827 +22334,6 @@ (xy 74.527129 123.493284) (xy 74.525462 123.487059) (xy 74.5229 123.467599) - (xy 74.5229 122.624911) - (xy 113.3471 122.624911) - (xy 113.347101 122.624912) - (xy 113.361772 122.698673) - (xy 113.361773 122.698677) - (xy 113.417669 122.782331) - (xy 113.438678 122.796368) - (xy 113.469095 122.83785) - (xy 113.4721 122.858895) - (xy 113.4721 123.142062) - (xy 113.471997 123.145998) - (xy 113.469876 123.186448) - (xy 113.478688 123.209402) - (xy 113.482039 123.220715) - (xy 113.487151 123.244763) - (xy 113.487152 123.244766) - (xy 113.492169 123.251671) - (xy 113.501536 123.268922) - (xy 113.504596 123.276895) - (xy 113.504597 123.276896) - (xy 113.521985 123.294284) - (xy 113.529644 123.303252) - (xy 113.544097 123.323144) - (xy 113.544098 123.323145) - (xy 113.544099 123.323146) - (xy 113.551492 123.327414) - (xy 113.567067 123.339366) - (xy 113.750074 123.522373) - (xy 113.771814 123.568993) - (xy 113.7721 123.575547) - (xy 113.7721 123.664962) - (xy 113.754507 123.7133) - (xy 113.728681 123.733116) - (xy 113.634026 123.777254) - (xy 113.634018 123.77726) - (xy 113.55226 123.859018) - (xy 113.552254 123.859026) - (xy 113.503388 123.963819) - (xy 113.503386 123.963825) - (xy 113.4971 124.011581) - (xy 113.4971 124.688418) - (xy 113.503386 124.736174) - (xy 113.503388 124.73618) - (xy 113.552254 124.840973) - (xy 113.55226 124.840981) - (xy 113.634018 124.922739) - (xy 113.634026 124.922745) - (xy 113.696404 124.951832) - (xy 113.713628 124.959864) - (xy 113.728681 124.966883) - (xy 113.765054 125.003256) - (xy 113.7721 125.035037) - (xy 113.7721 125.114962) - (xy 113.754507 125.1633) - (xy 113.728681 125.183116) - (xy 113.634026 125.227254) - (xy 113.634018 125.22726) - (xy 113.55226 125.309018) - (xy 113.552254 125.309026) - (xy 113.503388 125.413819) - (xy 113.503386 125.413825) - (xy 113.498763 125.448948) - (xy 113.497335 125.459799) - (xy 113.4971 125.461581) - (xy 113.4971 126.138418) - (xy 113.503386 126.186174) - (xy 113.503388 126.18618) - (xy 113.552254 126.290973) - (xy 113.55226 126.290981) - (xy 113.634018 126.372739) - (xy 113.634026 126.372745) - (xy 113.738819 126.421611) - (xy 113.738821 126.421611) - (xy 113.738824 126.421613) - (xy 113.78658 126.4279) - (xy 113.786582 126.4279) - (xy 114.213418 126.4279) - (xy 114.21342 126.4279) - (xy 114.261176 126.421613) - (xy 114.319163 126.394573) - (xy 114.365973 126.372745) - (xy 114.365973 126.372744) - (xy 114.365977 126.372743) - (xy 114.447743 126.290977) - (xy 114.448658 126.289016) - (xy 114.485973 126.208993) - (xy 114.496613 126.186176) - (xy 114.5029 126.13842) - (xy 114.5029 126.138418) - (xy 115.0971 126.138418) - (xy 115.103386 126.186174) - (xy 115.103388 126.18618) - (xy 115.152254 126.290973) - (xy 115.15226 126.290981) - (xy 115.234018 126.372739) - (xy 115.234026 126.372745) - (xy 115.338819 126.421611) - (xy 115.338821 126.421611) - (xy 115.338824 126.421613) - (xy 115.38658 126.4279) - (xy 115.386582 126.4279) - (xy 115.813418 126.4279) - (xy 115.81342 126.4279) - (xy 115.861176 126.421613) - (xy 115.919163 126.394573) - (xy 115.965973 126.372745) - (xy 115.965973 126.372744) - (xy 115.965977 126.372743) - (xy 116.047743 126.290977) - (xy 116.087477 126.205766) - (xy 116.12385 126.169394) - (xy 116.175094 126.16491) - (xy 116.189772 126.170545) - (xy 116.223942 126.187956) - (xy 116.223943 126.187956) - (xy 116.223945 126.187957) - (xy 116.35 126.207922) - (xy 116.476055 126.187957) - (xy 116.589771 126.130016) - (xy 116.680016 126.039771) - (xy 116.737957 125.926055) - (xy 116.757922 125.8) - (xy 116.737957 125.673945) - (xy 116.680016 125.560229) - (xy 116.589771 125.469984) - (xy 116.476055 125.412043) - (xy 116.476057 125.412043) - (xy 116.35 125.392078) - (xy 116.223943 125.412043) - (xy 116.189771 125.429455) - (xy 116.138714 125.435724) - (xy 116.095573 125.407707) - (xy 116.087477 125.394232) - (xy 116.047745 125.309026) - (xy 116.047739 125.309018) - (xy 115.965981 125.22726) - (xy 115.965973 125.227254) - (xy 115.871319 125.183116) - (xy 115.834945 125.146743) - (xy 115.8279 125.114962) - (xy 115.8279 125.035037) - (xy 115.845493 124.986699) - (xy 115.871319 124.966883) - (xy 115.886372 124.959864) - (xy 115.965977 124.922743) - (xy 116.047743 124.840977) - (xy 116.096613 124.736176) - (xy 116.1029 124.68842) - (xy 116.1029 124.01158) - (xy 116.096613 123.963824) - (xy 116.096611 123.963819) - (xy 116.047745 123.859026) - (xy 116.047739 123.859018) - (xy 115.965981 123.77726) - (xy 115.965973 123.777254) - (xy 115.86118 123.728388) - (xy 115.861174 123.728386) - (xy 115.825992 123.723755) - (xy 115.81342 123.7221) - (xy 115.38658 123.7221) - (xy 115.37547 123.723562) - (xy 115.338825 123.728386) - (xy 115.338819 123.728388) - (xy 115.234026 123.777254) - (xy 115.234018 123.77726) - (xy 115.15226 123.859018) - (xy 115.152254 123.859026) - (xy 115.103388 123.963819) - (xy 115.103386 123.963825) - (xy 115.0971 124.011581) - (xy 115.0971 124.688418) - (xy 115.103386 124.736174) - (xy 115.103388 124.73618) - (xy 115.152254 124.840973) - (xy 115.15226 124.840981) - (xy 115.234018 124.922739) - (xy 115.234026 124.922745) - (xy 115.296404 124.951832) - (xy 115.313628 124.959864) - (xy 115.328681 124.966883) - (xy 115.365054 125.003256) - (xy 115.3721 125.035037) - (xy 115.3721 125.114962) - (xy 115.354507 125.1633) - (xy 115.328681 125.183116) - (xy 115.234026 125.227254) - (xy 115.234018 125.22726) - (xy 115.15226 125.309018) - (xy 115.152254 125.309026) - (xy 115.103388 125.413819) - (xy 115.103386 125.413825) - (xy 115.098763 125.448948) - (xy 115.097335 125.459799) - (xy 115.0971 125.461581) - (xy 115.0971 126.138418) - (xy 114.5029 126.138418) - (xy 114.5029 125.46158) - (xy 114.496613 125.413824) - (xy 114.496611 125.413819) - (xy 114.447745 125.309026) - (xy 114.447739 125.309018) - (xy 114.365981 125.22726) - (xy 114.365973 125.227254) - (xy 114.271319 125.183116) - (xy 114.234945 125.146743) - (xy 114.2279 125.114962) - (xy 114.2279 125.035037) - (xy 114.245493 124.986699) - (xy 114.271319 124.966883) - (xy 114.286372 124.959864) - (xy 114.365977 124.922743) - (xy 114.447743 124.840977) - (xy 114.496613 124.736176) - (xy 114.5029 124.68842) - (xy 114.5029 124.01158) - (xy 114.496613 123.963824) - (xy 114.496611 123.963819) - (xy 114.447745 123.859026) - (xy 114.447739 123.859018) - (xy 114.365981 123.77726) - (xy 114.365973 123.777254) - (xy 114.271319 123.733116) - (xy 114.234945 123.696743) - (xy 114.2279 123.664962) - (xy 114.2279 123.457936) - (xy 114.228003 123.453999) - (xy 114.230123 123.413555) - (xy 114.230122 123.413554) - (xy 114.230123 123.413552) - (xy 114.221306 123.390586) - (xy 114.21796 123.379288) - (xy 114.212848 123.355234) - (xy 114.20783 123.348327) - (xy 114.198463 123.331075) - (xy 114.195403 123.323104) - (xy 114.195402 123.323102) - (xy 114.178018 123.305718) - (xy 114.170355 123.296747) - (xy 114.155901 123.276854) - (xy 114.154517 123.276055) - (xy 114.148506 123.272584) - (xy 114.132934 123.260635) - (xy 113.9723 123.1) - (xy 114.392078 123.1) - (xy 114.412043 123.226056) - (xy 114.444508 123.289771) - (xy 114.469984 123.339771) - (xy 114.560229 123.430016) - (xy 114.673943 123.487956) - (xy 114.673945 123.487957) - (xy 114.8 123.507922) - (xy 114.926055 123.487957) - (xy 115.039771 123.430016) - (xy 115.130016 123.339771) - (xy 115.187957 123.226055) - (xy 115.187957 123.226048) - (xy 115.189566 123.221101) - (xy 115.207911 123.191163) - (xy 115.218143 123.180931) - (xy 115.230181 123.171155) - (xy 115.242318 123.163227) - (xy 115.262171 123.137718) - (xy 115.268332 123.130743) - (xy 115.270216 123.12886) - (xy 115.282082 123.112238) - (xy 115.283927 123.109766) - (xy 115.291529 123.1) - (xy 115.314658 123.070284) - (xy 115.314658 123.070283) - (xy 115.31466 123.070281) - (xy 115.317626 123.064801) - (xy 115.317957 123.06498) - (xy 115.319028 123.062898) - (xy 115.318689 123.062733) - (xy 115.321424 123.057138) - (xy 115.321424 123.057137) - (xy 115.321426 123.057135) - (xy 115.335712 123.009148) - (xy 115.336659 123.006195) - (xy 115.344451 122.983501) - (xy 115.3529 122.958889) - (xy 115.3529 122.958885) - (xy 115.353925 122.952744) - (xy 115.354294 122.952805) - (xy 115.354632 122.950489) - (xy 115.354262 122.950443) - (xy 115.355032 122.944258) - (xy 115.355033 122.944255) - (xy 115.352964 122.894229) - (xy 115.3529 122.891122) - (xy 115.3529 122.748044) - (xy 115.370493 122.699706) - (xy 115.415042 122.673986) - (xy 115.46224 122.68104) - (xy 115.471896 122.68596) - (xy 115.483566 122.691907) - (xy 115.493619 122.698067) - (xy 115.514807 122.713461) - (xy 115.534883 122.719984) - (xy 115.539709 122.721552) - (xy 115.550613 122.726069) - (xy 115.573942 122.737956) - (xy 115.573943 122.737956) - (xy 115.573945 122.737957) - (xy 115.599812 122.742053) - (xy 115.611271 122.744804) - (xy 115.636187 122.7529) - (xy 115.668292 122.7529) - (xy 115.879998 122.7529) - (xy 115.928336 122.770493) - (xy 115.933172 122.774926) - (xy 116.022237 122.863991) - (xy 116.022238 122.863991) - (xy 116.022239 122.863992) - (xy 116.054926 122.879971) - (xy 116.090625 122.917004) - (xy 116.0971 122.94753) - (xy 116.0971 123.112374) - (xy 116.096174 123.124138) - (xy 116.092078 123.149999) - (xy 116.092078 123.15) - (xy 116.112043 123.276056) - (xy 116.165014 123.380016) - (xy 116.169984 123.389771) - (xy 116.260229 123.480016) - (xy 116.373943 123.537956) - (xy 116.373945 123.537957) - (xy 116.5 123.557922) - (xy 116.626055 123.537957) - (xy 116.739771 123.480016) - (xy 116.830016 123.389771) - (xy 116.887957 123.276055) - (xy 116.907922 123.15) - (xy 116.903826 123.124138) - (xy 116.9029 123.112374) - (xy 116.9029 122.94753) - (xy 116.920493 122.899192) - (xy 116.945072 122.879971) - (xy 116.977761 122.863992) - (xy 117.015019 122.826734) - (xy 117.066828 122.774926) - (xy 117.113448 122.753186) - (xy 117.120002 122.7529) - (xy 117.263812 122.7529) - (xy 117.263813 122.7529) - (xy 117.288722 122.744805) - (xy 117.300173 122.742055) - (xy 117.326055 122.737957) - (xy 117.349397 122.726063) - (xy 117.360284 122.721554) - (xy 117.385193 122.713461) - (xy 117.406378 122.698068) - (xy 117.41643 122.691907) - (xy 117.439771 122.680016) - (xy 117.494876 122.624911) - (xy 117.9971 122.624911) - (xy 117.997101 122.624912) - (xy 118.011772 122.698673) - (xy 118.011773 122.698677) - (xy 118.067669 122.782331) - (xy 118.088678 122.796368) - (xy 118.119095 122.83785) - (xy 118.1221 122.858895) - (xy 118.1221 123.142062) - (xy 118.121997 123.145998) - (xy 118.119876 123.186448) - (xy 118.128688 123.209402) - (xy 118.132039 123.220715) - (xy 118.137151 123.244763) - (xy 118.137152 123.244766) - (xy 118.142169 123.251671) - (xy 118.151536 123.268922) - (xy 118.154596 123.276895) - (xy 118.154597 123.276896) - (xy 118.171985 123.294284) - (xy 118.179644 123.303252) - (xy 118.194097 123.323144) - (xy 118.194098 123.323145) - (xy 118.194099 123.323146) - (xy 118.201492 123.327414) - (xy 118.217067 123.339366) - (xy 118.400074 123.522373) - (xy 118.421814 123.568993) - (xy 118.4221 123.575547) - (xy 118.4221 123.664962) - (xy 118.404507 123.7133) - (xy 118.378681 123.733116) - (xy 118.284026 123.777254) - (xy 118.284018 123.77726) - (xy 118.20226 123.859018) - (xy 118.202254 123.859026) - (xy 118.153388 123.963819) - (xy 118.153386 123.963825) - (xy 118.1471 124.011581) - (xy 118.1471 124.688418) - (xy 118.153386 124.736174) - (xy 118.153388 124.73618) - (xy 118.202254 124.840973) - (xy 118.20226 124.840981) - (xy 118.284018 124.922739) - (xy 118.284026 124.922745) - (xy 118.388819 124.971611) - (xy 118.388821 124.971611) - (xy 118.388824 124.971613) - (xy 118.43658 124.9779) - (xy 118.436582 124.9779) - (xy 118.863418 124.9779) - (xy 118.86342 124.9779) - (xy 118.911176 124.971613) - (xy 119.015977 124.922743) - (xy 119.097743 124.840977) - (xy 119.146613 124.736176) - (xy 119.1529 124.68842) - (xy 119.1529 124.688418) - (xy 119.7471 124.688418) - (xy 119.753386 124.736174) - (xy 119.753388 124.73618) - (xy 119.802254 124.840973) - (xy 119.80226 124.840981) - (xy 119.884018 124.922739) - (xy 119.884026 124.922745) - (xy 119.988819 124.971611) - (xy 119.988821 124.971611) - (xy 119.988824 124.971613) - (xy 120.03658 124.9779) - (xy 120.036582 124.9779) - (xy 120.463418 124.9779) - (xy 120.46342 124.9779) - (xy 120.511176 124.971613) - (xy 120.615977 124.922743) - (xy 120.68872 124.85) - (xy 128.592329 124.85) - (xy 128.595049 124.870665) - (xy 128.595648 124.883045) - (xy 128.594827 124.907069) - (xy 128.594828 124.907076) - (xy 128.60477 124.947876) - (xy 128.606264 124.955861) - (xy 128.61133 124.994333) - (xy 128.611331 124.994337) - (xy 128.620683 125.016915) - (xy 128.624269 125.027887) - (xy 128.630813 125.054742) - (xy 128.630814 125.054745) - (xy 128.649639 125.088223) - (xy 128.653566 125.096301) - (xy 128.667043 125.128837) - (xy 128.667044 125.128839) - (xy 128.684106 125.151074) - (xy 128.689994 125.159995) - (xy 128.705302 125.187219) - (xy 128.705309 125.187228) - (xy 128.729924 125.211843) - (xy 128.736409 125.219237) - (xy 128.755666 125.244332) - (xy 128.780763 125.263591) - (xy 128.788157 125.270076) - (xy 128.951449 125.433368) - (xy 128.953234 125.435214) - (xy 128.992551 125.477313) - (xy 128.997791 125.482923) - (xy 128.998274 125.483217) - (xy 129.035213 125.505679) - (xy 129.041576 125.51001) - (xy 129.076471 125.536472) - (xy 129.076474 125.536473) - (xy 129.09716 125.544631) - (xy 129.108646 125.550336) - (xy 129.121082 125.557898) - (xy 129.127653 125.561894) - (xy 129.169832 125.573711) - (xy 129.1771 125.576155) - (xy 129.217862 125.59223) - (xy 129.239989 125.594503) - (xy 129.252581 125.596896) - (xy 129.274006 125.6029) - (xy 129.317796 125.6029) - (xy 129.325485 125.603293) - (xy 129.369054 125.607773) - (xy 129.369054 125.607772) - (xy 129.369056 125.607773) - (xy 129.390971 125.603994) - (xy 129.40375 125.6029) - (xy 129.584287 125.6029) - (xy 129.632625 125.620493) - (xy 129.637461 125.624926) - (xy 129.665291 125.652756) - (xy 129.691004 125.665857) - (xy 129.756039 125.698993) - (xy 129.791122 125.736613) - (xy 129.7971 125.765997) - (xy 129.7971 126.058829) - (xy 129.796456 126.068644) - (xy 129.792862 126.095956) - (xy 129.792329 126.100001) - (xy 129.796568 126.132207) - (xy 129.797034 126.136864) - (xy 129.797098 126.137807) - (xy 129.801994 126.173435) - (xy 129.80205 126.173854) - (xy 129.811505 126.245661) - (xy 129.812236 126.249283) - (xy 129.812597 126.250573) - (xy 129.840753 126.315396) - (xy 129.841253 126.316576) - (xy 129.867041 126.378833) - (xy 129.869506 126.383102) - (xy 129.869073 126.383351) - (xy 129.870413 126.385628) - (xy 129.87048 126.385588) - (xy 129.873149 126.389978) - (xy 129.915481 126.442011) - (xy 129.916807 126.44369) - (xy 129.955669 126.494336) - (xy 129.95915 126.497817) - (xy 129.959132 126.497834) - (xy 129.967564 126.50603) - (xy 129.969067 126.507877) - (xy 129.969069 126.50788) - (xy 130.020918 126.544477) - (xy 130.023332 126.546254) - (xy 130.03053 126.551777) - (xy 130.071164 126.582957) - (xy 130.073747 126.584026) - (xy 130.088339 126.592068) - (xy 130.093237 126.595526) - (xy 130.093238 126.595526) - (xy 130.093239 126.595527) - (xy 130.149686 126.615588) - (xy 130.153251 126.616958) - (xy 130.205664 126.638669) - (xy 130.212022 126.639505) - (xy 130.227389 126.643204) - (xy 130.236452 126.646425) - (xy 130.292641 126.650268) - (xy 130.297324 126.650736) - (xy 130.304163 126.651636) - (xy 130.35 126.657671) - (xy 130.359944 126.656361) - (xy 130.374889 126.655894) - (xy 130.388082 126.656797) - (xy 130.388085 126.656797) - (xy 130.388085 126.656796) - (xy 130.388086 126.656797) - (xy 130.439752 126.64606) - (xy 130.445189 126.645138) - (xy 130.494336 126.638669) - (xy 130.50693 126.633451) - (xy 130.520409 126.629299) - (xy 130.536896 126.625874) - (xy 130.536897 126.625873) - (xy 130.536899 126.625873) - (xy 130.58055 126.603254) - (xy 130.586363 126.600549) - (xy 130.628836 126.582957) - (xy 130.642513 126.57246) - (xy 130.653695 126.565352) - (xy 130.671844 126.555949) - (xy 130.705167 126.524826) - (xy 130.710701 126.520139) - (xy 130.718787 126.513935) - (xy 130.73977 126.497834) - (xy 130.744328 126.494337) - (xy 130.744328 126.494336) - (xy 130.744333 126.494333) - (xy 130.757022 126.477795) - (xy 130.765349 126.46862) - (xy 130.782923 126.452209) - (xy 130.804748 126.416317) - (xy 130.809326 126.40963) - (xy 130.832957 126.378836) - (xy 130.842312 126.356249) - (xy 130.847523 126.345977) - (xy 130.861894 126.322347) - (xy 130.872258 126.285352) - (xy 130.875195 126.276862) - (xy 130.875896 126.275171) - (xy 130.888669 126.244336) - (xy 130.892328 126.216536) - (xy 130.894471 126.206075) - (xy 130.9029 126.175994) - (xy 130.9029 126.14117) - (xy 130.903543 126.131355) - (xy 130.903719 126.130015) - (xy 130.907671 126.1) - (xy 130.903543 126.068644) - (xy 130.9029 126.058829) - (xy 130.9029 125.765997) - (xy 130.920493 125.717659) - (xy 130.943959 125.698993) - (xy 131.03471 125.652755) - (xy 131.062539 125.624926) - (xy 131.109159 125.603186) - (xy 131.115713 125.6029) - (xy 131.339285 125.6029) - (xy 131.341851 125.602943) - (xy 131.352098 125.603294) - (xy 131.407071 125.605172) - (xy 131.407072 125.605172) - (xy 131.407072 125.605171) - (xy 131.407073 125.605172) - (xy 131.407623 125.605038) - (xy 131.425428 125.6029) - (xy 131.674006 125.6029) - (xy 131.717796 125.6029) - (xy 131.725485 125.603293) - (xy 131.769054 125.607773) - (xy 131.769054 125.607772) - (xy 131.769056 125.607773) - (xy 131.790971 125.603994) - (xy 131.80375 125.6029) - (xy 131.984287 125.6029) - (xy 132.032625 125.620493) - (xy 132.037461 125.624926) - (xy 132.065291 125.652756) - (xy 132.091004 125.665857) - (xy 132.156039 125.698993) - (xy 132.191122 125.736613) - (xy 132.1971 125.765997) - (xy 132.1971 126.058829) - (xy 132.196456 126.068644) - (xy 132.192862 126.095956) - (xy 132.192329 126.100001) - (xy 132.196568 126.132207) - (xy 132.197034 126.136864) - (xy 132.197098 126.137807) - (xy 132.201994 126.173435) - (xy 132.20205 126.173854) - (xy 132.211505 126.245661) - (xy 132.212236 126.249283) - (xy 132.212597 126.250573) - (xy 132.240753 126.315396) - (xy 132.241253 126.316576) - (xy 132.267041 126.378833) - (xy 132.269506 126.383102) - (xy 132.269073 126.383351) - (xy 132.270413 126.385628) - (xy 132.27048 126.385588) - (xy 132.273149 126.389978) - (xy 132.315481 126.442011) - (xy 132.316807 126.44369) - (xy 132.355669 126.494336) - (xy 132.35915 126.497817) - (xy 132.359132 126.497834) - (xy 132.367564 126.50603) - (xy 132.369067 126.507877) - (xy 132.369069 126.50788) - (xy 132.420918 126.544477) - (xy 132.423332 126.546254) - (xy 132.43053 126.551777) - (xy 132.471164 126.582957) - (xy 132.473747 126.584026) - (xy 132.488339 126.592068) - (xy 132.493237 126.595526) - (xy 132.493238 126.595526) - (xy 132.493239 126.595527) - (xy 132.549686 126.615588) - (xy 132.553251 126.616958) - (xy 132.605664 126.638669) - (xy 132.612022 126.639505) - (xy 132.627389 126.643204) - (xy 132.636452 126.646425) - (xy 132.692641 126.650268) - (xy 132.697324 126.650736) - (xy 132.704163 126.651636) - (xy 132.75 126.657671) - (xy 132.759944 126.656361) - (xy 132.774889 126.655894) - (xy 132.788082 126.656797) - (xy 132.788085 126.656797) - (xy 132.788085 126.656796) - (xy 132.788086 126.656797) - (xy 132.839752 126.64606) - (xy 132.845189 126.645138) - (xy 132.894336 126.638669) - (xy 132.90693 126.633451) - (xy 132.920409 126.629299) - (xy 132.936896 126.625874) - (xy 132.936897 126.625873) - (xy 132.936899 126.625873) - (xy 132.98055 126.603254) - (xy 132.986363 126.600549) - (xy 133.028836 126.582957) - (xy 133.042513 126.57246) - (xy 133.053695 126.565352) - (xy 133.071844 126.555949) - (xy 133.105167 126.524826) - (xy 133.110701 126.520139) - (xy 133.118787 126.513935) - (xy 133.13977 126.497834) - (xy 133.144328 126.494337) - (xy 133.144328 126.494336) - (xy 133.144333 126.494333) - (xy 133.157022 126.477795) - (xy 133.165349 126.46862) - (xy 133.182923 126.452209) - (xy 133.204748 126.416317) - (xy 133.209326 126.40963) - (xy 133.232957 126.378836) - (xy 133.242312 126.356249) - (xy 133.247523 126.345977) - (xy 133.261894 126.322347) - (xy 133.272258 126.285352) - (xy 133.275195 126.276862) - (xy 133.275896 126.275171) - (xy 133.288669 126.244336) - (xy 133.292328 126.216536) - (xy 133.294471 126.206075) - (xy 133.3029 126.175994) - (xy 133.3029 126.14117) - (xy 133.303543 126.131355) - (xy 133.303719 126.130015) - (xy 133.307671 126.1) - (xy 133.303543 126.068644) - (xy 133.3029 126.058829) - (xy 133.3029 125.765997) - (xy 133.320493 125.717659) - (xy 133.343959 125.698993) - (xy 133.43471 125.652755) - (xy 133.462539 125.624926) - (xy 133.509159 125.603186) - (xy 133.515713 125.6029) - (xy 133.839285 125.6029) - (xy 133.841851 125.602943) - (xy 133.848036 125.603155) - (xy 133.912215 125.605348) - (xy 133.912119 125.608151) - (xy 133.952104 125.618137) - (xy 133.955451 125.620532) - (xy 133.97647 125.636472) - (xy 133.976471 125.636472) - (xy 133.976472 125.636473) - (xy 133.997162 125.644632) - (xy 134.008643 125.650334) - (xy 134.027653 125.661894) - (xy 134.069831 125.673711) - (xy 134.077105 125.676157) - (xy 134.117862 125.69223) - (xy 134.139994 125.694505) - (xy 134.15259 125.696899) - (xy 134.174006 125.7029) - (xy 134.217795 125.7029) - (xy 134.225484 125.703293) - (xy 134.269053 125.707773) - (xy 134.269053 125.707772) - (xy 134.269055 125.707773) - (xy 134.29097 125.703994) - (xy 134.303749 125.7029) - (xy 134.5719 125.7029) - (xy 134.620238 125.720493) - (xy 134.645958 125.765042) - (xy 134.6471 125.7781) - (xy 134.6471 126.008829) - (xy 134.646457 126.018644) - (xy 134.642329 126.050001) - (xy 134.646568 126.082207) - (xy 134.647034 126.086864) - (xy 134.647098 126.087807) - (xy 134.651994 126.123435) - (xy 134.65205 126.123854) - (xy 134.661505 126.195661) - (xy 134.662236 126.199283) - (xy 134.662597 126.200573) - (xy 134.690753 126.265396) - (xy 134.691253 126.266576) - (xy 134.717041 126.328833) - (xy 134.719506 126.333102) - (xy 134.719073 126.333351) - (xy 134.720413 126.335628) - (xy 134.72048 126.335588) - (xy 134.723149 126.339978) - (xy 134.739122 126.359611) - (xy 134.762655 126.388538) - (xy 134.765481 126.392011) - (xy 134.766807 126.39369) - (xy 134.805669 126.444336) - (xy 134.80915 126.447817) - (xy 134.809132 126.447834) - (xy 134.817564 126.45603) - (xy 134.819067 126.457877) - (xy 134.819069 126.45788) - (xy 134.870918 126.494477) - (xy 134.873332 126.496254) - (xy 134.888481 126.507878) - (xy 134.921164 126.532957) - (xy 134.923747 126.534026) - (xy 134.938339 126.542068) - (xy 134.943237 126.545526) - (xy 134.943238 126.545526) - (xy 134.943239 126.545527) - (xy 134.999686 126.565588) - (xy 135.003251 126.566958) - (xy 135.055664 126.588669) - (xy 135.062022 126.589505) - (xy 135.077389 126.593204) - (xy 135.086452 126.596425) - (xy 135.142641 126.600268) - (xy 135.147324 126.600736) - (xy 135.154163 126.601636) - (xy 135.2 126.607671) - (xy 135.209944 126.606361) - (xy 135.224889 126.605894) - (xy 135.238082 126.606797) - (xy 135.238085 126.606797) - (xy 135.238085 126.606796) - (xy 135.238086 126.606797) - (xy 135.289752 126.59606) - (xy 135.295189 126.595138) - (xy 135.344336 126.588669) - (xy 135.35693 126.583451) - (xy 135.370409 126.579299) - (xy 135.386896 126.575874) - (xy 135.386897 126.575873) - (xy 135.386899 126.575873) - (xy 135.43055 126.553254) - (xy 135.436363 126.550549) - (xy 135.478836 126.532957) - (xy 135.492513 126.52246) - (xy 135.503695 126.515352) - (xy 135.521844 126.505949) - (xy 135.555167 126.474826) - (xy 135.560701 126.470139) - (xy 135.594328 126.444337) - (xy 135.594328 126.444336) - (xy 135.594333 126.444333) - (xy 135.607022 126.427795) - (xy 135.615349 126.41862) - (xy 135.632923 126.402209) - (xy 135.654748 126.366317) - (xy 135.659326 126.35963) - (xy 135.682957 126.328836) - (xy 135.692312 126.306249) - (xy 135.697523 126.295977) - (xy 135.711894 126.272347) - (xy 135.722258 126.235352) - (xy 135.725195 126.226862) - (xy 135.72553 126.226055) - (xy 135.738669 126.194336) - (xy 135.742328 126.166536) - (xy 135.744471 126.156075) - (xy 135.7529 126.125994) - (xy 135.7529 126.09117) - (xy 135.753543 126.081355) - (xy 135.754381 126.074986) - (xy 135.757671 126.05) - (xy 135.753543 126.018644) - (xy 135.7529 126.008829) - (xy 135.7529 125.751673) - (xy 135.770493 125.703335) - (xy 135.795073 125.684114) - (xy 135.882898 125.641179) - (xy 135.966177 125.5579) - (xy 135.966179 125.557898) - (xy 136.017906 125.452088) - (xy 136.026649 125.392078) - (xy 136.027899 125.383502) - (xy 136.0279 125.383492) - (xy 136.0279 124.916507) - (xy 136.027899 124.916497) - (xy 136.021454 124.872266) - (xy 136.017906 124.847912) - (xy 135.966179 124.742102) - (xy 135.966177 124.7421) - (xy 135.966177 124.742099) - (xy 135.8829 124.658822) - (xy 135.867301 124.651196) - (xy 135.777088 124.607094) - (xy 135.708502 124.5971) - (xy 135.708494 124.5971) - (xy 135.275994 124.5971) - (xy 135.22146 124.5971) - (xy 135.216328 124.596925) - (xy 135.161914 124.593203) - (xy 135.150729 124.595527) - (xy 135.13543 124.5971) - (xy 134.510167 124.5971) - (xy 134.461829 124.579507) - (xy 134.456993 124.575074) - (xy 134.420071 124.538152) - (xy 134.413584 124.530756) - (xy 134.394333 124.505667) - (xy 134.386372 124.499558) - (xy 134.375482 124.489329) - (xy 134.366784 124.479354) - (xy 134.322674 124.450422) - (xy 134.31814 124.447201) - (xy 134.277794 124.416243) - (xy 134.274691 124.414182) - (xy 134.273526 124.413526) - (xy 134.2629 124.409336) - (xy 134.249247 124.402261) - (xy 134.239699 124.395999) - (xy 134.239692 124.395995) - (xy 134.192838 124.381124) - (xy 134.186811 124.378924) - (xy 134.144338 124.361331) - (xy 134.139582 124.360057) - (xy 134.13971 124.359578) - (xy 134.13715 124.358914) - (xy 134.137133 124.358987) - (xy 134.13214 124.35777) - (xy 134.120768 124.356601) - (xy 134.105713 124.353472) - (xy 134.094827 124.350017) - (xy 134.083454 124.349628) - (xy 134.049272 124.34846) - (xy 134.042027 124.347861) - (xy 134.000001 124.342329) - (xy 133.995076 124.342329) - (xy 133.995076 124.342304) - (xy 133.983323 124.342471) - (xy 133.980944 124.342226) - (xy 133.969682 124.344168) - (xy 133.954343 124.345217) - (xy 133.942931 124.344827) - (xy 133.942921 124.344828) - (xy 133.902122 124.35477) - (xy 133.894138 124.356264) - (xy 133.855668 124.36133) - (xy 133.85566 124.361332) - (xy 133.853073 124.362404) - (xy 133.837086 124.367031) - (xy 133.831166 124.368052) - (xy 133.820851 124.372957) - (xy 133.806367 124.378105) - (xy 133.795261 124.380812) - (xy 133.795259 124.380812) - (xy 133.795259 124.380813) - (xy 133.763098 124.398896) - (xy 133.761771 124.399642) - (xy 133.753697 124.403567) - (xy 133.721159 124.417045) - (xy 133.716065 124.420954) - (xy 133.7026 124.429195) - (xy 133.693909 124.433329) - (xy 133.693908 124.433329) - (xy 133.685292 124.440841) - (xy 133.672742 124.4497) - (xy 133.662774 124.455306) - (xy 133.643007 124.475074) - (xy 133.596387 124.496814) - (xy 133.589833 124.4971) - (xy 133.515713 124.4971) - (xy 133.467375 124.479507) - (xy 133.462539 124.475074) - (xy 133.43471 124.447245) - (xy 133.317466 124.387507) - (xy 133.317468 124.387507) - (xy 133.220194 124.3721) - (xy 133.220192 124.3721) - (xy 132.279808 124.3721) - (xy 132.279805 124.3721) - (xy 132.182532 124.387507) - (xy 132.06529 124.447244) - (xy 132.053773 124.458762) - (xy 132.007152 124.4805) - (xy 131.957465 124.467185) - (xy 131.947426 124.45876) - (xy 131.944336 124.45567) - (xy 131.944334 124.455668) - (xy 131.944333 124.455667) - (xy 131.936372 124.449558) - (xy 131.925477 124.439324) - (xy 131.916784 124.429354) - (xy 131.880116 124.405303) - (xy 131.872669 124.400418) - (xy 131.868137 124.397199) - (xy 131.833933 124.370954) - (xy 131.828836 124.367043) - (xy 131.828834 124.367042) - (xy 131.827785 124.366237) - (xy 131.824691 124.364182) - (xy 131.823531 124.36353) - (xy 131.823529 124.363528) - (xy 131.812902 124.359337) - (xy 131.79925 124.352262) - (xy 131.789699 124.345998) - (xy 131.78969 124.345993) - (xy 131.742831 124.331121) - (xy 131.736807 124.328923) - (xy 131.694336 124.311331) - (xy 131.694334 124.31133) - (xy 131.689582 124.310057) - (xy 131.68971 124.309578) - (xy 131.68715 124.308914) - (xy 131.687133 124.308987) - (xy 131.68214 124.30777) - (xy 131.670768 124.306601) - (xy 131.655713 124.303472) - (xy 131.644827 124.300017) - (xy 131.633454 124.299628) - (xy 131.599272 124.29846) - (xy 131.592027 124.297861) - (xy 131.550001 124.292329) - (xy 131.545076 124.292329) - (xy 131.545076 124.292304) - (xy 131.533323 124.292471) - (xy 131.530944 124.292226) - (xy 131.519682 124.294168) - (xy 131.504343 124.295217) - (xy 131.492931 124.294827) - (xy 131.492922 124.294828) - (xy 131.452123 124.30477) - (xy 131.444139 124.306264) - (xy 131.405667 124.31133) - (xy 131.405657 124.311333) - (xy 131.403069 124.312405) - (xy 131.387087 124.31703) - (xy 131.381171 124.31805) - (xy 131.381165 124.318052) - (xy 131.370844 124.32296) - (xy 131.356365 124.328106) - (xy 131.34526 124.330813) - (xy 131.345256 124.330814) - (xy 131.311771 124.349642) - (xy 131.303695 124.353568) - (xy 131.27116 124.367045) - (xy 131.271158 124.367046) - (xy 131.266065 124.370954) - (xy 131.2526 124.379195) - (xy 131.24391 124.383328) - (xy 131.243908 124.383329) - (xy 131.235296 124.390839) - (xy 131.222734 124.399706) - (xy 131.21278 124.405303) - (xy 131.21277 124.40531) - (xy 131.188156 124.429924) - (xy 131.180764 124.436408) - (xy 131.155662 124.45567) - (xy 131.152573 124.45876) - (xy 131.105953 124.4805) - (xy 131.056266 124.467186) - (xy 131.046225 124.45876) - (xy 131.03471 124.447245) - (xy 130.917466 124.387507) - (xy 130.917468 124.387507) - (xy 130.820194 124.3721) - (xy 130.820192 124.3721) - (xy 129.879808 124.3721) - (xy 129.879805 124.3721) - (xy 129.782532 124.387507) - (xy 129.665289 124.447245) - (xy 129.653775 124.45876) - (xy 129.607155 124.4805) - (xy 129.557468 124.467186) - (xy 129.547427 124.45876) - (xy 129.544336 124.455669) - (xy 129.518571 124.435899) - (xy 129.514931 124.43292) - (xy 129.514227 124.432306) - (xy 129.485467 124.410496) - (xy 129.485128 124.410237) - (xy 129.427787 124.366238) - (xy 129.424691 124.364182) - (xy 129.423524 124.363525) - (xy 129.357833 124.337619) - (xy 129.356645 124.337139) - (xy 129.294339 124.311331) - (xy 129.289582 124.310057) - (xy 129.28971 124.309578) - (xy 129.287148 124.308914) - (xy 129.287131 124.308987) - (xy 129.282137 124.307769) - (xy 129.215426 124.300911) - (xy 129.213302 124.300662) - (xy 129.15 124.292329) - (xy 129.145076 124.292329) - (xy 129.145076 124.292304) - (xy 129.133324 124.292471) - (xy 129.130948 124.292227) - (xy 129.130947 124.292227) - (xy 129.130946 124.292227) - (xy 129.112073 124.295481) - (xy 129.06839 124.303012) - (xy 129.065431 124.303461) - (xy 129.005669 124.31133) - (xy 129.00566 124.311332) - (xy 129.003073 124.312404) - (xy 128.987086 124.317031) - (xy 128.981165 124.318052) - (xy 128.927073 124.343777) - (xy 128.923555 124.345341) - (xy 128.871168 124.36704) - (xy 128.871159 124.367046) - (xy 128.866065 124.370954) - (xy 128.8526 124.379195) - (xy 128.84391 124.383328) - (xy 128.843908 124.383329) - (xy 128.801455 124.420346) - (xy 128.797814 124.423326) - (xy 128.776969 124.439321) - (xy 128.755667 124.455667) - (xy 128.749559 124.463625) - (xy 128.739327 124.474519) - (xy 128.729354 124.483214) - (xy 128.700425 124.527321) - (xy 128.697206 124.531854) - (xy 128.667042 124.571166) - (xy 128.661826 124.583758) - (xy 128.655235 124.596216) - (xy 128.645995 124.610303) - (xy 128.645994 124.610305) - (xy 128.631121 124.657169) - (xy 128.628921 124.663197) - (xy 128.61133 124.705665) - (xy 128.60908 124.722756) - (xy 128.606201 124.735686) - (xy 128.600018 124.755165) - (xy 128.600017 124.755175) - (xy 128.59846 124.800726) - (xy 128.597861 124.80797) - (xy 128.592329 124.849999) - (xy 128.592329 124.85) - (xy 120.68872 124.85) - (xy 120.697743 124.840977) - (xy 120.737477 124.755766) - (xy 120.77385 124.719394) - (xy 120.825094 124.71491) - (xy 120.839772 124.720545) - (xy 120.873942 124.737956) - (xy 120.873943 124.737956) - (xy 120.873945 124.737957) - (xy 121 124.757922) - (xy 121.126055 124.737957) - (xy 121.239771 124.680016) - (xy 121.330016 124.589771) - (xy 121.387957 124.476055) - (xy 121.407922 124.35) - (xy 121.407658 124.348336) - (xy 121.402475 124.315608) - (xy 121.387957 124.223945) - (xy 121.330016 124.110229) - (xy 121.239771 124.019984) - (xy 121.126055 123.962043) - (xy 121.126057 123.962043) - (xy 121 123.942078) - (xy 120.873943 123.962043) - (xy 120.839771 123.979455) - (xy 120.788714 123.985724) - (xy 120.745573 123.957707) - (xy 120.737477 123.944232) - (xy 120.697745 123.859026) - (xy 120.697739 123.859018) - (xy 120.615981 123.77726) - (xy 120.615973 123.777254) - (xy 120.51118 123.728388) - (xy 120.511174 123.728386) - (xy 120.475992 123.723755) - (xy 120.46342 123.7221) - (xy 120.03658 123.7221) - (xy 120.02547 123.723562) - (xy 119.988825 123.728386) - (xy 119.988819 123.728388) - (xy 119.884026 123.777254) - (xy 119.884018 123.77726) - (xy 119.80226 123.859018) - (xy 119.802254 123.859026) - (xy 119.753388 123.963819) - (xy 119.753386 123.963825) - (xy 119.7471 124.011581) - (xy 119.7471 124.688418) - (xy 119.1529 124.688418) - (xy 119.1529 124.01158) - (xy 119.146613 123.963824) - (xy 119.146611 123.963819) - (xy 119.097745 123.859026) - (xy 119.097739 123.859018) - (xy 119.015981 123.77726) - (xy 119.015973 123.777254) - (xy 118.921319 123.733116) - (xy 118.884945 123.696743) - (xy 118.8779 123.664962) - (xy 118.8779 123.457936) - (xy 118.878003 123.453999) - (xy 118.880123 123.413555) - (xy 118.880122 123.413554) - (xy 118.880123 123.413552) - (xy 118.871306 123.390586) - (xy 118.86796 123.379288) - (xy 118.862848 123.355234) - (xy 118.85783 123.348327) - (xy 118.848463 123.331075) - (xy 118.845403 123.323104) - (xy 118.845402 123.323102) - (xy 118.828018 123.305718) - (xy 118.820355 123.296747) - (xy 118.805901 123.276854) - (xy 118.804517 123.276055) - (xy 118.798506 123.272584) - (xy 118.782934 123.260635) - (xy 118.6223 123.1) - (xy 119.242078 123.1) - (xy 119.262043 123.226056) - (xy 119.294508 123.289771) - (xy 119.319984 123.339771) - (xy 119.410229 123.430016) - (xy 119.523943 123.487956) - (xy 119.523945 123.487957) - (xy 119.65 123.507922) - (xy 119.776055 123.487957) - (xy 119.889771 123.430016) - (xy 119.980016 123.339771) - (xy 120.037957 123.226055) - (xy 120.057922 123.1) - (xy 120.037957 122.973945) - (xy 120.022831 122.944258) - (xy 120.011096 122.921226) - (xy 120.0029 122.887086) - (xy 120.0029 122.704236) - (xy 120.020493 122.655898) - (xy 120.065042 122.630178) - (xy 120.1157 122.639111) - (xy 120.131274 122.651062) - (xy 120.160226 122.680014) - (xy 120.160228 122.680015) - (xy 120.160229 122.680016) - (xy 120.183567 122.691907) - (xy 120.193619 122.698067) - (xy 120.214807 122.713461) - (xy 120.234883 122.719984) - (xy 120.239709 122.721552) - (xy 120.250613 122.726069) - (xy 120.273942 122.737956) - (xy 120.273943 122.737956) - (xy 120.273945 122.737957) - (xy 120.299812 122.742053) - (xy 120.311271 122.744804) - (xy 120.336187 122.7529) - (xy 120.368292 122.7529) - (xy 120.579998 122.7529) - (xy 120.628336 122.770493) - (xy 120.633172 122.774926) - (xy 120.722237 122.863991) - (xy 120.722238 122.863991) - (xy 120.722239 122.863992) - (xy 120.754926 122.879971) - (xy 120.790625 122.917004) - (xy 120.7971 122.94753) - (xy 120.7971 123.112374) - (xy 120.796174 123.124138) - (xy 120.792078 123.149999) - (xy 120.792078 123.15) - (xy 120.812043 123.276056) - (xy 120.865014 123.380016) - (xy 120.869984 123.389771) - (xy 120.960229 123.480016) - (xy 121.073943 123.537956) - (xy 121.073945 123.537957) - (xy 121.2 123.557922) - (xy 121.326055 123.537957) - (xy 121.40055 123.5) - (xy 129.4976 123.5) - (xy 129.4976 123.645156) - (xy 129.512988 123.74231) - (xy 129.572656 123.859414) - (xy 129.665585 123.952343) - (xy 129.78269 124.012011) - (xy 129.782688 124.012011) - (xy 129.879843 124.027399) - (xy 129.87985 124.0274) - (xy 130.2 124.0274) - (xy 130.2 123.5) - (xy 130.5 123.5) - (xy 130.5 124.0274) - (xy 130.82015 124.0274) - (xy 130.820156 124.027399) - (xy 130.91731 124.012011) - (xy 131.034414 123.952343) - (xy 131.127343 123.859414) - (xy 131.187011 123.74231) - (xy 131.202399 123.645156) - (xy 131.2024 123.645149) - (xy 131.2024 123.5) - (xy 131.8976 123.5) - (xy 131.8976 123.645156) - (xy 131.912988 123.74231) - (xy 131.972656 123.859414) - (xy 132.065585 123.952343) - (xy 132.18269 124.012011) - (xy 132.182688 124.012011) - (xy 132.279843 124.027399) - (xy 132.27985 124.0274) - (xy 132.6 124.0274) - (xy 132.6 123.5) - (xy 132.9 123.5) - (xy 132.9 124.0274) - (xy 133.22015 124.0274) - (xy 133.220156 124.027399) - (xy 133.31731 124.012011) - (xy 133.434414 123.952343) - (xy 133.527343 123.859414) - (xy 133.587011 123.74231) - (xy 133.602399 123.645156) - (xy 133.6024 123.645149) - (xy 133.6024 123.5) - (xy 132.9 123.5) - (xy 132.6 123.5) - (xy 131.8976 123.5) - (xy 131.2024 123.5) - (xy 130.5 123.5) - (xy 130.2 123.5) - (xy 129.4976 123.5) - (xy 121.40055 123.5) - (xy 121.439771 123.480016) - (xy 121.519787 123.4) - (xy 134.3726 123.4) - (xy 134.3726 123.483454) - (xy 134.38258 123.551942) - (xy 134.434235 123.657605) - (xy 134.517394 123.740764) - (xy 134.623057 123.792419) - (xy 134.623056 123.792419) - (xy 134.691545 123.802399) - (xy 134.691556 123.8024) - (xy 135.05 123.8024) - (xy 135.05 123.4) - (xy 135.35 123.4) - (xy 135.35 123.8024) - (xy 135.708444 123.8024) - (xy 135.708454 123.802399) - (xy 135.776942 123.792419) - (xy 135.882605 123.740764) - (xy 135.965764 123.657605) - (xy 136.017419 123.551942) - (xy 136.024988 123.5) - (xy 139.2476 123.5) - (xy 139.2476 123.645156) - (xy 139.262988 123.74231) - (xy 139.322656 123.859414) - (xy 139.415585 123.952343) - (xy 139.53269 124.012011) - (xy 139.532688 124.012011) - (xy 139.629843 124.027399) - (xy 139.62985 124.0274) - (xy 139.95 124.0274) - (xy 139.95 123.5) - (xy 140.25 123.5) - (xy 140.25 124.0274) - (xy 140.57015 124.0274) - (xy 140.570156 124.027399) - (xy 140.66731 124.012011) - (xy 140.784414 123.952343) - (xy 140.877343 123.859414) - (xy 140.937011 123.74231) - (xy 140.952399 123.645156) - (xy 140.9524 123.645149) - (xy 140.9524 123.5) - (xy 140.25 123.5) - (xy 139.95 123.5) - (xy 139.2476 123.5) - (xy 136.024988 123.5) - (xy 136.027399 123.483454) - (xy 136.0274 123.483444) - (xy 136.0274 123.4) - (xy 135.35 123.4) - (xy 135.05 123.4) - (xy 134.3726 123.4) - (xy 121.519787 123.4) - (xy 121.530016 123.389771) - (xy 121.587957 123.276055) - (xy 121.600003 123.2) - (xy 129.4976 123.2) - (xy 130.2 123.2) - (xy 130.2 122.6726) - (xy 130.5 122.6726) - (xy 130.5 123.2) - (xy 131.2024 123.2) - (xy 131.8976 123.2) - (xy 132.6 123.2) - (xy 132.6 122.6726) - (xy 132.9 122.6726) - (xy 132.9 123.2) - (xy 133.6024 123.2) - (xy 139.2476 123.2) - (xy 139.95 123.2) - (xy 139.95 122.6726) - (xy 140.25 122.6726) - (xy 140.25 123.2) - (xy 140.9524 123.2) - (xy 140.9524 123.05485) - (xy 140.952399 123.054843) - (xy 140.937011 122.957689) - (xy 140.877343 122.840585) - (xy 140.784414 122.747656) - (xy 140.667309 122.687988) - (xy 140.667311 122.687988) - (xy 140.570156 122.6726) - (xy 140.25 122.6726) - (xy 139.95 122.6726) - (xy 139.629843 122.6726) - (xy 139.532689 122.687988) - (xy 139.415585 122.747656) - (xy 139.322656 122.840585) - (xy 139.262988 122.957689) - (xy 139.2476 123.054843) - (xy 139.2476 123.2) - (xy 133.6024 123.2) - (xy 133.6024 123.1) - (xy 134.3726 123.1) - (xy 135.05 123.1) - (xy 135.05 122.6976) - (xy 135.35 122.6976) - (xy 135.35 123.1) - (xy 136.0274 123.1) - (xy 136.0274 123.016555) - (xy 136.027399 123.016545) - (xy 136.017419 122.948057) - (xy 135.965764 122.842394) - (xy 135.882605 122.759235) - (xy 135.776942 122.70758) - (xy 135.776943 122.70758) - (xy 135.708454 122.6976) - (xy 135.35 122.6976) - (xy 135.05 122.6976) - (xy 134.691545 122.6976) - (xy 134.623057 122.70758) - (xy 134.517394 122.759235) - (xy 134.434235 122.842394) - (xy 134.38258 122.948057) - (xy 134.3726 123.016545) - (xy 134.3726 123.1) - (xy 133.6024 123.1) - (xy 133.6024 123.05485) - (xy 133.602399 123.054843) - (xy 133.587011 122.957689) - (xy 133.527343 122.840585) - (xy 133.434414 122.747656) - (xy 133.317309 122.687988) - (xy 133.317311 122.687988) - (xy 133.220156 122.6726) - (xy 132.9 122.6726) - (xy 132.6 122.6726) - (xy 132.279843 122.6726) - (xy 132.182689 122.687988) - (xy 132.065585 122.747656) - (xy 131.972656 122.840585) - (xy 131.912988 122.957689) - (xy 131.8976 123.054843) - (xy 131.8976 123.2) - (xy 131.2024 123.2) - (xy 131.2024 123.05485) - (xy 131.202399 123.054843) - (xy 131.187011 122.957689) - (xy 131.127343 122.840585) - (xy 131.034414 122.747656) - (xy 130.917309 122.687988) - (xy 130.917311 122.687988) - (xy 130.820156 122.6726) - (xy 130.5 122.6726) - (xy 130.2 122.6726) - (xy 129.879843 122.6726) - (xy 129.782689 122.687988) - (xy 129.665585 122.747656) - (xy 129.572656 122.840585) - (xy 129.512988 122.957689) - (xy 129.4976 123.054843) - (xy 129.4976 123.2) - (xy 121.600003 123.2) - (xy 121.607922 123.15) - (xy 121.603826 123.124138) - (xy 121.6029 123.112374) - (xy 121.6029 122.94753) - (xy 121.620493 122.899192) - (xy 121.645072 122.879971) - (xy 121.677761 122.863992) - (xy 121.715019 122.826734) - (xy 121.766828 122.774926) - (xy 121.813448 122.753186) - (xy 121.820002 122.7529) - (xy 121.963812 122.7529) - (xy 121.963813 122.7529) - (xy 121.988722 122.744805) - (xy 122.000173 122.742055) - (xy 122.026055 122.737957) - (xy 122.049397 122.726063) - (xy 122.060284 122.721554) - (xy 122.085193 122.713461) - (xy 122.106378 122.698068) - (xy 122.11643 122.691907) - (xy 122.139771 122.680016) - (xy 122.230016 122.589771) - (xy 122.236201 122.583586) - (xy 122.236208 122.583576) - (xy 122.283576 122.536208) - (xy 122.283586 122.536201) - (xy 122.380014 122.439773) - (xy 122.380016 122.439771) - (xy 122.391907 122.41643) - (xy 122.398068 122.406378) - (xy 122.413461 122.385193) - (xy 122.421554 122.360284) - (xy 122.426063 122.349397) - (xy 122.437957 122.326055) - (xy 122.442055 122.300173) - (xy 122.444805 122.288722) - (xy 122.4529 122.263813) - (xy 122.4529 122.237624) - (xy 122.453826 122.225859) - (xy 122.457922 122.2) - (xy 122.457922 122.199999) - (xy 122.453826 122.174138) - (xy 122.4529 122.162374) - (xy 122.4529 122.136188) - (xy 122.4529 122.136187) - (xy 122.444804 122.111271) - (xy 122.442053 122.099812) - (xy 122.437957 122.073945) - (xy 122.437956 122.073943) - (xy 122.437956 122.073942) - (xy 122.426069 122.050613) - (xy 122.421552 122.039709) - (xy 122.413461 122.014808) - (xy 122.413461 122.014807) - (xy 122.398067 121.993619) - (xy 122.391906 121.983565) - (xy 122.380016 121.960229) - (xy 122.380015 121.960228) - (xy 122.380014 121.960226) - (xy 122.361499 121.941711) - (xy 122.353836 121.93274) - (xy 122.338444 121.911556) - (xy 122.317259 121.896164) - (xy 122.308291 121.888504) - (xy 122.289771 121.869984) - (xy 122.266432 121.858091) - (xy 122.256375 121.851928) - (xy 122.235196 121.836541) - (xy 122.235194 121.83654) - (xy 122.235193 121.836539) - (xy 122.210283 121.828444) - (xy 122.199388 121.823931) - (xy 122.176056 121.812043) - (xy 122.176058 121.812043) - (xy 122.150187 121.807945) - (xy 122.138721 121.805192) - (xy 122.113813 121.7971) - (xy 122.087625 121.7971) - (xy 122.075861 121.796174) - (xy 122.05 121.792078) - (xy 122.024139 121.796174) - (xy 122.012375 121.7971) - (xy 121.986182 121.7971) - (xy 121.961281 121.805191) - (xy 121.949813 121.807945) - (xy 121.923943 121.812043) - (xy 121.900606 121.823933) - (xy 121.889712 121.828445) - (xy 121.86481 121.836537) - (xy 121.864805 121.836539) - (xy 121.843623 121.851929) - (xy 121.833567 121.858091) - (xy 121.81023 121.869982) - (xy 121.805442 121.873462) - (xy 121.803644 121.870987) - (xy 121.76739 121.887807) - (xy 121.717729 121.874397) - (xy 121.707813 121.86606) - (xy 121.677761 121.836008) - (xy 121.568203 121.782449) - (xy 121.497182 121.7721) - (xy 121.497174 121.7721) - (xy 120.902826 121.7721) - (xy 120.902817 121.7721) - (xy 120.831797 121.782449) - (xy 120.722237 121.836009) - (xy 120.64219 121.916056) - (xy 120.59557 121.937795) - (xy 120.545883 121.924481) - (xy 120.535847 121.91606) - (xy 120.489771 121.869984) - (xy 120.466432 121.858091) - (xy 120.456375 121.851928) - (xy 120.435196 121.836541) - (xy 120.435194 121.83654) - (xy 120.435193 121.836539) - (xy 120.410283 121.828444) - (xy 120.399388 121.823931) - (xy 120.376056 121.812043) - (xy 120.376058 121.812043) - (xy 120.350187 121.807945) - (xy 120.338721 121.805192) - (xy 120.313813 121.7971) - (xy 120.287625 121.7971) - (xy 120.275861 121.796174) - (xy 120.25 121.792078) - (xy 120.224139 121.796174) - (xy 120.212375 121.7971) - (xy 120.186182 121.7971) - (xy 120.161281 121.805191) - (xy 120.149813 121.807945) - (xy 120.123941 121.812043) - (xy 120.123941 121.812044) - (xy 120.109221 121.819543) - (xy 120.058164 121.825808) - (xy 120.015025 121.797789) - (xy 120.001331 121.767207) - (xy 119.995513 121.737956) - (xy 119.988227 121.701323) - (xy 119.932331 121.617669) - (xy 119.848677 121.561773) - (xy 119.848675 121.561772) - (xy 119.774911 121.5471) - (xy 119.525088 121.5471) - (xy 119.525087 121.547101) - (xy 119.451326 121.561772) - (xy 119.451324 121.561772) - (xy 119.451323 121.561773) - (xy 119.368108 121.617376) - (xy 119.367669 121.617669) - (xy 119.311773 121.701323) - (xy 119.311772 121.701324) - (xy 119.2971 121.775088) - (xy 119.2971 122.18229) - (xy 119.296843 122.188501) - (xy 119.293441 122.229545) - (xy 119.294798 122.234901) - (xy 119.2971 122.253364) - (xy 119.2971 122.887086) - (xy 119.288904 122.921226) - (xy 119.262043 122.973943) - (xy 119.242078 123.099999) - (xy 119.242078 123.1) - (xy 118.6223 123.1) - (xy 118.599925 123.077625) - (xy 118.578186 123.031005) - (xy 118.5779 123.024451) - (xy 118.5779 122.858895) - (xy 118.595493 122.810557) - (xy 118.611319 122.79637) - (xy 118.632331 122.782331) - (xy 118.688227 122.698677) - (xy 118.7029 122.624911) - (xy 118.702899 121.77509) - (xy 118.688227 121.701323) - (xy 118.632331 121.617669) - (xy 118.548677 121.561773) - (xy 118.548675 121.561772) - (xy 118.474911 121.5471) - (xy 118.225088 121.5471) - (xy 118.225087 121.547101) - (xy 118.151326 121.561772) - (xy 118.151324 121.561772) - (xy 118.151323 121.561773) - (xy 118.068108 121.617376) - (xy 118.067669 121.617669) - (xy 118.011773 121.701323) - (xy 118.011772 121.701324) - (xy 117.9971 121.775088) - (xy 117.9971 122.624911) - (xy 117.494876 122.624911) - (xy 117.530016 122.589771) - (xy 117.536201 122.583586) - (xy 117.536208 122.583576) - (xy 117.583576 122.536208) - (xy 117.583586 122.536201) - (xy 117.680014 122.439773) - (xy 117.680016 122.439771) - (xy 117.691907 122.41643) - (xy 117.698068 122.406378) - (xy 117.713461 122.385193) - (xy 117.721554 122.360284) - (xy 117.726063 122.349397) - (xy 117.737957 122.326055) - (xy 117.742055 122.300173) - (xy 117.744805 122.288722) - (xy 117.7529 122.263813) - (xy 117.7529 122.237624) - (xy 117.753826 122.225859) - (xy 117.757922 122.2) - (xy 117.757922 122.199999) - (xy 117.753826 122.174138) - (xy 117.7529 122.162374) - (xy 117.7529 122.136188) - (xy 117.7529 122.136187) - (xy 117.744804 122.111271) - (xy 117.742053 122.099812) - (xy 117.737957 122.073945) - (xy 117.737956 122.073943) - (xy 117.737956 122.073942) - (xy 117.726069 122.050613) - (xy 117.721552 122.039709) - (xy 117.713461 122.014808) - (xy 117.713461 122.014807) - (xy 117.698067 121.993619) - (xy 117.691906 121.983565) - (xy 117.680016 121.960229) - (xy 117.680015 121.960228) - (xy 117.680014 121.960226) - (xy 117.661499 121.941711) - (xy 117.653836 121.93274) - (xy 117.638444 121.911556) - (xy 117.617259 121.896164) - (xy 117.608291 121.888504) - (xy 117.589771 121.869984) - (xy 117.566432 121.858091) - (xy 117.556375 121.851928) - (xy 117.535196 121.836541) - (xy 117.535194 121.83654) - (xy 117.535193 121.836539) - (xy 117.510283 121.828444) - (xy 117.499388 121.823931) - (xy 117.476056 121.812043) - (xy 117.476058 121.812043) - (xy 117.450187 121.807945) - (xy 117.438721 121.805192) - (xy 117.413813 121.7971) - (xy 117.387625 121.7971) - (xy 117.375861 121.796174) - (xy 117.35 121.792078) - (xy 117.324139 121.796174) - (xy 117.312375 121.7971) - (xy 117.286182 121.7971) - (xy 117.261281 121.805191) - (xy 117.249813 121.807945) - (xy 117.223943 121.812043) - (xy 117.200606 121.823933) - (xy 117.189712 121.828445) - (xy 117.16481 121.836537) - (xy 117.164805 121.836539) - (xy 117.143623 121.851929) - (xy 117.133567 121.858091) - (xy 117.11023 121.869982) - (xy 117.105442 121.873462) - (xy 117.103644 121.870987) - (xy 117.06739 121.887807) - (xy 117.017729 121.874397) - (xy 117.007813 121.86606) - (xy 116.977761 121.836008) - (xy 116.868203 121.782449) - (xy 116.797182 121.7721) - (xy 116.797174 121.7721) - (xy 116.202826 121.7721) - (xy 116.202817 121.7721) - (xy 116.131797 121.782449) - (xy 116.022237 121.836009) - (xy 115.94219 121.916056) - (xy 115.89557 121.937795) - (xy 115.845883 121.924481) - (xy 115.835847 121.91606) - (xy 115.789771 121.869984) - (xy 115.766432 121.858091) - (xy 115.756375 121.851928) - (xy 115.735196 121.836541) - (xy 115.735194 121.83654) - (xy 115.735193 121.836539) - (xy 115.710283 121.828444) - (xy 115.699388 121.823931) - (xy 115.676056 121.812043) - (xy 115.676058 121.812043) - (xy 115.650187 121.807945) - (xy 115.638721 121.805192) - (xy 115.613813 121.7971) - (xy 115.587625 121.7971) - (xy 115.575861 121.796174) - (xy 115.55 121.792078) - (xy 115.524139 121.796174) - (xy 115.512375 121.7971) - (xy 115.486182 121.7971) - (xy 115.461281 121.805191) - (xy 115.449813 121.807944) - (xy 115.433612 121.810511) - (xy 115.383117 121.800699) - (xy 115.350742 121.760725) - (xy 115.348089 121.750908) - (xy 115.345513 121.737956) - (xy 115.338227 121.701323) - (xy 115.282331 121.617669) - (xy 115.198677 121.561773) - (xy 115.198675 121.561772) - (xy 115.124911 121.5471) - (xy 114.875088 121.5471) - (xy 114.875087 121.547101) - (xy 114.801326 121.561772) - (xy 114.801324 121.561772) - (xy 114.801323 121.561773) - (xy 114.718108 121.617376) - (xy 114.717669 121.617669) - (xy 114.661773 121.701323) - (xy 114.661772 121.701324) - (xy 114.6471 121.775088) - (xy 114.6471 122.18229) - (xy 114.646843 122.188501) - (xy 114.643441 122.229545) - (xy 114.644798 122.234901) - (xy 114.6471 122.253364) - (xy 114.6471 122.679638) - (xy 114.629507 122.727976) - (xy 114.606041 122.746641) - (xy 114.560229 122.769983) - (xy 114.469983 122.86023) - (xy 114.412043 122.973943) - (xy 114.392078 123.099999) - (xy 114.392078 123.1) - (xy 113.9723 123.1) - (xy 113.949925 123.077625) - (xy 113.928186 123.031005) - (xy 113.9279 123.024451) - (xy 113.9279 122.858895) - (xy 113.945493 122.810557) - (xy 113.961319 122.79637) - (xy 113.982331 122.782331) - (xy 114.038227 122.698677) - (xy 114.0529 122.624911) - (xy 114.052899 121.77509) - (xy 114.038227 121.701323) - (xy 113.982331 121.617669) - (xy 113.898677 121.561773) - (xy 113.898675 121.561772) - (xy 113.824911 121.5471) - (xy 113.575088 121.5471) - (xy 113.575087 121.547101) - (xy 113.501326 121.561772) - (xy 113.501324 121.561772) - (xy 113.501323 121.561773) - (xy 113.418108 121.617376) - (xy 113.417669 121.617669) - (xy 113.361773 121.701323) - (xy 113.361772 121.701324) - (xy 113.3471 121.775088) - (xy 113.3471 122.624911) - (xy 74.5229 122.624911) (xy 74.5229 120.7) (xy 75.972601 120.7) (xy 75.972601 120.797142) @@ -22803,1192 +22452,6 @@ (xy 114.066779 121.081133) (xy 114.151518 121.137755) (xy 114.2 121.147398) - (xy 114.2 121.147397) - (xy 114.5 121.147397) - (xy 114.548478 121.137756) - (xy 114.548483 121.137754) - (xy 114.63277 121.081435) - (xy 114.682735 121.069208) - (xy 114.716328 121.081435) - (xy 114.801319 121.138225) - (xy 114.801321 121.138226) - (xy 114.801323 121.138227) - (xy 114.875089 121.1529) - (xy 115.12491 121.152899) - (xy 115.124911 121.152899) - (xy 115.124911 121.152898) - (xy 115.198677 121.138227) - (xy 115.282331 121.082331) - (xy 115.337343 121) - (xy 115.872601 121) - (xy 115.872601 121.097142) - (xy 115.882934 121.168059) - (xy 115.936421 121.277469) - (xy 116.02253 121.363578) - (xy 116.131941 121.417065) - (xy 116.13194 121.417065) - (xy 116.202863 121.427399) - (xy 116.35 121.427399) - (xy 116.35 121) - (xy 116.65 121) - (xy 116.65 121.427399) - (xy 116.79713 121.427399) - (xy 116.797142 121.427398) - (xy 116.868059 121.417065) - (xy 116.977469 121.363578) - (xy 117.063578 121.277469) - (xy 117.117065 121.168058) - (xy 117.127399 121.097141) - (xy 117.1274 121.097131) - (xy 117.1274 121) - (xy 116.65 121) - (xy 116.35 121) - (xy 115.872601 121) - (xy 115.337343 121) - (xy 115.338227 120.998677) - (xy 115.3529 120.924911) - (xy 115.3529 120.7) - (xy 115.8726 120.7) - (xy 116.35 120.7) - (xy 116.35 120.2726) - (xy 116.65 120.2726) - (xy 116.65 120.7) - (xy 117.127399 120.7) - (xy 117.127399 120.65) - (xy 117.997601 120.65) - (xy 117.997601 120.924861) - (xy 118.012244 120.998481) - (xy 118.06803 121.081969) - (xy 118.151518 121.137755) - (xy 118.2 121.147398) - (xy 118.2 120.65) - (xy 118.5 120.65) - (xy 118.5 121.147397) - (xy 118.548478 121.137756) - (xy 118.54848 121.137755) - (xy 118.633219 121.081133) - (xy 118.683184 121.068906) - (xy 118.716779 121.081133) - (xy 118.801518 121.137755) - (xy 118.85 121.147398) - (xy 118.85 121.147397) - (xy 119.15 121.147397) - (xy 119.198478 121.137756) - (xy 119.198483 121.137754) - (xy 119.28277 121.081435) - (xy 119.332735 121.069208) - (xy 119.366328 121.081435) - (xy 119.451319 121.138225) - (xy 119.451321 121.138226) - (xy 119.451323 121.138227) - (xy 119.525089 121.1529) - (xy 119.77491 121.152899) - (xy 119.774911 121.152899) - (xy 119.774911 121.152898) - (xy 119.848677 121.138227) - (xy 119.932331 121.082331) - (xy 119.987343 121) - (xy 120.572601 121) - (xy 120.572601 121.097142) - (xy 120.582934 121.168059) - (xy 120.636421 121.277469) - (xy 120.72253 121.363578) - (xy 120.831941 121.417065) - (xy 120.83194 121.417065) - (xy 120.902863 121.427399) - (xy 121.05 121.427399) - (xy 121.05 121) - (xy 121.35 121) - (xy 121.35 121.427399) - (xy 121.49713 121.427399) - (xy 121.497142 121.427398) - (xy 121.568059 121.417065) - (xy 121.677469 121.363578) - (xy 121.763578 121.277469) - (xy 121.817065 121.168058) - (xy 121.827399 121.097141) - (xy 121.8274 121.097131) - (xy 121.8274 121) - (xy 121.35 121) - (xy 121.05 121) - (xy 120.572601 121) - (xy 119.987343 121) - (xy 119.988227 120.998677) - (xy 120.0029 120.924911) - (xy 120.0029 120.7) - (xy 120.5726 120.7) - (xy 121.05 120.7) - (xy 121.05 120.2726) - (xy 121.35 120.2726) - (xy 121.35 120.7) - (xy 121.827399 120.7) - (xy 121.827399 120.602869) - (xy 121.827398 120.602857) - (xy 121.817065 120.53194) - (xy 121.801452 120.500002) - (xy 126.042329 120.500002) - (xy 126.043637 120.509943) - (xy 126.044105 120.524882) - (xy 126.043202 120.538082) - (xy 126.043203 120.538087) - (xy 126.053932 120.589718) - (xy 126.054861 120.595201) - (xy 126.06133 120.644332) - (xy 126.061331 120.644337) - (xy 126.066549 120.656934) - (xy 126.070699 120.670406) - (xy 126.07404 120.686484) - (xy 126.074127 120.686899) - (xy 126.096744 120.730547) - (xy 126.09945 120.736365) - (xy 126.11704 120.778831) - (xy 126.117042 120.778834) - (xy 126.117043 120.778836) - (xy 126.121409 120.784526) - (xy 126.127539 120.792515) - (xy 126.134644 120.803692) - (xy 126.14405 120.821843) - (xy 126.144051 120.821844) - (xy 126.175163 120.855157) - (xy 126.179854 120.860693) - (xy 126.205667 120.894333) - (xy 126.222202 120.907021) - (xy 126.231378 120.915349) - (xy 126.247791 120.932923) - (xy 126.283684 120.954749) - (xy 126.290382 120.959337) - (xy 126.32116 120.982955) - (xy 126.321162 120.982956) - (xy 126.321164 120.982957) - (xy 126.330631 120.986878) - (xy 126.343745 120.992311) - (xy 126.354032 120.99753) - (xy 126.377653 121.011894) - (xy 126.414645 121.022258) - (xy 126.423136 121.025195) - (xy 126.455661 121.038668) - (xy 126.455664 121.038669) - (xy 126.483465 121.042328) - (xy 126.493924 121.044471) - (xy 126.524006 121.0529) - (xy 126.558829 121.0529) - (xy 126.568644 121.053543) - (xy 126.6 121.057671) - (xy 126.631356 121.053543) - (xy 126.641171 121.0529) - (xy 127.20943 121.0529) - (xy 127.242457 121.06054) - (xy 127.256797 121.067551) - (xy 127.308848 121.075135) - (xy 127.327817 121.077899) - (xy 127.327822 121.077899) - (xy 127.327826 121.0779) - (xy 127.327828 121.0779) - (xy 128.372172 121.0779) - (xy 128.372174 121.0779) - (xy 128.372178 121.077899) - (xy 128.372182 121.077899) - (xy 128.381151 121.076592) - (xy 128.443203 121.067551) - (xy 128.457542 121.06054) - (xy 128.49057 121.0529) - (xy 128.839285 121.0529) - (xy 128.841851 121.052943) - (xy 128.857832 121.053489) - (xy 128.90707 121.055172) - (xy 128.907072 121.055172) - (xy 128.907072 121.055171) - (xy 128.907073 121.055172) - (xy 128.949627 121.044801) - (xy 128.957178 121.043366) - (xy 129.000573 121.037402) - (xy 129.020976 121.028538) - (xy 129.033115 121.024456) - (xy 129.054741 121.019187) - (xy 129.092927 120.997714) - (xy 129.099807 120.994297) - (xy 129.139979 120.97685) - (xy 129.148684 120.969767) - (xy 129.196142 120.9529) - (xy 129.274006 120.9529) - (xy 129.317796 120.9529) - (xy 129.325485 120.953293) - (xy 129.369054 120.957773) - (xy 129.369054 120.957772) - (xy 129.369056 120.957773) - (xy 129.390971 120.953994) - (xy 129.40375 120.9529) - (xy 129.584287 120.9529) - (xy 129.632625 120.970493) - (xy 129.637461 120.974926) - (xy 129.66529 121.002755) - (xy 129.782532 121.062492) - (xy 129.782534 121.062493) - (xy 129.879808 121.0779) - (xy 129.879809 121.0779) - (xy 129.882726 121.078362) - (xy 129.882483 121.079894) - (xy 129.924562 121.099027) - (xy 129.946747 121.145436) - (xy 129.9471 121.152709) - (xy 129.9471 121.312374) - (xy 129.946174 121.324138) - (xy 129.942078 121.349999) - (xy 129.942078 121.35) - (xy 129.962043 121.476056) - (xy 130.015068 121.580123) - (xy 130.019984 121.589771) - (xy 130.110229 121.680016) - (xy 130.223943 121.737956) - (xy 130.223945 121.737957) - (xy 130.35 121.757922) - (xy 130.476055 121.737957) - (xy 130.589771 121.680016) - (xy 130.680016 121.589771) - (xy 130.737957 121.476055) - (xy 130.757922 121.35) - (xy 130.756828 121.343095) - (xy 130.753826 121.324138) - (xy 130.7529 121.312374) - (xy 130.7529 121.152709) - (xy 130.770493 121.104371) - (xy 130.815042 121.078651) - (xy 130.817274 121.078368) - (xy 130.817274 121.078362) - (xy 130.820191 121.0779) - (xy 130.820192 121.0779) - (xy 130.917466 121.062493) - (xy 131.03471 121.002755) - (xy 131.062539 120.974926) - (xy 131.109159 120.953186) - (xy 131.115713 120.9529) - (xy 131.339285 120.9529) - (xy 131.341851 120.952943) - (xy 131.352098 120.953294) - (xy 131.407071 120.955172) - (xy 131.407072 120.955172) - (xy 131.407072 120.955171) - (xy 131.407073 120.955172) - (xy 131.407623 120.955038) - (xy 131.425428 120.9529) - (xy 131.674006 120.9529) - (xy 131.717796 120.9529) - (xy 131.725485 120.953293) - (xy 131.769054 120.957773) - (xy 131.769054 120.957772) - (xy 131.769056 120.957773) - (xy 131.790971 120.953994) - (xy 131.80375 120.9529) - (xy 131.984287 120.9529) - (xy 132.032625 120.970493) - (xy 132.037461 120.974926) - (xy 132.06529 121.002755) - (xy 132.182532 121.062492) - (xy 132.182534 121.062493) - (xy 132.279808 121.0779) - (xy 132.279809 121.0779) - (xy 132.282726 121.078362) - (xy 132.282483 121.079894) - (xy 132.324562 121.099027) - (xy 132.346747 121.145436) - (xy 132.3471 121.152709) - (xy 132.3471 121.312374) - (xy 132.346174 121.324138) - (xy 132.342078 121.349999) - (xy 132.342078 121.35) - (xy 132.362043 121.476056) - (xy 132.415068 121.580123) - (xy 132.419984 121.589771) - (xy 132.510229 121.680016) - (xy 132.623943 121.737956) - (xy 132.623945 121.737957) - (xy 132.75 121.757922) - (xy 132.876055 121.737957) - (xy 132.989771 121.680016) - (xy 133.080016 121.589771) - (xy 133.137957 121.476055) - (xy 133.157922 121.35) - (xy 133.156828 121.343095) - (xy 133.153826 121.324138) - (xy 133.1529 121.312374) - (xy 133.1529 121.152709) - (xy 133.170493 121.104371) - (xy 133.215042 121.078651) - (xy 133.217274 121.078368) - (xy 133.217274 121.078362) - (xy 133.220191 121.0779) - (xy 133.220192 121.0779) - (xy 133.317466 121.062493) - (xy 133.43471 121.002755) - (xy 133.462539 120.974926) - (xy 133.509159 120.953186) - (xy 133.515713 120.9529) - (xy 133.958829 120.9529) - (xy 133.968644 120.953543) - (xy 134 120.957671) - (xy 134.032217 120.953429) - (xy 134.036889 120.952963) - (xy 134.037796 120.9529) - (xy 134.037818 120.9529) - (xy 134.073659 120.947973) - (xy 134.144336 120.938669) - (xy 134.144339 120.938667) - (xy 134.145696 120.938489) - (xy 134.149255 120.93777) - (xy 134.150571 120.937402) - (xy 134.150573 120.937402) - (xy 134.215416 120.909236) - (xy 134.216443 120.9088) - (xy 134.278836 120.882957) - (xy 134.278842 120.882951) - (xy 134.283107 120.880491) - (xy 134.283363 120.880935) - (xy 134.28563 120.879597) - (xy 134.285585 120.879522) - (xy 134.289974 120.876851) - (xy 134.289979 120.87685) - (xy 134.292768 120.87458) - (xy 134.298686 120.869767) - (xy 134.346144 120.8529) - (xy 134.547293 120.8529) - (xy 134.579073 120.859945) - (xy 134.604609 120.871853) - (xy 134.65054 120.8779) - (xy 134.6719 120.8779) - (xy 134.720238 120.895493) - (xy 134.745958 120.940042) - (xy 134.7471 120.9531) - (xy 134.7471 121.112228) - (xy 134.746335 121.122929) - (xy 134.742443 121.15) - (xy 134.746493 121.178172) - (xy 134.747048 121.183251) - (xy 134.7471 121.183948) - (xy 134.75189 121.215726) - (xy 134.751964 121.21623) - (xy 134.761227 121.280646) - (xy 134.76183 121.283374) - (xy 134.762334 121.285008) - (xy 134.789639 121.341709) - (xy 134.79029 121.343095) - (xy 134.815079 121.397374) - (xy 134.817989 121.401901) - (xy 134.817399 121.402279) - (xy 134.818197 121.40348) - (xy 134.81839 121.403349) - (xy 134.821563 121.408003) - (xy 134.821568 121.408008) - (xy 134.821569 121.40801) - (xy 134.86194 121.451519) - (xy 134.863591 121.45336) - (xy 134.88956 121.48333) - (xy 134.900366 121.495801) - (xy 134.904428 121.499321) - (xy 134.904351 121.499409) - (xy 134.913423 121.507004) - (xy 134.914432 121.508091) - (xy 134.937251 121.521265) - (xy 134.962671 121.535941) - (xy 134.965728 121.537805) - (xy 134.969186 121.540027) - (xy 135.009924 121.566208) - (xy 135.011818 121.566764) - (xy 135.028224 121.573789) - (xy 135.032668 121.576355) - (xy 135.03267 121.576355) - (xy 135.032671 121.576356) - (xy 135.049177 121.580123) - (xy 135.083478 121.587951) - (xy 135.08791 121.589107) - (xy 135.134883 121.6029) - (xy 135.140495 121.6029) - (xy 135.157229 121.604786) - (xy 135.165766 121.606734) - (xy 135.16577 121.606735) - (xy 135.165771 121.606734) - (xy 135.165772 121.606735) - (xy 135.214148 121.60311) - (xy 135.219767 121.6029) - (xy 135.265114 121.6029) - (xy 135.265117 121.6029) - (xy 135.273988 121.600294) - (xy 135.289552 121.597458) - (xy 135.301918 121.596533) - (xy 135.343753 121.580112) - (xy 135.350017 121.57797) - (xy 135.390071 121.56621) - (xy 135.390072 121.566209) - (xy 135.390076 121.566208) - (xy 135.400912 121.559243) - (xy 135.414083 121.55251) - (xy 135.429008 121.546653) - (xy 135.461318 121.520886) - (xy 135.467534 121.516429) - (xy 135.475692 121.511186) - (xy 135.499636 121.495799) - (xy 135.510444 121.483324) - (xy 135.520391 121.473777) - (xy 135.535749 121.46153) - (xy 135.539985 121.455317) - (xy 135.556993 121.43037) - (xy 135.562291 121.42349) - (xy 135.567859 121.417065) - (xy 135.580671 121.402279) - (xy 135.584918 121.397378) - (xy 135.584918 121.397377) - (xy 135.584921 121.397374) - (xy 135.593279 121.379069) - (xy 135.599543 121.36796) - (xy 135.612658 121.348726) - (xy 135.622713 121.316124) - (xy 135.626157 121.30708) - (xy 135.639023 121.278909) - (xy 135.642401 121.255407) - (xy 135.644973 121.24396) - (xy 135.6529 121.218264) - (xy 135.6529 121.187771) - (xy 135.653665 121.17707) - (xy 135.65496 121.168059) - (xy 135.657557 121.15) - (xy 135.653665 121.122929) - (xy 135.6529 121.112228) - (xy 135.6529 120.9531) - (xy 135.670493 120.904762) - (xy 135.715042 120.879042) - (xy 135.7281 120.8779) - (xy 135.749458 120.8779) - (xy 135.74946 120.8779) - (xy 135.795391 120.871853) - (xy 135.896197 120.824847) - (xy 135.974847 120.746197) - (xy 136.021853 120.645391) - (xy 136.0279 120.59946) - (xy 136.4721 120.59946) - (xy 136.472549 120.602869) - (xy 136.478147 120.645391) - (xy 136.525151 120.746194) - (xy 136.525156 120.746201) - (xy 136.603798 120.824843) - (xy 136.603801 120.824845) - (xy 136.603803 120.824847) - (xy 136.704609 120.871853) - (xy 136.75054 120.8779) - (xy 136.7719 120.8779) - (xy 136.820238 120.895493) - (xy 136.845958 120.940042) - (xy 136.8471 120.9531) - (xy 136.8471 121.112228) - (xy 136.846335 121.122929) - (xy 136.842443 121.15) - (xy 136.846493 121.178172) - (xy 136.847048 121.183251) - (xy 136.8471 121.183948) - (xy 136.85189 121.215726) - (xy 136.851964 121.21623) - (xy 136.861227 121.280646) - (xy 136.86183 121.283374) - (xy 136.862334 121.285008) - (xy 136.889639 121.341709) - (xy 136.89029 121.343095) - (xy 136.915079 121.397374) - (xy 136.917989 121.401901) - (xy 136.917399 121.402279) - (xy 136.918197 121.40348) - (xy 136.91839 121.403349) - (xy 136.921563 121.408003) - (xy 136.921568 121.408008) - (xy 136.921569 121.40801) - (xy 136.96194 121.451519) - (xy 136.963591 121.45336) - (xy 136.98956 121.48333) - (xy 137.000366 121.495801) - (xy 137.004428 121.499321) - (xy 137.004351 121.499409) - (xy 137.013423 121.507004) - (xy 137.014432 121.508091) - (xy 137.037251 121.521265) - (xy 137.062671 121.535941) - (xy 137.065728 121.537805) - (xy 137.069186 121.540027) - (xy 137.109924 121.566208) - (xy 137.111818 121.566764) - (xy 137.128224 121.573789) - (xy 137.132668 121.576355) - (xy 137.13267 121.576355) - (xy 137.132671 121.576356) - (xy 137.149177 121.580123) - (xy 137.183478 121.587951) - (xy 137.18791 121.589107) - (xy 137.234883 121.6029) - (xy 137.240495 121.6029) - (xy 137.257229 121.604786) - (xy 137.265766 121.606734) - (xy 137.26577 121.606735) - (xy 137.265771 121.606734) - (xy 137.265772 121.606735) - (xy 137.314148 121.60311) - (xy 137.319767 121.6029) - (xy 137.365114 121.6029) - (xy 137.365117 121.6029) - (xy 137.373988 121.600294) - (xy 137.389552 121.597458) - (xy 137.401918 121.596533) - (xy 137.443753 121.580112) - (xy 137.450017 121.57797) - (xy 137.490071 121.56621) - (xy 137.490072 121.566209) - (xy 137.490076 121.566208) - (xy 137.500912 121.559243) - (xy 137.514083 121.55251) - (xy 137.529008 121.546653) - (xy 137.561318 121.520886) - (xy 137.567534 121.516429) - (xy 137.575692 121.511186) - (xy 137.599636 121.495799) - (xy 137.610444 121.483324) - (xy 137.620391 121.473777) - (xy 137.635749 121.46153) - (xy 137.639985 121.455317) - (xy 137.656993 121.43037) - (xy 137.662291 121.42349) - (xy 137.667859 121.417065) - (xy 137.680671 121.402279) - (xy 137.684918 121.397378) - (xy 137.684918 121.397377) - (xy 137.684921 121.397374) - (xy 137.693279 121.379069) - (xy 137.699543 121.36796) - (xy 137.712658 121.348726) - (xy 137.722713 121.316124) - (xy 137.726157 121.30708) - (xy 137.739023 121.278909) - (xy 137.742401 121.255407) - (xy 137.744973 121.24396) - (xy 137.7529 121.218264) - (xy 137.7529 121.187771) - (xy 137.753665 121.17707) - (xy 137.75496 121.168059) - (xy 137.757557 121.15) - (xy 137.753665 121.122929) - (xy 137.7529 121.112228) - (xy 137.7529 120.9531) - (xy 137.770493 120.904762) - (xy 137.815042 120.879042) - (xy 137.8281 120.8779) - (xy 137.849458 120.8779) - (xy 137.84946 120.8779) - (xy 137.895391 120.871853) - (xy 137.920926 120.859945) - (xy 137.952707 120.8529) - (xy 138.059572 120.8529) - (xy 138.098645 120.863848) - (xy 138.172527 120.908777) - (xy 138.177655 120.911895) - (xy 138.324004 120.9529) - (xy 138.324006 120.9529) - (xy 139.334287 120.9529) - (xy 139.382625 120.970493) - (xy 139.387461 120.974926) - (xy 139.41529 121.002755) - (xy 139.532532 121.062492) - (xy 139.532534 121.062493) - (xy 139.629808 121.0779) - (xy 139.629812 121.0779) - (xy 140.570188 121.0779) - (xy 140.570192 121.0779) - (xy 140.667466 121.062493) - (xy 140.78471 121.002755) - (xy 140.812539 120.974926) - (xy 140.859159 120.953186) - (xy 140.865713 120.9529) - (xy 141.089285 120.9529) - (xy 141.091851 120.952943) - (xy 141.102098 120.953294) - (xy 141.15707 120.955172) - (xy 141.157072 120.955172) - (xy 141.157072 120.955171) - (xy 141.157073 120.955172) - (xy 141.199627 120.944801) - (xy 141.207178 120.943366) - (xy 141.250573 120.937402) - (xy 141.270976 120.928538) - (xy 141.283115 120.924456) - (xy 141.304741 120.919187) - (xy 141.342927 120.897714) - (xy 141.349807 120.894297) - (xy 141.389979 120.87685) - (xy 141.407241 120.862805) - (xy 141.417833 120.855596) - (xy 141.437223 120.844695) - (xy 141.468195 120.813722) - (xy 141.473905 120.80857) - (xy 141.507874 120.780936) - (xy 141.507875 120.780934) - (xy 141.507878 120.780932) - (xy 141.520713 120.762746) - (xy 141.528965 120.752951) - (xy 141.711848 120.570068) - (xy 141.719226 120.563597) - (xy 141.744333 120.544333) - (xy 141.764125 120.518537) - (xy 141.767117 120.514885) - (xy 141.76769 120.514227) - (xy 141.7677 120.514218) - (xy 141.789539 120.485418) - (xy 141.832957 120.428836) - (xy 141.832959 120.42883) - (xy 141.83379 120.427748) - (xy 141.835807 120.424711) - (xy 141.83647 120.423532) - (xy 141.836472 120.42353) - (xy 141.862445 120.357663) - (xy 141.86281 120.356762) - (xy 141.888669 120.294336) - (xy 141.88867 120.294331) - (xy 141.889946 120.289572) - (xy 141.890432 120.289702) - (xy 141.89109 120.287158) - (xy 141.891012 120.287139) - (xy 141.892229 120.282142) - (xy 141.892229 120.28214) - (xy 141.89223 120.282138) - (xy 141.899093 120.215372) - (xy 141.899336 120.213306) - (xy 141.907671 120.150003) - (xy 141.907671 120.145069) - (xy 141.907698 120.145069) - (xy 141.90753 120.133308) - (xy 141.907773 120.130947) - (xy 141.907772 120.130945) - (xy 141.907773 120.130942) - (xy 141.896987 120.068387) - (xy 141.896536 120.065425) - (xy 141.888669 120.005664) - (xy 141.887598 120.003078) - (xy 141.882967 119.987077) - (xy 141.882934 119.986887) - (xy 141.881948 119.981167) - (xy 141.86544 119.946457) - (xy 141.856223 119.927075) - (xy 141.854658 119.923556) - (xy 141.85196 119.917043) - (xy 141.832957 119.871165) - (xy 141.832955 119.871162) - (xy 141.829052 119.866075) - (xy 141.8208 119.852592) - (xy 141.820084 119.851087) - (xy 141.816671 119.84391) - (xy 141.788962 119.812132) - (xy 141.779656 119.801459) - (xy 141.776675 119.797816) - (xy 141.752303 119.766054) - (xy 141.744333 119.755667) - (xy 141.744332 119.755666) - (xy 141.736375 119.74956) - (xy 141.725474 119.73932) - (xy 141.716786 119.729355) - (xy 141.716782 119.729352) - (xy 141.672683 119.700428) - (xy 141.668148 119.697208) - (xy 141.628837 119.667044) - (xy 141.628836 119.667043) - (xy 141.625372 119.665608) - (xy 141.616231 119.661821) - (xy 141.603772 119.65523) - (xy 141.595825 119.650018) - (xy 141.589693 119.645996) - (xy 141.542828 119.631121) - (xy 141.53682 119.628928) - (xy 141.494334 119.61133) - (xy 141.477236 119.609079) - (xy 141.464305 119.6062) - (xy 141.446694 119.600611) - (xy 141.444826 119.600018) - (xy 141.44427 119.599999) - (xy 141.399278 119.598461) - (xy 141.392034 119.597862) - (xy 141.35 119.592329) - (xy 141.349998 119.592329) - (xy 141.32933 119.595049) - (xy 141.316951 119.595648) - (xy 141.292929 119.594827) - (xy 141.29292 119.594828) - (xy 141.25212 119.60477) - (xy 141.244137 119.606264) - (xy 141.205667 119.61133) - (xy 141.205663 119.611331) - (xy 141.183078 119.620685) - (xy 141.172115 119.624267) - (xy 141.147963 119.630154) - (xy 141.145259 119.630813) - (xy 141.111764 119.649644) - (xy 141.103693 119.653567) - (xy 141.071163 119.667043) - (xy 141.048927 119.684104) - (xy 141.040014 119.689987) - (xy 141.012779 119.705303) - (xy 141.012768 119.705311) - (xy 140.988152 119.729927) - (xy 140.98076 119.73641) - (xy 140.955668 119.755665) - (xy 140.936413 119.780757) - (xy 140.929931 119.788148) - (xy 140.905948 119.812132) - (xy 140.859328 119.833873) - (xy 140.80964 119.82056) - (xy 140.799598 119.812133) - (xy 140.78471 119.797245) - (xy 140.667466 119.737507) - (xy 140.667468 119.737507) - (xy 140.570194 119.7221) - (xy 140.570192 119.7221) - (xy 139.629808 119.7221) - (xy 139.629805 119.7221) - (xy 139.532532 119.737507) - (xy 139.415289 119.797245) - (xy 139.387461 119.825074) - (xy 139.340841 119.846814) - (xy 139.334287 119.8471) - (xy 138.362182 119.8471) - (xy 138.290359 119.856972) - (xy 138.249424 119.862598) - (xy 138.172934 119.895822) - (xy 138.121589 119.898942) - (xy 138.08025 119.868328) - (xy 138.068262 119.818305) - (xy 138.074821 119.795065) - (xy 138.121362 119.69526) - (xy 138.121363 119.695257) - (xy 138.1274 119.6494) - (xy 138.1274 119.6) - (xy 136.4726 119.6) - (xy 136.4726 119.6494) - (xy 136.478636 119.695257) - (xy 136.478638 119.695263) - (xy 136.525567 119.795902) - (xy 136.525573 119.79591) - (xy 136.601135 119.871472) - (xy 136.622875 119.918092) - (xy 136.609561 119.967779) - (xy 136.601135 119.97782) - (xy 136.525156 120.053798) - (xy 136.525151 120.053805) - (xy 136.478147 120.154608) - (xy 136.478147 120.154609) - (xy 136.4721 120.20054) - (xy 136.4721 120.59946) - (xy 136.0279 120.59946) - (xy 136.0279 120.20054) - (xy 136.021853 120.154609) - (xy 135.974847 120.053803) - (xy 135.974845 120.053801) - (xy 135.974843 120.053798) - (xy 135.896201 119.975156) - (xy 135.896194 119.975151) - (xy 135.795391 119.928147) - (xy 135.787248 119.927075) - (xy 135.74946 119.9221) - (xy 134.65054 119.9221) - (xy 134.642565 119.92315) - (xy 134.60461 119.928146) - (xy 134.604607 119.928147) - (xy 134.586001 119.936823) - (xy 134.579073 119.940054) - (xy 134.547293 119.9471) - (xy 134.343534 119.9471) - (xy 134.297756 119.931561) - (xy 134.293307 119.928147) - (xy 134.278836 119.917043) - (xy 134.278834 119.917042) - (xy 134.278829 119.917039) - (xy 134.256257 119.907689) - (xy 134.245968 119.902469) - (xy 134.222345 119.888105) - (xy 134.222342 119.888103) - (xy 134.185361 119.877742) - (xy 134.176874 119.874808) - (xy 134.144334 119.86133) - (xy 134.116538 119.85767) - (xy 134.106071 119.855526) - (xy 134.075994 119.8471) - (xy 134.041171 119.8471) - (xy 134.031356 119.846457) - (xy 134 119.842329) - (xy 133.968644 119.846457) - (xy 133.958829 119.8471) - (xy 133.515713 119.8471) - (xy 133.467375 119.829507) - (xy 133.462539 119.825074) - (xy 133.43471 119.797245) - (xy 133.317466 119.737507) - (xy 133.317468 119.737507) - (xy 133.220194 119.7221) - (xy 133.220192 119.7221) - (xy 132.279808 119.7221) - (xy 132.279805 119.7221) - (xy 132.182532 119.737507) - (xy 132.06529 119.797244) - (xy 132.053773 119.808762) - (xy 132.007152 119.8305) - (xy 131.957465 119.817185) - (xy 131.947426 119.80876) - (xy 131.944336 119.80567) - (xy 131.944334 119.805668) - (xy 131.944333 119.805667) - (xy 131.936372 119.799558) - (xy 131.925477 119.789324) - (xy 131.916784 119.779354) - (xy 131.880671 119.755667) - (xy 131.872669 119.750418) - (xy 131.868137 119.747199) - (xy 131.84488 119.729354) - (xy 131.828836 119.717043) - (xy 131.828834 119.717042) - (xy 131.827785 119.716237) - (xy 131.824691 119.714182) - (xy 131.823531 119.71353) - (xy 131.823529 119.713528) - (xy 131.812902 119.709337) - (xy 131.79925 119.702262) - (xy 131.789699 119.695998) - (xy 131.789696 119.695996) - (xy 131.789694 119.695995) - (xy 131.789693 119.695994) - (xy 131.78969 119.695993) - (xy 131.742831 119.681121) - (xy 131.736807 119.678923) - (xy 131.694336 119.661331) - (xy 131.694334 119.66133) - (xy 131.689582 119.660057) - (xy 131.68971 119.659578) - (xy 131.68715 119.658914) - (xy 131.687133 119.658987) - (xy 131.68214 119.65777) - (xy 131.670768 119.656601) - (xy 131.655713 119.653472) - (xy 131.644827 119.650017) - (xy 131.633454 119.649628) - (xy 131.599272 119.64846) - (xy 131.592027 119.647861) - (xy 131.550001 119.642329) - (xy 131.545076 119.642329) - (xy 131.545076 119.642304) - (xy 131.533323 119.642471) - (xy 131.530944 119.642226) - (xy 131.519682 119.644168) - (xy 131.504343 119.645217) - (xy 131.492931 119.644827) - (xy 131.492922 119.644828) - (xy 131.452123 119.65477) - (xy 131.444139 119.656264) - (xy 131.405667 119.66133) - (xy 131.405657 119.661333) - (xy 131.403069 119.662405) - (xy 131.387087 119.66703) - (xy 131.381171 119.66805) - (xy 131.381165 119.668052) - (xy 131.370844 119.67296) - (xy 131.356365 119.678106) - (xy 131.347976 119.680151) - (xy 131.345256 119.680814) - (xy 131.311771 119.699642) - (xy 131.303695 119.703567) - (xy 131.299502 119.705305) - (xy 131.27116 119.717045) - (xy 131.271158 119.717046) - (xy 131.266065 119.720954) - (xy 131.2526 119.729195) - (xy 131.24391 119.733328) - (xy 131.243908 119.733329) - (xy 131.235296 119.740839) - (xy 131.222734 119.749706) - (xy 131.21278 119.755303) - (xy 131.21277 119.75531) - (xy 131.188156 119.779924) - (xy 131.180764 119.786408) - (xy 131.155662 119.80567) - (xy 131.152573 119.80876) - (xy 131.105953 119.8305) - (xy 131.056266 119.817186) - (xy 131.046225 119.80876) - (xy 131.03471 119.797245) - (xy 130.917466 119.737507) - (xy 130.917468 119.737507) - (xy 130.820194 119.7221) - (xy 130.820192 119.7221) - (xy 129.879808 119.7221) - (xy 129.879805 119.7221) - (xy 129.782532 119.737507) - (xy 129.66529 119.797244) - (xy 129.653773 119.808762) - (xy 129.607152 119.8305) - (xy 129.557465 119.817185) - (xy 129.547426 119.80876) - (xy 129.544336 119.80567) - (xy 129.544334 119.805668) - (xy 129.544333 119.805667) - (xy 129.536372 119.799558) - (xy 129.525477 119.789324) - (xy 129.516784 119.779354) - (xy 129.516783 119.779353) - (xy 129.472674 119.750422) - (xy 129.46814 119.747201) - (xy 129.427794 119.716243) - (xy 129.424691 119.714182) - (xy 129.423526 119.713526) - (xy 129.4129 119.709336) - (xy 129.399247 119.702261) - (xy 129.389699 119.695999) - (xy 129.389692 119.695995) - (xy 129.342838 119.681124) - (xy 129.336811 119.678924) - (xy 129.294338 119.661331) - (xy 129.289582 119.660057) - (xy 129.28971 119.659578) - (xy 129.28715 119.658914) - (xy 129.287133 119.658987) - (xy 129.28214 119.65777) - (xy 129.270768 119.656601) - (xy 129.255713 119.653472) - (xy 129.244827 119.650017) - (xy 129.233454 119.649628) - (xy 129.199272 119.64846) - (xy 129.192027 119.647861) - (xy 129.150001 119.642329) - (xy 129.145076 119.642329) - (xy 129.145076 119.642304) - (xy 129.133323 119.642471) - (xy 129.130944 119.642226) - (xy 129.119682 119.644168) - (xy 129.104343 119.645217) - (xy 129.092931 119.644827) - (xy 129.092921 119.644828) - (xy 129.052122 119.65477) - (xy 129.044138 119.656264) - (xy 129.005668 119.66133) - (xy 129.00566 119.661332) - (xy 129.003073 119.662404) - (xy 128.987086 119.667031) - (xy 128.981166 119.668052) - (xy 128.970851 119.672957) - (xy 128.956367 119.678105) - (xy 128.945261 119.680812) - (xy 128.94526 119.680812) - (xy 128.911764 119.699644) - (xy 128.903693 119.703567) - (xy 128.871161 119.717044) - (xy 128.871157 119.717046) - (xy 128.866064 119.720954) - (xy 128.8526 119.729194) - (xy 128.843915 119.733325) - (xy 128.843907 119.73333) - (xy 128.835295 119.740839) - (xy 128.822741 119.749701) - (xy 128.812779 119.755303) - (xy 128.812768 119.755311) - (xy 128.788152 119.779927) - (xy 128.78076 119.78641) - (xy 128.755667 119.805665) - (xy 128.749559 119.813625) - (xy 128.73933 119.824516) - (xy 128.729352 119.833217) - (xy 128.72935 119.833219) - (xy 128.723084 119.842772) - (xy 128.713382 119.854697) - (xy 128.643007 119.925074) - (xy 128.596387 119.946814) - (xy 128.589832 119.9471) - (xy 128.49057 119.9471) - (xy 128.457542 119.939459) - (xy 128.443203 119.932449) - (xy 128.372182 119.9221) - (xy 128.372174 119.9221) - (xy 127.327826 119.9221) - (xy 127.327817 119.9221) - (xy 127.256797 119.932449) - (xy 127.243383 119.939007) - (xy 127.242458 119.939459) - (xy 127.20943 119.9471) - (xy 126.641171 119.9471) - (xy 126.631356 119.946457) - (xy 126.629053 119.946153) - (xy 126.6 119.942329) - (xy 126.599999 119.942329) - (xy 126.599998 119.942329) - (xy 126.567792 119.946568) - (xy 126.563137 119.947034) - (xy 126.56219 119.947099) - (xy 126.562183 119.9471) - (xy 126.562182 119.9471) - (xy 126.559491 119.947469) - (xy 126.526564 119.951994) - (xy 126.526145 119.95205) - (xy 126.454352 119.961503) - (xy 126.45072 119.962236) - (xy 126.449429 119.962597) - (xy 126.449427 119.962598) - (xy 126.449424 119.962598) - (xy 126.449421 119.9626) - (xy 126.38461 119.990749) - (xy 126.383433 119.991249) - (xy 126.321163 120.017043) - (xy 126.316898 120.019506) - (xy 126.316652 120.019079) - (xy 126.31438 120.020414) - (xy 126.314418 120.020476) - (xy 126.31002 120.02315) - (xy 126.258011 120.065461) - (xy 126.256335 120.066785) - (xy 126.205666 120.105666) - (xy 126.202187 120.109146) - (xy 126.20217 120.109129) - (xy 126.193985 120.117551) - (xy 126.192125 120.119064) - (xy 126.19212 120.11907) - (xy 126.155508 120.170936) - (xy 126.153734 120.173345) - (xy 126.117046 120.221159) - (xy 126.117042 120.221167) - (xy 126.115969 120.223757) - (xy 126.107938 120.238329) - (xy 126.104474 120.243237) - (xy 126.104472 120.24324) - (xy 126.084409 120.299688) - (xy 126.083028 120.30328) - (xy 126.06133 120.355664) - (xy 126.060493 120.362024) - (xy 126.056797 120.377385) - (xy 126.053574 120.386452) - (xy 126.04973 120.442649) - (xy 126.049262 120.44733) - (xy 126.042329 120.5) - (xy 126.042329 120.500002) - (xy 121.801452 120.500002) - (xy 121.763578 120.42253) - (xy 121.677469 120.336421) - (xy 121.568058 120.282934) - (xy 121.568059 120.282934) - (xy 121.497141 120.2726) - (xy 121.35 120.2726) - (xy 121.05 120.2726) - (xy 120.90287 120.2726) - (xy 120.902857 120.272601) - (xy 120.83194 120.282934) - (xy 120.72253 120.336421) - (xy 120.636421 120.42253) - (xy 120.582934 120.531941) - (xy 120.5726 120.602858) - (xy 120.5726 120.7) - (xy 120.0029 120.7) - (xy 120.002899 120.07509) - (xy 119.988227 120.001323) - (xy 119.954514 119.950868) - (xy 119.942289 119.900905) - (xy 119.963868 119.855918) - (xy 119.980016 119.839771) - (xy 120.037957 119.726055) - (xy 120.057922 119.6) - (xy 120.057583 119.597862) - (xy 120.051649 119.560396) - (xy 120.037957 119.473945) - (xy 119.980016 119.360229) - (xy 119.889771 119.269984) - (xy 119.776055 119.212043) - (xy 119.776057 119.212043) - (xy 119.65 119.192078) - (xy 119.523943 119.212043) - (xy 119.410228 119.269984) - (xy 119.319984 119.360228) - (xy 119.262043 119.473943) - (xy 119.242078 119.599999) - (xy 119.242078 119.6) - (xy 119.262043 119.726056) - (xy 119.276042 119.75353) - (xy 119.282311 119.804586) - (xy 119.254295 119.847727) - (xy 119.205102 119.862767) - (xy 119.194367 119.861425) - (xy 119.15 119.852599) - (xy 119.15 121.147397) - (xy 118.85 121.147397) - (xy 118.85 120.65) - (xy 118.5 120.65) - (xy 118.2 120.65) - (xy 117.997601 120.65) - (xy 117.127399 120.65) - (xy 117.127399 120.602869) - (xy 117.127398 120.602857) - (xy 117.117065 120.53194) - (xy 117.063578 120.42253) - (xy 116.991048 120.35) - (xy 117.9976 120.35) - (xy 118.2 120.35) - (xy 118.2 119.852601) - (xy 118.151518 119.862244) - (xy 118.06803 119.91803) - (xy 118.012244 120.001517) - (xy 118.012243 120.001519) - (xy 117.9976 120.075138) - (xy 117.9976 120.35) - (xy 116.991048 120.35) - (xy 116.977469 120.336421) - (xy 116.868058 120.282934) - (xy 116.868059 120.282934) - (xy 116.797141 120.2726) - (xy 116.65 120.2726) - (xy 116.35 120.2726) - (xy 116.20287 120.2726) - (xy 116.202857 120.272601) - (xy 116.13194 120.282934) - (xy 116.02253 120.336421) - (xy 115.936421 120.42253) - (xy 115.882934 120.531941) - (xy 115.8726 120.602858) - (xy 115.8726 120.7) - (xy 115.3529 120.7) - (xy 115.352899 120.07509) - (xy 115.338227 120.001323) - (xy 115.304514 119.950868) - (xy 115.292289 119.900905) - (xy 115.313868 119.855918) - (xy 115.317186 119.8526) - (xy 118.5 119.8526) - (xy 118.5 120.35) - (xy 118.85 120.35) - (xy 118.85 119.852601) - (xy 118.801518 119.862244) - (xy 118.71678 119.918866) - (xy 118.666814 119.931093) - (xy 118.63322 119.918866) - (xy 118.54848 119.862243) - (xy 118.5 119.8526) - (xy 115.317186 119.8526) - (xy 115.330016 119.839771) - (xy 115.387957 119.726055) - (xy 115.407922 119.6) - (xy 115.407583 119.597862) - (xy 115.401649 119.560396) - (xy 115.387957 119.473945) - (xy 115.330016 119.360229) - (xy 115.239771 119.269984) - (xy 115.126055 119.212043) - (xy 115.126057 119.212043) - (xy 115 119.192078) - (xy 114.873943 119.212043) - (xy 114.760228 119.269984) - (xy 114.669984 119.360228) - (xy 114.612043 119.473943) - (xy 114.592078 119.599999) - (xy 114.592078 119.6) - (xy 114.612043 119.726056) - (xy 114.626042 119.75353) - (xy 114.632311 119.804586) - (xy 114.604295 119.847727) - (xy 114.555102 119.862767) - (xy 114.544367 119.861425) - (xy 114.5 119.852599) - (xy 114.5 121.147397) - (xy 114.2 121.147397) (xy 114.2 120.65) (xy 113.85 120.65) (xy 113.55 120.65) @@ -24467,7 +22930,6 @@ (xy 69.38792 125.086346) (xy 69.390219 125.089435) (xy 69.390221 125.089438) - (xy 69.492821 125.227254) (xy 69.494332 125.229283) (xy 69.627882 125.341346) (xy 69.627883 125.341347) @@ -24578,7 +23040,7 @@ (xy 70.12655 122.689842) (xy 70.12655 122.689848) (xy 70.12655 122.928152) - (xy 70.129237 122.944255) + (xy 70.129784 122.94753) (xy 70.130602 122.952435) (xy 70.121203 123.003009) (xy 70.081495 123.03571) @@ -25714,7 +24176,7 @@ (xy 65.085772 123.163198) (xy 65.085773 123.163202) (xy 65.085774 123.163206) - (xy 65.140667 123.323104) + (xy 65.142292 123.327837) (xy 65.163152 123.3886) (xy 65.163153 123.388602) (xy 65.276569 123.598177) @@ -25783,7 +24245,7 @@ (xy 63.774918 124.0614) (xy 63.77492 124.0614) (xy 63.822676 124.055113) - (xy 63.916032 124.01158) + (xy 63.915108 124.012011) (xy 63.927473 124.006245) (xy 63.927473 124.006244) (xy 63.927477 124.006243) @@ -25803,6 +24265,8 @@ (xy 63.807248 122.968829) (xy 63.824457 122.935055) (xy 63.844422 122.809) + (xy 63.842594 122.797461) + (xy 63.838323 122.770493) (xy 63.824457 122.682945) (xy 63.824456 122.682943) (xy 63.824456 122.682942) @@ -25862,7 +24326,7 @@ (xy 62.863754 123.192526) (xy 62.814888 123.297319) (xy 62.814886 123.297325) - (xy 62.8086 123.345081) + (xy 62.8086 123.345078) (xy 62.8086 123.771918) (xy 62.5849 123.771918) (xy 62.5849 114.235046) @@ -26076,7 +24540,7 @@ (xy 62.877516 110.729771) (xy 62.935457 110.616055) (xy 62.955422 110.49) - (xy 62.952184 110.469559) + (xy 62.955321 110.489365) (xy 62.945117 110.424935) (xy 62.935457 110.363945) (xy 62.935001 110.363051) @@ -28119,7 +26583,7 @@ (xy 50.721636 93.864589) (xy 50.721639 93.864592) (xy 50.831197 93.918151) - (xy 50.883248 93.925735) + (xy 50.879577 93.9252) (xy 50.902217 93.928499) (xy 50.902222 93.928499) (xy 50.902226 93.9285) @@ -28142,7 +26606,7 @@ (xy 52.345358 93.640642) (xy 52.3465 93.6537) (xy 52.3465 93.705164) - (xy 52.34936 93.735656) + (xy 52.34936 93.735658) (xy 52.349369 93.73575) (xy 52.350776 93.739771) (xy 52.394459 93.864614) @@ -28187,7 +26651,141 @@ (xy 53.61722 97.457145) (xy 53.662962 97.35355) (xy 53.6659 97.328226) - (xy 53.6659 97.328223) + (xy 53.6659 95.587774) + (xy 53.662962 95.56245) + (xy 53.61722 95.458855) + (xy 53.617219 95.458854) + (xy 53.617217 95.458851) + (xy 53.537148 95.378782) + (xy 53.537141 95.378777) + (xy 53.485725 95.356075) + (xy 53.448613 95.320457) + (xy 53.4409 95.287283) + (xy 53.4409 94.1787) + (xy 53.458493 94.130362) + (xy 53.503042 94.104642) + (xy 53.5161 94.1035) + (xy 53.653963 94.1035) + (xy 53.653963 94.103499) + (xy 53.68455 94.100631) + (xy 53.813417 94.055539) + (xy 53.923266 93.974466) + (xy 53.992583 93.880544) + (xy 54.035443 93.8521) + (xy 54.053089 93.85) + (xy 54.546332 93.85) + (xy 54.59467 93.867593) + (xy 54.606837 93.880544) + (xy 54.67589 93.974105) + (xy 54.785623 94.055093) + (xy 54.785622 94.055093) + (xy 54.914341 94.100132) + (xy 54.91435 94.100134) + (xy 54.944899 94.102999) + (xy 55.2494 94.102999) + (xy 55.2494 93.9252) + (xy 55.266993 93.876862) + (xy 55.311542 93.851142) + (xy 55.3246 93.85) + (xy 55.4742 93.85) + (xy 55.522538 93.867593) + (xy 55.548258 93.912142) + (xy 55.5494 93.9252) + (xy 55.5494 94.102999) + (xy 55.853893 94.102999) + (xy 55.884456 94.100134) + (xy 56.013176 94.055093) + (xy 56.122907 93.974107) + (xy 56.203893 93.864376) + (xy 56.248932 93.735658) + (xy 56.248934 93.735649) + (xy 56.251799 93.705101) + (xy 56.2518 93.7051) + (xy 56.2518 93.5006) + (xy 56.0752 93.5006) + (xy 56.026862 93.483007) + (xy 56.001142 93.438458) + (xy 56 93.4254) + (xy 56 93.2758) + (xy 56.017593 93.227462) + (xy 56.062142 93.201742) + (xy 56.0752 93.2006) + (xy 56.251799 93.2006) + (xy 56.251799 92.996107) + (xy 56.248934 92.965543) + (xy 56.203893 92.836823) + (xy 56.122905 92.72709) + (xy 56.030544 92.658923) + (xy 56.0021 92.616064) + (xy 56 92.598418) + (xy 56 92.403403) + (xy 56.017593 92.355065) + (xy 56.03054 92.3429) + (xy 56.123266 92.274466) + (xy 56.204339 92.164617) + (xy 56.249431 92.03575) + (xy 56.252299 92.005163) + (xy 56.2523 92.005163) + (xy 56.2523 91.9537) + (xy 56.269893 91.905362) + (xy 56.314442 91.879642) + (xy 56.3275 91.8785) + (xy 56.425053 91.8785) + (xy 56.473391 91.896093) + (xy 56.478227 91.900526) + (xy 56.500074 91.922373) + (xy 56.521814 91.968993) + (xy 56.5221 91.975547) + (xy 56.5221 93.874452) + (xy 56.504507 93.92279) + (xy 56.500074 93.927626) + (xy 55.827026 94.600674) + (xy 55.780406 94.622414) + (xy 55.773852 94.6227) + (xy 54.699829 94.6227) + (xy 54.695892 94.622597) + (xy 54.655451 94.620476) + (xy 54.632497 94.629288) + (xy 54.621186 94.632639) + (xy 54.597135 94.637751) + (xy 54.597132 94.637753) + (xy 54.590224 94.642772) + (xy 54.572983 94.652133) + (xy 54.565007 94.655195) + (xy 54.565001 94.655199) + (xy 54.547609 94.672589) + (xy 54.538645 94.680245) + (xy 54.518754 94.694698) + (xy 54.518751 94.694701) + (xy 54.514483 94.702094) + (xy 54.502535 94.717664) + (xy 54.327448 94.89275) + (xy 54.324593 94.895459) + (xy 54.294507 94.922548) + (xy 54.294505 94.92255) + (xy 54.284506 94.94501) + (xy 54.278878 94.955376) + (xy 54.265484 94.976001) + (xy 54.265483 94.976006) + (xy 54.264148 94.984435) + (xy 54.258574 95.003254) + (xy 54.2551 95.011057) + (xy 54.2551 95.035652) + (xy 54.254174 95.047416) + (xy 54.2506 95.069984) + (xy 54.250329 95.071694) + (xy 54.252501 95.079802) + (xy 54.252538 95.079938) + (xy 54.2551 95.0994) + (xy 54.2551 95.287283) + (xy 54.237507 95.335621) + (xy 54.210275 95.356075) + (xy 54.158858 95.378777) + (xy 54.158851 95.378782) + (xy 54.078782 95.458851) + (xy 54.07878 95.458854) + (xy 54.033037 95.562451) + (xy 54.0301 95.587776) (xy 54.0301 97.328223) (xy 54.033037 97.353548) (xy 54.07878 97.457145) @@ -28861,7 +27459,7 @@ (xy 86.3529 118.5219) (xy 86.3529 118.14117) (xy 86.353543 118.131355) - (xy 86.355043 118.119961) + (xy 86.354082 118.12726) (xy 86.357671 118.1) (xy 86.35343 118.067788) (xy 86.352963 118.063112) @@ -28969,7 +27567,7 @@ (xy 85.246457 118.068644) (xy 85.242638 118.097656) (xy 85.242329 118.1) - (xy 85.244957 118.119961) + (xy 85.245918 118.12726) (xy 85.246457 118.131355) (xy 85.2471 118.14117) (xy 85.2471 118.5679) @@ -29299,7 +27897,7 @@ (xy 95.5529 118.5219) (xy 95.5529 118.14117) (xy 95.553543 118.131355) - (xy 95.555043 118.119961) + (xy 95.554082 118.12726) (xy 95.557671 118.1) (xy 95.55343 118.067788) (xy 95.552963 118.063112) @@ -29407,7 +28005,7 @@ (xy 94.446457 118.068644) (xy 94.442638 118.097656) (xy 94.442329 118.1) - (xy 94.444957 118.119961) + (xy 94.445918 118.12726) (xy 94.446457 118.131355) (xy 94.4471 118.14117) (xy 94.4471 118.5679) @@ -30248,27 +28846,27 @@ (xy 85.047768 105.818886) (xy 85.016811 105.859968) (xy 84.975854 105.8721) - (xy 83.007936 105.8721) - (xy 83.004 105.871997) - (xy 83.002192 105.871902) - (xy 82.963551 105.869876) - (xy 82.96355 105.869876) - (xy 82.940597 105.878688) - (xy 82.929286 105.882039) - (xy 82.905235 105.887151) - (xy 82.905229 105.887154) - (xy 82.898324 105.892171) - (xy 82.881085 105.901532) - (xy 82.873104 105.904596) - (xy 82.855712 105.921987) - (xy 82.846746 105.929645) - (xy 82.826852 105.9441) - (xy 82.826851 105.9441) - (xy 82.822583 105.951493) - (xy 82.810637 105.967061) - (xy 82.577626 106.200074) - (xy 82.531005 106.221814) - (xy 82.524451 106.2221) + (xy 83.557936 105.8721) + (xy 83.554 105.871997) + (xy 83.552192 105.871902) + (xy 83.513551 105.869876) + (xy 83.51355 105.869876) + (xy 83.490597 105.878688) + (xy 83.479286 105.882039) + (xy 83.455235 105.887151) + (xy 83.455229 105.887154) + (xy 83.448324 105.892171) + (xy 83.431085 105.901532) + (xy 83.423104 105.904596) + (xy 83.405712 105.921987) + (xy 83.396746 105.929645) + (xy 83.376852 105.9441) + (xy 83.376851 105.9441) + (xy 83.372583 105.951493) + (xy 83.360637 105.967061) + (xy 83.127626 106.200074) + (xy 83.081005 106.221814) + (xy 83.074451 106.2221) (xy 77.988047 106.2221) (xy 77.939709 106.204507) (xy 77.934873 106.200074) @@ -30536,7 +29134,7 @@ (xy 80.120085 103.411364) (xy 80.098345 103.364744) (xy 80.106255 103.32405) - (xy 80.127236 103.282871) + (xy 80.131145 103.2752) (xy 80.143957 103.250055) (xy 80.163922 103.124) (xy 80.163305 103.120107) @@ -30675,7 +29273,7 @@ (xy 78.850085 103.411364) (xy 78.828345 103.364744) (xy 78.836255 103.32405) - (xy 78.857236 103.282871) + (xy 78.861145 103.2752) (xy 78.873957 103.250055) (xy 78.893922 103.124) (xy 78.893305 103.120107) @@ -30712,7 +29310,7 @@ (xy 77.580085 103.411364) (xy 77.558345 103.364744) (xy 77.566255 103.32405) - (xy 77.587236 103.282871) + (xy 77.591145 103.2752) (xy 77.603957 103.250055) (xy 77.623922 103.124) (xy 77.623305 103.120107) @@ -30912,36 +29510,14 @@ (xy 77.717253 106.981315) (xy 77.72044 106.980462) (xy 77.739901 106.9779) - (xy 82.792064 106.9779) - (xy 82.796 106.978003) - (xy 82.836447 106.980123) - (xy 82.836447 106.980122) - (xy 82.836448 106.980123) - (xy 82.859403 106.97131) - (xy 82.870722 106.967958) - (xy 82.894761 106.962849) - (xy 82.89476 106.962849) - (xy 82.894766 106.962848) - (xy 82.901674 106.957828) - (xy 82.918921 106.948464) - (xy 82.926896 106.945403) - (xy 82.944289 106.928009) - (xy 82.953249 106.920356) - (xy 82.973146 106.905901) - (xy 82.977415 106.898506) - (xy 82.98936 106.882938) - (xy 83.222375 106.649925) - (xy 83.268995 106.628186) - (xy 83.275549 106.6279) - (xy 83.418253 106.6279) - (xy 83.466591 106.645493) - (xy 83.492311 106.690042) - (xy 83.483378 106.7407) - (xy 83.471431 106.756269) - (xy 82.905743 107.321956) - (xy 82.794457 107.433242) - (xy 82.791603 107.43595) - (xy 82.761505 107.46305) + (xy 83.068251 106.9779) + (xy 83.116589 106.995493) + (xy 83.142309 107.040042) + (xy 83.133376 107.0907) + (xy 83.121425 107.106275) + (xy 82.794446 107.433252) + (xy 82.791592 107.435961) + (xy 82.761504 107.463052) (xy 82.754438 107.478926) (xy 82.752351 107.483614) (xy 82.751507 107.485509) @@ -30958,42 +29534,38 @@ (xy 82.718381 107.616122) (xy 82.719538 107.620438) (xy 82.7221 107.6399) - (xy 82.7221 110.742062) - (xy 82.721997 110.745998) - (xy 82.719876 110.786448) - (xy 82.728688 110.809402) - (xy 82.732039 110.820715) - (xy 82.737151 110.844763) - (xy 82.737152 110.844766) - (xy 82.742169 110.851671) - (xy 82.751534 110.86892) - (xy 82.752368 110.871091) - (xy 82.754596 110.876895) - (xy 82.754597 110.876896) - (xy 82.771985 110.894284) - (xy 82.779644 110.903252) - (xy 82.794097 110.923144) - (xy 82.794099 110.923146) - (xy 82.801495 110.927416) - (xy 82.817066 110.939365) - (xy 85.983231 114.10553) - (xy 85.985942 114.108386) - (xy 86.013051 114.138494) - (xy 86.035516 114.148495) - (xy 86.045885 114.154126) - (xy 86.049193 114.156274) - (xy 86.066502 114.167516) - (xy 86.074928 114.16885) - (xy 86.093759 114.174428) - (xy 86.101553 114.177898) - (xy 86.101555 114.177898) - (xy 86.101558 114.1779) - (xy 86.126152 114.1779) - (xy 86.137915 114.178825) - (xy 86.162194 114.182671) - (xy 86.167253 114.181315) - (xy 86.17044 114.180462) - (xy 86.189901 114.1779) + (xy 82.7221 110.292062) + (xy 82.721997 110.295998) + (xy 82.719876 110.336448) + (xy 82.728688 110.359402) + (xy 82.732039 110.370715) + (xy 82.737151 110.394763) + (xy 82.737152 110.394766) + (xy 82.742169 110.401671) + (xy 82.751536 110.418922) + (xy 82.754596 110.426895) + (xy 82.754597 110.426896) + (xy 82.771985 110.444284) + (xy 82.779644 110.453252) + (xy 82.794097 110.473144) + (xy 82.794099 110.473146) + (xy 82.801495 110.477416) + (xy 82.817066 110.489365) + (xy 86.433231 114.10553) + (xy 86.435942 114.108386) + (xy 86.463051 114.138494) + (xy 86.485516 114.148496) + (xy 86.495884 114.154125) + (xy 86.516504 114.167516) + (xy 86.524936 114.168851) + (xy 86.543755 114.174426) + (xy 86.551558 114.1779) + (xy 86.576147 114.1779) + (xy 86.58791 114.178825) + (xy 86.612194 114.182672) + (xy 86.618459 114.180993) + (xy 86.620443 114.180462) + (xy 86.639904 114.1779) (xy 92.724453 114.1779) (xy 92.772791 114.195493) (xy 92.777627 114.199926) @@ -31266,7 +29838,7 @@ (xy 104.7529 118.5219) (xy 104.7529 118.14117) (xy 104.753543 118.131355) - (xy 104.755043 118.119961) + (xy 104.754082 118.12726) (xy 104.757671 118.1) (xy 104.75343 118.067788) (xy 104.752963 118.063112) @@ -31374,7 +29946,7 @@ (xy 103.646457 118.068644) (xy 103.642638 118.097656) (xy 103.642329 118.1) - (xy 103.644957 118.119961) + (xy 103.645918 118.12726) (xy 103.646457 118.131355) (xy 103.6471 118.14117) (xy 103.6471 118.5679) @@ -31576,7 +30148,1289 @@ (xy 111.854672 119.8526) (xy 111.912501 119.766054) (xy 111.9279 119.688637) - (xy 111.927899 118.600002) + (xy 111.927899 119.038418) + (xy 114.4971 119.038418) + (xy 114.503386 119.086174) + (xy 114.503388 119.08618) + (xy 114.552254 119.190973) + (xy 114.55226 119.190981) + (xy 114.634018 119.272739) + (xy 114.634021 119.272741) + (xy 114.634023 119.272743) + (xy 114.634025 119.272743) + (xy 114.639413 119.276517) + (xy 114.637532 119.279202) + (xy 114.664871 119.306498) + (xy 114.669394 119.357739) + (xy 114.663745 119.372472) + (xy 114.612043 119.473943) + (xy 114.592078 119.599999) + (xy 114.592078 119.6) + (xy 114.612043 119.726056) + (xy 114.626042 119.75353) + (xy 114.632311 119.804586) + (xy 114.604295 119.847727) + (xy 114.555102 119.862767) + (xy 114.544367 119.861425) + (xy 114.5 119.852599) + (xy 114.5 121.147397) + (xy 114.548478 121.137756) + (xy 114.548483 121.137754) + (xy 114.63277 121.081435) + (xy 114.682735 121.069208) + (xy 114.716328 121.081435) + (xy 114.801319 121.138225) + (xy 114.801321 121.138226) + (xy 114.801323 121.138227) + (xy 114.875089 121.1529) + (xy 115.12491 121.152899) + (xy 115.124911 121.152899) + (xy 115.124911 121.152898) + (xy 115.198677 121.138227) + (xy 115.282331 121.082331) + (xy 115.337343 121) + (xy 115.872601 121) + (xy 115.872601 121.097142) + (xy 115.882934 121.168059) + (xy 115.936421 121.277469) + (xy 116.02253 121.363578) + (xy 116.131941 121.417065) + (xy 116.13194 121.417065) + (xy 116.202863 121.427399) + (xy 116.35 121.427399) + (xy 116.35 121) + (xy 116.65 121) + (xy 116.65 121.427399) + (xy 116.79713 121.427399) + (xy 116.797142 121.427398) + (xy 116.868059 121.417065) + (xy 116.977469 121.363578) + (xy 117.063578 121.277469) + (xy 117.117065 121.168058) + (xy 117.127399 121.097141) + (xy 117.1274 121.097131) + (xy 117.1274 121) + (xy 116.65 121) + (xy 116.35 121) + (xy 115.872601 121) + (xy 115.337343 121) + (xy 115.338227 120.998677) + (xy 115.3529 120.924911) + (xy 115.3529 120.7) + (xy 115.8726 120.7) + (xy 116.35 120.7) + (xy 116.35 120.2726) + (xy 116.65 120.2726) + (xy 116.65 120.7) + (xy 117.127399 120.7) + (xy 117.127399 120.65) + (xy 117.997601 120.65) + (xy 117.997601 120.924861) + (xy 118.012244 120.998481) + (xy 118.06803 121.081969) + (xy 118.151518 121.137755) + (xy 118.2 121.147398) + (xy 118.2 120.65) + (xy 118.5 120.65) + (xy 118.5 121.147397) + (xy 118.548478 121.137756) + (xy 118.54848 121.137755) + (xy 118.633219 121.081133) + (xy 118.683184 121.068906) + (xy 118.716779 121.081133) + (xy 118.801518 121.137755) + (xy 118.85 121.147398) + (xy 118.85 120.65) + (xy 118.5 120.65) + (xy 118.2 120.65) + (xy 117.997601 120.65) + (xy 117.127399 120.65) + (xy 117.127399 120.602869) + (xy 117.127398 120.602857) + (xy 117.117065 120.53194) + (xy 117.063578 120.42253) + (xy 116.991048 120.35) + (xy 117.9976 120.35) + (xy 118.2 120.35) + (xy 118.2 119.852601) + (xy 118.151518 119.862244) + (xy 118.06803 119.91803) + (xy 118.012244 120.001517) + (xy 118.012243 120.001519) + (xy 117.9976 120.075138) + (xy 117.9976 120.35) + (xy 116.991048 120.35) + (xy 116.977469 120.336421) + (xy 116.868058 120.282934) + (xy 116.868059 120.282934) + (xy 116.797141 120.2726) + (xy 116.65 120.2726) + (xy 116.35 120.2726) + (xy 116.20287 120.2726) + (xy 116.202857 120.272601) + (xy 116.13194 120.282934) + (xy 116.02253 120.336421) + (xy 115.936421 120.42253) + (xy 115.882934 120.531941) + (xy 115.8726 120.602858) + (xy 115.8726 120.7) + (xy 115.3529 120.7) + (xy 115.352899 120.07509) + (xy 115.338227 120.001323) + (xy 115.304514 119.950868) + (xy 115.292289 119.900905) + (xy 115.313868 119.855918) + (xy 115.330016 119.839771) + (xy 115.387957 119.726055) + (xy 115.407922 119.6) + (xy 115.407583 119.597862) + (xy 115.401649 119.560396) + (xy 115.387957 119.473945) + (xy 115.336253 119.372471) + (xy 115.329985 119.321416) + (xy 115.358001 119.278275) + (xy 115.360689 119.276662) + (xy 115.360587 119.276517) + (xy 115.365971 119.272745) + (xy 115.365977 119.272743) + (xy 115.447743 119.190977) + (xy 115.453842 119.177899) + (xy 115.479222 119.123471) + (xy 115.496613 119.086176) + (xy 115.5029 119.03842) + (xy 115.5029 119.038418) + (xy 116.0971 119.038418) + (xy 116.103386 119.086174) + (xy 116.103388 119.08618) + (xy 116.152254 119.190973) + (xy 116.15226 119.190981) + (xy 116.234018 119.272739) + (xy 116.234026 119.272745) + (xy 116.338819 119.321611) + (xy 116.338821 119.321611) + (xy 116.338824 119.321613) + (xy 116.38658 119.3279) + (xy 116.386582 119.3279) + (xy 116.813418 119.3279) + (xy 116.81342 119.3279) + (xy 116.861176 119.321613) + (xy 116.864553 119.320037) + (xy 116.915796 119.315552) + (xy 116.957935 119.345055) + (xy 116.971251 119.394741) + (xy 116.963341 119.422331) + (xy 116.937043 119.473943) + (xy 116.917078 119.599999) + (xy 116.917078 119.6) + (xy 116.937043 119.726056) + (xy 116.989754 119.829507) + (xy 116.994984 119.839771) + (xy 117.085229 119.930016) + (xy 117.198943 119.987956) + (xy 117.198945 119.987957) + (xy 117.325 120.007922) + (xy 117.451055 119.987957) + (xy 117.564771 119.930016) + (xy 117.642187 119.8526) + (xy 118.5 119.8526) + (xy 118.5 120.35) + (xy 118.85 120.35) + (xy 118.85 119.852601) + (xy 118.801518 119.862244) + (xy 118.71678 119.918866) + (xy 118.666814 119.931093) + (xy 118.63322 119.918866) + (xy 118.54848 119.862243) + (xy 118.5 119.8526) + (xy 117.642187 119.8526) + (xy 117.655016 119.839771) + (xy 117.712957 119.726055) + (xy 117.732922 119.6) + (xy 117.732583 119.597862) + (xy 117.726649 119.560396) + (xy 117.712957 119.473945) + (xy 117.712956 119.473943) + (xy 117.712956 119.473942) + (xy 117.712956 119.473941) + (xy 117.686659 119.422332) + (xy 117.680389 119.371275) + (xy 117.708405 119.328134) + (xy 117.757597 119.313094) + (xy 117.785443 119.320037) + (xy 117.788819 119.321611) + (xy 117.788821 119.321611) + (xy 117.788824 119.321613) + (xy 117.83658 119.3279) + (xy 117.836582 119.3279) + (xy 118.263418 119.3279) + (xy 118.26342 119.3279) + (xy 118.311176 119.321613) + (xy 118.396338 119.281901) + (xy 118.415973 119.272745) + (xy 118.415973 119.272744) + (xy 118.415977 119.272743) + (xy 118.497743 119.190977) + (xy 118.503842 119.177899) + (xy 118.529222 119.123471) + (xy 118.546613 119.086176) + (xy 118.5529 119.03842) + (xy 118.5529 119.038418) + (xy 119.1471 119.038418) + (xy 119.153386 119.086174) + (xy 119.153388 119.08618) + (xy 119.202254 119.190973) + (xy 119.20226 119.190981) + (xy 119.284018 119.272739) + (xy 119.284021 119.272741) + (xy 119.284023 119.272743) + (xy 119.284025 119.272743) + (xy 119.289413 119.276517) + (xy 119.287532 119.279202) + (xy 119.314871 119.306498) + (xy 119.319394 119.357739) + (xy 119.313745 119.372472) + (xy 119.262043 119.473943) + (xy 119.242078 119.599999) + (xy 119.242078 119.6) + (xy 119.262043 119.726056) + (xy 119.276042 119.75353) + (xy 119.282311 119.804586) + (xy 119.254295 119.847727) + (xy 119.205102 119.862767) + (xy 119.194367 119.861425) + (xy 119.15 119.852599) + (xy 119.15 121.147397) + (xy 119.198478 121.137756) + (xy 119.198483 121.137754) + (xy 119.28277 121.081435) + (xy 119.332735 121.069208) + (xy 119.366328 121.081435) + (xy 119.451319 121.138225) + (xy 119.451321 121.138226) + (xy 119.451323 121.138227) + (xy 119.525089 121.1529) + (xy 119.77491 121.152899) + (xy 119.774911 121.152899) + (xy 119.774911 121.152898) + (xy 119.848677 121.138227) + (xy 119.932331 121.082331) + (xy 119.987343 121) + (xy 120.572601 121) + (xy 120.572601 121.097142) + (xy 120.582934 121.168059) + (xy 120.636421 121.277469) + (xy 120.72253 121.363578) + (xy 120.831941 121.417065) + (xy 120.83194 121.417065) + (xy 120.902863 121.427399) + (xy 121.05 121.427399) + (xy 121.05 121) + (xy 121.35 121) + (xy 121.35 121.427399) + (xy 121.49713 121.427399) + (xy 121.497142 121.427398) + (xy 121.568059 121.417065) + (xy 121.677469 121.363578) + (xy 121.763578 121.277469) + (xy 121.817065 121.168058) + (xy 121.827399 121.097141) + (xy 121.8274 121.097131) + (xy 121.8274 121) + (xy 121.35 121) + (xy 121.05 121) + (xy 120.572601 121) + (xy 119.987343 121) + (xy 119.988227 120.998677) + (xy 120.0029 120.924911) + (xy 120.0029 120.7) + (xy 120.5726 120.7) + (xy 121.05 120.7) + (xy 121.05 120.2726) + (xy 121.35 120.2726) + (xy 121.35 120.7) + (xy 121.827399 120.7) + (xy 121.827399 120.602869) + (xy 121.827398 120.602857) + (xy 121.817065 120.53194) + (xy 121.801452 120.500002) + (xy 126.042329 120.500002) + (xy 126.043637 120.509943) + (xy 126.044105 120.524882) + (xy 126.043202 120.538082) + (xy 126.043203 120.538087) + (xy 126.053932 120.589718) + (xy 126.054861 120.595201) + (xy 126.06133 120.644332) + (xy 126.061331 120.644337) + (xy 126.066549 120.656934) + (xy 126.070699 120.670406) + (xy 126.07404 120.686484) + (xy 126.074127 120.686899) + (xy 126.096744 120.730547) + (xy 126.09945 120.736365) + (xy 126.11704 120.778831) + (xy 126.117042 120.778834) + (xy 126.117043 120.778836) + (xy 126.121409 120.784526) + (xy 126.127539 120.792515) + (xy 126.134644 120.803692) + (xy 126.14405 120.821843) + (xy 126.144051 120.821844) + (xy 126.175163 120.855157) + (xy 126.179854 120.860693) + (xy 126.205667 120.894333) + (xy 126.222202 120.907021) + (xy 126.231378 120.915349) + (xy 126.247791 120.932923) + (xy 126.283684 120.954749) + (xy 126.290382 120.959337) + (xy 126.32116 120.982955) + (xy 126.321162 120.982956) + (xy 126.321164 120.982957) + (xy 126.330631 120.986878) + (xy 126.343745 120.992311) + (xy 126.354032 120.99753) + (xy 126.377653 121.011894) + (xy 126.414645 121.022258) + (xy 126.423136 121.025195) + (xy 126.455661 121.038668) + (xy 126.455664 121.038669) + (xy 126.483465 121.042328) + (xy 126.493924 121.044471) + (xy 126.524006 121.0529) + (xy 126.558829 121.0529) + (xy 126.568644 121.053543) + (xy 126.6 121.057671) + (xy 126.631356 121.053543) + (xy 126.641171 121.0529) + (xy 127.20943 121.0529) + (xy 127.242457 121.06054) + (xy 127.256797 121.067551) + (xy 127.308848 121.075135) + (xy 127.327817 121.077899) + (xy 127.327822 121.077899) + (xy 127.327826 121.0779) + (xy 127.327828 121.0779) + (xy 128.372172 121.0779) + (xy 128.372174 121.0779) + (xy 128.372178 121.077899) + (xy 128.372182 121.077899) + (xy 128.381151 121.076592) + (xy 128.443203 121.067551) + (xy 128.457542 121.06054) + (xy 128.49057 121.0529) + (xy 128.839285 121.0529) + (xy 128.841851 121.052943) + (xy 128.857832 121.053489) + (xy 128.90707 121.055172) + (xy 128.907072 121.055172) + (xy 128.907072 121.055171) + (xy 128.907073 121.055172) + (xy 128.949627 121.044801) + (xy 128.957178 121.043366) + (xy 129.000573 121.037402) + (xy 129.020976 121.028538) + (xy 129.033115 121.024456) + (xy 129.054741 121.019187) + (xy 129.092927 120.997714) + (xy 129.099807 120.994297) + (xy 129.139979 120.97685) + (xy 129.148684 120.969767) + (xy 129.196142 120.9529) + (xy 129.274006 120.9529) + (xy 129.317796 120.9529) + (xy 129.325485 120.953293) + (xy 129.369054 120.957773) + (xy 129.369054 120.957772) + (xy 129.369056 120.957773) + (xy 129.390971 120.953994) + (xy 129.40375 120.9529) + (xy 129.584287 120.9529) + (xy 129.632625 120.970493) + (xy 129.637461 120.974926) + (xy 129.66529 121.002755) + (xy 129.782532 121.062492) + (xy 129.782534 121.062493) + (xy 129.879808 121.0779) + (xy 129.879809 121.0779) + (xy 129.882726 121.078362) + (xy 129.882483 121.079894) + (xy 129.924562 121.099027) + (xy 129.946747 121.145436) + (xy 129.9471 121.152709) + (xy 129.9471 121.312374) + (xy 129.946174 121.324138) + (xy 129.942078 121.349999) + (xy 129.942078 121.35) + (xy 129.962043 121.476056) + (xy 130.015068 121.580123) + (xy 130.019984 121.589771) + (xy 130.110229 121.680016) + (xy 130.223943 121.737956) + (xy 130.223945 121.737957) + (xy 130.35 121.757922) + (xy 130.476055 121.737957) + (xy 130.589771 121.680016) + (xy 130.680016 121.589771) + (xy 130.737957 121.476055) + (xy 130.757922 121.35) + (xy 130.756828 121.343095) + (xy 130.753826 121.324138) + (xy 130.7529 121.312374) + (xy 130.7529 121.152709) + (xy 130.770493 121.104371) + (xy 130.815042 121.078651) + (xy 130.817274 121.078368) + (xy 130.817274 121.078362) + (xy 130.820191 121.0779) + (xy 130.820192 121.0779) + (xy 130.917466 121.062493) + (xy 131.03471 121.002755) + (xy 131.062539 120.974926) + (xy 131.109159 120.953186) + (xy 131.115713 120.9529) + (xy 131.339285 120.9529) + (xy 131.341851 120.952943) + (xy 131.352098 120.953294) + (xy 131.407071 120.955172) + (xy 131.407072 120.955172) + (xy 131.407072 120.955171) + (xy 131.407073 120.955172) + (xy 131.407623 120.955038) + (xy 131.425428 120.9529) + (xy 131.674006 120.9529) + (xy 131.717796 120.9529) + (xy 131.725485 120.953293) + (xy 131.769054 120.957773) + (xy 131.769054 120.957772) + (xy 131.769056 120.957773) + (xy 131.790971 120.953994) + (xy 131.80375 120.9529) + (xy 131.984287 120.9529) + (xy 132.032625 120.970493) + (xy 132.037461 120.974926) + (xy 132.06529 121.002755) + (xy 132.182532 121.062492) + (xy 132.182534 121.062493) + (xy 132.279808 121.0779) + (xy 132.279809 121.0779) + (xy 132.282726 121.078362) + (xy 132.282483 121.079894) + (xy 132.324562 121.099027) + (xy 132.346747 121.145436) + (xy 132.3471 121.152709) + (xy 132.3471 121.312374) + (xy 132.346174 121.324138) + (xy 132.342078 121.349999) + (xy 132.342078 121.35) + (xy 132.362043 121.476056) + (xy 132.415068 121.580123) + (xy 132.419984 121.589771) + (xy 132.510229 121.680016) + (xy 132.623943 121.737956) + (xy 132.623945 121.737957) + (xy 132.75 121.757922) + (xy 132.876055 121.737957) + (xy 132.989771 121.680016) + (xy 133.080016 121.589771) + (xy 133.137957 121.476055) + (xy 133.157922 121.35) + (xy 133.156828 121.343095) + (xy 133.153826 121.324138) + (xy 133.1529 121.312374) + (xy 133.1529 121.152709) + (xy 133.170493 121.104371) + (xy 133.215042 121.078651) + (xy 133.217274 121.078368) + (xy 133.217274 121.078362) + (xy 133.220191 121.0779) + (xy 133.220192 121.0779) + (xy 133.317466 121.062493) + (xy 133.43471 121.002755) + (xy 133.462539 120.974926) + (xy 133.509159 120.953186) + (xy 133.515713 120.9529) + (xy 133.958829 120.9529) + (xy 133.968644 120.953543) + (xy 134 120.957671) + (xy 134.032217 120.953429) + (xy 134.036889 120.952963) + (xy 134.037796 120.9529) + (xy 134.037818 120.9529) + (xy 134.073659 120.947973) + (xy 134.144336 120.938669) + (xy 134.144339 120.938667) + (xy 134.145696 120.938489) + (xy 134.149255 120.93777) + (xy 134.150571 120.937402) + (xy 134.150573 120.937402) + (xy 134.215416 120.909236) + (xy 134.216443 120.9088) + (xy 134.278836 120.882957) + (xy 134.278842 120.882951) + (xy 134.283107 120.880491) + (xy 134.283363 120.880935) + (xy 134.28563 120.879597) + (xy 134.285585 120.879522) + (xy 134.289974 120.876851) + (xy 134.289979 120.87685) + (xy 134.292768 120.87458) + (xy 134.298686 120.869767) + (xy 134.346144 120.8529) + (xy 134.547293 120.8529) + (xy 134.579073 120.859945) + (xy 134.604609 120.871853) + (xy 134.65054 120.8779) + (xy 134.6719 120.8779) + (xy 134.720238 120.895493) + (xy 134.745958 120.940042) + (xy 134.7471 120.9531) + (xy 134.7471 121.112228) + (xy 134.746335 121.122929) + (xy 134.742443 121.15) + (xy 134.746493 121.178172) + (xy 134.747048 121.183251) + (xy 134.7471 121.183948) + (xy 134.75189 121.215726) + (xy 134.751964 121.21623) + (xy 134.761227 121.280646) + (xy 134.76183 121.283374) + (xy 134.762334 121.285008) + (xy 134.789639 121.341709) + (xy 134.79029 121.343095) + (xy 134.815079 121.397374) + (xy 134.817989 121.401901) + (xy 134.817399 121.402279) + (xy 134.818197 121.40348) + (xy 134.81839 121.403349) + (xy 134.821563 121.408003) + (xy 134.821568 121.408008) + (xy 134.821569 121.40801) + (xy 134.86194 121.451519) + (xy 134.863591 121.45336) + (xy 134.88956 121.48333) + (xy 134.900366 121.495801) + (xy 134.904428 121.499321) + (xy 134.904351 121.499409) + (xy 134.913423 121.507004) + (xy 134.914432 121.508091) + (xy 134.937251 121.521265) + (xy 134.962671 121.535941) + (xy 134.965728 121.537805) + (xy 134.969186 121.540027) + (xy 135.009924 121.566208) + (xy 135.011818 121.566764) + (xy 135.028224 121.573789) + (xy 135.032668 121.576355) + (xy 135.03267 121.576355) + (xy 135.032671 121.576356) + (xy 135.049177 121.580123) + (xy 135.083478 121.587951) + (xy 135.08791 121.589107) + (xy 135.134883 121.6029) + (xy 135.140495 121.6029) + (xy 135.157229 121.604786) + (xy 135.165766 121.606734) + (xy 135.16577 121.606735) + (xy 135.165771 121.606734) + (xy 135.165772 121.606735) + (xy 135.214148 121.60311) + (xy 135.219767 121.6029) + (xy 135.265114 121.6029) + (xy 135.265117 121.6029) + (xy 135.273988 121.600294) + (xy 135.289552 121.597458) + (xy 135.301918 121.596533) + (xy 135.343753 121.580112) + (xy 135.350017 121.57797) + (xy 135.390071 121.56621) + (xy 135.390072 121.566209) + (xy 135.390076 121.566208) + (xy 135.400912 121.559243) + (xy 135.414083 121.55251) + (xy 135.429008 121.546653) + (xy 135.461318 121.520886) + (xy 135.467534 121.516429) + (xy 135.475692 121.511186) + (xy 135.499636 121.495799) + (xy 135.510444 121.483324) + (xy 135.520391 121.473777) + (xy 135.535749 121.46153) + (xy 135.539985 121.455317) + (xy 135.556993 121.43037) + (xy 135.562291 121.42349) + (xy 135.567859 121.417065) + (xy 135.580671 121.402279) + (xy 135.584918 121.397378) + (xy 135.584918 121.397377) + (xy 135.584921 121.397374) + (xy 135.593279 121.379069) + (xy 135.599543 121.36796) + (xy 135.612658 121.348726) + (xy 135.622713 121.316124) + (xy 135.626157 121.30708) + (xy 135.639023 121.278909) + (xy 135.642401 121.255407) + (xy 135.644973 121.24396) + (xy 135.6529 121.218264) + (xy 135.6529 121.187771) + (xy 135.653665 121.17707) + (xy 135.65496 121.168059) + (xy 135.657557 121.15) + (xy 135.653665 121.122929) + (xy 135.6529 121.112228) + (xy 135.6529 120.9531) + (xy 135.670493 120.904762) + (xy 135.715042 120.879042) + (xy 135.7281 120.8779) + (xy 135.749458 120.8779) + (xy 135.74946 120.8779) + (xy 135.795391 120.871853) + (xy 135.896197 120.824847) + (xy 135.974847 120.746197) + (xy 136.021853 120.645391) + (xy 136.0279 120.59946) + (xy 136.4721 120.59946) + (xy 136.472549 120.602869) + (xy 136.478147 120.645391) + (xy 136.525151 120.746194) + (xy 136.525156 120.746201) + (xy 136.603798 120.824843) + (xy 136.603801 120.824845) + (xy 136.603803 120.824847) + (xy 136.704609 120.871853) + (xy 136.75054 120.8779) + (xy 136.7719 120.8779) + (xy 136.820238 120.895493) + (xy 136.845958 120.940042) + (xy 136.8471 120.9531) + (xy 136.8471 121.112228) + (xy 136.846335 121.122929) + (xy 136.842443 121.15) + (xy 136.846493 121.178172) + (xy 136.847048 121.183251) + (xy 136.8471 121.183948) + (xy 136.85189 121.215726) + (xy 136.851964 121.21623) + (xy 136.861227 121.280646) + (xy 136.86183 121.283374) + (xy 136.862334 121.285008) + (xy 136.889639 121.341709) + (xy 136.89029 121.343095) + (xy 136.915079 121.397374) + (xy 136.917989 121.401901) + (xy 136.917399 121.402279) + (xy 136.918197 121.40348) + (xy 136.91839 121.403349) + (xy 136.921563 121.408003) + (xy 136.921568 121.408008) + (xy 136.921569 121.40801) + (xy 136.96194 121.451519) + (xy 136.963591 121.45336) + (xy 136.98956 121.48333) + (xy 137.000366 121.495801) + (xy 137.004428 121.499321) + (xy 137.004351 121.499409) + (xy 137.013423 121.507004) + (xy 137.014432 121.508091) + (xy 137.037251 121.521265) + (xy 137.062671 121.535941) + (xy 137.065728 121.537805) + (xy 137.069186 121.540027) + (xy 137.109924 121.566208) + (xy 137.111818 121.566764) + (xy 137.128224 121.573789) + (xy 137.132668 121.576355) + (xy 137.13267 121.576355) + (xy 137.132671 121.576356) + (xy 137.149177 121.580123) + (xy 137.183478 121.587951) + (xy 137.18791 121.589107) + (xy 137.234883 121.6029) + (xy 137.240495 121.6029) + (xy 137.257229 121.604786) + (xy 137.265766 121.606734) + (xy 137.26577 121.606735) + (xy 137.265771 121.606734) + (xy 137.265772 121.606735) + (xy 137.314148 121.60311) + (xy 137.319767 121.6029) + (xy 137.365114 121.6029) + (xy 137.365117 121.6029) + (xy 137.373988 121.600294) + (xy 137.389552 121.597458) + (xy 137.401918 121.596533) + (xy 137.443753 121.580112) + (xy 137.450017 121.57797) + (xy 137.490071 121.56621) + (xy 137.490072 121.566209) + (xy 137.490076 121.566208) + (xy 137.500912 121.559243) + (xy 137.514083 121.55251) + (xy 137.529008 121.546653) + (xy 137.561318 121.520886) + (xy 137.567534 121.516429) + (xy 137.575692 121.511186) + (xy 137.599636 121.495799) + (xy 137.610444 121.483324) + (xy 137.620391 121.473777) + (xy 137.635749 121.46153) + (xy 137.639985 121.455317) + (xy 137.656993 121.43037) + (xy 137.662291 121.42349) + (xy 137.667859 121.417065) + (xy 137.680671 121.402279) + (xy 137.684918 121.397378) + (xy 137.684918 121.397377) + (xy 137.684921 121.397374) + (xy 137.693279 121.379069) + (xy 137.699543 121.36796) + (xy 137.712658 121.348726) + (xy 137.722713 121.316124) + (xy 137.726157 121.30708) + (xy 137.739023 121.278909) + (xy 137.742401 121.255407) + (xy 137.744973 121.24396) + (xy 137.7529 121.218264) + (xy 137.7529 121.187771) + (xy 137.753665 121.17707) + (xy 137.75496 121.168059) + (xy 137.757557 121.15) + (xy 137.753665 121.122929) + (xy 137.7529 121.112228) + (xy 137.7529 120.9531) + (xy 137.770493 120.904762) + (xy 137.815042 120.879042) + (xy 137.8281 120.8779) + (xy 137.849458 120.8779) + (xy 137.84946 120.8779) + (xy 137.895391 120.871853) + (xy 137.920926 120.859945) + (xy 137.952707 120.8529) + (xy 138.059572 120.8529) + (xy 138.098645 120.863848) + (xy 138.172527 120.908777) + (xy 138.177655 120.911895) + (xy 138.324004 120.9529) + (xy 138.324006 120.9529) + (xy 139.334287 120.9529) + (xy 139.382625 120.970493) + (xy 139.387461 120.974926) + (xy 139.41529 121.002755) + (xy 139.532532 121.062492) + (xy 139.532534 121.062493) + (xy 139.629808 121.0779) + (xy 139.629812 121.0779) + (xy 140.570188 121.0779) + (xy 140.570192 121.0779) + (xy 140.667466 121.062493) + (xy 140.78471 121.002755) + (xy 140.812539 120.974926) + (xy 140.859159 120.953186) + (xy 140.865713 120.9529) + (xy 141.089285 120.9529) + (xy 141.091851 120.952943) + (xy 141.102098 120.953294) + (xy 141.15707 120.955172) + (xy 141.157072 120.955172) + (xy 141.157072 120.955171) + (xy 141.157073 120.955172) + (xy 141.199627 120.944801) + (xy 141.207178 120.943366) + (xy 141.250573 120.937402) + (xy 141.270976 120.928538) + (xy 141.283115 120.924456) + (xy 141.304741 120.919187) + (xy 141.342927 120.897714) + (xy 141.349807 120.894297) + (xy 141.389979 120.87685) + (xy 141.407241 120.862805) + (xy 141.417833 120.855596) + (xy 141.437223 120.844695) + (xy 141.468195 120.813722) + (xy 141.473905 120.80857) + (xy 141.507874 120.780936) + (xy 141.507875 120.780934) + (xy 141.507878 120.780932) + (xy 141.520713 120.762746) + (xy 141.528965 120.752951) + (xy 141.711848 120.570068) + (xy 141.719226 120.563597) + (xy 141.744333 120.544333) + (xy 141.764125 120.518537) + (xy 141.767117 120.514885) + (xy 141.76769 120.514227) + (xy 141.7677 120.514218) + (xy 141.789539 120.485418) + (xy 141.832957 120.428836) + (xy 141.832959 120.42883) + (xy 141.83379 120.427748) + (xy 141.835807 120.424711) + (xy 141.83647 120.423532) + (xy 141.836472 120.42353) + (xy 141.862445 120.357663) + (xy 141.86281 120.356762) + (xy 141.888669 120.294336) + (xy 141.88867 120.294331) + (xy 141.889946 120.289572) + (xy 141.890432 120.289702) + (xy 141.89109 120.287158) + (xy 141.891012 120.287139) + (xy 141.892229 120.282142) + (xy 141.892229 120.28214) + (xy 141.89223 120.282138) + (xy 141.899093 120.215372) + (xy 141.899336 120.213306) + (xy 141.907671 120.150003) + (xy 141.907671 120.145069) + (xy 141.907698 120.145069) + (xy 141.90753 120.133308) + (xy 141.907773 120.130947) + (xy 141.907772 120.130945) + (xy 141.907773 120.130942) + (xy 141.896987 120.068387) + (xy 141.896536 120.065425) + (xy 141.888669 120.005664) + (xy 141.887598 120.003078) + (xy 141.882967 119.987077) + (xy 141.882934 119.986887) + (xy 141.881948 119.981167) + (xy 141.86544 119.946457) + (xy 141.856223 119.927075) + (xy 141.854658 119.923556) + (xy 141.85196 119.917043) + (xy 141.832957 119.871165) + (xy 141.832955 119.871162) + (xy 141.829052 119.866075) + (xy 141.8208 119.852592) + (xy 141.820084 119.851087) + (xy 141.816671 119.84391) + (xy 141.788962 119.812132) + (xy 141.779656 119.801459) + (xy 141.776675 119.797816) + (xy 141.752303 119.766054) + (xy 141.744333 119.755667) + (xy 141.744332 119.755666) + (xy 141.736375 119.74956) + (xy 141.725474 119.73932) + (xy 141.716786 119.729355) + (xy 141.716782 119.729352) + (xy 141.672683 119.700428) + (xy 141.668148 119.697208) + (xy 141.628837 119.667044) + (xy 141.628836 119.667043) + (xy 141.625372 119.665608) + (xy 141.616231 119.661821) + (xy 141.603772 119.65523) + (xy 141.595825 119.650018) + (xy 141.589693 119.645996) + (xy 141.542828 119.631121) + (xy 141.53682 119.628928) + (xy 141.494334 119.61133) + (xy 141.477236 119.609079) + (xy 141.464305 119.6062) + (xy 141.446694 119.600611) + (xy 141.444826 119.600018) + (xy 141.44427 119.599999) + (xy 141.399278 119.598461) + (xy 141.392034 119.597862) + (xy 141.35 119.592329) + (xy 141.349998 119.592329) + (xy 141.32933 119.595049) + (xy 141.316951 119.595648) + (xy 141.292929 119.594827) + (xy 141.29292 119.594828) + (xy 141.25212 119.60477) + (xy 141.244137 119.606264) + (xy 141.205667 119.61133) + (xy 141.205663 119.611331) + (xy 141.183078 119.620685) + (xy 141.172115 119.624267) + (xy 141.147963 119.630154) + (xy 141.145259 119.630813) + (xy 141.111764 119.649644) + (xy 141.103693 119.653567) + (xy 141.071163 119.667043) + (xy 141.048927 119.684104) + (xy 141.040014 119.689987) + (xy 141.012779 119.705303) + (xy 141.012768 119.705311) + (xy 140.988152 119.729927) + (xy 140.98076 119.73641) + (xy 140.955668 119.755665) + (xy 140.936413 119.780757) + (xy 140.929931 119.788148) + (xy 140.905948 119.812132) + (xy 140.859328 119.833873) + (xy 140.80964 119.82056) + (xy 140.799598 119.812133) + (xy 140.78471 119.797245) + (xy 140.667466 119.737507) + (xy 140.667468 119.737507) + (xy 140.570194 119.7221) + (xy 140.570192 119.7221) + (xy 139.629808 119.7221) + (xy 139.629805 119.7221) + (xy 139.532532 119.737507) + (xy 139.415289 119.797245) + (xy 139.387461 119.825074) + (xy 139.340841 119.846814) + (xy 139.334287 119.8471) + (xy 138.362182 119.8471) + (xy 138.290359 119.856972) + (xy 138.249424 119.862598) + (xy 138.172934 119.895822) + (xy 138.121589 119.898942) + (xy 138.08025 119.868328) + (xy 138.068262 119.818305) + (xy 138.074821 119.795065) + (xy 138.121362 119.69526) + (xy 138.121363 119.695257) + (xy 138.1274 119.6494) + (xy 138.1274 119.6) + (xy 136.4726 119.6) + (xy 136.4726 119.6494) + (xy 136.478636 119.695257) + (xy 136.478638 119.695263) + (xy 136.525567 119.795902) + (xy 136.525573 119.79591) + (xy 136.601135 119.871472) + (xy 136.622875 119.918092) + (xy 136.609561 119.967779) + (xy 136.601135 119.97782) + (xy 136.525156 120.053798) + (xy 136.525151 120.053805) + (xy 136.478147 120.154608) + (xy 136.478147 120.154609) + (xy 136.4721 120.20054) + (xy 136.4721 120.59946) + (xy 136.0279 120.59946) + (xy 136.0279 120.20054) + (xy 136.021853 120.154609) + (xy 135.974847 120.053803) + (xy 135.974845 120.053801) + (xy 135.974843 120.053798) + (xy 135.896201 119.975156) + (xy 135.896194 119.975151) + (xy 135.795391 119.928147) + (xy 135.787248 119.927075) + (xy 135.74946 119.9221) + (xy 134.65054 119.9221) + (xy 134.642565 119.92315) + (xy 134.60461 119.928146) + (xy 134.604607 119.928147) + (xy 134.586001 119.936823) + (xy 134.579073 119.940054) + (xy 134.547293 119.9471) + (xy 134.343534 119.9471) + (xy 134.297756 119.931561) + (xy 134.293307 119.928147) + (xy 134.278836 119.917043) + (xy 134.278834 119.917042) + (xy 134.278829 119.917039) + (xy 134.256257 119.907689) + (xy 134.245968 119.902469) + (xy 134.222345 119.888105) + (xy 134.222342 119.888103) + (xy 134.185361 119.877742) + (xy 134.176874 119.874808) + (xy 134.144334 119.86133) + (xy 134.116538 119.85767) + (xy 134.106071 119.855526) + (xy 134.075994 119.8471) + (xy 134.041171 119.8471) + (xy 134.031356 119.846457) + (xy 134 119.842329) + (xy 133.968644 119.846457) + (xy 133.958829 119.8471) + (xy 133.515713 119.8471) + (xy 133.467375 119.829507) + (xy 133.462539 119.825074) + (xy 133.43471 119.797245) + (xy 133.317466 119.737507) + (xy 133.317468 119.737507) + (xy 133.220194 119.7221) + (xy 133.220192 119.7221) + (xy 132.279808 119.7221) + (xy 132.279805 119.7221) + (xy 132.182532 119.737507) + (xy 132.06529 119.797244) + (xy 132.053773 119.808762) + (xy 132.007152 119.8305) + (xy 131.957465 119.817185) + (xy 131.947426 119.80876) + (xy 131.944336 119.80567) + (xy 131.944334 119.805668) + (xy 131.944333 119.805667) + (xy 131.936372 119.799558) + (xy 131.925477 119.789324) + (xy 131.916784 119.779354) + (xy 131.880671 119.755667) + (xy 131.872669 119.750418) + (xy 131.868137 119.747199) + (xy 131.84488 119.729354) + (xy 131.828836 119.717043) + (xy 131.828834 119.717042) + (xy 131.827785 119.716237) + (xy 131.824691 119.714182) + (xy 131.823531 119.71353) + (xy 131.823529 119.713528) + (xy 131.812902 119.709337) + (xy 131.79925 119.702262) + (xy 131.789699 119.695998) + (xy 131.789696 119.695996) + (xy 131.789694 119.695995) + (xy 131.789693 119.695994) + (xy 131.78969 119.695993) + (xy 131.742831 119.681121) + (xy 131.736807 119.678923) + (xy 131.694336 119.661331) + (xy 131.694334 119.66133) + (xy 131.689582 119.660057) + (xy 131.68971 119.659578) + (xy 131.68715 119.658914) + (xy 131.687133 119.658987) + (xy 131.68214 119.65777) + (xy 131.670768 119.656601) + (xy 131.655713 119.653472) + (xy 131.644827 119.650017) + (xy 131.633454 119.649628) + (xy 131.599272 119.64846) + (xy 131.592027 119.647861) + (xy 131.550001 119.642329) + (xy 131.545076 119.642329) + (xy 131.545076 119.642304) + (xy 131.533323 119.642471) + (xy 131.530944 119.642226) + (xy 131.519682 119.644168) + (xy 131.504343 119.645217) + (xy 131.492931 119.644827) + (xy 131.492922 119.644828) + (xy 131.452123 119.65477) + (xy 131.444139 119.656264) + (xy 131.405667 119.66133) + (xy 131.405657 119.661333) + (xy 131.403069 119.662405) + (xy 131.387087 119.66703) + (xy 131.381171 119.66805) + (xy 131.381165 119.668052) + (xy 131.370844 119.67296) + (xy 131.356365 119.678106) + (xy 131.347976 119.680151) + (xy 131.345256 119.680814) + (xy 131.311771 119.699642) + (xy 131.303695 119.703567) + (xy 131.299502 119.705305) + (xy 131.27116 119.717045) + (xy 131.271158 119.717046) + (xy 131.266065 119.720954) + (xy 131.2526 119.729195) + (xy 131.24391 119.733328) + (xy 131.243908 119.733329) + (xy 131.235296 119.740839) + (xy 131.222734 119.749706) + (xy 131.21278 119.755303) + (xy 131.21277 119.75531) + (xy 131.188156 119.779924) + (xy 131.180764 119.786408) + (xy 131.155662 119.80567) + (xy 131.152573 119.80876) + (xy 131.105953 119.8305) + (xy 131.056266 119.817186) + (xy 131.046225 119.80876) + (xy 131.03471 119.797245) + (xy 130.917466 119.737507) + (xy 130.917468 119.737507) + (xy 130.820194 119.7221) + (xy 130.820192 119.7221) + (xy 129.879808 119.7221) + (xy 129.879805 119.7221) + (xy 129.782532 119.737507) + (xy 129.66529 119.797244) + (xy 129.653773 119.808762) + (xy 129.607152 119.8305) + (xy 129.557465 119.817185) + (xy 129.547426 119.80876) + (xy 129.544336 119.80567) + (xy 129.544334 119.805668) + (xy 129.544333 119.805667) + (xy 129.536372 119.799558) + (xy 129.525477 119.789324) + (xy 129.516784 119.779354) + (xy 129.516783 119.779353) + (xy 129.472674 119.750422) + (xy 129.46814 119.747201) + (xy 129.427794 119.716243) + (xy 129.424691 119.714182) + (xy 129.423526 119.713526) + (xy 129.4129 119.709336) + (xy 129.399247 119.702261) + (xy 129.389699 119.695999) + (xy 129.389692 119.695995) + (xy 129.342838 119.681124) + (xy 129.336811 119.678924) + (xy 129.294338 119.661331) + (xy 129.289582 119.660057) + (xy 129.28971 119.659578) + (xy 129.28715 119.658914) + (xy 129.287133 119.658987) + (xy 129.28214 119.65777) + (xy 129.270768 119.656601) + (xy 129.255713 119.653472) + (xy 129.244827 119.650017) + (xy 129.233454 119.649628) + (xy 129.199272 119.64846) + (xy 129.192027 119.647861) + (xy 129.150001 119.642329) + (xy 129.145076 119.642329) + (xy 129.145076 119.642304) + (xy 129.133323 119.642471) + (xy 129.130944 119.642226) + (xy 129.119682 119.644168) + (xy 129.104343 119.645217) + (xy 129.092931 119.644827) + (xy 129.092921 119.644828) + (xy 129.052122 119.65477) + (xy 129.044138 119.656264) + (xy 129.005668 119.66133) + (xy 129.00566 119.661332) + (xy 129.003073 119.662404) + (xy 128.987086 119.667031) + (xy 128.981166 119.668052) + (xy 128.970851 119.672957) + (xy 128.956367 119.678105) + (xy 128.945261 119.680812) + (xy 128.94526 119.680812) + (xy 128.911764 119.699644) + (xy 128.903693 119.703567) + (xy 128.871161 119.717044) + (xy 128.871157 119.717046) + (xy 128.866064 119.720954) + (xy 128.8526 119.729194) + (xy 128.843915 119.733325) + (xy 128.843907 119.73333) + (xy 128.835295 119.740839) + (xy 128.822741 119.749701) + (xy 128.812779 119.755303) + (xy 128.812768 119.755311) + (xy 128.788152 119.779927) + (xy 128.78076 119.78641) + (xy 128.755667 119.805665) + (xy 128.749559 119.813625) + (xy 128.73933 119.824516) + (xy 128.729352 119.833217) + (xy 128.72935 119.833219) + (xy 128.723084 119.842772) + (xy 128.713382 119.854697) + (xy 128.643007 119.925074) + (xy 128.596387 119.946814) + (xy 128.589832 119.9471) + (xy 128.49057 119.9471) + (xy 128.457542 119.939459) + (xy 128.443203 119.932449) + (xy 128.372182 119.9221) + (xy 128.372174 119.9221) + (xy 127.327826 119.9221) + (xy 127.327817 119.9221) + (xy 127.256797 119.932449) + (xy 127.243383 119.939007) + (xy 127.242458 119.939459) + (xy 127.20943 119.9471) + (xy 126.641171 119.9471) + (xy 126.631356 119.946457) + (xy 126.629053 119.946153) + (xy 126.6 119.942329) + (xy 126.599999 119.942329) + (xy 126.599998 119.942329) + (xy 126.567792 119.946568) + (xy 126.563137 119.947034) + (xy 126.56219 119.947099) + (xy 126.562183 119.9471) + (xy 126.562182 119.9471) + (xy 126.559491 119.947469) + (xy 126.526564 119.951994) + (xy 126.526145 119.95205) + (xy 126.454352 119.961503) + (xy 126.45072 119.962236) + (xy 126.449429 119.962597) + (xy 126.449427 119.962598) + (xy 126.449424 119.962598) + (xy 126.449421 119.9626) + (xy 126.38461 119.990749) + (xy 126.383433 119.991249) + (xy 126.321163 120.017043) + (xy 126.316898 120.019506) + (xy 126.316652 120.019079) + (xy 126.31438 120.020414) + (xy 126.314418 120.020476) + (xy 126.31002 120.02315) + (xy 126.258011 120.065461) + (xy 126.256335 120.066785) + (xy 126.205666 120.105666) + (xy 126.202187 120.109146) + (xy 126.20217 120.109129) + (xy 126.193985 120.117551) + (xy 126.192125 120.119064) + (xy 126.19212 120.11907) + (xy 126.155508 120.170936) + (xy 126.153734 120.173345) + (xy 126.117046 120.221159) + (xy 126.117042 120.221167) + (xy 126.115969 120.223757) + (xy 126.107938 120.238329) + (xy 126.104474 120.243237) + (xy 126.104472 120.24324) + (xy 126.084409 120.299688) + (xy 126.083028 120.30328) + (xy 126.06133 120.355664) + (xy 126.060493 120.362024) + (xy 126.056797 120.377385) + (xy 126.053574 120.386452) + (xy 126.04973 120.442649) + (xy 126.049262 120.44733) + (xy 126.042329 120.5) + (xy 126.042329 120.500002) + (xy 121.801452 120.500002) + (xy 121.763578 120.42253) + (xy 121.677469 120.336421) + (xy 121.568058 120.282934) + (xy 121.568059 120.282934) + (xy 121.497141 120.2726) + (xy 121.35 120.2726) + (xy 121.05 120.2726) + (xy 120.90287 120.2726) + (xy 120.902857 120.272601) + (xy 120.83194 120.282934) + (xy 120.72253 120.336421) + (xy 120.636421 120.42253) + (xy 120.582934 120.531941) + (xy 120.5726 120.602858) + (xy 120.5726 120.7) + (xy 120.0029 120.7) + (xy 120.002899 120.07509) + (xy 119.988227 120.001323) + (xy 119.954514 119.950868) + (xy 119.942289 119.900905) + (xy 119.963868 119.855918) + (xy 119.980016 119.839771) + (xy 120.037957 119.726055) + (xy 120.057922 119.6) + (xy 120.057583 119.597862) + (xy 120.051649 119.560396) + (xy 120.037957 119.473945) + (xy 119.986253 119.372471) + (xy 119.979985 119.321416) + (xy 120.008001 119.278275) + (xy 120.010689 119.276662) + (xy 120.010587 119.276517) + (xy 120.015971 119.272745) + (xy 120.015977 119.272743) + (xy 120.097743 119.190977) + (xy 120.103842 119.177899) + (xy 120.129222 119.123471) + (xy 120.146613 119.086176) + (xy 120.1529 119.03842) + (xy 120.1529 118.600002) (xy 126.042329 118.600002) (xy 126.043637 118.609943) (xy 126.044105 118.624882) @@ -31614,7 +31468,7 @@ (xy 126.32116 119.082955) (xy 126.321162 119.082956) (xy 126.321164 119.082957) - (xy 126.327422 119.085549) + (xy 126.328935 119.086176) (xy 126.343745 119.092311) (xy 126.354032 119.09753) (xy 126.377653 119.111894) @@ -32175,7 +32029,114 @@ (xy 126.049262 118.54733) (xy 126.042329 118.6) (xy 126.042329 118.600002) - (xy 111.927899 118.600002) + (xy 120.1529 118.600002) + (xy 120.1529 118.36158) + (xy 120.146613 118.313824) + (xy 120.146611 118.313819) + (xy 120.097745 118.209026) + (xy 120.097739 118.209018) + (xy 120.015981 118.12726) + (xy 120.015973 118.127254) + (xy 119.91118 118.078388) + (xy 119.911174 118.078386) + (xy 119.875992 118.073755) + (xy 119.86342 118.0721) + (xy 119.43658 118.0721) + (xy 119.42547 118.073562) + (xy 119.388825 118.078386) + (xy 119.388819 118.078388) + (xy 119.284026 118.127254) + (xy 119.284018 118.12726) + (xy 119.20226 118.209018) + (xy 119.202254 118.209026) + (xy 119.153388 118.313819) + (xy 119.153386 118.313825) + (xy 119.1471 118.361581) + (xy 119.1471 119.038418) + (xy 118.5529 119.038418) + (xy 118.5529 118.36158) + (xy 118.546613 118.313824) + (xy 118.546611 118.313819) + (xy 118.497745 118.209026) + (xy 118.497739 118.209018) + (xy 118.415981 118.12726) + (xy 118.415973 118.127254) + (xy 118.31118 118.078388) + (xy 118.311174 118.078386) + (xy 118.275992 118.073755) + (xy 118.26342 118.0721) + (xy 117.83658 118.0721) + (xy 117.82547 118.073562) + (xy 117.788825 118.078386) + (xy 117.788819 118.078388) + (xy 117.684026 118.127254) + (xy 117.684018 118.12726) + (xy 117.60226 118.209018) + (xy 117.602254 118.209026) + (xy 117.553388 118.313819) + (xy 117.553386 118.313825) + (xy 117.551032 118.331714) + (xy 117.52728 118.377342) + (xy 117.479757 118.397028) + (xy 117.476475 118.3971) + (xy 117.37774 118.3971) + (xy 117.357162 118.394229) + (xy 117.355549 118.39377) + (xy 117.35343 118.393167) + (xy 117.31446 118.396779) + (xy 117.307522 118.3971) + (xy 117.173525 118.3971) + (xy 117.125187 118.379507) + (xy 117.099467 118.334958) + (xy 117.098968 118.331714) + (xy 117.09792 118.323757) + (xy 117.096613 118.313824) + (xy 117.096611 118.313819) + (xy 117.047745 118.209026) + (xy 117.047739 118.209018) + (xy 116.965981 118.12726) + (xy 116.965973 118.127254) + (xy 116.86118 118.078388) + (xy 116.861174 118.078386) + (xy 116.825992 118.073755) + (xy 116.81342 118.0721) + (xy 116.38658 118.0721) + (xy 116.37547 118.073562) + (xy 116.338825 118.078386) + (xy 116.338819 118.078388) + (xy 116.234026 118.127254) + (xy 116.234018 118.12726) + (xy 116.15226 118.209018) + (xy 116.152254 118.209026) + (xy 116.103388 118.313819) + (xy 116.103386 118.313825) + (xy 116.0971 118.361581) + (xy 116.0971 119.038418) + (xy 115.5029 119.038418) + (xy 115.5029 118.36158) + (xy 115.496613 118.313824) + (xy 115.496611 118.313819) + (xy 115.447745 118.209026) + (xy 115.447739 118.209018) + (xy 115.365981 118.12726) + (xy 115.365973 118.127254) + (xy 115.26118 118.078388) + (xy 115.261174 118.078386) + (xy 115.225992 118.073755) + (xy 115.21342 118.0721) + (xy 114.78658 118.0721) + (xy 114.77547 118.073562) + (xy 114.738825 118.078386) + (xy 114.738819 118.078388) + (xy 114.634026 118.127254) + (xy 114.634018 118.12726) + (xy 114.55226 118.209018) + (xy 114.552254 118.209026) + (xy 114.503388 118.313819) + (xy 114.503386 118.313825) + (xy 114.4971 118.361581) + (xy 114.4971 119.038418) + (xy 111.927899 119.038418) (xy 111.927899 118.411364) (xy 111.912501 118.333946) (xy 111.853842 118.246158) @@ -33243,7 +33204,7 @@ (xy 126.888173 110.866638) (xy 126.887668 110.865002) (xy 126.887668 110.864997) - (xy 126.885108 110.859681) + (xy 126.887665 110.86499) (xy 126.860342 110.808254) (xy 126.859727 110.806945) (xy 126.839222 110.762043) @@ -33393,7 +33354,7 @@ (xy 122.142078 110.149999) (xy 122.142078 110.15) (xy 122.162043 110.276056) - (xy 122.20637 110.363052) + (xy 122.212995 110.376055) (xy 122.219984 110.389771) (xy 122.310229 110.480016) (xy 122.423943 110.537956) @@ -33417,7 +33378,7 @@ (xy 123.473476 110.8221) (xy 122.811978 110.8221) (xy 122.777838 110.813904) - (xy 122.769002 110.809402) + (xy 122.766749 110.808254) (xy 122.676055 110.762043) (xy 122.676057 110.762043) (xy 122.55 110.742078) @@ -33740,6 +33701,7 @@ (xy 98.792018 110.265628) (xy 98.7975 110.284238) (xy 98.812043 110.376056) + (xy 98.869777 110.489365) (xy 98.869984 110.489771) (xy 98.960229 110.580016) (xy 98.991084 110.595737) @@ -33926,6 +33888,7 @@ (xy 110.442078 110.249999) (xy 110.442078 110.25) (xy 110.462043 110.376056) + (xy 110.519777 110.489365) (xy 110.519984 110.489771) (xy 110.610229 110.580016) (xy 110.723943 110.637956) @@ -33967,7 +33930,7 @@ (xy 111.9921 110.10916) (xy 111.9921 110.390839) (xy 111.992101 110.39084) - (xy 111.997206 110.416506) + (xy 111.999273 110.426896) (xy 112.007614 110.468834) (xy 112.066715 110.557285) (xy 112.111894 110.587473) @@ -34025,7 +33988,7 @@ (xy 114.75 110.742078) (xy 114.623943 110.762043) (xy 114.546938 110.80128) - (xy 114.530998 110.809402) + (xy 114.533251 110.808254) (xy 114.522162 110.813904) (xy 114.488022 110.8221) (xy 113.826524 110.8221) @@ -35698,214 +35661,6 @@ (xy 103.68194 103.717065) (xy 103.752863 103.727399) (xy 103.9 103.727399) - (xy 104.2 103.727399) - (xy 104.34713 103.727399) - (xy 104.347142 103.727398) - (xy 104.419553 103.716848) - (xy 104.469922 103.727288) - (xy 104.501796 103.767663) - (xy 104.504669 103.779498) - (xy 104.512043 103.826056) - (xy 104.567532 103.934958) - (xy 104.569984 103.939771) - (xy 104.660229 104.030016) - (xy 104.773943 104.087956) - (xy 104.773945 104.087957) - (xy 104.9 104.107922) - (xy 105.026055 104.087957) - (xy 105.139771 104.030016) - (xy 105.184222 103.985565) - (xy 105.230842 103.963825) - (xy 105.280529 103.977139) - (xy 105.282051 103.978233) - (xy 105.376131 104.047668) - (xy 105.385982 104.054938) - (xy 105.385985 104.05494) - (xy 105.411098 104.063727) - (xy 105.51485 104.100031) - (xy 105.545435 104.102899) - (xy 105.545437 104.1029) - (xy 105.545444 104.1029) - (xy 106.454563 104.1029) - (xy 106.454563 104.102899) - (xy 106.48515 104.100031) - (xy 106.614017 104.054939) - (xy 106.723866 103.973866) - (xy 106.804939 103.864017) - (xy 106.850031 103.73515) - (xy 106.852899 103.704563) - (xy 106.8529 103.704563) - (xy 106.8529 103.5) - (xy 107.347601 103.5) - (xy 107.347601 103.704492) - (xy 107.350465 103.735056) - (xy 107.395506 103.863776) - (xy 107.476492 103.973507) - (xy 107.586223 104.054493) - (xy 107.586222 104.054493) - (xy 107.714941 104.099532) - (xy 107.71495 104.099534) - (xy 107.745499 104.102399) - (xy 108.05 104.102399) - (xy 108.05 103.5) - (xy 108.35 103.5) - (xy 108.35 104.102399) - (xy 108.654493 104.102399) - (xy 108.685056 104.099534) - (xy 108.813776 104.054493) - (xy 108.923507 103.973507) - (xy 109.004493 103.863776) - (xy 109.049532 103.735058) - (xy 109.049534 103.735049) - (xy 109.052399 103.704501) - (xy 109.0524 103.7045) - (xy 109.0524 103.5) - (xy 108.35 103.5) - (xy 108.05 103.5) - (xy 107.347601 103.5) - (xy 106.8529 103.5) - (xy 106.8529 103.45) - (xy 110.172601 103.45) - (xy 110.172601 103.547142) - (xy 110.182934 103.618059) - (xy 110.236421 103.727469) - (xy 110.32253 103.813578) - (xy 110.431941 103.867065) - (xy 110.43194 103.867065) - (xy 110.502863 103.877399) - (xy 110.65 103.877399) - (xy 110.65 103.45) - (xy 110.95 103.45) - (xy 110.95 103.877399) - (xy 111.09713 103.877399) - (xy 111.097142 103.877398) - (xy 111.168059 103.867065) - (xy 111.277469 103.813578) - (xy 111.363578 103.727469) - (xy 111.417065 103.618058) - (xy 111.427399 103.547141) - (xy 111.4274 103.547131) - (xy 111.4274 103.45) - (xy 110.95 103.45) - (xy 110.65 103.45) - (xy 110.172601 103.45) - (xy 106.8529 103.45) - (xy 106.8529 103.2) - (xy 107.3476 103.2) - (xy 108.05 103.2) - (xy 108.05 102.5976) - (xy 108.35 102.5976) - (xy 108.35 103.2) - (xy 109.052399 103.2) - (xy 109.052399 103.15) - (xy 110.1726 103.15) - (xy 110.65 103.15) - (xy 110.65 102.7226) - (xy 110.95 102.7226) - (xy 110.95 103.15) - (xy 111.427399 103.15) - (xy 111.427399 103.052869) - (xy 111.427398 103.052857) - (xy 111.417065 102.98194) - (xy 111.363578 102.87253) - (xy 111.277469 102.786421) - (xy 111.168058 102.732934) - (xy 111.168059 102.732934) - (xy 111.097141 102.7226) - (xy 110.95 102.7226) - (xy 110.65 102.7226) - (xy 110.50287 102.7226) - (xy 110.502857 102.722601) - (xy 110.43194 102.732934) - (xy 110.32253 102.786421) - (xy 110.236421 102.87253) - (xy 110.182934 102.981941) - (xy 110.1726 103.052858) - (xy 110.1726 103.15) - (xy 109.052399 103.15) - (xy 109.052399 102.995507) - (xy 109.049534 102.964943) - (xy 109.004493 102.836223) - (xy 108.923507 102.726492) - (xy 108.813776 102.645506) - (xy 108.813777 102.645506) - (xy 108.685058 102.600467) - (xy 108.685049 102.600465) - (xy 108.654501 102.5976) - (xy 108.35 102.5976) - (xy 108.05 102.5976) - (xy 107.745507 102.5976) - (xy 107.714943 102.600465) - (xy 107.586223 102.645506) - (xy 107.476492 102.726492) - (xy 107.395506 102.836223) - (xy 107.350467 102.964941) - (xy 107.350465 102.96495) - (xy 107.3476 102.995498) - (xy 107.3476 103.2) - (xy 106.8529 103.2) - (xy 106.8529 102.995436) - (xy 106.852899 102.995435) - (xy 106.850031 102.96485) - (xy 106.808514 102.846199) - (xy 106.80494 102.835985) - (xy 106.804938 102.835982) - (xy 106.785931 102.810229) - (xy 106.742016 102.750726) - (xy 106.723866 102.726133) - (xy 106.614017 102.645061) - (xy 106.614014 102.645059) - (xy 106.50873 102.60822) - (xy 106.48515 102.599969) - (xy 106.485145 102.599968) - (xy 106.485143 102.599968) - (xy 106.454564 102.5971) - (xy 106.454556 102.5971) - (xy 105.545444 102.5971) - (xy 105.545436 102.5971) - (xy 105.514856 102.599968) - (xy 105.514852 102.599968) - (xy 105.51485 102.599969) - (xy 105.514847 102.599969) - (xy 105.514847 102.59997) - (xy 105.385985 102.645059) - (xy 105.385982 102.645061) - (xy 105.276133 102.726133) - (xy 105.195061 102.835982) - (xy 105.195059 102.835985) - (xy 105.14997 102.964847) - (xy 105.149968 102.964856) - (xy 105.1471 102.995435) - (xy 105.1471 103.04501) - (xy 105.129507 103.093348) - (xy 105.084958 103.119068) - (xy 105.067969 103.120107) - (xy 105.063557 103.119876) - (xy 105.063553 103.119876) - (xy 105.040588 103.128691) - (xy 105.029279 103.13204) - (xy 105.005236 103.137151) - (xy 105.005234 103.137151) - (xy 104.998327 103.14217) - (xy 104.981083 103.151532) - (xy 104.973104 103.154595) - (xy 104.95571 103.171989) - (xy 104.94674 103.17965) - (xy 104.926854 103.194098) - (xy 104.926851 103.194102) - (xy 104.922582 103.201495) - (xy 104.910635 103.217063) - (xy 104.840783 103.286915) - (xy 104.799374 103.308015) - (xy 104.773946 103.312042) - (xy 104.773936 103.312045) - (xy 104.766643 103.315762) - (xy 104.715586 103.322029) - (xy 104.679332 103.301931) - (xy 104.677401 103.3) - (xy 104.2 103.3) - (xy 104.2 103.727399) - (xy 103.9 103.727399) (xy 103.9 103.3) (xy 103.422601 103.3) (xy 102.602391 103.3) @@ -36060,40 +35815,184 @@ (xy 105.173802 102.103263) (xy 105.195059 102.164014) (xy 105.195061 102.164017) - (xy 105.276133 102.273866) - (xy 105.385982 102.354938) - (xy 105.385985 102.35494) - (xy 105.416919 102.365763) - (xy 105.51485 102.400031) - (xy 105.545435 102.402899) - (xy 105.545437 102.4029) - (xy 105.545444 102.4029) - (xy 106.454563 102.4029) - (xy 106.454563 102.402899) - (xy 106.48515 102.400031) - (xy 106.614017 102.354939) - (xy 106.723866 102.273866) - (xy 106.804939 102.164017) - (xy 106.850031 102.03515) - (xy 106.852899 102.004564) - (xy 107.3471 102.004564) - (xy 107.349968 102.035143) - (xy 107.349969 102.03515) - (xy 107.35618 102.0529) - (xy 107.395059 102.164014) - (xy 107.395061 102.164017) - (xy 107.476133 102.273866) - (xy 107.585982 102.354938) - (xy 107.585985 102.35494) - (xy 107.616919 102.365763) - (xy 107.71485 102.400031) - (xy 107.745435 102.402899) - (xy 107.745437 102.4029) - (xy 107.745444 102.4029) - (xy 108.654563 102.4029) - (xy 108.654563 102.402899) - (xy 108.68515 102.400031) - (xy 108.814017 102.354939) + (xy 105.27483 102.2721) + (xy 105.276134 102.273866) + (xy 105.369455 102.342741) + (xy 105.3979 102.3856) + (xy 105.4 102.403246) + (xy 105.4 102.596753) + (xy 105.382407 102.645091) + (xy 105.369456 102.657258) + (xy 105.276134 102.726133) + (xy 105.195061 102.835982) + (xy 105.195059 102.835985) + (xy 105.14997 102.964847) + (xy 105.149968 102.964856) + (xy 105.1471 102.995435) + (xy 105.1471 103.04501) + (xy 105.129507 103.093348) + (xy 105.084958 103.119068) + (xy 105.067969 103.120107) + (xy 105.063557 103.119876) + (xy 105.063553 103.119876) + (xy 105.040588 103.128691) + (xy 105.029279 103.13204) + (xy 105.005236 103.137151) + (xy 105.005234 103.137151) + (xy 104.998327 103.14217) + (xy 104.981083 103.151532) + (xy 104.973104 103.154595) + (xy 104.95571 103.171989) + (xy 104.94674 103.17965) + (xy 104.926854 103.194098) + (xy 104.926851 103.194102) + (xy 104.922582 103.201495) + (xy 104.910635 103.217063) + (xy 104.840783 103.286915) + (xy 104.799374 103.308015) + (xy 104.773946 103.312042) + (xy 104.773936 103.312045) + (xy 104.766643 103.315762) + (xy 104.715586 103.322029) + (xy 104.679332 103.301931) + (xy 104.677401 103.3) + (xy 104.2 103.3) + (xy 104.2 103.727399) + (xy 104.34713 103.727399) + (xy 104.347142 103.727398) + (xy 104.419553 103.716848) + (xy 104.469922 103.727288) + (xy 104.501796 103.767663) + (xy 104.504669 103.779498) + (xy 104.512043 103.826056) + (xy 104.56256 103.9252) + (xy 104.569984 103.939771) + (xy 104.660229 104.030016) + (xy 104.773943 104.087956) + (xy 104.773945 104.087957) + (xy 104.9 104.107922) + (xy 105.026055 104.087957) + (xy 105.139771 104.030016) + (xy 105.184222 103.985565) + (xy 105.230842 103.963825) + (xy 105.280529 103.977139) + (xy 105.282051 103.978233) + (xy 105.376131 104.047668) + (xy 105.385982 104.054938) + (xy 105.385985 104.05494) + (xy 105.411098 104.063727) + (xy 105.51485 104.100031) + (xy 105.545435 104.102899) + (xy 105.545437 104.1029) + (xy 105.545444 104.1029) + (xy 106.454563 104.1029) + (xy 106.454563 104.102899) + (xy 106.48515 104.100031) + (xy 106.614017 104.054939) + (xy 106.723866 103.973866) + (xy 106.792741 103.880544) + (xy 106.8356 103.8521) + (xy 106.853246 103.85) + (xy 107.347376 103.85) + (xy 107.395714 103.867593) + (xy 107.407882 103.880545) + (xy 107.47649 103.973506) + (xy 107.586223 104.054493) + (xy 107.586222 104.054493) + (xy 107.714941 104.099532) + (xy 107.71495 104.099534) + (xy 107.745499 104.102399) + (xy 108.05 104.102399) + (xy 108.05 103.9252) + (xy 108.067593 103.876862) + (xy 108.112142 103.851142) + (xy 108.1252 103.85) + (xy 108.2748 103.85) + (xy 108.323138 103.867593) + (xy 108.348858 103.912142) + (xy 108.35 103.9252) + (xy 108.35 104.102399) + (xy 108.654493 104.102399) + (xy 108.685056 104.099534) + (xy 108.813776 104.054493) + (xy 108.923507 103.973507) + (xy 109.004493 103.863776) + (xy 109.049532 103.735058) + (xy 109.049534 103.735049) + (xy 109.052399 103.704501) + (xy 109.0524 103.7045) + (xy 109.0524 103.5) + (xy 108.8752 103.5) + (xy 108.826862 103.482407) + (xy 108.808152 103.45) + (xy 110.172601 103.45) + (xy 110.172601 103.547142) + (xy 110.182934 103.618059) + (xy 110.236421 103.727469) + (xy 110.32253 103.813578) + (xy 110.431941 103.867065) + (xy 110.43194 103.867065) + (xy 110.502863 103.877399) + (xy 110.65 103.877399) + (xy 110.65 103.45) + (xy 110.95 103.45) + (xy 110.95 103.877399) + (xy 111.09713 103.877399) + (xy 111.097142 103.877398) + (xy 111.168059 103.867065) + (xy 111.277469 103.813578) + (xy 111.363578 103.727469) + (xy 111.417065 103.618058) + (xy 111.427399 103.547141) + (xy 111.4274 103.547131) + (xy 111.4274 103.45) + (xy 110.95 103.45) + (xy 110.65 103.45) + (xy 110.172601 103.45) + (xy 108.808152 103.45) + (xy 108.801142 103.437858) + (xy 108.8 103.4248) + (xy 108.8 103.2752) + (xy 108.817593 103.226862) + (xy 108.862142 103.201142) + (xy 108.8752 103.2) + (xy 109.052399 103.2) + (xy 109.052399 103.15) + (xy 110.1726 103.15) + (xy 110.65 103.15) + (xy 110.65 102.7226) + (xy 110.95 102.7226) + (xy 110.95 103.15) + (xy 111.427399 103.15) + (xy 111.427399 103.052869) + (xy 111.427398 103.052857) + (xy 111.417065 102.98194) + (xy 111.363578 102.87253) + (xy 111.277469 102.786421) + (xy 111.168058 102.732934) + (xy 111.168059 102.732934) + (xy 111.097141 102.7226) + (xy 110.95 102.7226) + (xy 110.65 102.7226) + (xy 110.50287 102.7226) + (xy 110.502857 102.722601) + (xy 110.43194 102.732934) + (xy 110.32253 102.786421) + (xy 110.236421 102.87253) + (xy 110.182934 102.981941) + (xy 110.1726 103.052858) + (xy 110.1726 103.15) + (xy 109.052399 103.15) + (xy 109.052399 102.995507) + (xy 109.049534 102.964943) + (xy 109.004493 102.836223) + (xy 108.923505 102.72649) + (xy 108.830544 102.65788) + (xy 108.8021 102.615021) + (xy 108.8 102.597375) + (xy 108.8 102.403246) + (xy 108.817593 102.354908) + (xy 108.830541 102.342743) (xy 108.923866 102.273866) (xy 109.004939 102.164017) (xy 109.050031 102.03515) @@ -36176,25 +36075,16 @@ (xy 107.714847 100.89997) (xy 107.585985 100.945059) (xy 107.585982 100.945061) - (xy 107.476133 101.026133) - (xy 107.395061 101.135982) - (xy 107.395059 101.135985) - (xy 107.34997 101.264847) - (xy 107.349968 101.264856) - (xy 107.3471 101.295435) - (xy 107.3471 102.004564) - (xy 106.852899 102.004564) - (xy 106.852899 102.004563) - (xy 106.8529 102.004563) - (xy 106.8529 101.295436) - (xy 106.852899 101.295435) - (xy 106.850031 101.26485) - (xy 106.806102 101.139307) - (xy 106.80494 101.135985) - (xy 106.804938 101.135982) - (xy 106.795279 101.122895) - (xy 106.773175 101.092945) - (xy 106.723866 101.026133) + (xy 107.476134 101.026133) + (xy 107.442989 101.071043) + (xy 107.407258 101.119455) + (xy 107.3644 101.1479) + (xy 107.346754 101.15) + (xy 106.853246 101.15) + (xy 106.804908 101.132407) + (xy 106.792743 101.119458) + (xy 106.723866 101.026134) + (xy 106.71984 101.023163) (xy 106.614017 100.945061) (xy 106.614014 100.945059) (xy 106.516086 100.910793) @@ -37241,7 +37131,7 @@ (xy 122.842078 103.049999) (xy 122.842078 103.05) (xy 122.862043 103.176056) - (xy 122.888144 103.227282) + (xy 122.88793 103.226862) (xy 122.919984 103.289771) (xy 123.010229 103.380016) (xy 123.123943 103.437956) @@ -37344,7 +37234,7 @@ (xy 125.297258 103.4279) (xy 125.377341 103.4279) (xy 125.411481 103.436096) - (xy 125.415132 103.437956) + (xy 125.41494 103.437858) (xy 125.422692 103.441808) (xy 125.422694 103.441809) (xy 125.517976 103.4569) @@ -38242,7 +38132,7 @@ (xy 126.880445 91.649998) (xy 126.860342 91.608254) (xy 126.859727 91.606945) - (xy 126.839997 91.56374) + (xy 126.839541 91.562742) (xy 126.83492 91.552623) (xy 126.832015 91.548104) (xy 126.832549 91.54776) @@ -39621,6 +39511,7 @@ (xy 97.386268 94.614204) (xy 97.4 94.616935) (xy 97.4 92.858062) + (xy 97.399999 92.858062) (xy 97.386274 92.860793) (xy 97.386271 92.860794) (xy 97.342228 92.890223) @@ -39640,7 +39531,7 @@ (xy 96.786098 92.729703) (xy 96.787957 92.726055) (xy 96.807922 92.6) - (xy 96.806338 92.590002) + (xy 96.807671 92.598418) (xy 96.802379 92.565003) (xy 96.787957 92.473945) (xy 96.743522 92.386737) @@ -39694,7 +39585,7 @@ (xy 95.786098 92.729703) (xy 95.787957 92.726055) (xy 95.807922 92.6) - (xy 95.806338 92.590002) + (xy 95.807671 92.598418) (xy 95.802379 92.565003) (xy 95.787957 92.473945) (xy 95.730016 92.360229) @@ -40656,10 +40547,10 @@ (xy 62.532473 92.454754) (xy 62.42768 92.405888) (xy 62.427674 92.405886) - (xy 62.387736 92.400629) + (xy 62.392492 92.401255) (xy 62.37992 92.3996) (xy 61.95308 92.3996) - (xy 61.945264 92.400629) + (xy 61.94197 92.401062) (xy 61.905325 92.405886) (xy 61.905319 92.405888) (xy 61.800526 92.454754) @@ -40897,7 +40788,7 @@ (xy 56.206302 91.142192) (xy 56.20434 91.136585) (xy 56.204338 91.136582) - (xy 56.190667 91.118059) + (xy 56.191698 91.119456) (xy 56.174071 91.095572) (xy 56.123266 91.026733) (xy 56.013417 90.945661) @@ -40967,205 +40858,59 @@ (xy 54.914247 90.90057) (xy 54.785385 90.945659) (xy 54.785382 90.945661) - (xy 54.675533 91.026733) - (xy 54.594461 91.136582) - (xy 54.594459 91.136585) - (xy 54.54937 91.265447) - (xy 54.549368 91.265456) - (xy 54.5465 91.296035) - (xy 54.5465 92.005164) - (xy 54.54936 92.035656) - (xy 54.549369 92.03575) - (xy 54.558569 92.062043) - (xy 54.594459 92.164614) - (xy 54.594461 92.164617) - (xy 54.675533 92.274466) - (xy 54.723992 92.31023) - (xy 54.768496 92.343076) - (xy 54.785382 92.355538) - (xy 54.785385 92.35554) - (xy 54.798786 92.360229) - (xy 54.91425 92.400631) - (xy 54.944835 92.403499) - (xy 54.944837 92.4035) - (xy 54.944844 92.4035) - (xy 55.853963 92.4035) - (xy 55.853963 92.403499) - (xy 55.88455 92.400631) - (xy 56.013417 92.355539) - (xy 56.123266 92.274466) - (xy 56.204339 92.164617) - (xy 56.249431 92.03575) - (xy 56.252299 92.005163) - (xy 56.2523 92.005163) - (xy 56.2523 91.9537) - (xy 56.269893 91.905362) - (xy 56.314442 91.879642) - (xy 56.3275 91.8785) - (xy 56.425053 91.8785) - (xy 56.473391 91.896093) - (xy 56.478227 91.900526) - (xy 56.500074 91.922373) - (xy 56.521814 91.968993) - (xy 56.5221 91.975547) - (xy 56.5221 93.874452) - (xy 56.504507 93.92279) - (xy 56.500074 93.927626) - (xy 55.827026 94.600674) - (xy 55.780406 94.622414) - (xy 55.773852 94.6227) - (xy 54.699829 94.6227) - (xy 54.695892 94.622597) - (xy 54.655451 94.620476) - (xy 54.632497 94.629288) - (xy 54.621186 94.632639) - (xy 54.597135 94.637751) - (xy 54.597132 94.637753) - (xy 54.590224 94.642772) - (xy 54.572983 94.652133) - (xy 54.565007 94.655195) - (xy 54.565001 94.655199) - (xy 54.547609 94.672589) - (xy 54.538645 94.680245) - (xy 54.518754 94.694698) - (xy 54.518751 94.694701) - (xy 54.514483 94.702094) - (xy 54.502535 94.717664) - (xy 54.327448 94.89275) - (xy 54.324593 94.895459) - (xy 54.294507 94.922548) - (xy 54.294505 94.92255) - (xy 54.284506 94.94501) - (xy 54.278878 94.955376) - (xy 54.265484 94.976001) - (xy 54.265483 94.976006) - (xy 54.264148 94.984435) - (xy 54.258574 95.003254) - (xy 54.2551 95.011057) - (xy 54.2551 95.035652) - (xy 54.254174 95.047416) - (xy 54.2506 95.069984) - (xy 54.250329 95.071694) - (xy 54.252501 95.079802) - (xy 54.252538 95.079938) - (xy 54.2551 95.0994) - (xy 54.2551 95.287283) - (xy 54.237507 95.335621) - (xy 54.210275 95.356075) - (xy 54.158858 95.378777) - (xy 54.158851 95.378782) - (xy 54.078782 95.458851) - (xy 54.07878 95.458854) - (xy 54.033037 95.562451) - (xy 54.0301 95.587776) - (xy 54.0301 97.328223) - (xy 53.6659 97.328223) - (xy 53.6659 95.587774) - (xy 53.662962 95.56245) - (xy 53.61722 95.458855) - (xy 53.617219 95.458854) - (xy 53.617217 95.458851) - (xy 53.537148 95.378782) - (xy 53.537141 95.378777) - (xy 53.485725 95.356075) - (xy 53.448613 95.320457) - (xy 53.4409 95.287283) - (xy 53.4409 94.1787) - (xy 53.458493 94.130362) - (xy 53.503042 94.104642) - (xy 53.5161 94.1035) - (xy 53.653963 94.1035) - (xy 53.653963 94.103499) - (xy 53.68455 94.100631) - (xy 53.813417 94.055539) - (xy 53.923266 93.974466) - (xy 54.004339 93.864617) - (xy 54.049431 93.73575) - (xy 54.052299 93.705163) - (xy 54.0523 93.705163) - (xy 54.0523 93.5006) - (xy 54.547001 93.5006) - (xy 54.547001 93.705092) - (xy 54.549865 93.735656) - (xy 54.594906 93.864376) - (xy 54.675892 93.974107) - (xy 54.785623 94.055093) - (xy 54.785622 94.055093) - (xy 54.914341 94.100132) - (xy 54.91435 94.100134) - (xy 54.944899 94.102999) - (xy 55.2494 94.102999) - (xy 55.2494 93.5006) - (xy 55.5494 93.5006) - (xy 55.5494 94.102999) - (xy 55.853893 94.102999) - (xy 55.884456 94.100134) - (xy 56.013176 94.055093) - (xy 56.122907 93.974107) - (xy 56.203893 93.864376) - (xy 56.248932 93.735658) - (xy 56.248934 93.735649) - (xy 56.251799 93.705101) - (xy 56.2518 93.7051) - (xy 56.2518 93.5006) - (xy 55.5494 93.5006) - (xy 55.2494 93.5006) - (xy 54.547001 93.5006) - (xy 54.0523 93.5006) - (xy 54.0523 93.2006) - (xy 54.547 93.2006) - (xy 55.2494 93.2006) - (xy 55.2494 92.5982) - (xy 55.5494 92.5982) - (xy 55.5494 93.2006) - (xy 56.251799 93.2006) - (xy 56.251799 92.996107) - (xy 56.248934 92.965543) - (xy 56.203893 92.836823) - (xy 56.122907 92.727092) - (xy 56.013176 92.646106) - (xy 56.013177 92.646106) - (xy 55.884458 92.601067) - (xy 55.884449 92.601065) - (xy 55.853901 92.5982) - (xy 55.5494 92.5982) - (xy 55.2494 92.5982) - (xy 54.944907 92.5982) - (xy 54.914343 92.601065) - (xy 54.785623 92.646106) - (xy 54.675892 92.727092) - (xy 54.594906 92.836823) - (xy 54.549867 92.965541) - (xy 54.549865 92.96555) - (xy 54.547 92.996098) - (xy 54.547 93.2006) - (xy 54.0523 93.2006) - (xy 54.0523 92.996036) - (xy 54.052299 92.996035) - (xy 54.051468 92.987171) - (xy 54.049431 92.96545) - (xy 54.00971 92.851932) - (xy 54.00434 92.836585) - (xy 54.004338 92.836582) - (xy 53.923266 92.726733) - (xy 53.813417 92.645661) - (xy 53.813414 92.645659) - (xy 53.699971 92.605965) - (xy 53.68455 92.600569) - (xy 53.684545 92.600568) - (xy 53.684543 92.600568) - (xy 53.653964 92.5977) - (xy 53.653956 92.5977) - (xy 52.744844 92.5977) - (xy 52.744836 92.5977) - (xy 52.714256 92.600568) - (xy 52.714252 92.600568) - (xy 52.71425 92.600569) - (xy 52.714247 92.600569) - (xy 52.714247 92.60057) - (xy 52.585385 92.645659) - (xy 52.585382 92.645661) - (xy 52.475533 92.726733) + (xy 54.675535 91.026732) + (xy 54.64918 91.062442) + (xy 54.6071 91.119456) + (xy 54.564242 91.1479) + (xy 54.546596 91.15) + (xy 54.051582 91.15) + (xy 54.003244 91.132407) + (xy 53.991077 91.119456) + (xy 53.922909 91.027094) + (xy 53.813176 90.946106) + (xy 53.813177 90.946106) + (xy 53.684458 90.901067) + (xy 53.684449 90.901065) + (xy 53.653901 90.8982) + (xy 53.3494 90.8982) + (xy 53.3494 91.0748) + (xy 53.331807 91.123138) + (xy 53.287258 91.148858) + (xy 53.2742 91.15) + (xy 53.1246 91.15) + (xy 53.076262 91.132407) + (xy 53.050542 91.087858) + (xy 53.0494 91.0748) + (xy 53.0494 90.8982) + (xy 52.744907 90.8982) + (xy 52.714343 90.901065) + (xy 52.585623 90.946106) + (xy 52.475892 91.027092) + (xy 52.394906 91.136823) + (xy 52.349867 91.265541) + (xy 52.349865 91.26555) + (xy 52.347 91.296098) + (xy 52.347 91.5006) + (xy 52.5248 91.5006) + (xy 52.573138 91.518193) + (xy 52.598858 91.562742) + (xy 52.6 91.5758) + (xy 52.6 91.7254) + (xy 52.582407 91.773738) + (xy 52.537858 91.799458) + (xy 52.5248 91.8006) + (xy 52.347001 91.8006) + (xy 52.347001 92.005092) + (xy 52.349865 92.035656) + (xy 52.394906 92.164376) + (xy 52.475894 92.274109) + (xy 52.569456 92.343163) + (xy 52.5979 92.386022) + (xy 52.6 92.403668) + (xy 52.6 92.59691) + (xy 52.582407 92.645248) + (xy 52.569456 92.657415) + (xy 52.475534 92.726733) (xy 52.394461 92.836582) (xy 52.394459 92.836585) (xy 52.34937 92.965447) @@ -41212,7 +40957,7 @@ (xy 49.108332 93.144879) (xy 49.051328 93.030399) (xy 49.049562 93.028061) - (xy 49.008639 92.97387) + (xy 49.002351 92.965543) (xy 48.931212 92.871339) (xy 48.931209 92.871336) (xy 48.783912 92.737056) @@ -41270,35 +41015,6 @@ (xy 51.0494 92.0006) (xy 50.572001 92.0006) (xy 46.9374 92.0006) - (xy 47.1374 91.8006) - (xy 52.347001 91.8006) - (xy 52.347001 92.005092) - (xy 52.349865 92.035656) - (xy 52.394906 92.164376) - (xy 52.475892 92.274107) - (xy 52.585623 92.355093) - (xy 52.585622 92.355093) - (xy 52.714341 92.400132) - (xy 52.71435 92.400134) - (xy 52.744899 92.402999) - (xy 53.0494 92.402999) - (xy 53.0494 91.8006) - (xy 53.3494 91.8006) - (xy 53.3494 92.402999) - (xy 53.653893 92.402999) - (xy 53.684456 92.400134) - (xy 53.813176 92.355093) - (xy 53.922907 92.274107) - (xy 54.003893 92.164376) - (xy 54.048932 92.035658) - (xy 54.048934 92.035649) - (xy 54.051799 92.005101) - (xy 54.0518 92.0051) - (xy 54.0518 91.8006) - (xy 53.3494 91.8006) - (xy 53.0494 91.8006) - (xy 52.347001 91.8006) - (xy 47.1374 91.8006) (xy 47.2374 91.7006) (xy 50.572 91.7006) (xy 51.0494 91.7006) @@ -41309,34 +41025,6 @@ (xy 51.826799 91.603469) (xy 51.826798 91.603457) (xy 51.816465 91.53254) - (xy 51.800851 91.5006) - (xy 52.347 91.5006) - (xy 53.0494 91.5006) - (xy 53.0494 90.8982) - (xy 53.3494 90.8982) - (xy 53.3494 91.5006) - (xy 54.051799 91.5006) - (xy 54.051799 91.296107) - (xy 54.048934 91.265543) - (xy 54.003893 91.136823) - (xy 53.922907 91.027092) - (xy 53.813176 90.946106) - (xy 53.813177 90.946106) - (xy 53.684458 90.901067) - (xy 53.684449 90.901065) - (xy 53.653901 90.8982) - (xy 53.3494 90.8982) - (xy 53.0494 90.8982) - (xy 52.744907 90.8982) - (xy 52.714343 90.901065) - (xy 52.585623 90.946106) - (xy 52.475892 91.027092) - (xy 52.394906 91.136823) - (xy 52.349867 91.265541) - (xy 52.349865 91.26555) - (xy 52.347 91.296098) - (xy 52.347 91.5006) - (xy 51.800851 91.5006) (xy 51.762978 91.42313) (xy 51.676869 91.337021) (xy 51.567458 91.283534) @@ -42460,7 +42148,7 @@ (xy 65.085772 123.163198) (xy 65.085773 123.163202) (xy 65.085774 123.163206) - (xy 65.124515 123.276055) + (xy 65.117171 123.254661) (xy 65.163152 123.3886) (xy 65.163153 123.388602) (xy 65.276569 123.598177) @@ -42544,7 +42232,7 @@ (xy 68.235578 125.983999) (xy 68.235578 125.984) (xy 68.255543 126.110056) - (xy 68.307561 126.212146) + (xy 68.298486 126.194336) (xy 68.313484 126.223771) (xy 68.403729 126.314016) (xy 68.517443 126.371956) @@ -42630,8 +42318,6 @@ (xy 63.766516 123.048771) (xy 63.824457 122.935055) (xy 63.844422 122.809) - (xy 63.84371 122.804507) - (xy 63.840664 122.785276) (xy 63.824457 122.682945) (xy 63.766516 122.569229) (xy 63.676271 122.478984) @@ -42702,7 +42388,7 @@ (xy 73.00245 122.689848) (xy 72.963226 122.454794) (xy 72.885849 122.229403) - (xy 72.869937 122.2) + (xy 72.855867 122.174001) (xy 72.77243 122.019822) (xy 72.626061 121.831766) (xy 72.626057 121.831762) @@ -43099,7 +42785,280 @@ (xy 127.254762 131.441507) (xy 127.229042 131.396958) (xy 127.2279 131.3839) - (xy 127.2279 129.794001) + (xy 127.2279 129.607928) + (xy 127.228003 129.603991) + (xy 127.22897 129.585547) + (xy 127.230123 129.563552) + (xy 127.221309 129.540594) + (xy 127.21796 129.529288) + (xy 127.212848 129.505234) + (xy 127.20783 129.498327) + (xy 127.198463 129.481075) + (xy 127.195403 129.473104) + (xy 127.195402 129.473102) + (xy 127.178018 129.455718) + (xy 127.170355 129.446747) + (xy 127.155901 129.426854) + (xy 127.15018 129.423551) + (xy 127.148503 129.422582) + (xy 127.132933 129.410634) + (xy 124.600899 126.8786) + (xy 122.066758 124.344458) + (xy 122.064047 124.341602) + (xy 122.036949 124.311506) + (xy 122.036945 124.311503) + (xy 122.014486 124.301504) + (xy 122.004116 124.295874) + (xy 121.983496 124.282483) + (xy 121.975064 124.281148) + (xy 121.956243 124.275573) + (xy 121.948442 124.2721) + (xy 121.923848 124.2721) + (xy 121.912084 124.271174) + (xy 121.887808 124.267329) + (xy 121.887804 124.267329) + (xy 121.87956 124.269538) + (xy 121.860099 124.2721) + (xy 119.475548 124.2721) + (xy 119.42721 124.254507) + (xy 119.422374 124.250074) + (xy 118.773308 123.601008) + (xy 118.751568 123.554388) + (xy 118.752207 123.536079) + (xy 118.757922 123.5) + (xy 118.737957 123.373945) + (xy 118.680016 123.260229) + (xy 118.589771 123.169984) + (xy 118.476055 123.112043) + (xy 118.476057 123.112043) + (xy 118.35 123.092078) + (xy 118.223943 123.112043) + (xy 118.110228 123.169984) + (xy 118.019984 123.260228) + (xy 117.962043 123.373943) + (xy 117.942078 123.499999) + (xy 117.942078 123.5) + (xy 117.962043 123.626056) + (xy 118.006564 123.713433) + (xy 118.019984 123.739771) + (xy 118.110229 123.830016) + (xy 118.223943 123.887956) + (xy 118.223945 123.887957) + (xy 118.35 123.907922) + (xy 118.386071 123.902208) + (xy 118.436564 123.912022) + (xy 118.451009 123.923308) + (xy 119.183231 124.65553) + (xy 119.185942 124.658386) + (xy 119.213051 124.688494) + (xy 119.218671 124.690996) + (xy 119.235516 124.698496) + (xy 119.245884 124.704125) + (xy 119.266504 124.717516) + (xy 119.274936 124.718851) + (xy 119.293755 124.724426) + (xy 119.301558 124.7279) + (xy 119.326147 124.7279) + (xy 119.33791 124.728825) + (xy 119.362194 124.732672) + (xy 119.368459 124.730993) + (xy 119.370443 124.730462) + (xy 119.389904 124.7279) + (xy 121.774453 124.7279) + (xy 121.822791 124.745493) + (xy 121.827627 124.749926) + (xy 126.750074 129.672373) + (xy 126.771814 129.718993) + (xy 126.7721 129.725547) + (xy 126.7721 131.3839) + (xy 126.754507 131.432238) + (xy 126.709958 131.457958) + (xy 126.6969 131.4591) + (xy 126.577197 131.4591) + (xy 126.491402 131.469403) + (xy 126.354871 131.523244) + (xy 126.35487 131.523245) + (xy 126.310725 131.55672) + (xy 126.265288 131.572) + (xy 125.194712 131.572) + (xy 125.149274 131.55672) + (xy 125.10513 131.523245) + (xy 125.105128 131.523244) + (xy 124.968597 131.469403) + (xy 124.882803 131.4591) + (xy 124.882802 131.4591) + (xy 124.7631 131.4591) + (xy 124.714762 131.441507) + (xy 124.689042 131.396958) + (xy 124.6879 131.3839) + (xy 124.6879 129.467936) + (xy 124.688003 129.463999) + (xy 124.688437 129.455718) + (xy 124.690123 129.423552) + (xy 124.689751 129.422584) + (xy 124.681311 129.400595) + (xy 124.677959 129.38928) + (xy 124.672848 129.365235) + (xy 124.672848 129.365234) + (xy 124.668324 129.359008) + (xy 124.667831 129.35833) + (xy 124.658463 129.341074) + (xy 124.658025 129.339934) + (xy 124.655404 129.333104) + (xy 124.638007 129.315707) + (xy 124.630355 129.306749) + (xy 124.615901 129.286854) + (xy 124.6159 129.286853) + (xy 124.615899 129.286852) + (xy 124.608506 129.282584) + (xy 124.592934 129.270634) + (xy 120.966758 125.644458) + (xy 120.964047 125.641602) + (xy 120.936949 125.611506) + (xy 120.936945 125.611503) + (xy 120.914486 125.601504) + (xy 120.904116 125.595874) + (xy 120.883496 125.582483) + (xy 120.875064 125.581148) + (xy 120.856243 125.575573) + (xy 120.848442 125.5721) + (xy 120.823848 125.5721) + (xy 120.812084 125.571174) + (xy 120.787808 125.567329) + (xy 120.787804 125.567329) + (xy 120.77956 125.569538) + (xy 120.760099 125.5721) + (xy 116.525547 125.5721) + (xy 116.477209 125.554507) + (xy 116.472373 125.550074) + (xy 114.123308 123.201008) + (xy 114.101568 123.154388) + (xy 114.102207 123.136079) + (xy 114.107922 123.1) + (xy 114.592078 123.1) + (xy 114.593985 123.112043) + (xy 114.596174 123.125859) + (xy 114.5971 123.137624) + (xy 114.5971 123.163813) + (xy 114.605192 123.188721) + (xy 114.607945 123.200187) + (xy 114.612043 123.226057) + (xy 114.623931 123.249388) + (xy 114.628444 123.260283) + (xy 114.636539 123.285193) + (xy 114.63654 123.285194) + (xy 114.636541 123.285196) + (xy 114.651928 123.306375) + (xy 114.658091 123.316432) + (xy 114.669984 123.339771) + (xy 114.719984 123.389771) + (xy 114.767225 123.437012) + (xy 114.810229 123.480016) + (xy 114.833557 123.491902) + (xy 114.84362 123.498068) + (xy 114.864806 123.513461) + (xy 114.864807 123.513461) + (xy 114.864808 123.513462) + (xy 114.889711 123.521552) + (xy 114.900607 123.526065) + (xy 114.923945 123.537957) + (xy 114.949808 123.542053) + (xy 114.961273 123.544805) + (xy 114.986187 123.5529) + (xy 115.018292 123.5529) + (xy 116.436187 123.5529) + (xy 116.462375 123.5529) + (xy 116.474138 123.553825) + (xy 116.5 123.557922) + (xy 116.525861 123.553825) + (xy 116.537625 123.5529) + (xy 116.563812 123.5529) + (xy 116.563813 123.5529) + (xy 116.588722 123.544805) + (xy 116.600173 123.542055) + (xy 116.626055 123.537957) + (xy 116.649397 123.526063) + (xy 116.660284 123.521554) + (xy 116.685193 123.513461) + (xy 116.706378 123.498068) + (xy 116.71643 123.491907) + (xy 116.739771 123.480016) + (xy 116.830016 123.389771) + (xy 116.830016 123.389769) + (xy 117.282314 122.937473) + (xy 117.282315 122.937472) + (xy 117.305016 122.914771) + (xy 117.305016 122.914769) + (xy 117.311203 122.908583) + (xy 117.311211 122.908574) + (xy 117.344861 122.874925) + (xy 117.391482 122.853186) + (xy 117.398035 122.8529) + (xy 118.801966 122.8529) + (xy 118.850304 122.870493) + (xy 118.85514 122.874926) + (xy 119.017347 123.037133) + (xy 119.017368 123.037156) + (xy 119.114508 123.134296) + (xy 119.114522 123.134308) + (xy 119.317347 123.337133) + (xy 119.317356 123.337143) + (xy 119.319983 123.33977) + (xy 119.319984 123.339771) + (xy 119.338504 123.358291) + (xy 119.346163 123.367257) + (xy 119.361556 123.388444) + (xy 119.38267 123.403784) + (xy 119.38274 123.403835) + (xy 119.391706 123.411493) + (xy 119.441806 123.461593) + (xy 119.460229 123.480016) + (xy 119.483557 123.491902) + (xy 119.49362 123.498068) + (xy 119.514806 123.513461) + (xy 119.514807 123.513461) + (xy 119.514808 123.513462) + (xy 119.539711 123.521552) + (xy 119.550607 123.526065) + (xy 119.573945 123.537957) + (xy 119.599808 123.542053) + (xy 119.611273 123.544805) + (xy 119.636187 123.5529) + (xy 119.668292 123.5529) + (xy 121.136187 123.5529) + (xy 121.162375 123.5529) + (xy 121.174138 123.553825) + (xy 121.2 123.557922) + (xy 121.225861 123.553825) + (xy 121.237625 123.5529) + (xy 122.801966 123.5529) + (xy 122.850304 123.570493) + (xy 122.855139 123.574925) + (xy 127.789983 128.50977) + (xy 127.789984 128.509771) + (xy 127.880229 128.600016) + (xy 127.903564 128.611905) + (xy 127.913622 128.618069) + (xy 127.934806 128.63346) + (xy 127.934806 128.633461) + (xy 127.959709 128.641552) + (xy 127.970613 128.646069) + (xy 127.993942 128.657956) + (xy 127.993943 128.657956) + (xy 127.993945 128.657957) + (xy 128.019812 128.662053) + (xy 128.031271 128.664804) + (xy 128.056187 128.6729) + (xy 128.088292 128.6729) + (xy 135.691966 128.6729) + (xy 135.740304 128.690493) + (xy 135.74514 128.694926) + (xy 136.223074 129.17286) + (xy 136.244814 129.21948) + (xy 136.2451 129.226034) + (xy 136.2451 129.742106) + (xy 136.244688 129.749966) + (xy 136.24006 129.793999) (xy 136.24006 129.794001) (xy 136.241966 129.812138) (xy 136.242275 129.823931) @@ -43217,7 +43176,7 @@ (xy 139.2179 128.698952) (xy 139.2179 128.676933) (xy 139.218003 128.672998) - (xy 139.219134 128.651407) + (xy 139.218566 128.662247) (xy 139.221679 128.602854) (xy 139.218825 128.58484) (xy 139.2179 128.573076) @@ -43409,29 +43368,16 @@ (xy 136.242433 126.973078) (xy 136.244997 127.021998) (xy 136.2451 127.025933) - (xy 136.2451 129.742106) - (xy 136.244688 129.749966) - (xy 136.24006 129.793999) - (xy 136.24006 129.794001) - (xy 127.2279 129.794001) - (xy 127.2279 129.607928) - (xy 127.228003 129.603991) - (xy 127.22897 129.585547) - (xy 127.230123 129.563552) - (xy 127.221309 129.540594) - (xy 127.21796 129.529288) - (xy 127.212848 129.505234) - (xy 127.20783 129.498327) - (xy 127.198463 129.481075) - (xy 127.195403 129.473104) - (xy 127.195402 129.473102) - (xy 127.178018 129.455718) - (xy 127.170355 129.446747) - (xy 127.155901 129.426854) - (xy 127.15018 129.423551) - (xy 127.148503 129.422582) - (xy 127.132933 129.410634) - (xy 123.8223 126.1) + (xy 136.2451 127.313965) + (xy 136.227507 127.362303) + (xy 136.223074 127.367139) + (xy 135.74514 127.845074) + (xy 135.69852 127.866814) + (xy 135.691966 127.8671) + (xy 128.318035 127.8671) + (xy 128.269697 127.849507) + (xy 128.264861 127.845074) + (xy 126.519786 126.1) (xy 129.792329 126.1) (xy 129.811331 126.244337) (xy 129.811916 126.245749) @@ -43513,7 +43459,7 @@ (xy 133.028839 125.617045) (xy 133.028838 125.617044) (xy 133.028836 125.617043) - (xy 132.920335 125.5721) + (xy 132.918099 125.571174) (xy 132.894337 125.561331) (xy 132.75 125.542329) (xy 132.605662 125.561331) @@ -43537,7 +43483,7 @@ (xy 130.628839 125.617045) (xy 130.628838 125.617044) (xy 130.628836 125.617043) - (xy 130.520335 125.5721) + (xy 130.518099 125.571174) (xy 130.494337 125.561331) (xy 130.35 125.542329) (xy 130.205662 125.561331) @@ -43553,8 +43499,8 @@ (xy 129.8633 125.830201) (xy 129.811331 125.955662) (xy 129.792329 126.1) - (xy 123.8223 126.1) - (xy 122.5723 124.85) + (xy 126.519786 126.1) + (xy 125.269786 124.85) (xy 128.592329 124.85) (xy 128.611331 124.994336) (xy 128.667043 125.128836) @@ -43606,7 +43552,7 @@ (xy 134.557671 124.9) (xy 134.538669 124.755664) (xy 134.482957 124.621165) - (xy 134.466659 124.599925) + (xy 134.444591 124.571165) (xy 134.394333 124.505666) (xy 134.278839 124.417045) (xy 134.278838 124.417044) @@ -43671,313 +43617,161 @@ (xy 128.611331 124.705662) (xy 128.601908 124.777236) (xy 128.592329 124.85) - (xy 122.5723 124.85) - (xy 121.916758 124.194458) - (xy 121.914047 124.191602) - (xy 121.897926 124.173698) - (xy 121.886949 124.161506) - (xy 121.886945 124.161503) - (xy 121.864486 124.151504) - (xy 121.854116 124.145874) - (xy 121.833496 124.132483) - (xy 121.825064 124.131148) - (xy 121.806243 124.125573) - (xy 121.798442 124.1221) - (xy 121.773848 124.1221) - (xy 121.762084 124.121174) - (xy 121.737808 124.117329) - (xy 121.737804 124.117329) - (xy 121.72956 124.119538) - (xy 121.710099 124.1221) - (xy 121.373036 124.1221) - (xy 121.324698 124.104507) - (xy 121.319862 124.100075) - (xy 121.29776 124.077973) - (xy 121.239771 124.019984) - (xy 121.126055 123.962043) - (xy 121.126057 123.962043) - (xy 121 123.942078) - (xy 120.873943 123.962043) - (xy 120.760228 124.019984) - (xy 120.669984 124.110228) - (xy 120.612043 124.223943) - (xy 120.592078 124.349999) - (xy 120.592078 124.35) - (xy 120.612043 124.476056) - (xy 120.627131 124.505667) - (xy 120.669984 124.589771) - (xy 120.760229 124.680016) - (xy 120.873943 124.737956) - (xy 120.873945 124.737957) - (xy 121 124.757922) - (xy 121.126055 124.737957) - (xy 121.239771 124.680016) - (xy 121.319862 124.599925) - (xy 121.366482 124.578186) - (xy 121.373036 124.5779) - (xy 121.624453 124.5779) - (xy 121.672791 124.595493) - (xy 121.677627 124.599926) - (xy 126.750074 129.672373) - (xy 126.771814 129.718993) - (xy 126.7721 129.725547) - (xy 126.7721 131.3839) - (xy 126.754507 131.432238) - (xy 126.709958 131.457958) - (xy 126.6969 131.4591) - (xy 126.577197 131.4591) - (xy 126.491402 131.469403) - (xy 126.354871 131.523244) - (xy 126.35487 131.523245) - (xy 126.310725 131.55672) - (xy 126.265288 131.572) - (xy 125.194712 131.572) - (xy 125.149274 131.55672) - (xy 125.10513 131.523245) - (xy 125.105128 131.523244) - (xy 124.968597 131.469403) - (xy 124.882803 131.4591) - (xy 124.882802 131.4591) - (xy 124.7631 131.4591) - (xy 124.714762 131.441507) - (xy 124.689042 131.396958) - (xy 124.6879 131.3839) - (xy 124.6879 129.467936) - (xy 124.688003 129.463999) - (xy 124.688437 129.455718) - (xy 124.690123 129.423552) - (xy 124.689751 129.422584) - (xy 124.681311 129.400595) - (xy 124.677959 129.38928) - (xy 124.672848 129.365235) - (xy 124.672848 129.365234) - (xy 124.668324 129.359008) - (xy 124.667831 129.35833) - (xy 124.658463 129.341074) - (xy 124.658025 129.339934) - (xy 124.655404 129.333104) - (xy 124.638007 129.315707) - (xy 124.630355 129.306749) - (xy 124.615901 129.286854) - (xy 124.6159 129.286853) - (xy 124.615899 129.286852) - (xy 124.608506 129.282584) - (xy 124.592934 129.270634) - (xy 120.966758 125.644458) - (xy 120.964047 125.641602) - (xy 120.936949 125.611506) - (xy 120.936945 125.611503) - (xy 120.914486 125.601504) - (xy 120.904116 125.595874) - (xy 120.883496 125.582483) - (xy 120.875064 125.581148) - (xy 120.856243 125.575573) - (xy 120.848442 125.5721) - (xy 120.823848 125.5721) - (xy 120.812084 125.571174) - (xy 120.787808 125.567329) - (xy 120.787804 125.567329) - (xy 120.77956 125.569538) - (xy 120.760099 125.5721) - (xy 116.723036 125.5721) - (xy 116.674698 125.554507) - (xy 116.669862 125.550075) - (xy 116.631118 125.511331) - (xy 116.589771 125.469984) - (xy 116.476055 125.412043) - (xy 116.476057 125.412043) - (xy 116.35 125.392078) - (xy 116.223943 125.412043) - (xy 116.11023 125.469983) - (xy 116.030138 125.550075) - (xy 115.983518 125.571814) - (xy 115.976964 125.5721) - (xy 112.463547 125.5721) - (xy 112.415209 125.554507) - (xy 112.410373 125.550074) - (xy 111.092498 124.232199) - (xy 109.9603 123.1) - (xy 114.392078 123.1) - (xy 114.412043 123.226056) - (xy 114.449682 123.299926) - (xy 114.469984 123.339771) - (xy 114.560229 123.430016) - (xy 114.673943 123.487956) - (xy 114.673945 123.487957) - (xy 114.8 123.507922) - (xy 114.926055 123.487957) - (xy 115.039771 123.430016) - (xy 115.130016 123.339771) - (xy 115.187957 123.226055) - (xy 115.200003 123.15) - (xy 116.092078 123.15) - (xy 116.112043 123.276056) - (xy 116.163319 123.37669) - (xy 116.169984 123.389771) - (xy 116.260229 123.480016) - (xy 116.373943 123.537956) - (xy 116.373945 123.537957) - (xy 116.5 123.557922) - (xy 116.626055 123.537957) - (xy 116.739771 123.480016) - (xy 116.830016 123.389771) - (xy 116.887957 123.276055) - (xy 116.907922 123.15) - (xy 116.900003 123.1) - (xy 119.242078 123.1) - (xy 119.262043 123.226056) - (xy 119.299682 123.299926) - (xy 119.319984 123.339771) - (xy 119.410229 123.430016) - (xy 119.523943 123.487956) - (xy 119.523945 123.487957) - (xy 119.65 123.507922) - (xy 119.776055 123.487957) - (xy 119.889771 123.430016) - (xy 119.980016 123.339771) - (xy 120.037957 123.226055) - (xy 120.050003 123.15) - (xy 120.792078 123.15) - (xy 120.812043 123.276056) - (xy 120.863319 123.37669) - (xy 120.869984 123.389771) - (xy 120.960229 123.480016) - (xy 121.073943 123.537956) - (xy 121.073945 123.537957) - (xy 121.2 123.557922) - (xy 121.326055 123.537957) - (xy 121.439771 123.480016) - (xy 121.530016 123.389771) - (xy 121.587957 123.276055) - (xy 121.607922 123.15) - (xy 121.587957 123.023945) - (xy 121.530016 122.910229) - (xy 121.439771 122.819984) - (xy 121.326055 122.762043) - (xy 121.326057 122.762043) - (xy 121.2 122.742078) - (xy 121.073943 122.762043) - (xy 120.960228 122.819984) - (xy 120.869984 122.910228) - (xy 120.812043 123.023943) - (xy 120.792078 123.149999) - (xy 120.792078 123.15) - (xy 120.050003 123.15) - (xy 120.057922 123.1) - (xy 120.037957 122.973945) - (xy 119.980016 122.860229) - (xy 119.889771 122.769984) - (xy 119.776055 122.712043) - (xy 119.776057 122.712043) - (xy 119.65 122.692078) - (xy 119.523943 122.712043) - (xy 119.410228 122.769984) - (xy 119.319984 122.860228) - (xy 119.262043 122.973943) - (xy 119.242078 123.099999) - (xy 119.242078 123.1) - (xy 116.900003 123.1) - (xy 116.887957 123.023945) - (xy 116.830016 122.910229) - (xy 116.739771 122.819984) - (xy 116.626055 122.762043) - (xy 116.626057 122.762043) - (xy 116.5 122.742078) - (xy 116.373943 122.762043) - (xy 116.260228 122.819984) - (xy 116.169984 122.910228) - (xy 116.112043 123.023943) - (xy 116.092078 123.149999) - (xy 116.092078 123.15) - (xy 115.200003 123.15) - (xy 115.207922 123.1) - (xy 115.187957 122.973945) - (xy 115.130016 122.860229) - (xy 115.039771 122.769984) - (xy 114.926055 122.712043) - (xy 114.926057 122.712043) - (xy 114.8 122.692078) - (xy 114.673943 122.712043) - (xy 114.560228 122.769984) - (xy 114.469984 122.860228) - (xy 114.412043 122.973943) - (xy 114.392078 123.099999) - (xy 114.392078 123.1) - (xy 109.9603 123.1) - (xy 109.754758 122.894458) - (xy 109.752047 122.891602) - (xy 109.724949 122.861506) - (xy 109.724945 122.861503) - (xy 109.702486 122.851504) - (xy 109.692116 122.845874) - (xy 109.671496 122.832483) - (xy 109.663064 122.831148) - (xy 109.644243 122.825573) - (xy 109.636442 122.8221) - (xy 109.611848 122.8221) - (xy 109.600084 122.821174) - (xy 109.575808 122.817329) - (xy 109.575804 122.817329) - (xy 109.56756 122.819538) - (xy 109.548099 122.8221) - (xy 109.323036 122.8221) - (xy 109.274698 122.804507) - (xy 109.269862 122.800075) - (xy 109.23183 122.762043) - (xy 109.189771 122.719984) - (xy 109.076055 122.662043) - (xy 109.076057 122.662043) - (xy 108.95 122.642078) - (xy 108.823943 122.662043) - (xy 108.710228 122.719984) - (xy 108.619984 122.810228) - (xy 108.562043 122.923943) - (xy 108.542078 123.049999) - (xy 108.542078 123.05) - (xy 108.562043 123.176056) - (xy 108.612995 123.276055) - (xy 108.619984 123.289771) - (xy 108.710229 123.380016) - (xy 108.823943 123.437956) - (xy 108.823945 123.437957) - (xy 108.95 123.457922) - (xy 109.076055 123.437957) - (xy 109.189771 123.380016) - (xy 109.269862 123.299925) - (xy 109.316482 123.278186) - (xy 109.323036 123.2779) - (xy 109.462453 123.2779) - (xy 109.510791 123.295493) - (xy 109.515627 123.299926) - (xy 112.171231 125.95553) - (xy 112.173942 125.958386) - (xy 112.201051 125.988494) - (xy 112.223516 125.998495) - (xy 112.233887 126.004127) - (xy 112.254502 126.017516) - (xy 112.262928 126.01885) - (xy 112.281759 126.024428) - (xy 112.289553 126.027898) - (xy 112.289555 126.027898) - (xy 112.289558 126.0279) - (xy 112.314152 126.0279) - (xy 112.325915 126.028825) - (xy 112.350194 126.032671) - (xy 112.355253 126.031315) - (xy 112.35844 126.030462) - (xy 112.377901 126.0279) - (xy 115.976964 126.0279) - (xy 116.025302 126.045493) - (xy 116.030138 126.049925) - (xy 116.110229 126.130016) - (xy 116.223943 126.187956) - (xy 116.223945 126.187957) - (xy 116.35 126.207922) - (xy 116.476055 126.187957) - (xy 116.589771 126.130016) - (xy 116.669862 126.049925) - (xy 116.716482 126.028186) - (xy 116.723036 126.0279) + (xy 125.269786 124.85) + (xy 123.334308 122.914522) + (xy 123.334303 122.914516) + (xy 123.29028 122.870493) + (xy 123.239771 122.819984) + (xy 123.23977 122.819983) + (xy 122.865591 122.445805) + (xy 122.865591 122.445804) + (xy 122.76477 122.344983) + (xy 122.380016 121.96023) + (xy 122.380016 121.960229) + (xy 122.289771 121.869984) + (xy 122.266432 121.858091) + (xy 122.256375 121.851928) + (xy 122.235196 121.836541) + (xy 122.235194 121.83654) + (xy 122.235193 121.836539) + (xy 122.210283 121.828444) + (xy 122.199388 121.823931) + (xy 122.176056 121.812043) + (xy 122.176058 121.812043) + (xy 122.150187 121.807945) + (xy 122.138721 121.805192) + (xy 122.113813 121.7971) + (xy 122.087625 121.7971) + (xy 122.075861 121.796174) + (xy 122.05 121.792078) + (xy 122.024139 121.796174) + (xy 122.012375 121.7971) + (xy 120.287625 121.7971) + (xy 120.275861 121.796174) + (xy 120.25 121.792078) + (xy 120.224139 121.796174) + (xy 120.212375 121.7971) + (xy 117.387625 121.7971) + (xy 117.375861 121.796174) + (xy 117.35 121.792078) + (xy 117.324139 121.796174) + (xy 117.312375 121.7971) + (xy 115.587625 121.7971) + (xy 115.575861 121.796174) + (xy 115.55 121.792078) + (xy 115.524139 121.796174) + (xy 115.512375 121.7971) + (xy 115.486182 121.7971) + (xy 115.461281 121.805191) + (xy 115.449813 121.807945) + (xy 115.423943 121.812043) + (xy 115.400606 121.823933) + (xy 115.389712 121.828445) + (xy 115.36481 121.836537) + (xy 115.364805 121.836539) + (xy 115.34362 121.851931) + (xy 115.333564 121.858093) + (xy 115.310229 121.869983) + (xy 115.310225 121.869986) + (xy 115.292812 121.887401) + (xy 115.287527 121.892686) + (xy 114.83523 122.344983) + (xy 114.835229 122.344984) + (xy 114.760229 122.419984) + (xy 114.734409 122.445804) + (xy 114.669983 122.510229) + (xy 114.669982 122.51023) + (xy 114.658091 122.533567) + (xy 114.651929 122.543623) + (xy 114.636539 122.564805) + (xy 114.636537 122.56481) + (xy 114.628445 122.589712) + (xy 114.623933 122.600606) + (xy 114.612043 122.623943) + (xy 114.607945 122.649813) + (xy 114.605191 122.661281) + (xy 114.5971 122.686182) + (xy 114.5971 123.062374) + (xy 114.596174 123.074138) + (xy 114.592078 123.1) + (xy 114.107922 123.1) + (xy 114.087957 122.973945) + (xy 114.030016 122.860229) + (xy 113.939771 122.769984) + (xy 113.826055 122.712043) + (xy 113.826057 122.712043) + (xy 113.7 122.692078) + (xy 113.573943 122.712043) + (xy 113.46023 122.769983) + (xy 113.380138 122.850075) + (xy 113.333518 122.871814) + (xy 113.326964 122.8721) + (xy 109.423036 122.8721) + (xy 109.374698 122.854507) + (xy 109.369862 122.850075) + (xy 109.330015 122.810228) + (xy 109.289771 122.769984) + (xy 109.176055 122.712043) + (xy 109.176057 122.712043) + (xy 109.05 122.692078) + (xy 108.923943 122.712043) + (xy 108.810228 122.769984) + (xy 108.719984 122.860228) + (xy 108.662043 122.973943) + (xy 108.642078 123.099999) + (xy 108.642078 123.1) + (xy 108.662043 123.226056) + (xy 108.708093 123.316434) + (xy 108.719984 123.339771) + (xy 108.810229 123.430016) + (xy 108.923943 123.487956) + (xy 108.923945 123.487957) + (xy 109.05 123.507922) + (xy 109.176055 123.487957) + (xy 109.289771 123.430016) + (xy 109.369862 123.349925) + (xy 109.416482 123.328186) + (xy 109.423036 123.3279) + (xy 113.326964 123.3279) + (xy 113.375302 123.345493) + (xy 113.380138 123.349925) + (xy 113.460229 123.430016) + (xy 113.573943 123.487956) + (xy 113.573945 123.487957) + (xy 113.7 123.507922) + (xy 113.736071 123.502208) + (xy 113.786564 123.512022) + (xy 113.801009 123.523308) + (xy 116.111867 125.834167) + (xy 116.132249 125.871704) + (xy 116.137151 125.894763) + (xy 116.137152 125.894767) + (xy 116.137409 125.89512) + (xy 116.148088 125.916079) + (xy 116.148222 125.916491) + (xy 116.148224 125.916495) + (xy 116.170007 125.940688) + (xy 116.174959 125.946803) + (xy 116.194099 125.973146) + (xy 116.194468 125.973359) + (xy 116.212758 125.988169) + (xy 116.213051 125.988494) + (xy 116.242799 126.001738) + (xy 116.249808 126.005309) + (xy 116.26253 126.012655) + (xy 116.278001 126.021588) + (xy 116.27843 126.021633) + (xy 116.301157 126.027722) + (xy 116.301557 126.0279) + (xy 116.301558 126.0279) + (xy 116.334116 126.0279) + (xy 116.341976 126.028312) + (xy 116.357184 126.02991) + (xy 116.374354 126.031715) + (xy 116.374354 126.031714) + (xy 116.374355 126.031715) + (xy 116.374765 126.031582) + (xy 116.398006 126.0279) (xy 120.674453 126.0279) (xy 120.722791 126.045493) (xy 120.727627 126.049926) @@ -44362,6 +44156,8 @@ (xy 108.036098 123.179703) (xy 108.037957 123.176055) (xy 108.057922 123.05) + (xy 108.056301 123.039768) + (xy 108.045876 122.973945) (xy 108.037957 122.923945) (xy 107.980016 122.810229) (xy 107.889771 122.719984) @@ -44375,7 +44171,7 @@ (xy 107.242078 123.049999) (xy 107.242078 123.05) (xy 107.262043 123.176056) - (xy 107.312995 123.276055) + (xy 107.304931 123.260228) (xy 107.319984 123.289771) (xy 107.410229 123.380016) (xy 107.523945 123.437957) @@ -44479,6 +44275,7 @@ (xy 107.0279 124.769442) (xy 107.0279 124.744852) (xy 107.028826 124.733086) + (xy 107.029501 124.728826) (xy 107.032672 124.708806) (xy 107.03046 124.700553) (xy 107.0279 124.681095) @@ -44507,6 +44304,8 @@ (xy 106.736098 123.179703) (xy 106.737957 123.176055) (xy 106.757922 123.05) + (xy 106.756301 123.039768) + (xy 106.745876 122.973945) (xy 106.737957 122.923945) (xy 106.680016 122.810229) (xy 106.589771 122.719984) @@ -44520,7 +44319,7 @@ (xy 105.942078 123.049999) (xy 105.942078 123.05) (xy 105.962043 123.176056) - (xy 106.012995 123.276055) + (xy 106.004931 123.260228) (xy 106.019984 123.289771) (xy 106.110229 123.380016) (xy 106.223945 123.437957) @@ -45293,7 +45092,7 @@ (xy 74.207362 123.8) (xy 77.692078 123.8) (xy 77.712043 123.926056) - (xy 77.759902 124.019984) + (xy 77.748072 123.996767) (xy 77.769984 124.039771) (xy 77.860229 124.130016) (xy 77.973943 124.187956) @@ -45306,7 +45105,7 @@ (xy 78.507922 123.8) (xy 86.892078 123.8) (xy 86.912043 123.926056) - (xy 86.959902 124.019984) + (xy 86.948072 123.996767) (xy 86.969984 124.039771) (xy 87.060229 124.130016) (xy 87.173943 124.187956) @@ -45319,7 +45118,7 @@ (xy 87.707922 123.8) (xy 96.092078 123.8) (xy 96.112043 123.926056) - (xy 96.159902 124.019984) + (xy 96.148072 123.996767) (xy 96.169984 124.039771) (xy 96.260229 124.130016) (xy 96.373943 124.187956) @@ -45332,7 +45131,7 @@ (xy 96.907922 123.8) (xy 105.292078 123.8) (xy 105.312043 123.926056) - (xy 105.359902 124.019984) + (xy 105.348072 123.996767) (xy 105.369984 124.039771) (xy 105.460229 124.130016) (xy 105.573943 124.187956) @@ -45385,111 +45184,6 @@ (xy 78.502208 123.763927) (xy 78.512022 123.713433) (xy 78.523304 123.698993) - (xy 80.022297 122.2) - (xy 115.142078 122.2) - (xy 115.162043 122.326056) - (xy 115.180122 122.361537) - (xy 115.219984 122.439771) - (xy 115.310229 122.530016) - (xy 115.423943 122.587956) - (xy 115.423945 122.587957) - (xy 115.55 122.607922) - (xy 115.676055 122.587957) - (xy 115.789771 122.530016) - (xy 115.880016 122.439771) - (xy 115.937957 122.326055) - (xy 115.957922 122.2) - (xy 116.942078 122.2) - (xy 116.962043 122.326056) - (xy 116.980122 122.361537) - (xy 117.019984 122.439771) - (xy 117.110229 122.530016) - (xy 117.223943 122.587956) - (xy 117.223945 122.587957) - (xy 117.35 122.607922) - (xy 117.476055 122.587957) - (xy 117.589771 122.530016) - (xy 117.680016 122.439771) - (xy 117.737957 122.326055) - (xy 117.757922 122.2) - (xy 119.842078 122.2) - (xy 119.862043 122.326056) - (xy 119.880122 122.361537) - (xy 119.919984 122.439771) - (xy 120.010229 122.530016) - (xy 120.123943 122.587956) - (xy 120.123945 122.587957) - (xy 120.25 122.607922) - (xy 120.376055 122.587957) - (xy 120.489771 122.530016) - (xy 120.580016 122.439771) - (xy 120.637957 122.326055) - (xy 120.657922 122.2) - (xy 121.642078 122.2) - (xy 121.662043 122.326056) - (xy 121.680122 122.361537) - (xy 121.719984 122.439771) - (xy 121.810229 122.530016) - (xy 121.923943 122.587956) - (xy 121.923945 122.587957) - (xy 122.05 122.607922) - (xy 122.176055 122.587957) - (xy 122.289771 122.530016) - (xy 122.380016 122.439771) - (xy 122.437957 122.326055) - (xy 122.457922 122.2) - (xy 122.437957 122.073945) - (xy 122.380016 121.960229) - (xy 122.289771 121.869984) - (xy 122.176055 121.812043) - (xy 122.176057 121.812043) - (xy 122.05 121.792078) - (xy 121.923943 121.812043) - (xy 121.810228 121.869984) - (xy 121.719984 121.960228) - (xy 121.662043 122.073943) - (xy 121.642078 122.199999) - (xy 121.642078 122.2) - (xy 120.657922 122.2) - (xy 120.637957 122.073945) - (xy 120.580016 121.960229) - (xy 120.489771 121.869984) - (xy 120.376055 121.812043) - (xy 120.376057 121.812043) - (xy 120.25 121.792078) - (xy 120.123943 121.812043) - (xy 120.010228 121.869984) - (xy 119.919984 121.960228) - (xy 119.862043 122.073943) - (xy 119.842078 122.199999) - (xy 119.842078 122.2) - (xy 117.757922 122.2) - (xy 117.737957 122.073945) - (xy 117.680016 121.960229) - (xy 117.589771 121.869984) - (xy 117.476055 121.812043) - (xy 117.476057 121.812043) - (xy 117.35 121.792078) - (xy 117.223943 121.812043) - (xy 117.110228 121.869984) - (xy 117.019984 121.960228) - (xy 116.962043 122.073943) - (xy 116.942078 122.199999) - (xy 116.942078 122.2) - (xy 115.957922 122.2) - (xy 115.937957 122.073945) - (xy 115.880016 121.960229) - (xy 115.789771 121.869984) - (xy 115.676055 121.812043) - (xy 115.676057 121.812043) - (xy 115.55 121.792078) - (xy 115.423943 121.812043) - (xy 115.310228 121.869984) - (xy 115.219984 121.960228) - (xy 115.162043 122.073943) - (xy 115.142078 122.199999) - (xy 115.142078 122.2) - (xy 80.022297 122.2) (xy 82.072297 120.15) (xy 86.892078 120.15) (xy 86.912043 120.276056) @@ -46178,6 +45872,34 @@ (xy 115.330016 119.839771) (xy 115.387957 119.726055) (xy 115.407922 119.6) + (xy 116.917078 119.6) + (xy 116.937043 119.726056) + (xy 116.984902 119.819984) + (xy 116.994984 119.839771) + (xy 117.085229 119.930016) + (xy 117.198943 119.987956) + (xy 117.198945 119.987957) + (xy 117.325 120.007922) + (xy 117.451055 119.987957) + (xy 117.564771 119.930016) + (xy 117.655016 119.839771) + (xy 117.712957 119.726055) + (xy 117.732922 119.6) + (xy 117.732921 119.599996) + (xy 117.727208 119.563926) + (xy 117.712957 119.473945) + (xy 117.655016 119.360229) + (xy 117.564771 119.269984) + (xy 117.451055 119.212043) + (xy 117.451057 119.212043) + (xy 117.325 119.192078) + (xy 117.198943 119.212043) + (xy 117.085228 119.269984) + (xy 116.994984 119.360228) + (xy 116.937043 119.473943) + (xy 116.917078 119.599999) + (xy 116.917078 119.6) + (xy 115.407922 119.6) (xy 115.407921 119.599996) (xy 115.402208 119.563926) (xy 115.387957 119.473945) @@ -50878,34 +50600,34 @@ (xy 99.618395 94.946345) (xy 99.666418 94.927911) (xy 99.66773 94.9279) - (xy 109.574453 94.9279) - (xy 109.622791 94.945493) - (xy 109.627627 94.949926) - (xy 111.271427 96.593726) - (xy 111.293167 96.640346) - (xy 111.279853 96.690033) - (xy 111.237716 96.719538) - (xy 111.218253 96.7221) - (xy 110.675547 96.7221) - (xy 110.627209 96.704507) - (xy 110.622373 96.700074) - (xy 110.002315 96.080016) - (xy 109.366758 95.444458) - (xy 109.364047 95.441602) - (xy 109.336949 95.411506) - (xy 109.336945 95.411503) - (xy 109.314486 95.401504) - (xy 109.304116 95.395874) - (xy 109.283496 95.382483) - (xy 109.275064 95.381148) - (xy 109.256243 95.375573) - (xy 109.248442 95.3721) - (xy 109.223848 95.3721) - (xy 109.212084 95.371174) - (xy 109.187808 95.367329) - (xy 109.187804 95.367329) - (xy 109.17956 95.369538) - (xy 109.160099 95.3721) + (xy 109.374453 94.9279) + (xy 109.422791 94.945493) + (xy 109.427627 94.949926) + (xy 111.071427 96.593726) + (xy 111.093167 96.640346) + (xy 111.079853 96.690033) + (xy 111.037716 96.719538) + (xy 111.018253 96.7221) + (xy 110.475547 96.7221) + (xy 110.427209 96.704507) + (xy 110.422373 96.700074) + (xy 109.802315 96.080016) + (xy 109.166758 95.444458) + (xy 109.164047 95.441602) + (xy 109.136949 95.411506) + (xy 109.136945 95.411503) + (xy 109.114486 95.401504) + (xy 109.104116 95.395874) + (xy 109.083496 95.382483) + (xy 109.075064 95.381148) + (xy 109.056243 95.375573) + (xy 109.048442 95.3721) + (xy 109.023848 95.3721) + (xy 109.012084 95.371174) + (xy 108.987808 95.367329) + (xy 108.987804 95.367329) + (xy 108.97956 95.369538) + (xy 108.960099 95.3721) (xy 102.757936 95.3721) (xy 102.754 95.371997) (xy 102.752192 95.371902) @@ -51035,26 +50757,26 @@ (xy 103.622374 96.449925) (xy 103.668994 96.428186) (xy 103.675548 96.4279) - (xy 108.774453 96.4279) - (xy 108.822791 96.445493) - (xy 108.827627 96.449926) - (xy 110.083231 97.70553) - (xy 110.085942 97.708386) - (xy 110.113051 97.738494) - (xy 110.135516 97.748495) - (xy 110.145887 97.754127) - (xy 110.166502 97.767516) - (xy 110.174928 97.76885) - (xy 110.193759 97.774428) - (xy 110.201553 97.777898) - (xy 110.201555 97.777898) - (xy 110.201558 97.7779) - (xy 110.226152 97.7779) - (xy 110.237915 97.778825) - (xy 110.262194 97.782671) - (xy 110.267253 97.781315) - (xy 110.27044 97.780462) - (xy 110.289901 97.7779) + (xy 108.599453 96.4279) + (xy 108.647791 96.445493) + (xy 108.652627 96.449926) + (xy 109.908231 97.70553) + (xy 109.910942 97.708386) + (xy 109.938051 97.738494) + (xy 109.960516 97.748495) + (xy 109.970887 97.754127) + (xy 109.991502 97.767516) + (xy 109.999928 97.76885) + (xy 110.018759 97.774428) + (xy 110.026553 97.777898) + (xy 110.026555 97.777898) + (xy 110.026558 97.7779) + (xy 110.051152 97.7779) + (xy 110.062915 97.778825) + (xy 110.087194 97.782671) + (xy 110.092253 97.781315) + (xy 110.09544 97.780462) + (xy 110.114901 97.7779) (xy 113.324453 97.7779) (xy 113.372791 97.795493) (xy 113.377627 97.799926) @@ -51062,33 +50784,13 @@ (xy 113.570286 98.017465) (xy 113.556972 98.067152) (xy 113.539575 98.084856) - (xy 113.526854 98.094098) - (xy 113.526852 98.0941) - (xy 113.522585 98.101491) - (xy 113.510638 98.11706) - (xy 113.427626 98.200074) - (xy 113.381006 98.221814) - (xy 113.374451 98.2221) - (xy 109.507936 98.2221) - (xy 109.504 98.221997) - (xy 109.502283 98.221907) - (xy 109.463552 98.219876) - (xy 109.440588 98.228691) - (xy 109.429279 98.23204) - (xy 109.405236 98.237151) - (xy 109.405234 98.237151) - (xy 109.398327 98.24217) - (xy 109.381083 98.251532) - (xy 109.373104 98.254595) - (xy 109.35571 98.271989) - (xy 109.34674 98.27965) - (xy 109.326854 98.294098) - (xy 109.326852 98.2941) - (xy 109.322585 98.301491) - (xy 109.310638 98.31706) - (xy 109.227626 98.400074) - (xy 109.181006 98.421814) - (xy 109.174451 98.4221) + (xy 113.526852 98.094099) + (xy 113.526851 98.0941) + (xy 113.522583 98.101493) + (xy 113.510637 98.117061) + (xy 113.227626 98.400074) + (xy 113.181005 98.421814) + (xy 113.174451 98.4221) (xy 103.975547 98.4221) (xy 103.927209 98.404507) (xy 103.922373 98.400074) @@ -53609,11 +53311,11 @@ (xy 114.380138 93.200075) (xy 114.333518 93.221814) (xy 114.326964 93.2221) - (xy 112.125547 93.2221) - (xy 112.077209 93.204507) - (xy 112.072373 93.200074) - (xy 111.526687 92.654388) - (xy 111.522299 92.65) + (xy 111.975547 93.2221) + (xy 111.927209 93.204507) + (xy 111.922373 93.200074) + (xy 111.376687 92.654388) + (xy 111.372299 92.65) (xy 122.842078 92.65) (xy 122.862043 92.776056) (xy 122.906759 92.863816) @@ -53702,23 +53404,23 @@ (xy 122.862043 92.523943) (xy 122.842078 92.649999) (xy 122.842078 92.65) - (xy 111.522299 92.65) - (xy 110.966758 92.094458) - (xy 110.964047 92.091602) - (xy 110.936949 92.061506) - (xy 110.936945 92.061503) - (xy 110.914486 92.051504) - (xy 110.904116 92.045874) - (xy 110.883496 92.032483) - (xy 110.875064 92.031148) - (xy 110.856243 92.025573) - (xy 110.848442 92.0221) - (xy 110.823848 92.0221) - (xy 110.812084 92.021174) - (xy 110.787808 92.017329) - (xy 110.787804 92.017329) - (xy 110.77956 92.019538) - (xy 110.760099 92.0221) + (xy 111.372299 92.65) + (xy 110.816758 92.094458) + (xy 110.814047 92.091602) + (xy 110.786949 92.061506) + (xy 110.786945 92.061503) + (xy 110.764486 92.051504) + (xy 110.754116 92.045874) + (xy 110.733496 92.032483) + (xy 110.725064 92.031148) + (xy 110.706243 92.025573) + (xy 110.698442 92.0221) + (xy 110.673848 92.0221) + (xy 110.662084 92.021174) + (xy 110.637808 92.017329) + (xy 110.637804 92.017329) + (xy 110.62956 92.019538) + (xy 110.610099 92.0221) (xy 101.075548 92.0221) (xy 101.02721 92.004507) (xy 101.022373 92.000074) @@ -54070,9 +53772,9 @@ (xy 93.280016 92.239771) (xy 93.337957 92.126055) (xy 93.357922 92) - (xy 93.352208 91.963926) - (xy 93.362022 91.913434) - (xy 93.3733 91.898998) + (xy 93.352208 91.963928) + (xy 93.362022 91.913435) + (xy 93.373304 91.898993) (xy 93.543727 91.728571) (xy 93.590346 91.706833) (xy 93.640033 91.720147) @@ -54104,7 +53806,10 @@ (xy 94.149925 92.130138) (xy 94.128186 92.083518) (xy 94.1279 92.076964) - (xy 94.1279 90.8) + (xy 94.1279 91.625547) + (xy 94.145493 91.577209) + (xy 94.149926 91.572373) + (xy 94.922299 90.8) (xy 97.992078 90.8) (xy 98.012043 90.926056) (xy 98.015072 90.932) @@ -54195,8 +53900,8 @@ (xy 98.012043 90.673943) (xy 97.992078 90.799999) (xy 97.992078 90.8) - (xy 94.1279 90.8) - (xy 94.1279 89.85) + (xy 94.922299 90.8) + (xy 95.872299 89.85) (xy 113.792443 89.85) (xy 113.810977 89.978911) (xy 113.865078 90.097373) @@ -54256,13 +53961,10 @@ (xy 113.865078 89.602626) (xy 113.810977 89.721088) (xy 113.792443 89.85) - (xy 94.1279 89.85) - (xy 94.1279 88.925547) - (xy 94.145493 88.877209) - (xy 94.149926 88.872373) - (xy 95.022373 87.999926) - (xy 95.068993 87.978186) - (xy 95.075547 87.9779) + (xy 95.872299 89.85) + (xy 97.722374 87.999926) + (xy 97.768994 87.978186) + (xy 97.775548 87.9779) (xy 132.074453 87.9779) (xy 132.122791 87.995493) (xy 132.127627 87.999926) @@ -54347,45 +54049,27 @@ (xy 132.337804 87.217329) (xy 132.32956 87.219538) (xy 132.310099 87.2221) - (xy 94.807929 87.2221) - (xy 94.803992 87.221997) - (xy 94.763551 87.219876) - (xy 94.740597 87.228688) - (xy 94.729286 87.232039) - (xy 94.705235 87.237151) - (xy 94.705229 87.237154) - (xy 94.698324 87.242171) - (xy 94.681085 87.251532) - (xy 94.673104 87.254596) - (xy 94.655712 87.271987) - (xy 94.646746 87.279645) - (xy 94.626854 87.294098) - (xy 94.626852 87.294101) - (xy 94.622583 87.301495) - (xy 94.610634 87.317065) - (xy 93.444456 88.483242) - (xy 93.441602 88.485952) - (xy 93.411504 88.513052) - (xy 93.401507 88.535509) - (xy 93.395877 88.545878) - (xy 93.382485 88.566501) - (xy 93.382483 88.566506) - (xy 93.381148 88.574935) - (xy 93.375574 88.593754) - (xy 93.3721 88.601557) - (xy 93.3721 88.626152) - (xy 93.371174 88.637916) - (xy 93.367329 88.662191) - (xy 93.367329 88.662194) - (xy 93.369538 88.670438) - (xy 93.3721 88.6899) - (xy 93.3721 91.22445) - (xy 93.354507 91.272788) - (xy 93.350074 91.277625) - (xy 93.051007 91.576691) - (xy 93.004387 91.59843) - (xy 92.98607 91.59779) - (xy 92.950002 91.592078) + (xy 97.507936 87.2221) + (xy 97.504 87.221997) + (xy 97.502283 87.221907) + (xy 97.463552 87.219876) + (xy 97.440588 87.228691) + (xy 97.429279 87.23204) + (xy 97.405236 87.237151) + (xy 97.405234 87.237151) + (xy 97.398327 87.24217) + (xy 97.381083 87.251532) + (xy 97.373104 87.254595) + (xy 97.35571 87.271989) + (xy 97.34674 87.27965) + (xy 97.326854 87.294098) + (xy 97.326851 87.294102) + (xy 97.322582 87.301495) + (xy 97.310635 87.317063) + (xy 93.051008 91.57669) + (xy 93.004388 91.59843) + (xy 92.986072 91.59779) + (xy 92.950003 91.592078) (xy 92.949999 91.592078) (xy 92.823943 91.612043) (xy 92.710228 91.669984) @@ -59273,7 +58957,7 @@ (xy 46.101 125.984) (xy 68.235578 125.984) (xy 68.255543 126.110056) - (xy 68.307561 126.212146) + (xy 68.28787 126.1735) (xy 68.313484 126.223771) (xy 68.403729 126.314016) (xy 68.517443 126.371956) @@ -59336,20 +59020,6 @@ (xy 82.980016 126.339771) (xy 83.037957 126.226055) (xy 83.057922 126.1) - (xy 83.037957 125.973945) - (xy 82.980016 125.860229) - (xy 82.919787 125.8) - (xy 115.942078 125.8) - (xy 115.962043 125.926056) - (xy 115.977128 125.955662) - (xy 116.019984 126.039771) - (xy 116.110229 126.130016) - (xy 116.223943 126.187956) - (xy 116.223945 126.187957) - (xy 116.35 126.207922) - (xy 116.476055 126.187957) - (xy 116.589771 126.130016) - (xy 116.619787 126.1) (xy 129.792329 126.1) (xy 129.811331 126.244337) (xy 129.829264 126.287631) @@ -59458,23 +59128,9 @@ (xy 129.867044 125.821163) (xy 129.811331 125.955662) (xy 129.792329 126.1) - (xy 116.619787 126.1) - (xy 116.680016 126.039771) - (xy 116.737957 125.926055) - (xy 116.757922 125.8) - (xy 116.737957 125.673945) - (xy 116.680016 125.560229) - (xy 116.589771 125.469984) - (xy 116.476055 125.412043) - (xy 116.476057 125.412043) - (xy 116.35 125.392078) - (xy 116.223943 125.412043) - (xy 116.110228 125.469984) - (xy 116.019984 125.560228) - (xy 115.962043 125.673943) - (xy 115.942078 125.799999) - (xy 115.942078 125.8) - (xy 82.919787 125.8) + (xy 83.057922 126.1) + (xy 83.037957 125.973945) + (xy 82.980016 125.860229) (xy 82.889771 125.769984) (xy 82.776055 125.712043) (xy 82.776057 125.712043) @@ -59527,7 +59183,7 @@ (xy 69.03305 126.1) (xy 69.051422 125.984) (xy 69.049829 125.973945) - (xy 69.046934 125.955662) + (xy 69.039015 125.905664) (xy 69.031457 125.857945) (xy 68.973516 125.744229) (xy 68.883271 125.653984) @@ -59701,38 +59357,9 @@ (xy 70.779969 124.844388) (xy 70.790107 124.67034) (xy 70.759832 124.498646) - (xy 70.750087 124.476055) - (xy 70.695713 124.35) - (xy 120.592078 124.35) - (xy 120.612043 124.476056) - (xy 120.627131 124.505667) - (xy 120.669984 124.589771) - (xy 120.760229 124.680016) - (xy 120.873943 124.737956) - (xy 120.873945 124.737957) - (xy 121 124.757922) - (xy 121.126055 124.737957) - (xy 121.239771 124.680016) - (xy 121.330016 124.589771) - (xy 121.387957 124.476055) - (xy 121.407922 124.35) - (xy 121.387957 124.223945) - (xy 121.330016 124.110229) - (xy 121.239771 124.019984) - (xy 121.126055 123.962043) - (xy 121.126057 123.962043) - (xy 121 123.942078) - (xy 120.873943 123.962043) - (xy 120.760228 124.019984) - (xy 120.669984 124.110228) - (xy 120.612043 124.223943) - (xy 120.592078 124.349999) - (xy 120.592078 124.35) - (xy 70.695713 124.35) + (xy 70.741292 124.455666) (xy 70.690779 124.338562) - (xy 70.611594 124.232198) - (xy 70.586668 124.198717) - (xy 70.556852 124.173698) + (xy 70.586667 124.198716) (xy 70.453117 124.086653) (xy 70.453116 124.086652) (xy 70.297315 124.008406) @@ -59754,11 +59381,9 @@ (xy 68.753021 124.75766) (xy 68.758107 124.67034) (xy 68.727832 124.498646) - (xy 68.718087 124.476055) + (xy 68.709292 124.455666) (xy 68.658779 124.338562) - (xy 68.579594 124.232198) - (xy 68.554668 124.198717) - (xy 68.524852 124.173698) + (xy 68.554667 124.198716) (xy 68.421117 124.086653) (xy 68.421116 124.086652) (xy 68.265315 124.008406) @@ -59795,11 +59420,11 @@ (xy 65.085772 123.163198) (xy 65.085773 123.163202) (xy 65.085774 123.163206) - (xy 65.124515 123.276055) + (xy 65.119082 123.260228) (xy 65.163152 123.3886) (xy 65.163153 123.388602) (xy 65.276569 123.598177) - (xy 65.335542 123.673945) + (xy 65.386776 123.739771) (xy 65.42294 123.786235) (xy 65.598266 123.947633) (xy 65.744224 124.042992) @@ -59825,11 +59450,11 @@ (xy 70.165772 123.163198) (xy 70.165773 123.163202) (xy 70.165774 123.163206) - (xy 70.204515 123.276055) + (xy 70.199082 123.260228) (xy 70.243152 123.3886) (xy 70.243153 123.388602) (xy 70.356569 123.598177) - (xy 70.415542 123.673945) + (xy 70.466776 123.739771) (xy 70.50294 123.786235) (xy 70.678266 123.947633) (xy 70.824224 124.042992) @@ -60010,7 +59635,7 @@ (xy 72.982117 123.05) (xy 105.942078 123.05) (xy 105.962043 123.176056) - (xy 106.012995 123.276055) + (xy 106.004931 123.260228) (xy 106.019984 123.289771) (xy 106.110229 123.380016) (xy 106.223943 123.437956) @@ -60023,7 +59648,7 @@ (xy 106.757922 123.05) (xy 107.242078 123.05) (xy 107.262043 123.176056) - (xy 107.312995 123.276055) + (xy 107.304931 123.260228) (xy 107.319984 123.289771) (xy 107.410229 123.380016) (xy 107.523943 123.437956) @@ -60033,33 +59658,46 @@ (xy 107.889771 123.380016) (xy 107.980016 123.289771) (xy 108.037957 123.176055) - (xy 108.057922 123.05) - (xy 108.542078 123.05) - (xy 108.562043 123.176056) - (xy 108.612995 123.276055) - (xy 108.619984 123.289771) - (xy 108.710229 123.380016) - (xy 108.823943 123.437956) - (xy 108.823945 123.437957) - (xy 108.95 123.457922) - (xy 109.076055 123.437957) - (xy 109.189771 123.380016) - (xy 109.280016 123.289771) - (xy 109.337957 123.176055) - (xy 109.350003 123.1) - (xy 114.392078 123.1) - (xy 114.412043 123.226056) - (xy 114.444508 123.289771) - (xy 114.469984 123.339771) - (xy 114.560229 123.430016) - (xy 114.673943 123.487956) - (xy 114.673945 123.487957) - (xy 114.8 123.507922) - (xy 114.926055 123.487957) - (xy 115.039771 123.430016) - (xy 115.130016 123.339771) - (xy 115.187957 123.226055) - (xy 115.200003 123.15) + (xy 108.050003 123.1) + (xy 108.642078 123.1) + (xy 108.662043 123.226056) + (xy 108.694508 123.289771) + (xy 108.719984 123.339771) + (xy 108.810229 123.430016) + (xy 108.923943 123.487956) + (xy 108.923945 123.487957) + (xy 109.05 123.507922) + (xy 109.176055 123.487957) + (xy 109.289771 123.430016) + (xy 109.380016 123.339771) + (xy 109.437957 123.226055) + (xy 109.457922 123.1) + (xy 113.292078 123.1) + (xy 113.312043 123.226056) + (xy 113.344508 123.289771) + (xy 113.369984 123.339771) + (xy 113.460229 123.430016) + (xy 113.573943 123.487956) + (xy 113.573945 123.487957) + (xy 113.7 123.507922) + (xy 113.826055 123.487957) + (xy 113.939771 123.430016) + (xy 114.030016 123.339771) + (xy 114.087957 123.226055) + (xy 114.107922 123.1) + (xy 114.592078 123.1) + (xy 114.612043 123.226056) + (xy 114.644508 123.289771) + (xy 114.669984 123.339771) + (xy 114.760229 123.430016) + (xy 114.873943 123.487956) + (xy 114.873945 123.487957) + (xy 115 123.507922) + (xy 115.126055 123.487957) + (xy 115.239771 123.430016) + (xy 115.330016 123.339771) + (xy 115.387957 123.226055) + (xy 115.400003 123.15) (xy 116.092078 123.15) (xy 116.112043 123.276056) (xy 116.144508 123.339771) @@ -60069,11 +59707,28 @@ (xy 116.373945 123.537957) (xy 116.5 123.557922) (xy 116.626055 123.537957) - (xy 116.739771 123.480016) - (xy 116.830016 123.389771) - (xy 116.887957 123.276055) - (xy 116.907922 123.15) - (xy 116.900003 123.1) + (xy 116.70055 123.5) + (xy 117.942078 123.5) + (xy 117.962043 123.626056) + (xy 117.986443 123.673943) + (xy 118.019984 123.739771) + (xy 118.110229 123.830016) + (xy 118.223943 123.887956) + (xy 118.223945 123.887957) + (xy 118.35 123.907922) + (xy 118.476055 123.887957) + (xy 118.589771 123.830016) + (xy 118.680016 123.739771) + (xy 118.737957 123.626055) + (xy 118.757922 123.5) + (xy 118.756014 123.487956) + (xy 118.753168 123.469984) + (xy 118.737957 123.373945) + (xy 118.680016 123.260229) + (xy 118.589771 123.169984) + (xy 118.476055 123.112043) + (xy 118.476057 123.112043) + (xy 118.400019 123.1) (xy 119.242078 123.1) (xy 119.262043 123.226056) (xy 119.294508 123.289771) @@ -60126,7 +59781,19 @@ (xy 119.262043 122.973943) (xy 119.242078 123.099999) (xy 119.242078 123.1) - (xy 116.900003 123.1) + (xy 118.400019 123.1) + (xy 118.35 123.092078) + (xy 118.223943 123.112043) + (xy 118.110228 123.169984) + (xy 118.019984 123.260228) + (xy 117.962043 123.373943) + (xy 117.942078 123.499999) + (xy 117.942078 123.5) + (xy 116.70055 123.5) + (xy 116.739771 123.480016) + (xy 116.830016 123.389771) + (xy 116.887957 123.276055) + (xy 116.907922 123.15) (xy 116.887957 123.023945) (xy 116.830016 122.910229) (xy 116.739771 122.819984) @@ -60139,34 +59806,47 @@ (xy 116.112043 123.023943) (xy 116.092078 123.149999) (xy 116.092078 123.15) - (xy 115.200003 123.15) - (xy 115.207922 123.1) - (xy 115.187957 122.973945) - (xy 115.130016 122.860229) - (xy 115.039771 122.769984) - (xy 114.926055 122.712043) - (xy 114.926057 122.712043) - (xy 114.8 122.692078) - (xy 114.673943 122.712043) - (xy 114.560228 122.769984) - (xy 114.469984 122.860228) - (xy 114.412043 122.973943) - (xy 114.392078 123.099999) - (xy 114.392078 123.1) - (xy 109.350003 123.1) - (xy 109.357922 123.05) - (xy 109.337957 122.923945) - (xy 109.280016 122.810229) - (xy 109.189771 122.719984) - (xy 109.076055 122.662043) - (xy 109.076057 122.662043) - (xy 108.95 122.642078) - (xy 108.823943 122.662043) - (xy 108.710228 122.719984) - (xy 108.619984 122.810228) - (xy 108.562043 122.923943) - (xy 108.542078 123.049999) - (xy 108.542078 123.05) + (xy 115.400003 123.15) + (xy 115.407922 123.1) + (xy 115.387957 122.973945) + (xy 115.330016 122.860229) + (xy 115.239771 122.769984) + (xy 115.126055 122.712043) + (xy 115.126057 122.712043) + (xy 115 122.692078) + (xy 114.873943 122.712043) + (xy 114.760228 122.769984) + (xy 114.669984 122.860228) + (xy 114.612043 122.973943) + (xy 114.592078 123.099999) + (xy 114.592078 123.1) + (xy 114.107922 123.1) + (xy 114.087957 122.973945) + (xy 114.030016 122.860229) + (xy 113.939771 122.769984) + (xy 113.826055 122.712043) + (xy 113.826057 122.712043) + (xy 113.7 122.692078) + (xy 113.573943 122.712043) + (xy 113.460228 122.769984) + (xy 113.369984 122.860228) + (xy 113.312043 122.973943) + (xy 113.292078 123.099999) + (xy 113.292078 123.1) + (xy 109.457922 123.1) + (xy 109.437957 122.973945) + (xy 109.380016 122.860229) + (xy 109.289771 122.769984) + (xy 109.176055 122.712043) + (xy 109.176057 122.712043) + (xy 109.05 122.692078) + (xy 108.923943 122.712043) + (xy 108.810228 122.769984) + (xy 108.719984 122.860228) + (xy 108.662043 122.973943) + (xy 108.642078 123.099999) + (xy 108.642078 123.1) + (xy 108.050003 123.1) (xy 108.057922 123.05) (xy 108.037957 122.923945) (xy 107.980016 122.810229) @@ -60873,6 +60553,19 @@ (xy 115.330016 119.839771) (xy 115.387957 119.726055) (xy 115.407922 119.6) + (xy 116.917078 119.6) + (xy 116.937043 119.726056) + (xy 116.977607 119.805666) + (xy 116.994984 119.839771) + (xy 117.085229 119.930016) + (xy 117.198943 119.987956) + (xy 117.198945 119.987957) + (xy 117.325 120.007922) + (xy 117.451055 119.987957) + (xy 117.564771 119.930016) + (xy 117.655016 119.839771) + (xy 117.712957 119.726055) + (xy 117.732922 119.6) (xy 119.242078 119.6) (xy 119.262043 119.726056) (xy 119.302607 119.805666) @@ -60898,6 +60591,19 @@ (xy 119.262043 119.473943) (xy 119.242078 119.599999) (xy 119.242078 119.6) + (xy 117.732922 119.6) + (xy 117.712957 119.473945) + (xy 117.655016 119.360229) + (xy 117.564771 119.269984) + (xy 117.451055 119.212043) + (xy 117.451057 119.212043) + (xy 117.325 119.192078) + (xy 117.198943 119.212043) + (xy 117.085228 119.269984) + (xy 116.994984 119.360228) + (xy 116.937043 119.473943) + (xy 116.917078 119.599999) + (xy 116.917078 119.6) (xy 115.407922 119.6) (xy 115.387957 119.473945) (xy 115.330016 119.360229) @@ -79661,32 +79367,6 @@ (xy 125.933016 129.144771) (xy 125.990957 129.031055) (xy 126.010922 128.905) - (xy 130.275078 128.905) - (xy 130.295043 129.031056) - (xy 130.335384 129.110229) - (xy 130.352984 129.144771) - (xy 130.443229 129.235016) - (xy 130.556943 129.292956) - (xy 130.556945 129.292957) - (xy 130.683 129.312922) - (xy 130.809055 129.292957) - (xy 130.922771 129.235016) - (xy 131.013016 129.144771) - (xy 131.070957 129.031055) - (xy 131.090922 128.905) - (xy 131.070957 128.778945) - (xy 131.013016 128.665229) - (xy 130.922771 128.574984) - (xy 130.809055 128.517043) - (xy 130.809057 128.517043) - (xy 130.683 128.497078) - (xy 130.556943 128.517043) - (xy 130.443228 128.574984) - (xy 130.352984 128.665228) - (xy 130.295043 128.778943) - (xy 130.275078 128.904999) - (xy 130.275078 128.905) - (xy 126.010922 128.905) (xy 125.990957 128.778945) (xy 125.933016 128.665229) (xy 125.842771 128.574984) @@ -81080,33 +80760,6 @@ (xy 111.957922 126.1) (xy 111.937957 125.973945) (xy 111.880016 125.860229) - (xy 111.819787 125.8) - (xy 115.942078 125.8) - (xy 115.962043 125.926056) - (xy 116.017545 126.034984) - (xy 116.019984 126.039771) - (xy 116.110229 126.130016) - (xy 116.223943 126.187956) - (xy 116.223945 126.187957) - (xy 116.35 126.207922) - (xy 116.476055 126.187957) - (xy 116.589771 126.130016) - (xy 116.680016 126.039771) - (xy 116.737957 125.926055) - (xy 116.757922 125.8) - (xy 116.737957 125.673945) - (xy 116.680016 125.560229) - (xy 116.589771 125.469984) - (xy 116.476055 125.412043) - (xy 116.476057 125.412043) - (xy 116.35 125.392078) - (xy 116.223943 125.412043) - (xy 116.110228 125.469984) - (xy 116.019984 125.560228) - (xy 115.962043 125.673943) - (xy 115.942078 125.799999) - (xy 115.942078 125.8) - (xy 111.819787 125.8) (xy 111.789771 125.769984) (xy 111.676055 125.712043) (xy 111.676057 125.712043) @@ -81170,8 +80823,6 @@ (xy 90.492078 126.3) (xy 87.292084 126.3) (xy 87.307922 126.2) - (xy 87.306014 126.187956) - (xy 87.303725 126.1735) (xy 87.287957 126.073945) (xy 87.230016 125.960229) (xy 87.139771 125.869984) @@ -81451,36 +81102,9 @@ (xy 70.779969 124.844388) (xy 70.790107 124.67034) (xy 70.759832 124.498646) - (xy 70.750087 124.476055) - (xy 70.695713 124.35) - (xy 120.592078 124.35) - (xy 120.612043 124.476056) - (xy 120.636698 124.524443) - (xy 120.669984 124.589771) - (xy 120.760229 124.680016) - (xy 120.873943 124.737956) - (xy 120.873945 124.737957) - (xy 121 124.757922) - (xy 121.126055 124.737957) - (xy 121.239771 124.680016) - (xy 121.330016 124.589771) - (xy 121.387957 124.476055) - (xy 121.407922 124.35) - (xy 121.387957 124.223945) - (xy 121.330016 124.110229) - (xy 121.239771 124.019984) - (xy 121.126055 123.962043) - (xy 121.126057 123.962043) - (xy 121 123.942078) - (xy 120.873943 123.962043) - (xy 120.760228 124.019984) - (xy 120.669984 124.110228) - (xy 120.612043 124.223943) - (xy 120.592078 124.349999) - (xy 120.592078 124.35) - (xy 70.695713 124.35) + (xy 70.746953 124.46879) (xy 70.690779 124.338562) - (xy 70.606401 124.225223) + (xy 70.597269 124.212957) (xy 70.586668 124.198717) (xy 70.556852 124.173698) (xy 70.453117 124.086653) @@ -81504,9 +81128,9 @@ (xy 68.753021 124.75766) (xy 68.758107 124.67034) (xy 68.727832 124.498646) - (xy 68.718087 124.476055) + (xy 68.714953 124.46879) (xy 68.658779 124.338562) - (xy 68.574401 124.225223) + (xy 68.565269 124.212957) (xy 68.554668 124.198717) (xy 68.524852 124.173698) (xy 68.421117 124.086653) @@ -81555,7 +81179,7 @@ (xy 51.832865 124.9045) (xy 51.842922 124.841) (xy 51.84022 124.823943) - (xy 51.832715 124.776555) + (xy 51.829722 124.75766) (xy 51.822957 124.714945) (xy 51.765016 124.601229) (xy 51.674771 124.510984) @@ -81570,7 +81194,7 @@ (xy 51.027078 124.841) (xy 46.762922 124.841) (xy 46.76022 124.823943) - (xy 46.752715 124.776555) + (xy 46.749722 124.75766) (xy 46.742957 124.714945) (xy 46.685016 124.601229) (xy 46.594771 124.510984) @@ -81588,13 +81212,13 @@ (xy 65.085772 123.163198) (xy 65.085773 123.163202) (xy 65.085774 123.163206) - (xy 65.129224 123.289771) + (xy 65.124515 123.276055) (xy 65.163152 123.3886) (xy 65.163153 123.388602) (xy 65.276569 123.598177) (xy 65.422938 123.786233) (xy 65.422942 123.786237) - (xy 65.473691 123.832954) + (xy 65.470498 123.830015) (xy 65.598266 123.947633) (xy 65.744224 124.042992) (xy 65.797768 124.077974) @@ -81619,13 +81243,13 @@ (xy 70.165772 123.163198) (xy 70.165773 123.163202) (xy 70.165774 123.163206) - (xy 70.209224 123.289771) + (xy 70.204515 123.276055) (xy 70.243152 123.3886) (xy 70.243153 123.388602) (xy 70.356569 123.598177) (xy 70.502938 123.786233) (xy 70.502942 123.786237) - (xy 70.553691 123.832954) + (xy 70.550498 123.830015) (xy 70.678266 123.947633) (xy 70.824224 124.042992) (xy 70.877768 124.077974) @@ -81725,33 +81349,6 @@ (xy 111.789771 124.130016) (xy 111.880016 124.039771) (xy 111.937957 123.926055) - (xy 111.953962 123.825) - (xy 125.195078 123.825) - (xy 125.215043 123.951056) - (xy 125.261887 124.042992) - (xy 125.272984 124.064771) - (xy 125.363229 124.155016) - (xy 125.476943 124.212956) - (xy 125.476945 124.212957) - (xy 125.603 124.232922) - (xy 125.729055 124.212957) - (xy 125.842771 124.155016) - (xy 125.933016 124.064771) - (xy 125.990957 123.951055) - (xy 126.010922 123.825) - (xy 125.990957 123.698945) - (xy 125.933016 123.585229) - (xy 125.842771 123.494984) - (xy 125.729055 123.437043) - (xy 125.729057 123.437043) - (xy 125.603 123.417078) - (xy 125.476943 123.437043) - (xy 125.363228 123.494984) - (xy 125.272984 123.585228) - (xy 125.215043 123.698943) - (xy 125.195078 123.824999) - (xy 125.195078 123.825) - (xy 111.953962 123.825) (xy 111.957922 123.8) (xy 111.937957 123.673945) (xy 111.880016 123.560229) @@ -81851,7 +81448,7 @@ (xy 72.982117 123.05) (xy 105.942078 123.05) (xy 105.962043 123.176056) - (xy 106.010502 123.271162) + (xy 106.004931 123.260228) (xy 106.019984 123.289771) (xy 106.110229 123.380016) (xy 106.223943 123.437956) @@ -81864,7 +81461,7 @@ (xy 106.757922 123.05) (xy 107.242078 123.05) (xy 107.262043 123.176056) - (xy 107.310502 123.271162) + (xy 107.304931 123.260228) (xy 107.319984 123.289771) (xy 107.410229 123.380016) (xy 107.523943 123.437956) @@ -81874,21 +81471,132 @@ (xy 107.889771 123.380016) (xy 107.980016 123.289771) (xy 108.037957 123.176055) - (xy 108.057922 123.05) - (xy 108.542078 123.05) - (xy 108.562043 123.176056) - (xy 108.610502 123.271162) - (xy 108.619984 123.289771) - (xy 108.710229 123.380016) - (xy 108.823943 123.437956) - (xy 108.823945 123.437957) - (xy 108.95 123.457922) - (xy 109.076055 123.437957) - (xy 109.189771 123.380016) - (xy 109.219787 123.35) + (xy 108.050003 123.1) + (xy 108.642078 123.1) + (xy 108.662043 123.226056) + (xy 108.694508 123.289771) + (xy 108.719984 123.339771) + (xy 108.810229 123.430016) + (xy 108.923943 123.487956) + (xy 108.923945 123.487957) + (xy 109.05 123.507922) + (xy 109.176055 123.487957) + (xy 109.289771 123.430016) + (xy 109.380016 123.339771) + (xy 109.437957 123.226055) + (xy 109.457922 123.1) + (xy 113.292078 123.1) + (xy 113.312043 123.226056) + (xy 113.344508 123.289771) + (xy 113.369984 123.339771) + (xy 113.460229 123.430016) + (xy 113.573943 123.487956) + (xy 113.573945 123.487957) + (xy 113.7 123.507922) + (xy 113.826055 123.487957) + (xy 113.939771 123.430016) + (xy 114.030016 123.339771) + (xy 114.087957 123.226055) + (xy 114.107922 123.1) + (xy 114.592078 123.1) + (xy 114.612043 123.226056) + (xy 114.644508 123.289771) + (xy 114.669984 123.339771) + (xy 114.760229 123.430016) + (xy 114.873943 123.487956) + (xy 114.873945 123.487957) + (xy 115 123.507922) + (xy 115.126055 123.487957) + (xy 115.239771 123.430016) + (xy 115.330016 123.339771) + (xy 115.387957 123.226055) + (xy 115.400003 123.15) + (xy 116.092078 123.15) + (xy 116.112043 123.276056) + (xy 116.127128 123.305662) + (xy 116.169984 123.389771) + (xy 116.260229 123.480016) + (xy 116.373943 123.537956) + (xy 116.373945 123.537957) + (xy 116.5 123.557922) + (xy 116.626055 123.537957) + (xy 116.70055 123.5) + (xy 117.942078 123.5) + (xy 117.962043 123.626056) + (xy 117.971358 123.644337) + (xy 118.019984 123.739771) + (xy 118.110229 123.830016) + (xy 118.223943 123.887956) + (xy 118.223945 123.887957) + (xy 118.35 123.907922) + (xy 118.476055 123.887957) + (xy 118.589771 123.830016) + (xy 118.594787 123.825) + (xy 125.195078 123.825) + (xy 125.215043 123.951056) + (xy 125.261887 124.042992) + (xy 125.272984 124.064771) + (xy 125.363229 124.155016) + (xy 125.476943 124.212956) + (xy 125.476945 124.212957) + (xy 125.603 124.232922) + (xy 125.729055 124.212957) + (xy 125.842771 124.155016) + (xy 125.933016 124.064771) + (xy 125.990957 123.951055) + (xy 126.010922 123.825) + (xy 125.990957 123.698945) + (xy 125.933016 123.585229) + (xy 125.842771 123.494984) + (xy 125.729055 123.437043) + (xy 125.729057 123.437043) + (xy 125.603 123.417078) + (xy 125.476943 123.437043) + (xy 125.363228 123.494984) + (xy 125.272984 123.585228) + (xy 125.215043 123.698943) + (xy 125.195078 123.824999) + (xy 125.195078 123.825) + (xy 118.594787 123.825) + (xy 118.680016 123.739771) + (xy 118.737957 123.626055) + (xy 118.757922 123.5) + (xy 118.756014 123.487956) + (xy 118.753168 123.469984) + (xy 118.737957 123.373945) + (xy 118.680016 123.260229) + (xy 118.589771 123.169984) + (xy 118.476055 123.112043) + (xy 118.476057 123.112043) + (xy 118.400019 123.1) + (xy 119.242078 123.1) + (xy 119.262043 123.226056) + (xy 119.294508 123.289771) + (xy 119.319984 123.339771) + (xy 119.410229 123.430016) + (xy 119.523943 123.487956) + (xy 119.523945 123.487957) + (xy 119.65 123.507922) + (xy 119.776055 123.487957) + (xy 119.889771 123.430016) + (xy 119.980016 123.339771) + (xy 120.037957 123.226055) + (xy 120.050003 123.15) + (xy 120.792078 123.15) + (xy 120.812043 123.276056) + (xy 120.827128 123.305662) + (xy 120.869984 123.389771) + (xy 120.960229 123.480016) + (xy 121.073943 123.537956) + (xy 121.073945 123.537957) + (xy 121.2 123.557922) + (xy 121.326055 123.537957) + (xy 121.439771 123.480016) + (xy 121.530016 123.389771) + (xy 121.55028 123.35) (xy 128.592329 123.35) - (xy 128.603908 123.437956) - (xy 128.611331 123.494336) + (xy 128.611331 123.494337) + (xy 128.629399 123.537956) (xy 128.667043 123.628836) (xy 128.667044 123.628838) (xy 128.667045 123.628839) @@ -81909,7 +81617,7 @@ (xy 131.067044 123.828838) (xy 131.067045 123.828839) (xy 131.155666 123.944333) - (xy 131.254257 124.019984) + (xy 131.27116 124.032954) (xy 131.271164 124.032957) (xy 131.405664 124.088669) (xy 131.55 124.107671) @@ -81927,7 +81635,7 @@ (xy 133.517044 123.778838) (xy 133.517045 123.778839) (xy 133.605666 123.894333) - (xy 133.693908 123.962043) + (xy 133.701932 123.9682) (xy 133.721164 123.982957) (xy 133.855664 124.038669) (xy 134 124.057671) @@ -81940,7 +81648,7 @@ (xy 134.551088 123.45) (xy 138.342329 123.45) (xy 138.361331 123.594337) - (xy 138.375621 123.628836) + (xy 138.37447 123.626056) (xy 138.417043 123.728836) (xy 138.417044 123.728838) (xy 138.417045 123.728839) @@ -81962,7 +81670,7 @@ (xy 140.817044 123.828838) (xy 140.817045 123.828839) (xy 140.905666 123.944333) - (xy 141.004257 124.019984) + (xy 141.02116 124.032954) (xy 141.021164 124.032957) (xy 141.155664 124.088669) (xy 141.3 124.107671) @@ -81974,7 +81682,7 @@ (xy 141.857671 123.55) (xy 141.838669 123.405664) (xy 141.782957 123.271165) - (xy 141.744591 123.221165) + (xy 141.748344 123.226056) (xy 141.694333 123.155666) (xy 141.578839 123.067045) (xy 141.578838 123.067044) @@ -81988,13 +81696,16 @@ (xy 140.905666 123.155666) (xy 140.817044 123.271162) (xy 140.817044 123.271163) + (xy 140.817043 123.271164) + (xy 140.817043 123.271165) + (xy 140.815017 123.276056) (xy 140.761331 123.405662) (xy 140.742329 123.55) (xy 139.444506 123.55) (xy 139.457671 123.45) (xy 139.438669 123.305664) (xy 139.382957 123.171165) - (xy 139.382955 123.171162) + (xy 139.382051 123.169984) (xy 139.294333 123.055666) (xy 139.178839 122.967045) (xy 139.178838 122.967044) @@ -82030,12 +81741,15 @@ (xy 133.605666 123.105666) (xy 133.517044 123.221162) (xy 133.517044 123.221163) + (xy 133.517043 123.221164) + (xy 133.517043 123.221165) + (xy 133.515017 123.226056) (xy 133.461331 123.355662) (xy 133.442329 123.5) (xy 132.101088 123.5) (xy 132.088669 123.405664) (xy 132.032957 123.271165) - (xy 131.994591 123.221165) + (xy 131.998344 123.226056) (xy 131.944333 123.155666) (xy 131.828839 123.067045) (xy 131.828838 123.067044) @@ -82049,6 +81763,9 @@ (xy 131.155666 123.155666) (xy 131.067044 123.271162) (xy 131.067044 123.271163) + (xy 131.067043 123.271164) + (xy 131.067043 123.271165) + (xy 131.065017 123.276056) (xy 131.011331 123.405662) (xy 130.992329 123.55) (xy 129.665612 123.55) @@ -82072,22 +81789,101 @@ (xy 128.667044 123.071163) (xy 128.611331 123.205662) (xy 128.592329 123.35) - (xy 109.219787 123.35) - (xy 109.280016 123.289771) - (xy 109.337957 123.176055) - (xy 109.357922 123.05) - (xy 109.337957 122.923945) - (xy 109.280016 122.810229) - (xy 109.189771 122.719984) - (xy 109.076055 122.662043) - (xy 109.076057 122.662043) - (xy 108.95 122.642078) - (xy 108.823943 122.662043) - (xy 108.710228 122.719984) - (xy 108.619984 122.810228) - (xy 108.562043 122.923943) - (xy 108.542078 123.049999) - (xy 108.542078 123.05) + (xy 121.55028 123.35) + (xy 121.587957 123.276055) + (xy 121.607922 123.15) + (xy 121.587957 123.023945) + (xy 121.530016 122.910229) + (xy 121.439771 122.819984) + (xy 121.326055 122.762043) + (xy 121.326057 122.762043) + (xy 121.2 122.742078) + (xy 121.073943 122.762043) + (xy 120.960228 122.819984) + (xy 120.869984 122.910228) + (xy 120.812043 123.023943) + (xy 120.792078 123.149999) + (xy 120.792078 123.15) + (xy 120.050003 123.15) + (xy 120.057922 123.1) + (xy 120.037957 122.973945) + (xy 119.980016 122.860229) + (xy 119.889771 122.769984) + (xy 119.776055 122.712043) + (xy 119.776057 122.712043) + (xy 119.65 122.692078) + (xy 119.523943 122.712043) + (xy 119.410228 122.769984) + (xy 119.319984 122.860228) + (xy 119.262043 122.973943) + (xy 119.242078 123.099999) + (xy 119.242078 123.1) + (xy 118.400019 123.1) + (xy 118.35 123.092078) + (xy 118.223943 123.112043) + (xy 118.110228 123.169984) + (xy 118.019984 123.260228) + (xy 117.962043 123.373943) + (xy 117.942078 123.499999) + (xy 117.942078 123.5) + (xy 116.70055 123.5) + (xy 116.739771 123.480016) + (xy 116.830016 123.389771) + (xy 116.887957 123.276055) + (xy 116.907922 123.15) + (xy 116.887957 123.023945) + (xy 116.830016 122.910229) + (xy 116.739771 122.819984) + (xy 116.626055 122.762043) + (xy 116.626057 122.762043) + (xy 116.5 122.742078) + (xy 116.373943 122.762043) + (xy 116.260228 122.819984) + (xy 116.169984 122.910228) + (xy 116.112043 123.023943) + (xy 116.092078 123.149999) + (xy 116.092078 123.15) + (xy 115.400003 123.15) + (xy 115.407922 123.1) + (xy 115.387957 122.973945) + (xy 115.330016 122.860229) + (xy 115.239771 122.769984) + (xy 115.126055 122.712043) + (xy 115.126057 122.712043) + (xy 115 122.692078) + (xy 114.873943 122.712043) + (xy 114.760228 122.769984) + (xy 114.669984 122.860228) + (xy 114.612043 122.973943) + (xy 114.592078 123.099999) + (xy 114.592078 123.1) + (xy 114.107922 123.1) + (xy 114.087957 122.973945) + (xy 114.030016 122.860229) + (xy 113.939771 122.769984) + (xy 113.826055 122.712043) + (xy 113.826057 122.712043) + (xy 113.7 122.692078) + (xy 113.573943 122.712043) + (xy 113.460228 122.769984) + (xy 113.369984 122.860228) + (xy 113.312043 122.973943) + (xy 113.292078 123.099999) + (xy 113.292078 123.1) + (xy 109.457922 123.1) + (xy 109.437957 122.973945) + (xy 109.380016 122.860229) + (xy 109.289771 122.769984) + (xy 109.176055 122.712043) + (xy 109.176057 122.712043) + (xy 109.05 122.692078) + (xy 108.923943 122.712043) + (xy 108.810228 122.769984) + (xy 108.719984 122.860228) + (xy 108.662043 122.973943) + (xy 108.642078 123.099999) + (xy 108.642078 123.1) + (xy 108.050003 123.1) (xy 108.057922 123.05) (xy 108.037957 122.923945) (xy 107.980016 122.810229) @@ -82118,7 +81914,59 @@ (xy 73.00245 122.928152) (xy 73.00245 122.689848) (xy 72.963226 122.454794) - (xy 72.944415 122.4) + (xy 72.885849 122.229403) + (xy 72.869937 122.2) + (xy 115.142078 122.2) + (xy 115.162043 122.326056) + (xy 115.199719 122.399999) + (xy 115.219984 122.439771) + (xy 115.310229 122.530016) + (xy 115.423943 122.587956) + (xy 115.423945 122.587957) + (xy 115.55 122.607922) + (xy 115.676055 122.587957) + (xy 115.789771 122.530016) + (xy 115.880016 122.439771) + (xy 115.937957 122.326055) + (xy 115.957922 122.2) + (xy 116.942078 122.2) + (xy 116.962043 122.326056) + (xy 116.999719 122.399999) + (xy 117.019984 122.439771) + (xy 117.110229 122.530016) + (xy 117.223943 122.587956) + (xy 117.223945 122.587957) + (xy 117.35 122.607922) + (xy 117.476055 122.587957) + (xy 117.589771 122.530016) + (xy 117.680016 122.439771) + (xy 117.737957 122.326055) + (xy 117.757922 122.2) + (xy 119.842078 122.2) + (xy 119.862043 122.326056) + (xy 119.899719 122.399999) + (xy 119.919984 122.439771) + (xy 120.010229 122.530016) + (xy 120.123943 122.587956) + (xy 120.123945 122.587957) + (xy 120.25 122.607922) + (xy 120.376055 122.587957) + (xy 120.489771 122.530016) + (xy 120.580016 122.439771) + (xy 120.637957 122.326055) + (xy 120.657922 122.2) + (xy 121.642078 122.2) + (xy 121.662043 122.326056) + (xy 121.699719 122.399999) + (xy 121.719984 122.439771) + (xy 121.810229 122.530016) + (xy 121.923943 122.587956) + (xy 121.923945 122.587957) + (xy 122.05 122.607922) + (xy 122.176055 122.587957) + (xy 122.289771 122.530016) + (xy 122.380016 122.439771) + (xy 122.40028 122.4) (xy 129.942078 122.4) (xy 129.962043 122.526056) (xy 130.001896 122.604271) @@ -82320,9 +82168,61 @@ (xy 129.962043 122.273943) (xy 129.942078 122.399999) (xy 129.942078 122.4) - (xy 72.944415 122.4) - (xy 72.885849 122.229403) - (xy 72.848414 122.160229) + (xy 122.40028 122.4) + (xy 122.437957 122.326055) + (xy 122.457922 122.2) + (xy 122.437957 122.073945) + (xy 122.380016 121.960229) + (xy 122.289771 121.869984) + (xy 122.176055 121.812043) + (xy 122.176057 121.812043) + (xy 122.05 121.792078) + (xy 121.923943 121.812043) + (xy 121.810228 121.869984) + (xy 121.719984 121.960228) + (xy 121.662043 122.073943) + (xy 121.642078 122.199999) + (xy 121.642078 122.2) + (xy 120.657922 122.2) + (xy 120.637957 122.073945) + (xy 120.580016 121.960229) + (xy 120.489771 121.869984) + (xy 120.376055 121.812043) + (xy 120.376057 121.812043) + (xy 120.25 121.792078) + (xy 120.123943 121.812043) + (xy 120.010228 121.869984) + (xy 119.919984 121.960228) + (xy 119.862043 122.073943) + (xy 119.842078 122.199999) + (xy 119.842078 122.2) + (xy 117.757922 122.2) + (xy 117.737957 122.073945) + (xy 117.680016 121.960229) + (xy 117.589771 121.869984) + (xy 117.476055 121.812043) + (xy 117.476057 121.812043) + (xy 117.35 121.792078) + (xy 117.223943 121.812043) + (xy 117.110228 121.869984) + (xy 117.019984 121.960228) + (xy 116.962043 122.073943) + (xy 116.942078 122.199999) + (xy 116.942078 122.2) + (xy 115.957922 122.2) + (xy 115.937957 122.073945) + (xy 115.880016 121.960229) + (xy 115.789771 121.869984) + (xy 115.676055 121.812043) + (xy 115.676057 121.812043) + (xy 115.55 121.792078) + (xy 115.423943 121.812043) + (xy 115.310228 121.869984) + (xy 115.219984 121.960228) + (xy 115.162043 122.073943) + (xy 115.142078 122.199999) + (xy 115.142078 122.2) + (xy 72.869937 122.2) (xy 72.77243 122.019822) (xy 72.626061 121.831766) (xy 72.626057 121.831762) @@ -82499,7 +82399,7 @@ (xy 67.92245 122.689848) (xy 67.883226 122.454794) (xy 67.805849 122.229403) - (xy 67.768414 122.160229) + (xy 67.789937 122.2) (xy 67.69243 122.019822) (xy 67.546061 121.831766) (xy 67.546057 121.831762) @@ -82547,7 +82447,7 @@ (xy 49.292865 122.3645) (xy 53.567078 122.3645) (xy 53.587043 122.490556) - (xy 53.612629 122.540771) + (xy 53.607149 122.530016) (xy 53.644984 122.604271) (xy 53.735229 122.694516) (xy 53.848943 122.752456) @@ -82560,7 +82460,7 @@ (xy 54.382922 122.3645) (xy 58.647078 122.3645) (xy 58.667043 122.490556) - (xy 58.692629 122.540771) + (xy 58.687149 122.530016) (xy 58.724984 122.604271) (xy 58.815229 122.694516) (xy 58.928943 122.752456) @@ -83765,51 +83665,37 @@ (xy 138.873943 118.937956) (xy 138.873945 118.937957) (xy 139 118.957922) - (xy 139.050018 118.95) - (xy 140.792329 118.95) - (xy 140.81133 119.094333) - (xy 140.811331 119.094336) - (xy 140.867043 119.228836) - (xy 140.867044 119.228838) - (xy 140.867045 119.228839) - (xy 140.955666 119.344333) - (xy 141.013604 119.38879) - (xy 141.071164 119.432957) - (xy 141.205664 119.488669) - (xy 141.35 119.507671) - (xy 141.494336 119.488669) - (xy 141.628836 119.432957) - (xy 141.744333 119.344333) - (xy 141.832957 119.228836) - (xy 141.888669 119.094336) - (xy 141.907671 118.95) - (xy 141.888669 118.805664) - (xy 141.832957 118.671165) - (xy 141.794591 118.621165) - (xy 141.744333 118.555666) - (xy 141.628839 118.467045) - (xy 141.628838 118.467044) - (xy 141.628836 118.467043) - (xy 141.524784 118.423943) - (xy 141.494337 118.411331) - (xy 141.35 118.392329) - (xy 141.205662 118.411331) - (xy 141.071163 118.467044) - (xy 141.071162 118.467044) - (xy 140.955666 118.555666) - (xy 140.867044 118.671162) - (xy 140.867044 118.671163) - (xy 140.867043 118.671164) - (xy 140.867043 118.671165) - (xy 140.865017 118.676056) - (xy 140.811331 118.805662) - (xy 140.792329 118.95) - (xy 139.050018 118.95) (xy 139.126055 118.937957) (xy 139.239771 118.880016) (xy 139.330016 118.789771) (xy 139.387957 118.676055) (xy 139.407922 118.55) + (xy 140.792078 118.55) + (xy 140.812043 118.676056) + (xy 140.847172 118.745) + (xy 140.869984 118.789771) + (xy 140.960229 118.880016) + (xy 141.073943 118.937956) + (xy 141.073945 118.937957) + (xy 141.2 118.957922) + (xy 141.326055 118.937957) + (xy 141.439771 118.880016) + (xy 141.530016 118.789771) + (xy 141.587957 118.676055) + (xy 141.607922 118.55) + (xy 141.587957 118.423945) + (xy 141.530016 118.310229) + (xy 141.439771 118.219984) + (xy 141.326055 118.162043) + (xy 141.326057 118.162043) + (xy 141.2 118.142078) + (xy 141.073943 118.162043) + (xy 140.960228 118.219984) + (xy 140.869984 118.310228) + (xy 140.812043 118.423943) + (xy 140.792078 118.549999) + (xy 140.792078 118.55) + (xy 139.407922 118.55) (xy 139.387957 118.423945) (xy 139.330016 118.310229) (xy 139.239771 118.219984) @@ -84345,32 +84231,6 @@ (xy 138.633016 116.444771) (xy 138.690957 116.331055) (xy 138.710922 116.205) - (xy 142.975078 116.205) - (xy 142.995043 116.331056) - (xy 143.041887 116.422992) - (xy 143.052984 116.444771) - (xy 143.143229 116.535016) - (xy 143.256943 116.592956) - (xy 143.256945 116.592957) - (xy 143.383 116.612922) - (xy 143.509055 116.592957) - (xy 143.622771 116.535016) - (xy 143.713016 116.444771) - (xy 143.770957 116.331055) - (xy 143.790922 116.205) - (xy 143.770957 116.078945) - (xy 143.713016 115.965229) - (xy 143.622771 115.874984) - (xy 143.509055 115.817043) - (xy 143.509057 115.817043) - (xy 143.383 115.797078) - (xy 143.256943 115.817043) - (xy 143.143228 115.874984) - (xy 143.052984 115.965228) - (xy 142.995043 116.078943) - (xy 142.975078 116.204999) - (xy 142.975078 116.205) - (xy 138.710922 116.205) (xy 138.690957 116.078945) (xy 138.633016 115.965229) (xy 138.542771 115.874984) @@ -84809,6 +84669,57 @@ (xy 63.803271 114.668484) (xy 63.689555 114.610543) (xy 63.689557 114.610543) + (xy 63.62299 114.6) + (xy 85.792078 114.6) + (xy 85.812043 114.726056) + (xy 85.869984 114.839771) + (xy 85.960229 114.930016) + (xy 86.073943 114.987956) + (xy 86.073945 114.987957) + (xy 86.2 115.007922) + (xy 86.326055 114.987957) + (xy 86.439771 114.930016) + (xy 86.530016 114.839771) + (xy 86.587957 114.726055) + (xy 86.607922 114.6) + (xy 87.192078 114.6) + (xy 87.212043 114.726056) + (xy 87.269984 114.839771) + (xy 87.360229 114.930016) + (xy 87.473943 114.987956) + (xy 87.473945 114.987957) + (xy 87.6 115.007922) + (xy 87.726055 114.987957) + (xy 87.839771 114.930016) + (xy 87.930016 114.839771) + (xy 87.987957 114.726055) + (xy 88.007922 114.6) + (xy 87.987957 114.473945) + (xy 87.930016 114.360229) + (xy 87.839771 114.269984) + (xy 87.726055 114.212043) + (xy 87.726057 114.212043) + (xy 87.6 114.192078) + (xy 87.473943 114.212043) + (xy 87.360228 114.269984) + (xy 87.269984 114.360228) + (xy 87.212043 114.473943) + (xy 87.192078 114.599999) + (xy 87.192078 114.6) + (xy 86.607922 114.6) + (xy 86.587957 114.473945) + (xy 86.530016 114.360229) + (xy 86.439771 114.269984) + (xy 86.326055 114.212043) + (xy 86.326057 114.212043) + (xy 86.2 114.192078) + (xy 86.073943 114.212043) + (xy 85.960228 114.269984) + (xy 85.869984 114.360228) + (xy 85.812043 114.473943) + (xy 85.792078 114.599999) + (xy 85.792078 114.6) + (xy 63.62299 114.6) (xy 63.5635 114.590578) (xy 63.437443 114.610543) (xy 63.323728 114.668484) @@ -84862,28 +84773,68 @@ (xy 62.991055 114.687957) (xy 63.104771 114.630016) (xy 63.195016 114.539771) - (xy 63.240756 114.45) - (xy 87.392078 114.45) - (xy 87.412043 114.576056) - (xy 87.439537 114.630015) - (xy 87.469984 114.689771) - (xy 87.560229 114.780016) - (xy 87.673943 114.837956) - (xy 87.673945 114.837957) - (xy 87.8 114.857922) - (xy 87.926055 114.837957) - (xy 88.039771 114.780016) - (xy 88.130016 114.689771) - (xy 88.187957 114.576055) - (xy 88.207922 114.45) - (xy 88.206014 114.437956) - (xy 88.202297 114.414484) - (xy 88.187957 114.323945) - (xy 88.130016 114.210229) - (xy 88.039771 114.119984) - (xy 87.926055 114.062043) - (xy 87.926057 114.062043) - (xy 87.850019 114.05) + (xy 63.252957 114.426055) + (xy 63.272922 114.3) + (xy 63.252957 114.173945) + (xy 63.195016 114.060229) + (xy 63.104771 113.969984) + (xy 62.991055 113.912043) + (xy 62.991057 113.912043) + (xy 62.865 113.892078) + (xy 62.738943 113.912043) + (xy 62.625228 113.969984) + (xy 62.534984 114.060228) + (xy 62.477043 114.173943) + (xy 62.457078 114.299999) + (xy 62.457078 114.3) + (xy 51.574709 114.3) + (xy 51.561055 114.293043) + (xy 51.561057 114.293043) + (xy 51.435 114.273078) + (xy 51.308943 114.293043) + (xy 51.195228 114.350984) + (xy 51.104984 114.441228) + (xy 51.047043 114.554943) + (xy 51.027078 114.680999) + (xy 51.027078 114.681) + (xy 46.762922 114.681) + (xy 46.742957 114.554945) + (xy 46.685016 114.441229) + (xy 46.594771 114.350984) + (xy 46.481055 114.293043) + (xy 46.481057 114.293043) + (xy 46.355 114.273078) + (xy 46.228942 114.293043) + (xy 46.22894 114.293044) + (xy 46.210339 114.302522) + (xy 46.159283 114.30879) + (xy 46.116142 114.280774) + (xy 46.101 114.235518) + (xy 46.101 113.75) + (xy 67.942078 113.75) + (xy 67.962043 113.876056) + (xy 67.986443 113.923943) + (xy 68.019984 113.989771) + (xy 68.110229 114.080016) + (xy 68.223943 114.137956) + (xy 68.223945 114.137957) + (xy 68.35 114.157922) + (xy 68.476055 114.137957) + (xy 68.589771 114.080016) + (xy 68.680016 113.989771) + (xy 68.737957 113.876055) + (xy 68.757922 113.75) + (xy 69.542078 113.75) + (xy 69.562043 113.876056) + (xy 69.586443 113.923943) + (xy 69.619984 113.989771) + (xy 69.710229 114.080016) + (xy 69.823943 114.137956) + (xy 69.823945 114.137957) + (xy 69.95 114.157922) + (xy 70.076055 114.137957) + (xy 70.189771 114.080016) + (xy 70.219787 114.05) (xy 112.492078 114.05) (xy 112.512043 114.176056) (xy 112.5654 114.280774) @@ -84956,7 +84907,7 @@ (xy 123.479391 114.3) (xy 123.434921 114.202626) (xy 123.434919 114.202623) - (xy 123.363312 114.119984) + (xy 123.378885 114.137956) (xy 123.349636 114.104201) (xy 123.349635 114.1042) (xy 123.349633 114.104198) @@ -84987,83 +84938,27 @@ (xy 112.512043 113.923943) (xy 112.492078 114.049999) (xy 112.492078 114.05) - (xy 87.850019 114.05) - (xy 87.8 114.042078) - (xy 87.673943 114.062043) - (xy 87.560228 114.119984) - (xy 87.469984 114.210228) - (xy 87.412043 114.323943) - (xy 87.392078 114.449999) - (xy 87.392078 114.45) - (xy 63.240756 114.45) - (xy 63.252957 114.426055) - (xy 63.272922 114.3) - (xy 63.252957 114.173945) - (xy 63.195016 114.060229) - (xy 63.104771 113.969984) - (xy 62.991055 113.912043) - (xy 62.991057 113.912043) - (xy 62.865 113.892078) - (xy 62.738943 113.912043) - (xy 62.625228 113.969984) - (xy 62.534984 114.060228) - (xy 62.477043 114.173943) - (xy 62.457078 114.299999) - (xy 62.457078 114.3) - (xy 51.574709 114.3) - (xy 51.561055 114.293043) - (xy 51.561057 114.293043) - (xy 51.435 114.273078) - (xy 51.308943 114.293043) - (xy 51.195228 114.350984) - (xy 51.104984 114.441228) - (xy 51.047043 114.554943) - (xy 51.027078 114.680999) - (xy 51.027078 114.681) - (xy 46.762922 114.681) - (xy 46.742957 114.554945) - (xy 46.685016 114.441229) - (xy 46.594771 114.350984) - (xy 46.481055 114.293043) - (xy 46.481057 114.293043) - (xy 46.355 114.273078) - (xy 46.228942 114.293043) - (xy 46.22894 114.293044) - (xy 46.210339 114.302522) - (xy 46.159283 114.30879) - (xy 46.116142 114.280774) - (xy 46.101 114.235518) - (xy 46.101 113.75) - (xy 67.942078 113.75) - (xy 67.962043 113.876056) - (xy 67.986443 113.923943) - (xy 68.019984 113.989771) - (xy 68.110229 114.080016) - (xy 68.223943 114.137956) - (xy 68.223945 114.137957) - (xy 68.35 114.157922) - (xy 68.476055 114.137957) - (xy 68.589771 114.080016) - (xy 68.680016 113.989771) - (xy 68.737957 113.876055) - (xy 68.757922 113.75) - (xy 69.542078 113.75) - (xy 69.562043 113.876056) - (xy 69.586443 113.923943) - (xy 69.619984 113.989771) - (xy 69.710229 114.080016) - (xy 69.823943 114.137956) - (xy 69.823945 114.137957) - (xy 69.95 114.157922) - (xy 70.076055 114.137957) - (xy 70.189771 114.080016) + (xy 70.219787 114.05) (xy 70.280016 113.989771) (xy 70.337957 113.876055) (xy 70.357922 113.75) (xy 70.337957 113.623945) (xy 70.280016 113.510229) - (xy 70.189771 113.419984) - (xy 70.15055 113.4) + (xy 70.219787 113.45) + (xy 88.742078 113.45) + (xy 88.762043 113.576056) + (xy 88.819984 113.689771) + (xy 88.910229 113.780016) + (xy 89.023943 113.837956) + (xy 89.023945 113.837957) + (xy 89.15 113.857922) + (xy 89.276055 113.837957) + (xy 89.389771 113.780016) + (xy 89.480016 113.689771) + (xy 89.537957 113.576055) + (xy 89.557922 113.45) + (xy 89.557506 113.447376) + (xy 89.550003 113.4) (xy 108.492078 113.4) (xy 108.512043 113.526056) (xy 108.561919 113.623943) @@ -85250,7 +85145,22 @@ (xy 108.512043 113.273943) (xy 108.492078 113.399999) (xy 108.492078 113.4) - (xy 70.15055 113.4) + (xy 89.550003 113.4) + (xy 89.550003 113.399999) + (xy 89.537957 113.323945) + (xy 89.480016 113.210229) + (xy 89.389771 113.119984) + (xy 89.276055 113.062043) + (xy 89.276057 113.062043) + (xy 89.15 113.042078) + (xy 89.023943 113.062043) + (xy 88.910228 113.119984) + (xy 88.819984 113.210228) + (xy 88.762043 113.323943) + (xy 88.742078 113.449999) + (xy 88.742078 113.45) + (xy 70.219787 113.45) + (xy 70.189771 113.419984) (xy 70.076055 113.362043) (xy 70.076057 113.362043) (xy 69.95 113.342078) @@ -85308,9 +85218,21 @@ (xy 71.634957 112.965555) (xy 71.654922 112.8395) (xy 71.634957 112.713445) - (xy 71.577016 112.599729) - (xy 71.486771 112.509484) - (xy 71.468158 112.5) + (xy 71.577154 112.6) + (xy 74.942078 112.6) + (xy 74.962043 112.726056) + (xy 75.015013 112.830015) + (xy 75.019984 112.839771) + (xy 75.110229 112.930016) + (xy 75.223943 112.987956) + (xy 75.223945 112.987957) + (xy 75.35 113.007922) + (xy 75.476055 112.987957) + (xy 75.589771 112.930016) + (xy 75.680016 112.839771) + (xy 75.737957 112.726055) + (xy 75.757922 112.6) + (xy 75.742084 112.5) (xy 96.642078 112.5) (xy 96.662043 112.626056) (xy 96.706569 112.713443) @@ -85392,7 +85314,7 @@ (xy 125.937957 113.176055) (xy 125.957922 113.05) (xy 125.956014 113.037956) - (xy 125.948748 112.992078) + (xy 125.95191 113.012043) (xy 125.937957 112.923945) (xy 125.880016 112.810229) (xy 125.789771 112.719984) @@ -85451,7 +85373,22 @@ (xy 96.662043 112.373943) (xy 96.642078 112.499999) (xy 96.642078 112.5) - (xy 71.468158 112.5) + (xy 75.742084 112.5) + (xy 75.737957 112.473945) + (xy 75.680016 112.360229) + (xy 75.589771 112.269984) + (xy 75.476055 112.212043) + (xy 75.476057 112.212043) + (xy 75.35 112.192078) + (xy 75.223943 112.212043) + (xy 75.110228 112.269984) + (xy 75.019984 112.360228) + (xy 74.962043 112.473943) + (xy 74.942078 112.599999) + (xy 74.942078 112.6) + (xy 71.577154 112.6) + (xy 71.577016 112.599729) + (xy 71.486771 112.509484) (xy 71.373055 112.451543) (xy 71.373057 112.451543) (xy 71.247 112.431578) @@ -85555,7 +85492,7 @@ (xy 46.101 111.5695) (xy 63.028578 111.5695) (xy 63.048543 111.695556) - (xy 63.084924 111.766957) + (xy 63.095624 111.787957) (xy 63.106484 111.809271) (xy 63.196729 111.899516) (xy 63.310443 111.957456) @@ -85566,7 +85503,7 @@ (xy 63.752287 111.8235) (xy 69.124578 111.8235) (xy 69.144543 111.949556) - (xy 69.158742 111.977422) + (xy 69.170245 111.999999) (xy 69.202484 112.063271) (xy 69.292729 112.153516) (xy 69.406443 112.211456) @@ -85575,59 +85512,6 @@ (xy 69.658555 112.211457) (xy 69.772271 112.153516) (xy 69.862516 112.063271) - (xy 69.894754 112) - (xy 90.492078 112) - (xy 90.512043 112.126056) - (xy 90.555557 112.211456) - (xy 90.569984 112.239771) - (xy 90.660229 112.330016) - (xy 90.773943 112.387956) - (xy 90.773945 112.387957) - (xy 90.9 112.407922) - (xy 91.026055 112.387957) - (xy 91.139771 112.330016) - (xy 91.230016 112.239771) - (xy 91.287957 112.126055) - (xy 91.307922 112) - (xy 97.792078 112) - (xy 97.812043 112.126056) - (xy 97.855557 112.211456) - (xy 97.869984 112.239771) - (xy 97.960229 112.330016) - (xy 98.073943 112.387956) - (xy 98.073945 112.387957) - (xy 98.2 112.407922) - (xy 98.326055 112.387957) - (xy 98.439771 112.330016) - (xy 98.530016 112.239771) - (xy 98.587957 112.126055) - (xy 98.607922 112) - (xy 98.587957 111.873945) - (xy 98.530016 111.760229) - (xy 98.439771 111.669984) - (xy 98.326055 111.612043) - (xy 98.326057 111.612043) - (xy 98.2 111.592078) - (xy 98.073943 111.612043) - (xy 97.960228 111.669984) - (xy 97.869984 111.760228) - (xy 97.812043 111.873943) - (xy 97.792078 111.999999) - (xy 97.792078 112) - (xy 91.307922 112) - (xy 91.287957 111.873945) - (xy 91.230016 111.760229) - (xy 91.139771 111.669984) - (xy 91.026055 111.612043) - (xy 91.026057 111.612043) - (xy 90.9 111.592078) - (xy 90.773943 111.612043) - (xy 90.660228 111.669984) - (xy 90.569984 111.760228) - (xy 90.512043 111.873943) - (xy 90.492078 111.999999) - (xy 90.492078 112) - (xy 69.894754 112) (xy 69.920457 111.949555) (xy 69.940422 111.8235) (xy 69.920457 111.697445) @@ -85686,8 +85570,91 @@ (xy 68.819771 111.709016) (xy 68.910016 111.618771) (xy 68.967957 111.505055) - (xy 68.987922 111.379) - (xy 68.97541 111.3) + (xy 68.984596 111.4) + (xy 73.342078 111.4) + (xy 73.362043 111.526056) + (xy 73.384179 111.5695) + (xy 73.419984 111.639771) + (xy 73.510229 111.730016) + (xy 73.623943 111.787956) + (xy 73.623945 111.787957) + (xy 73.75 111.807922) + (xy 73.876055 111.787957) + (xy 73.989771 111.730016) + (xy 74.080016 111.639771) + (xy 74.10028 111.6) + (xy 82.592078 111.6) + (xy 82.612043 111.726056) + (xy 82.669984 111.839771) + (xy 82.760229 111.930016) + (xy 82.873943 111.987956) + (xy 82.873945 111.987957) + (xy 83 112.007922) + (xy 83.050018 112) + (xy 90.492078 112) + (xy 90.512043 112.126056) + (xy 90.555557 112.211456) + (xy 90.569984 112.239771) + (xy 90.660229 112.330016) + (xy 90.773943 112.387956) + (xy 90.773945 112.387957) + (xy 90.9 112.407922) + (xy 91.026055 112.387957) + (xy 91.139771 112.330016) + (xy 91.230016 112.239771) + (xy 91.287957 112.126055) + (xy 91.307922 112) + (xy 97.792078 112) + (xy 97.812043 112.126056) + (xy 97.855557 112.211456) + (xy 97.869984 112.239771) + (xy 97.960229 112.330016) + (xy 98.073943 112.387956) + (xy 98.073945 112.387957) + (xy 98.2 112.407922) + (xy 98.326055 112.387957) + (xy 98.439771 112.330016) + (xy 98.530016 112.239771) + (xy 98.587957 112.126055) + (xy 98.607922 112) + (xy 98.606014 111.987956) + (xy 98.602336 111.964729) + (xy 98.587957 111.873945) + (xy 98.530016 111.760229) + (xy 98.439771 111.669984) + (xy 98.326055 111.612043) + (xy 98.326057 111.612043) + (xy 98.2 111.592078) + (xy 98.073943 111.612043) + (xy 97.960228 111.669984) + (xy 97.869984 111.760228) + (xy 97.812043 111.873943) + (xy 97.792078 111.999999) + (xy 97.792078 112) + (xy 91.307922 112) + (xy 91.306014 111.987956) + (xy 91.302336 111.964729) + (xy 91.287957 111.873945) + (xy 91.230016 111.760229) + (xy 91.139771 111.669984) + (xy 91.026055 111.612043) + (xy 91.026057 111.612043) + (xy 90.9 111.592078) + (xy 90.773943 111.612043) + (xy 90.660228 111.669984) + (xy 90.569984 111.760228) + (xy 90.512043 111.873943) + (xy 90.492078 111.999999) + (xy 90.492078 112) + (xy 83.050018 112) + (xy 83.126055 111.987957) + (xy 83.239771 111.930016) + (xy 83.330016 111.839771) + (xy 83.387957 111.726055) + (xy 83.407922 111.6) + (xy 83.387957 111.473945) + (xy 83.330016 111.360229) + (xy 83.269787 111.3) (xy 94.042078 111.3) (xy 94.062043 111.426056) (xy 94.102295 111.505055) @@ -85712,7 +85679,7 @@ (xy 101.269787 111.85) (xy 111.342078 111.85) (xy 111.362043 111.976056) - (xy 111.381857 112.014943) + (xy 111.37828 112.007922) (xy 111.419984 112.089771) (xy 111.510229 112.180016) (xy 111.623943 112.237956) @@ -85725,7 +85692,7 @@ (xy 112.157922 111.85) (xy 113.642078 111.85) (xy 113.662043 111.976056) - (xy 113.681857 112.014943) + (xy 113.67828 112.007922) (xy 113.719984 112.089771) (xy 113.810229 112.180016) (xy 113.923943 112.237956) @@ -85738,7 +85705,7 @@ (xy 114.457922 111.85) (xy 118.242078 111.85) (xy 118.262043 111.976056) - (xy 118.281857 112.014943) + (xy 118.27828 112.007922) (xy 118.319984 112.089771) (xy 118.410229 112.180016) (xy 118.523943 112.237956) @@ -85865,32 +85832,6 @@ (xy 138.633016 111.364771) (xy 138.690957 111.251055) (xy 138.710922 111.125) - (xy 142.975078 111.125) - (xy 142.995043 111.251056) - (xy 143.035129 111.329728) - (xy 143.052984 111.364771) - (xy 143.143229 111.455016) - (xy 143.256943 111.512956) - (xy 143.256945 111.512957) - (xy 143.383 111.532922) - (xy 143.509055 111.512957) - (xy 143.622771 111.455016) - (xy 143.713016 111.364771) - (xy 143.770957 111.251055) - (xy 143.790922 111.125) - (xy 143.770957 110.998945) - (xy 143.713016 110.885229) - (xy 143.622771 110.794984) - (xy 143.509055 110.737043) - (xy 143.509057 110.737043) - (xy 143.383 110.717078) - (xy 143.256943 110.737043) - (xy 143.143228 110.794984) - (xy 143.052984 110.885228) - (xy 142.995043 110.998943) - (xy 142.975078 111.124999) - (xy 142.975078 111.125) - (xy 138.710922 111.125) (xy 138.690957 110.998945) (xy 138.633016 110.885229) (xy 138.542771 110.794984) @@ -85979,7 +85920,34 @@ (xy 94.062043 111.173943) (xy 94.042078 111.299999) (xy 94.042078 111.3) - (xy 68.97541 111.3) + (xy 83.269787 111.3) + (xy 83.239771 111.269984) + (xy 83.126055 111.212043) + (xy 83.126057 111.212043) + (xy 83 111.192078) + (xy 82.873943 111.212043) + (xy 82.760228 111.269984) + (xy 82.669984 111.360228) + (xy 82.612043 111.473943) + (xy 82.592078 111.599999) + (xy 82.592078 111.6) + (xy 74.10028 111.6) + (xy 74.137957 111.526055) + (xy 74.157922 111.4) + (xy 74.137957 111.273945) + (xy 74.080016 111.160229) + (xy 73.989771 111.069984) + (xy 73.876055 111.012043) + (xy 73.876057 111.012043) + (xy 73.75 110.992078) + (xy 73.623943 111.012043) + (xy 73.510228 111.069984) + (xy 73.419984 111.160228) + (xy 73.362043 111.273943) + (xy 73.342078 111.399999) + (xy 73.342078 111.4) + (xy 68.984596 111.4) + (xy 68.987922 111.379) (xy 68.967957 111.252945) (xy 68.910016 111.139229) (xy 68.819771 111.048984) @@ -86045,7 +86013,7 @@ (xy 62.799787 110.8075) (xy 63.917578 110.8075) (xy 63.937543 110.933556) - (xy 63.956104 110.969984) + (xy 63.965262 110.987957) (xy 63.995484 111.047271) (xy 64.085729 111.137516) (xy 64.199443 111.195456) @@ -86057,24 +86025,28 @@ (xy 64.713457 110.933555) (xy 64.733422 110.8075) (xy 64.713457 110.681445) - (xy 64.655516 110.567729) - (xy 64.565271 110.477484) - (xy 64.451555 110.419543) - (xy 64.451557 110.419543) - (xy 64.3255 110.399578) - (xy 64.199443 110.419543) - (xy 64.085728 110.477484) - (xy 63.995484 110.567728) - (xy 63.937543 110.681443) - (xy 63.917578 110.807499) - (xy 63.917578 110.8075) - (xy 62.799787 110.8075) - (xy 62.877516 110.729771) - (xy 62.935457 110.616055) - (xy 62.955422 110.49) - (xy 62.935457 110.363945) - (xy 62.877516 110.250229) - (xy 62.827287 110.2) + (xy 64.671959 110.6) + (xy 83.592078 110.6) + (xy 83.612043 110.726056) + (xy 83.647164 110.794984) + (xy 83.669984 110.839771) + (xy 83.760229 110.930016) + (xy 83.873943 110.987956) + (xy 83.873945 110.987957) + (xy 84 111.007922) + (xy 84.126055 110.987957) + (xy 84.239771 110.930016) + (xy 84.330016 110.839771) + (xy 84.387957 110.726055) + (xy 84.407922 110.6) + (xy 84.406014 110.587956) + (xy 84.402811 110.567728) + (xy 84.387957 110.473945) + (xy 84.330016 110.360229) + (xy 84.239771 110.269984) + (xy 84.126055 110.212043) + (xy 84.126057 110.212043) + (xy 84.050019 110.2) (xy 87.642078 110.2) (xy 87.662043 110.326056) (xy 87.694508 110.389771) @@ -86103,7 +86075,7 @@ (xy 91.100003 110.3) (xy 93.042078 110.3) (xy 93.062043 110.426056) - (xy 93.088247 110.477484) + (xy 93.086443 110.473943) (xy 93.119984 110.539771) (xy 93.210229 110.630016) (xy 93.323943 110.687956) @@ -86160,7 +86132,7 @@ (xy 98.792084 110.15) (xy 114.342078 110.15) (xy 114.362043 110.276056) - (xy 114.406824 110.363943) + (xy 114.404931 110.360228) (xy 114.419984 110.389771) (xy 114.510229 110.480016) (xy 114.623943 110.537956) @@ -86173,7 +86145,7 @@ (xy 115.157922 110.15) (xy 122.142078 110.15) (xy 122.162043 110.276056) - (xy 122.206824 110.363943) + (xy 122.204931 110.360228) (xy 122.219984 110.389771) (xy 122.310229 110.480016) (xy 122.423943 110.537956) @@ -86265,7 +86237,32 @@ (xy 87.662043 110.073943) (xy 87.642078 110.199999) (xy 87.642078 110.2) - (xy 62.827287 110.2) + (xy 84.050019 110.2) + (xy 84 110.192078) + (xy 83.873943 110.212043) + (xy 83.760228 110.269984) + (xy 83.669984 110.360228) + (xy 83.612043 110.473943) + (xy 83.592078 110.599999) + (xy 83.592078 110.6) + (xy 64.671959 110.6) + (xy 64.655516 110.567729) + (xy 64.565271 110.477484) + (xy 64.451555 110.419543) + (xy 64.451557 110.419543) + (xy 64.3255 110.399578) + (xy 64.199443 110.419543) + (xy 64.085728 110.477484) + (xy 63.995484 110.567728) + (xy 63.937543 110.681443) + (xy 63.917578 110.807499) + (xy 63.917578 110.8075) + (xy 62.799787 110.8075) + (xy 62.877516 110.729771) + (xy 62.935457 110.616055) + (xy 62.955422 110.49) + (xy 62.935457 110.363945) + (xy 62.877516 110.250229) (xy 62.787271 110.159984) (xy 62.673555 110.102043) (xy 62.673557 110.102043) @@ -86420,7 +86417,21 @@ (xy 71.057445 109.417457) (xy 71.1835 109.437422) (xy 71.309555 109.417457) - (xy 71.343816 109.4) + (xy 71.423271 109.359516) + (xy 71.513516 109.269271) + (xy 71.571457 109.155555) + (xy 71.580256 109.1) + (xy 82.042078 109.1) + (xy 82.062043 109.226056) + (xy 82.084935 109.270984) + (xy 82.119984 109.339771) + (xy 82.210229 109.430016) + (xy 82.323943 109.487956) + (xy 82.323945 109.487957) + (xy 82.45 109.507922) + (xy 82.576055 109.487957) + (xy 82.689771 109.430016) + (xy 82.719787 109.4) (xy 100.592078 109.4) (xy 100.612043 109.526056) (xy 100.650229 109.600999) @@ -86532,14 +86543,14 @@ (xy 100.612043 109.273943) (xy 100.592078 109.399999) (xy 100.592078 109.4) - (xy 71.343816 109.4) - (xy 71.423271 109.359516) - (xy 71.513516 109.269271) - (xy 71.571457 109.155555) - (xy 71.591422 109.0295) - (xy 71.571457 108.903445) - (xy 71.513516 108.789729) - (xy 71.473787 108.75) + (xy 82.719787 109.4) + (xy 82.780016 109.339771) + (xy 82.837957 109.226055) + (xy 82.857922 109.1) + (xy 82.837957 108.973945) + (xy 82.780016 108.860229) + (xy 82.689771 108.769984) + (xy 82.65055 108.75) (xy 83.042078 108.75) (xy 83.062043 108.876056) (xy 83.103392 108.957208) @@ -86672,7 +86683,20 @@ (xy 83.062043 108.623943) (xy 83.042078 108.749999) (xy 83.042078 108.75) - (xy 71.473787 108.75) + (xy 82.65055 108.75) + (xy 82.576055 108.712043) + (xy 82.576057 108.712043) + (xy 82.45 108.692078) + (xy 82.323943 108.712043) + (xy 82.210228 108.769984) + (xy 82.119984 108.860228) + (xy 82.062043 108.973943) + (xy 82.042078 109.099999) + (xy 82.042078 109.1) + (xy 71.580256 109.1) + (xy 71.591422 109.0295) + (xy 71.571457 108.903445) + (xy 71.513516 108.789729) (xy 71.423271 108.699484) (xy 71.309555 108.641543) (xy 71.309557 108.641543) @@ -86904,7 +86928,7 @@ (xy 127.065078 108.547373) (xy 127.06508 108.547376) (xy 127.150366 108.645801) - (xy 127.228668 108.696121) + (xy 127.222376 108.692078) (xy 127.251907 108.711056) (xy 127.259926 108.716209) (xy 127.384883 108.7529) @@ -87426,33 +87450,6 @@ (xy 122.952702 106.317043) (xy 122.937957 106.223945) (xy 122.880016 106.110229) - (xy 122.814787 106.045) - (xy 142.975078 106.045) - (xy 142.995043 106.171056) - (xy 143.029131 106.237957) - (xy 143.052984 106.284771) - (xy 143.143229 106.375016) - (xy 143.256943 106.432956) - (xy 143.256945 106.432957) - (xy 143.383 106.452922) - (xy 143.509055 106.432957) - (xy 143.622771 106.375016) - (xy 143.713016 106.284771) - (xy 143.770957 106.171055) - (xy 143.790922 106.045) - (xy 143.770957 105.918945) - (xy 143.713016 105.805229) - (xy 143.622771 105.714984) - (xy 143.509055 105.657043) - (xy 143.509057 105.657043) - (xy 143.383 105.637078) - (xy 143.256943 105.657043) - (xy 143.143228 105.714984) - (xy 143.052984 105.805228) - (xy 142.995043 105.918943) - (xy 142.975078 106.044999) - (xy 142.975078 106.045) - (xy 122.814787 106.045) (xy 122.789771 106.019984) (xy 122.676055 105.962043) (xy 122.676057 105.962043) @@ -87605,7 +87602,7 @@ (xy 70.428457 105.790055) (xy 70.448422 105.664) (xy 70.446204 105.649999) - (xy 70.444158 105.637078) + (xy 70.444585 105.639771) (xy 70.428457 105.537945) (xy 70.370516 105.424229) (xy 70.346287 105.4) @@ -90090,12 +90087,12 @@ (xy 65.030957 98.551055) (xy 65.050922 98.425) (xy 65.050772 98.424056) - (xy 65.04317 98.376055) + (xy 65.037423 98.339771) (xy 65.030957 98.298945) (xy 65.030476 98.298) (xy 76.808078 98.298) (xy 76.828043 98.424056) - (xy 76.828524 98.425) + (xy 76.861527 98.489771) (xy 76.885984 98.537771) (xy 76.976229 98.628016) (xy 77.089943 98.685956) @@ -90109,7 +90106,7 @@ (xy 77.61632 98.25) (xy 88.342078 98.25) (xy 88.362043 98.376056) - (xy 88.409902 98.469984) + (xy 88.389537 98.430015) (xy 88.419984 98.489771) (xy 88.510229 98.580016) (xy 88.623943 98.637956) @@ -90160,10 +90157,23 @@ (xy 104.939771 98.480016) (xy 105.030016 98.389771) (xy 105.087957 98.276055) - (xy 105.092084 98.25) + (xy 105.107922 98.15) + (xy 105.100003 98.1) + (xy 111.092078 98.1) + (xy 111.112043 98.226056) + (xy 111.149181 98.298943) + (xy 111.169984 98.339771) + (xy 111.260229 98.430016) + (xy 111.373943 98.487956) + (xy 111.373945 98.487957) + (xy 111.5 98.507922) + (xy 111.626055 98.487957) + (xy 111.739771 98.430016) + (xy 111.830016 98.339771) + (xy 111.875756 98.25) (xy 114.292078 98.25) (xy 114.312043 98.376056) - (xy 114.359902 98.469984) + (xy 114.339537 98.430015) (xy 114.369984 98.489771) (xy 114.460229 98.580016) (xy 114.573943 98.637956) @@ -90176,7 +90186,7 @@ (xy 115.107922 98.25) (xy 122.842078 98.25) (xy 122.862043 98.376056) - (xy 122.909902 98.469984) + (xy 122.889537 98.430015) (xy 122.919984 98.489771) (xy 123.010229 98.580016) (xy 123.123943 98.637956) @@ -90226,7 +90236,7 @@ (xy 141.230957 98.551055) (xy 141.250922 98.425) (xy 141.250772 98.424056) - (xy 141.24317 98.376055) + (xy 141.237423 98.339771) (xy 141.230957 98.298945) (xy 141.173016 98.185229) (xy 141.082771 98.094984) @@ -90241,7 +90251,7 @@ (xy 140.435078 98.425) (xy 136.170922 98.425) (xy 136.170772 98.424056) - (xy 136.16317 98.376055) + (xy 136.157423 98.339771) (xy 136.150957 98.298945) (xy 136.093016 98.185229) (xy 136.002771 98.094984) @@ -90256,7 +90266,7 @@ (xy 135.355078 98.425) (xy 131.090922 98.425) (xy 131.090772 98.424056) - (xy 131.08317 98.376055) + (xy 131.077423 98.339771) (xy 131.070957 98.298945) (xy 131.013016 98.185229) (xy 130.922771 98.094984) @@ -90297,8 +90307,22 @@ (xy 114.312043 98.123943) (xy 114.292078 98.249999) (xy 114.292078 98.25) - (xy 105.092084 98.25) - (xy 105.107922 98.15) + (xy 111.875756 98.25) + (xy 111.887957 98.226055) + (xy 111.907922 98.1) + (xy 111.887957 97.973945) + (xy 111.830016 97.860229) + (xy 111.739771 97.769984) + (xy 111.626055 97.712043) + (xy 111.626057 97.712043) + (xy 111.5 97.692078) + (xy 111.373943 97.712043) + (xy 111.260228 97.769984) + (xy 111.169984 97.860228) + (xy 111.112043 97.973943) + (xy 111.092078 98.099999) + (xy 111.092078 98.1) + (xy 105.100003 98.1) (xy 105.087957 98.023945) (xy 105.030016 97.910229) (xy 104.939771 97.819984) @@ -90713,17 +90737,17 @@ (xy 102.95 96.507922) (xy 103.076055 96.487957) (xy 103.15055 96.45) - (xy 110.342078 96.45) - (xy 110.362043 96.576056) - (xy 110.419984 96.689771) - (xy 110.510229 96.780016) - (xy 110.623943 96.837956) - (xy 110.623945 96.837957) - (xy 110.75 96.857922) - (xy 110.876055 96.837957) - (xy 110.989771 96.780016) - (xy 111.080016 96.689771) - (xy 111.10028 96.65) + (xy 110.142078 96.45) + (xy 110.162043 96.576056) + (xy 110.219984 96.689771) + (xy 110.310229 96.780016) + (xy 110.423943 96.837956) + (xy 110.423945 96.837957) + (xy 110.55 96.857922) + (xy 110.676055 96.837957) + (xy 110.789771 96.780016) + (xy 110.880016 96.689771) + (xy 110.90028 96.65) (xy 114.292078 96.65) (xy 114.312043 96.776056) (xy 114.346895 96.844456) @@ -90775,21 +90799,21 @@ (xy 114.312043 96.523943) (xy 114.292078 96.649999) (xy 114.292078 96.65) - (xy 111.10028 96.65) - (xy 111.137957 96.576055) - (xy 111.157922 96.45) - (xy 111.137957 96.323945) - (xy 111.080016 96.210229) - (xy 110.989771 96.119984) - (xy 110.876055 96.062043) - (xy 110.876057 96.062043) - (xy 110.75 96.042078) - (xy 110.623943 96.062043) - (xy 110.510228 96.119984) - (xy 110.419984 96.210228) - (xy 110.362043 96.323943) - (xy 110.342078 96.449999) - (xy 110.342078 96.45) + (xy 110.90028 96.65) + (xy 110.937957 96.576055) + (xy 110.957922 96.45) + (xy 110.937957 96.323945) + (xy 110.880016 96.210229) + (xy 110.789771 96.119984) + (xy 110.676055 96.062043) + (xy 110.676057 96.062043) + (xy 110.55 96.042078) + (xy 110.423943 96.062043) + (xy 110.310228 96.119984) + (xy 110.219984 96.210228) + (xy 110.162043 96.323943) + (xy 110.142078 96.449999) + (xy 110.142078 96.45) (xy 103.15055 96.45) (xy 103.189771 96.430016) (xy 103.280016 96.339771) @@ -92278,7 +92302,7 @@ (xy 52.433007 91.8845) (xy 57.885078 91.8845) (xy 57.905043 92.010556) - (xy 57.9608 92.119984) + (xy 57.9334 92.066209) (xy 57.962984 92.124271) (xy 58.053229 92.214516) (xy 58.166943 92.272456) @@ -92328,7 +92352,7 @@ (xy 86.619787 92.55) (xy 89.292078 92.55) (xy 89.312043 92.676056) - (xy 89.344508 92.739771) + (xy 89.362174 92.774443) (xy 89.369984 92.789771) (xy 89.460229 92.880016) (xy 89.573943 92.937956) @@ -92381,7 +92405,7 @@ (xy 96.800003 92.55) (xy 96.992078 92.55) (xy 97.012043 92.676056) - (xy 97.044508 92.739771) + (xy 97.062174 92.774443) (xy 97.069984 92.789771) (xy 97.160229 92.880016) (xy 97.273943 92.937956) @@ -92404,20 +92428,20 @@ (xy 99.789771 92.930016) (xy 99.880016 92.839771) (xy 99.937957 92.726055) - (xy 99.957922 92.6) - (xy 99.942084 92.5) - (xy 111.342078 92.5) - (xy 111.362043 92.626056) - (xy 111.394508 92.689771) - (xy 111.419984 92.739771) - (xy 111.510229 92.830016) - (xy 111.623943 92.887956) - (xy 111.623945 92.887957) - (xy 111.75 92.907922) - (xy 111.876055 92.887957) - (xy 111.989771 92.830016) - (xy 112.080016 92.739771) - (xy 112.125756 92.65) + (xy 99.950003 92.65) + (xy 111.342078 92.65) + (xy 111.362043 92.776056) + (xy 111.394508 92.839771) + (xy 111.419984 92.889771) + (xy 111.510229 92.980016) + (xy 111.623943 93.037956) + (xy 111.623945 93.037957) + (xy 111.75 93.057922) + (xy 111.876055 93.037957) + (xy 111.989771 92.980016) + (xy 112.080016 92.889771) + (xy 112.137957 92.776055) + (xy 112.157922 92.65) (xy 113.642078 92.65) (xy 113.662043 92.776056) (xy 113.694508 92.839771) @@ -92443,24 +92467,21 @@ (xy 113.662043 92.523943) (xy 113.642078 92.649999) (xy 113.642078 92.65) - (xy 112.125756 92.65) - (xy 112.137957 92.626055) - (xy 112.157922 92.5) - (xy 112.156746 92.492578) - (xy 112.149586 92.44737) - (xy 112.137957 92.373945) - (xy 112.080016 92.260229) - (xy 111.989771 92.169984) - (xy 111.876055 92.112043) - (xy 111.876057 92.112043) - (xy 111.75 92.092078) - (xy 111.623943 92.112043) - (xy 111.510228 92.169984) - (xy 111.419984 92.260228) - (xy 111.362043 92.373943) - (xy 111.342078 92.499999) - (xy 111.342078 92.5) - (xy 99.942084 92.5) + (xy 112.157922 92.65) + (xy 112.137957 92.523945) + (xy 112.080016 92.410229) + (xy 111.989771 92.319984) + (xy 111.876055 92.262043) + (xy 111.876057 92.262043) + (xy 111.75 92.242078) + (xy 111.623943 92.262043) + (xy 111.510228 92.319984) + (xy 111.419984 92.410228) + (xy 111.362043 92.523943) + (xy 111.342078 92.649999) + (xy 111.342078 92.65) + (xy 99.950003 92.65) + (xy 99.957922 92.6) (xy 99.937957 92.473945) (xy 99.880016 92.360229) (xy 99.789771 92.269984) diff --git a/Hardware/LCMXO2/gerber/GR8RAM-BOM.csv b/Hardware/LCMXO2/gerber/GR8RAM-BOM.csv index 73a68f3..79e3121 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM-BOM.csv +++ b/Hardware/LCMXO2/gerber/GR8RAM-BOM.csv @@ -6,15 +6,13 @@ "H1","1","","stdpads:PasteHole_1.1mm_PTH","","" "H2, H3, H4, H5","4","","stdpads:PasteHole_1.152mm_NPTH","","" "J1","1","AppleIIBus","stdpads:AppleIIBus_Edge","","" -"J2","1","JTAG","Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical","","" -"J3","1","microUSB","stdpads:USB_Micro-B_Amphenol_10118192-0001","C132564","" -"J5","1","Flash","Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical","","" +"J2","1","microUSB","stdpads:USB_Micro-B_Amphenol_10118192-0001","C132564","" +"J3","1","Flash","Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical","","" +"J4","1","JTAG","Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical","","" "R1","1","0","stdpads:R_0805","C17477","" -"R2, R3, R5, R9, R12, R13","6","47","stdpads:R_0603","C23345","" +"R2, R3, R5, R9, R12","5","47","stdpads:R_0603","C23182","" "R4, R6, R7, R8, R17, R18, R19","7","10k","stdpads:R_0603","C25804","" -"R10","1","22","stdpads:R_0603","C23345","" -"R11","1","DNP","stdpads:R_0603","","" -"R15, R20","2","2k2","stdpads:R_0603","","" +"R14, R15, R16, R20","4","2k2","stdpads:R_0603","C4190","" "SW1","1","FW","stdpads:SW_DIP_SPSTx02_Slide_DSHP02TS_P1.27mm","C319052","" "U1","1","LCMXO2-640-TG100","stdpads:TQFP-100_14x14mm_P0.5mm","","" "U2","1","W9825","stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm","C62246","" @@ -23,6 +21,6 @@ "U7","1","CH340G","stdpads:SOIC-16_3.9mm","C14267","" "U8","1","XC6206P332MR","stdpads:SOT-23","C5446","" "U10","1","AP2127K-1.2TRG1","stdpads:SOT-23-5","C151375","" -"U11, U14","2","74LVC1G125GW","stdpads:SOT-353","C12519","" +"U11, U14","2","74AHCT1G125GW","stdpads:SOT-353","C12495","" "U13","1","25M","stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm","C669088","" "Y1","1","12M","stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm","C9002","" diff --git a/Hardware/LCMXO2/gerber/GR8RAM-B_Cu.gbl b/Hardware/LCMXO2/gerber/GR8RAM-B_Cu.gbl index a0818e6..de1a252 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM-B_Cu.gbl +++ b/Hardware/LCMXO2/gerber/GR8RAM-B_Cu.gbl @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-18T20:49:12-04:00* +G04 #@! TF.CreationDate,2024-03-29T07:49:19-04:00* G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L4,Bot* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-18 20:49:12* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 07:49:19* %MOMM*% %LPD*% G01* @@ -39,28 +39,28 @@ G04 #@! TA.AperFunction,ConnectorPad* %ADD12C,0.787400*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD13C,1.524000*% +%ADD13C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD14C,0.800000*% +%ADD14C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD15C,0.500000*% +%ADD15C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD16C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD17C,0.800000*% +%ADD17C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD18C,1.524000*% +%ADD18C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD19C,0.450000*% +%ADD19C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD20C,0.500000*% +%ADD20C,0.450000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* %ADD21C,0.300000*% @@ -109,11 +109,22 @@ X68389500Y-120904000D03* X68389500Y-119634000D03* X68389500Y-118364000D03* D13* -X137160000Y-129794000D03* +X122050000Y-122200000D03* +X120250000Y-122200000D03* +X119650000Y-123100000D03* D14* -X138303000Y-128270000D03* -X137160000Y-127000000D03* +X137160000Y-129794000D03* D15* +X138303000Y-128270000D03* +D13* +X115000000Y-123100000D03* +X121200000Y-123150000D03* +X115550000Y-122200000D03* +D15* +X137160000Y-127000000D03* +D13* +X116500000Y-123150000D03* +X117350000Y-122200000D03* X94500000Y-129900000D03* X135763000Y-93345000D03* X107823000Y-86931500D03* @@ -126,13 +137,13 @@ X118110000Y-131318000D03* X98400000Y-110250000D03* D16* X127450000Y-104300000D03* -D15* +D13* X121200000Y-120050000D03* X123063000Y-85725000D03* X51435000Y-109601000D03* -D14* -X120777000Y-129540000D03* D15* +X120777000Y-129540000D03* +D13* X64262000Y-115697000D03* X82550000Y-131318000D03* X140843000Y-93345000D03* @@ -143,9 +154,9 @@ X97790000Y-131318000D03* X138303000Y-90805000D03* X64643000Y-93345000D03* X93150000Y-123800000D03* -D14* -X121856500Y-128270000D03* D15* +X121856500Y-128270000D03* +D13* X64643000Y-83185000D03* X56515000Y-89916000D03* X97663000Y-80645000D03* @@ -154,7 +165,7 @@ X104950000Y-102600000D03* X72263000Y-80645000D03* D16* X136200000Y-119450000D03* -D15* +D13* X100550000Y-103900000D03* X51435000Y-114681000D03* X69088000Y-128016000D03* @@ -171,21 +182,22 @@ X115443000Y-88265000D03* X102900000Y-108050000D03* D16* X49550000Y-104400000D03* -D15* +D13* X52500000Y-97000000D03* X82800000Y-102100000D03* X48895000Y-117221000D03* X89400000Y-129850000D03* -D14* -X129150000Y-118700000D03* D15* +X129150000Y-118700000D03* +D13* +X143383000Y-121285000D03* X138430000Y-131318000D03* X90900000Y-126300000D03* X104650000Y-108250000D03* D16* X113050000Y-116250000D03* X123050000Y-114450000D03* -D15* +D13* X56515000Y-104584500D03* X135763000Y-88265000D03* X56515000Y-109664500D03* @@ -195,7 +207,7 @@ X74803000Y-88265000D03* X91950000Y-129850000D03* D16* X123050000Y-89850000D03* -D15* +D13* X117983000Y-116205000D03* X53900000Y-89100600D03* X87503000Y-86931500D03* @@ -213,9 +225,9 @@ X62928500Y-100076000D03* X108050000Y-104350000D03* X46355000Y-129540000D03* X136398000Y-100838000D03* -D14* -X134000000Y-123500000D03* D15* +X134000000Y-123500000D03* +D13* X100650000Y-115750000D03* X53975000Y-85725000D03* X62103000Y-80645000D03* @@ -224,29 +236,29 @@ X63754000Y-92138500D03* X88050000Y-129650000D03* D16* X84900000Y-120400000D03* -D15* +D13* X67183000Y-111379000D03* X113700000Y-119600000D03* X48133000Y-131318000D03* X100330000Y-131318000D03* -D14* +D15* X114300000Y-128270000D03* -D15* +D13* X102870000Y-131318000D03* -X143383000Y-116205000D03* -D14* -X140100000Y-122300000D03* D15* +X140100000Y-122300000D03* +D13* X103000000Y-124950000D03* X70485000Y-119380000D03* X118650000Y-110650000D03* X130683000Y-93345000D03* X98200000Y-112000000D03* +X111500000Y-98100000D03* X85200000Y-103250000D03* X64262000Y-118491000D03* -D14* -X126450000Y-95100000D03* D15* +X126450000Y-95100000D03* +D13* X51435000Y-104584500D03* X144780000Y-123825000D03* X59055000Y-117284500D03* @@ -258,7 +270,7 @@ X118650000Y-109450000D03* X93450000Y-110300000D03* D16* X103300000Y-120400000D03* -D15* +D13* X85150000Y-108750000D03* X106500000Y-117950000D03* X98400000Y-107850000D03* @@ -278,12 +290,12 @@ X144780000Y-103505000D03* X97400000Y-94950000D03* D16* X85800000Y-121400000D03* -D15* +D13* X105410000Y-131318000D03* X83950000Y-126100000D03* -D14* -X115443000Y-129540000D03* D15* +X115443000Y-129540000D03* +D13* X135763000Y-83185000D03* X102500000Y-117600000D03* X83950000Y-123800000D03* @@ -291,16 +303,17 @@ X56515000Y-131318000D03* X133223000Y-95885000D03* D16* X122050000Y-90750000D03* -D15* +D13* X91950000Y-126750000D03* X128143000Y-111125000D03* X111550000Y-123800000D03* +X82450000Y-109100000D03* X128143000Y-80645000D03* X143383000Y-90805000D03* X130350000Y-122400000D03* +X75350000Y-112600000D03* X102850000Y-98400000D03* X64706500Y-100076000D03* -X143383000Y-121285000D03* X114050000Y-111850000D03* X90900000Y-112000000D03* X97450000Y-126900000D03* @@ -316,7 +329,7 @@ X110363000Y-88265000D03* X50673000Y-94615000D03* D16* X110800000Y-102450000D03* -D15* +D13* X128270000Y-131318000D03* X66900000Y-100450000D03* X102743000Y-85725000D03* @@ -325,7 +338,7 @@ X135763000Y-98425000D03* X69723000Y-83185000D03* D16* X112050000Y-115350000D03* -D15* +D13* X77343000Y-80645000D03* X93150000Y-126100000D03* X105283000Y-88265000D03* @@ -342,6 +355,7 @@ X106900000Y-126800000D03* X118650000Y-105850000D03* X51435000Y-131318000D03* X120350000Y-121000000D03* +X84000000Y-110600000D03* X82423000Y-80645000D03* X125603000Y-118745000D03* X61531500Y-114744500D03* @@ -351,7 +365,6 @@ X111550000Y-126100000D03* X59055000Y-107124500D03* X117983000Y-86931500D03* X59055000Y-122364500D03* -X130683000Y-128905000D03* X84600000Y-124950000D03* X56515000Y-83185000D03* X125603000Y-123825000D03* @@ -363,21 +376,21 @@ X95850000Y-120650000D03* X118650000Y-111850000D03* D16* X47000000Y-104950000D03* -D15* +D13* X63563500Y-114998500D03* D16* X123050000Y-116250000D03* -D15* +D13* X80750000Y-129900000D03* X86900000Y-126200000D03* X53975000Y-122364500D03* X119850000Y-103050000D03* -D14* +D15* X127450000Y-93900000D03* D16* X76600000Y-121400000D03* X104200000Y-121400000D03* -D15* +D13* X110100000Y-128450000D03* X120523000Y-83185000D03* X65786000Y-111379000D03* @@ -385,37 +398,39 @@ X130683000Y-98425000D03* X62103000Y-95059500D03* X57912000Y-86360000D03* X86850000Y-129950000D03* +X73750000Y-111400000D03* X56388000Y-95059500D03* X64643000Y-98425000D03* X118350000Y-119600000D03* X90170000Y-131318000D03* X99550000Y-128550000D03* -D14* -X74549000Y-129540000D03* D15* +X74549000Y-129540000D03* +D13* X108900000Y-113400000D03* X102350000Y-123800000D03* -X143383000Y-111125000D03* X77470000Y-131318000D03* -D14* -X135509000Y-127000000D03* D15* +X135509000Y-127000000D03* +D13* X128143000Y-90805000D03* X46355000Y-119761000D03* X69532500Y-111823500D03* X144780000Y-118745000D03* X53975000Y-112204500D03* X118650000Y-113450000D03* -D14* -X138900000Y-123450000D03* D15* +X138900000Y-123450000D03* +D13* X53848000Y-128016000D03* X78000000Y-107600000D03* X88100000Y-117950000D03* +X141200000Y-118550000D03* +X111750000Y-92650000D03* X52006500Y-90297000D03* D16* X138400000Y-119450000D03* -D15* +D13* X55435500Y-88773000D03* X113030000Y-131318000D03* X109950000Y-107850000D03* @@ -429,12 +444,12 @@ X139000000Y-118550000D03* X48895000Y-107061000D03* X106500000Y-120150000D03* X114050000Y-107050000D03* -D14* +D15* X132750000Y-117650000D03* D16* X112050000Y-90750000D03* X75700000Y-120400000D03* -D15* +D13* X90300000Y-129350000D03* X51435000Y-88265000D03* X88950000Y-103250000D03* @@ -449,24 +464,24 @@ X109537500Y-126809500D03* X63944500Y-119570500D03* X144780000Y-88265000D03* X118650000Y-108250000D03* -D14* -X135509000Y-129540000D03* D15* +X135509000Y-129540000D03* +D13* X118650000Y-107050000D03* X102100000Y-129700000D03* -D14* -X131550000Y-118900000D03* D15* +X131550000Y-118900000D03* +D13* X79883000Y-88265000D03* X112200000Y-124950000D03* -D14* +D15* X135200000Y-122300000D03* X141300000Y-123550000D03* D16* X126450000Y-109500000D03* -D14* -X134000000Y-118950000D03* D15* +X134000000Y-118950000D03* +D13* X133223000Y-111125000D03* X61531500Y-109664500D03* X111750000Y-103050000D03* @@ -478,16 +493,16 @@ X144780000Y-113665000D03* X46355000Y-109601000D03* X53848000Y-95123000D03* X58928000Y-128016000D03* -D14* -X131550000Y-123550000D03* D15* +X131550000Y-123550000D03* +D13* X46355000Y-124841000D03* X57404000Y-92900500D03* X53200000Y-90650600D03* X73787000Y-115379500D03* -D14* -X129150000Y-123350000D03* D15* +X129150000Y-123350000D03* +D13* X138303000Y-85725000D03* X79950000Y-128350000D03* X143002000Y-131318000D03* @@ -496,7 +511,7 @@ X133223000Y-80645000D03* X105050000Y-120650000D03* X62103000Y-85725000D03* X77216000Y-98298000D03* -X110750000Y-96450000D03* +X110550000Y-96450000D03* X114050000Y-92650000D03* X76454000Y-97091500D03* X104700000Y-98150000D03* @@ -517,12 +532,13 @@ X118650000Y-104650000D03* X130683000Y-113665000D03* D16* X112900000Y-91950000D03* -D15* +D13* X143002000Y-80645000D03* X135128000Y-100838000D03* +X83000000Y-111600000D03* D16* X94100000Y-120400000D03* -D15* +D13* X95123000Y-88265000D03* X52324000Y-94551500D03* X62103000Y-90805000D03* @@ -537,7 +553,7 @@ X60833000Y-100012500D03* X138303000Y-95885000D03* D16* X110850000Y-107000000D03* -D15* +D13* X56515000Y-119824500D03* X117983000Y-90805000D03* X55400000Y-94350600D03* @@ -545,37 +561,36 @@ X144780000Y-93345000D03* X128143000Y-126365000D03* D16* X127350000Y-113200000D03* -D15* -X143383000Y-106045000D03* +D13* X97663000Y-86931500D03* X105283000Y-83185000D03* D16* X47000000Y-94650000D03* -D15* +D13* X49974500Y-93345000D03* X135890000Y-131318000D03* -X87800000Y-114450000D03* +X87600000Y-114600000D03* D16* X109450000Y-103350000D03* -D15* +D13* X95250000Y-131318000D03* X72263000Y-90805000D03* X77450000Y-120650000D03* D16* X49550000Y-95200000D03* -D15* +D13* X123190000Y-131318000D03* X87630000Y-131318000D03* X59309000Y-90487500D03* -X111750000Y-92500000D03* X130810000Y-131318000D03* X72263000Y-85725000D03* X94450000Y-111300000D03* X50750000Y-102900000D03* X67183000Y-85725000D03* -D14* -X126450000Y-114300000D03* D15* +X126450000Y-114300000D03* +D13* +X89150000Y-113450000D03* X101000000Y-111550000D03* X120523000Y-88265000D03* X123063000Y-126365000D03* @@ -583,8 +598,9 @@ X89700000Y-94950000D03* X85090000Y-131318000D03* D16* X127450000Y-108300000D03* -D15* +D13* X53975000Y-107124500D03* +X86200000Y-114600000D03* X92550000Y-127950000D03* X125603000Y-83185000D03* X113100000Y-120500000D03* @@ -597,7 +613,7 @@ X120650000Y-131318000D03* X115570000Y-131318000D03* D16* X123050000Y-91650000D03* -D15* +D13* X133223000Y-100965000D03* X87503000Y-80645000D03* X128143000Y-116205000D03* @@ -607,11 +623,11 @@ X67183000Y-90805000D03* X92583000Y-80645000D03* D16* X122050000Y-115350000D03* -D14* +D15* X73406000Y-128524000D03* D16* X95000000Y-121400000D03* -D15* +D13* X93800000Y-124950000D03* X64008000Y-128016000D03* X140843000Y-88265000D03* @@ -622,25 +638,22 @@ X107823000Y-80645000D03* X48895000Y-122301000D03* D16* X126450000Y-105400000D03* -D15* +D13* X48895000Y-112141000D03* X107823000Y-90805000D03* X102743000Y-80645000D03* -D14* -X130350000Y-117650000D03* D15* +X130350000Y-117650000D03* +D13* X90700000Y-107850000D03* X100550000Y-98400000D03* D16* X113050000Y-89850000D03* -D15* +D13* X144780000Y-108585000D03* X125730000Y-131318000D03* X86650000Y-120650000D03* X78900000Y-117950000D03* -D14* -X141350000Y-118950000D03* -D15* X88400000Y-128050000D03* X128143000Y-85725000D03* X46355000Y-93472000D03* @@ -660,19 +673,19 @@ X78750000Y-126100000D03* X80050000Y-126100000D03* X80700000Y-126850000D03* X81350000Y-126100000D03* -D14* +D15* X76200000Y-129540000D03* X77343000Y-128270000D03* X119126000Y-129540000D03* X117094000Y-129540000D03* -D15* +D13* X85200000Y-127381000D03* X106350000Y-123050000D03* X113150000Y-127950000D03* X108300000Y-123800000D03* -X121000000Y-124350000D03* -X108950000Y-123050000D03* -X116350000Y-125800000D03* +X118350000Y-123500000D03* +X109050000Y-123100000D03* +X113700000Y-123100000D03* X107650000Y-123050000D03* X107000000Y-123800000D03* X132080000Y-131191000D03* @@ -705,60 +718,56 @@ X100550000Y-107400000D03* X102850000Y-97400000D03* D16* X125250000Y-115350000D03* -D14* -X127850000Y-117650000D03* D15* -X122050000Y-122200000D03* +X127850000Y-117650000D03* +D13* X87600000Y-104050000D03* X100550000Y-97400000D03* D16* X126450000Y-111000000D03* -D15* +D13* X89700000Y-112000000D03* X88900000Y-94950000D03* D16* X138400000Y-120400000D03* X114250000Y-89850000D03* -D15* +D13* X125550000Y-107050000D03* D16* X115250000Y-115350000D03* -D15* +D13* +X117325000Y-119600000D03* X111750000Y-104650000D03* X62103000Y-102806500D03* X81026000Y-105600500D03* D16* X126450000Y-91800000D03* -D15* +D13* X124400000Y-91950000D03* X102900000Y-107250000D03* X104900000Y-103700000D03* X59563000Y-95059500D03* D16* X114250000Y-91650000D03* -D14* +D15* X131550000Y-124850000D03* D16* X124250000Y-89850000D03* X114250000Y-116250000D03* -D15* +D13* X105075000Y-119050000D03* -X120250000Y-122200000D03* X87300000Y-117950000D03* X86675000Y-119050000D03* X95875000Y-119050000D03* X81950000Y-104400000D03* -D14* +D15* X130350000Y-126100000D03* -D15* -X119650000Y-123100000D03* -D14* X129150000Y-124850000D03* -D15* +D13* X86000000Y-112050000D03* -D14* -X95000000Y-118100000D03* D15* +X95000000Y-118100000D03* +D13* X63436500Y-122809000D03* X66900000Y-97450000D03* X98400000Y-90800000D03* @@ -770,77 +779,74 @@ X84950000Y-119150000D03* D16* X124250000Y-116250000D03* X75700000Y-119200000D03* -D15* +D13* X104900000Y-101650000D03* X105700000Y-120150000D03* X96500000Y-117950000D03* -D14* -X104200000Y-118100000D03* D15* +X104200000Y-118100000D03* +D13* X104650000Y-97050000D03* X59563000Y-97853500D03* X62103000Y-100012500D03* X104650000Y-107050000D03* D16* X137300000Y-117750000D03* -D15* +D13* X62801500Y-101409500D03* D16* X126450000Y-102100000D03* -D14* -X127450000Y-92900000D03* D15* +X127450000Y-92900000D03* +D13* X78100000Y-120150000D03* X63817500Y-94869000D03* -D14* -X135200000Y-126050000D03* D15* +X135200000Y-126050000D03* +D13* X83450000Y-97750000D03* X96500000Y-120150000D03* -D14* -X141350000Y-120150000D03* D15* +X141350000Y-120150000D03* +D13* X59182000Y-94043500D03* -X121200000Y-123150000D03* X87300000Y-120150000D03* X114050000Y-109450000D03* D16* X126450000Y-106200000D03* -D15* +D13* X123250000Y-92650000D03* X124400000Y-114050000D03* D16* X110850000Y-105650000D03* -D15* +D13* X125550000Y-103050000D03* X66900000Y-98950000D03* D16* X127450000Y-107300000D03* -D15* +D13* X125550000Y-111850000D03* -X115550000Y-122200000D03* X64706500Y-101219000D03* D16* X114250000Y-114450000D03* -D15* +D13* X84250000Y-105200000D03* X54483000Y-102806500D03* X98200000Y-92550000D03* X82650000Y-105200000D03* X99400000Y-112000000D03* -X114800000Y-123100000D03* D16* X76600000Y-118200000D03* X127450000Y-103300000D03* -D15* +D13* X114050000Y-104650000D03* X78100000Y-117950000D03* -D14* +D15* X85800000Y-118100000D03* D16* X115250000Y-90750000D03* X127350000Y-112000000D03* -D15* +D13* X89900000Y-107850000D03* X123250000Y-113450000D03* X123250000Y-107050000D03* @@ -852,22 +858,20 @@ X125550000Y-92650000D03* X88900000Y-92550000D03* X66294000Y-119634000D03* X89900000Y-110250000D03* -X116500000Y-123150000D03* -D14* +D15* X132750000Y-126100000D03* -D15* +D13* X110850000Y-110250000D03* -D14* -X134000000Y-124900000D03* D15* +X134000000Y-124900000D03* +D13* X88700000Y-90800000D03* X96500000Y-123800000D03* -X117350000Y-122200000D03* X85200000Y-97550000D03* X77475000Y-119050000D03* -D14* -X126600000Y-118600000D03* D15* +X126600000Y-118600000D03* +D13* X123250000Y-111850000D03* X58293000Y-95059500D03* X94150000Y-119150000D03* @@ -876,7 +880,7 @@ X58293000Y-97853500D03* D16* X125250000Y-90750000D03* X137300000Y-121150000D03* -D15* +D13* X63817500Y-102235000D03* X105700000Y-117950000D03* X97350000Y-107800000D03* @@ -965,6 +969,7 @@ X70040500Y-104394000D03* X79756000Y-103124000D03* X80050000Y-107650000D03* X71183500Y-109029500D03* +X68350000Y-113750000D03* X114750000Y-105350000D03* X122550000Y-105350000D03* X100550000Y-105400000D03* @@ -972,7 +977,6 @@ X135128000Y-90932000D03* X93900000Y-92450000D03* X92950000Y-92000000D03* X136398000Y-90932000D03* -X68350000Y-113750000D03* X119650000Y-119600000D03* X93550000Y-107900000D03* X86400000Y-94850000D03* @@ -983,59 +987,139 @@ X88750000Y-98250000D03* X70000000Y-97450000D03* X70000000Y-100450000D03* X87900000Y-99000000D03* -D14* +D15* X134000000Y-120400000D03* D16* X135200000Y-121150000D03* -D15* +D13* X132750000Y-121350000D03* -D14* +D15* X131550000Y-120200000D03* X126600000Y-120500000D03* -D15* +D13* X130350000Y-121350000D03* -D14* +D15* X95300000Y-106800000D03* X129150000Y-120200000D03* D17* +X122525000Y-122675000D02* +X123000000Y-123150000D01* +X135890000Y-128270000D02* +X128120000Y-128270000D01* +X119000000Y-122450000D02* +X120300000Y-122450000D01* +X119650000Y-123100000D02* +X120300000Y-122450000D01* +D18* X136915002Y-127000000D02* X137160000Y-127000000D01* -D18* +D17* +X117450000Y-122200000D02* +X120250000Y-122200000D01* +X116500000Y-123150000D02* +X116975000Y-122675000D01* +X122525000Y-122675000D02* +X120725000Y-122675000D01* +X116975000Y-122675000D02* +X115075000Y-122675000D01* +X119700000Y-123150000D02* +X119650000Y-123100000D01* +X120725000Y-122675000D02* +X121200000Y-123150000D01* +X116975000Y-122675000D02* +X117200000Y-122450000D01* +D19* X137160000Y-129794000D02* X137160000Y-127000000D01* -D17* +D18* X138620500Y-127952500D02* X138112500Y-127952500D01* -D19* +D17* +X135890000Y-128270000D02* +X137160000Y-129540000D01* +X120600000Y-122800000D02* +X120725000Y-122675000D01* +X115000000Y-123100000D02* +X115000000Y-122750000D01* +X137160000Y-127000000D02* +X135890000Y-128270000D01* +X115000000Y-122750000D02* +X115550000Y-122200000D01* +X115050000Y-123150000D02* +X116500000Y-123150000D01* +X120250000Y-122200000D02* +X122050000Y-122200000D01* +X122050000Y-122200000D02* +X122525000Y-122675000D01* +X119000000Y-122450000D02* +X119350000Y-122800000D01* +D20* X137856000Y-129794000D02* X137160000Y-129794000D01* -D20* +D17* X137160000Y-128940000D02* X137160000Y-129794000D01* -D17* +X121200000Y-123150000D02* +X119700000Y-123150000D01* +X119350000Y-122800000D02* +X120600000Y-122800000D01* +D18* X137160000Y-127000000D02* X136906000Y-127000000D01* -D18* +D17* +X120725000Y-122675000D02* +X120500000Y-122450000D01* +X120300000Y-122450000D02* +X120500000Y-122450000D01* +D19* X138303000Y-128651000D02* X137160000Y-129794000D01* +D17* +X115000000Y-123100000D02* +X115050000Y-123150000D01* +X119350000Y-122800000D02* +X119650000Y-123100000D01* +D19* X138303000Y-128143000D02* X137160000Y-127000000D01* D17* +X138303000Y-128270000D02* +X135890000Y-128270000D01* +X117350000Y-122200000D02* +X117450000Y-122200000D01* +X120500000Y-122450000D02* +X120250000Y-122200000D01* +D18* X138112500Y-127952500D02* X137160000Y-127000000D01* -D18* +D19* X138303000Y-128270000D02* X138303000Y-128651000D01* +D17* +X115550000Y-122200000D02* +X117350000Y-122200000D01* +X121200000Y-123150000D02* +X123000000Y-123150000D01* +D19* X138303000Y-128270000D02* X138303000Y-128143000D01* -D20* +D17* +X128120000Y-128270000D02* +X123000000Y-123150000D01* +X117200000Y-122450000D02* +X117450000Y-122200000D01* X138530000Y-128270000D02* X138303000Y-128270000D01* X137160000Y-127000000D02* X137310000Y-126850000D01* -D17* +X137160000Y-129540000D02* +X137160000Y-129794000D01* +D18* X137160000Y-127000000D02* X137160000Y-127960000D01* +D17* +X117200000Y-122450000D02* +X119000000Y-122450000D01* D21* X69659500Y-122174000D02* X69786500Y-122174000D01* @@ -1050,7 +1134,7 @@ X70802500Y-121158000D01* D22* X135890000Y-131318000D02* X136906000Y-131318000D01* -D20* +D17* X69659500Y-119634000D02* X70231000Y-119634000D01* X70231000Y-119634000D02* @@ -1058,7 +1142,7 @@ X70485000Y-119380000D01* D21* X70802500Y-121158000D02* X70802500Y-120713500D01* -D18* +D19* X137160000Y-135382000D02* X137160000Y-131572000D01* D22* @@ -1130,7 +1214,7 @@ X87159000Y-129450000D02* X88900000Y-131191000D01* X81350000Y-126100000D02* X81350000Y-126943000D01* -D18* +D19* X76200000Y-135382000D02* X76200000Y-129540000D01* X76200000Y-128397000D02* @@ -1168,24 +1252,26 @@ X113150000Y-127950000D02* X109350000Y-127950000D01* X108100000Y-124000000D02* X108300000Y-123800000D01* -X121000000Y-124350000D02* -X121750000Y-124350000D01* +X118350000Y-123500000D02* +X119350000Y-124500000D01* +X121900000Y-124500000D02* +X127000000Y-129600000D01* X127000000Y-129600000D02* X127000000Y-135282000D01* -X121750000Y-124350000D02* -X127000000Y-129600000D01* -X124460000Y-129460000D02* -X124460000Y-135382000D01* -X116350000Y-125800000D02* -X112338000Y-125800000D01* -X112338000Y-125800000D02* -X109588000Y-123050000D01* -X109588000Y-123050000D02* -X108950000Y-123050000D01* -X116350000Y-125800000D02* -X120800000Y-125800000D01* -X120800000Y-125800000D02* +X119350000Y-124500000D02* +X121900000Y-124500000D01* +X116350000Y-125750000D02* +X113700000Y-123100000D01* +X124460000Y-135382000D02* X124460000Y-129460000D01* +X120800000Y-125800000D02* +X116350000Y-125800000D01* +X109050000Y-123100000D02* +X113700000Y-123100000D01* +X124460000Y-129460000D02* +X120800000Y-125800000D01* +X116350000Y-125800000D02* +X116350000Y-125750000D01* X104394000Y-130302000D02* X102489000Y-130302000D01* X107800000Y-126896000D02* @@ -1374,7 +1460,7 @@ X87600000Y-92300000D02* X88250000Y-91650000D01* X90800000Y-92600000D02* X90800000Y-95000000D01* -D19* +D20* X69024500Y-124269500D02* X68389500Y-123634500D01* X68643500Y-125984000D02* @@ -1554,62 +1640,62 @@ X101300000Y-99550000D02* X100950000Y-99900000D01* X114250000Y-99550000D02* X101300000Y-99550000D01* -X98000000Y-95850000D02* -X97900000Y-95850000D01* X122600000Y-95850000D02* X114800000Y-95850000D01* -X111800000Y-96350000D02* -X109850000Y-94400000D01* -X109850000Y-94400000D02* -X98950000Y-94400000D01* X98700000Y-94650000D02* X98700000Y-95150000D01* -X114300000Y-96350000D02* -X111800000Y-96350000D01* X98700000Y-95150000D02* X98000000Y-95850000D01* -X98950000Y-94400000D02* -X98700000Y-94650000D01* +X111600000Y-96350000D02* +X109650000Y-94400000D01* X114800000Y-95850000D02* X114300000Y-96350000D01* +X98000000Y-95850000D02* +X97900000Y-95850000D01* +X98950000Y-94400000D02* +X98700000Y-94650000D01* +X109650000Y-94400000D02* +X98950000Y-94400000D01* +X114300000Y-96350000D02* +X111600000Y-96350000D01* +X114500000Y-93950000D02* +X114900000Y-93950000D01* X96000000Y-91650000D02* X99300000Y-91650000D01* +X100200000Y-92550000D02* +X110500000Y-92550000D01* +X114300000Y-93750000D02* +X114500000Y-93950000D01* X95400000Y-92250000D02* X96000000Y-91650000D01* X95400000Y-92600000D02* X95400000Y-92250000D01* -X114500000Y-93950000D02* -X114900000Y-93950000D01* -X115400000Y-93450000D02* -X121950000Y-93450000D01* -X111850000Y-93750000D02* -X114300000Y-93750000D01* -X110650000Y-92550000D02* -X111850000Y-93750000D01* -X100200000Y-92550000D02* -X110650000Y-92550000D01* -X99300000Y-91650000D02* -X100200000Y-92550000D01* -X114300000Y-93750000D02* -X114500000Y-93950000D01* X114900000Y-93950000D02* X115400000Y-93450000D01* -X112000000Y-93450000D02* -X114700000Y-93450000D01* -X100950000Y-92250000D02* -X110800000Y-92250000D01* -X110800000Y-92250000D02* -X112000000Y-93450000D01* +X111700000Y-93750000D02* +X114300000Y-93750000D01* +X110500000Y-92550000D02* +X111700000Y-93750000D01* +X99300000Y-91650000D02* +X100200000Y-92550000D01* +X115400000Y-93450000D02* +X121950000Y-93450000D01* X100550000Y-91850000D02* X100950000Y-92250000D01* -X110225000Y-93450000D02* +X100950000Y-92250000D02* +X110650000Y-92250000D01* +X110650000Y-92250000D02* +X111850000Y-93450000D01* +X111850000Y-93450000D02* +X114700000Y-93450000D01* +X110050000Y-93450000D02* X96000000Y-93450000D01* +X114700000Y-95050000D02* +X111650000Y-95050000D01* +X111650000Y-95050000D02* +X110050000Y-93450000D01* X96000000Y-93450000D02* X94550000Y-94900000D01* -X111825000Y-95050000D02* -X110225000Y-93450000D01* -X114700000Y-95050000D02* -X111825000Y-95050000D01* X101100000Y-100200000D02* X100750000Y-100200000D01* X101450000Y-99850000D02* @@ -1640,158 +1726,148 @@ X101900000Y-103400000D02* X101950000Y-103450000D01* X100550000Y-99400000D02* X100700000Y-99250000D01* -X109600000Y-99250000D02* -X109800000Y-99050000D01* -X100700000Y-99250000D02* -X109600000Y-99250000D01* -X109800000Y-99050000D02* +X113850000Y-99250000D02* X114050000Y-99050000D01* -X99650000Y-98900000D02* -X100750000Y-98900000D01* +X100700000Y-99250000D02* +X113850000Y-99250000D01* +X114500000Y-98750000D02* +X114900000Y-98750000D01* +X113450000Y-98950000D02* +X113850000Y-98550000D01* +X100800000Y-98950000D02* +X113450000Y-98950000D01* X113850000Y-98550000D02* X114300000Y-98550000D01* X114900000Y-98750000D02* X115400000Y-98250000D01* -X114500000Y-98750000D02* -X114900000Y-98750000D01* -X100800000Y-98950000D02* -X109450000Y-98950000D01* -X109450000Y-98950000D02* -X109650000Y-98750000D01* X114300000Y-98550000D02* X114500000Y-98750000D01* -X113650000Y-98750000D02* -X113850000Y-98550000D01* -X109650000Y-98750000D02* -X113650000Y-98750000D01* X115400000Y-98250000D02* X123250000Y-98250000D01* +X99650000Y-98900000D02* +X100750000Y-98900000D01* X100750000Y-98900000D02* X100800000Y-98950000D01* X114700000Y-98250000D02* X113700000Y-98250000D01* +X113300000Y-98650000D02* +X103850000Y-98650000D01* X113700000Y-98250000D02* -X113500000Y-98450000D01* -X109500000Y-98450000D02* -X109300000Y-98650000D01* -X113500000Y-98450000D02* -X109500000Y-98450000D01* -X103850000Y-98650000D02* -X103100000Y-97900000D01* +X113300000Y-98650000D01* X103100000Y-97900000D02* X99650000Y-97900000D01* -X109300000Y-98650000D02* -X103850000Y-98650000D01* -X108900000Y-96200000D02* -X110250000Y-97550000D01* +X103850000Y-98650000D02* +X103100000Y-97900000D01* +X113450000Y-97550000D02* +X113850000Y-97950000D01* +X103150000Y-96600000D02* +X103550000Y-96200000D01* +X113850000Y-97950000D02* +X114300000Y-97950000D01* +X102350000Y-96200000D02* +X102750000Y-96600000D01* +X108725000Y-96200000D02* +X110075000Y-97550000D01* +X103550000Y-96200000D02* +X108725000Y-96200000D01* +X110075000Y-97550000D02* +X113450000Y-97550000D01* X114800000Y-97450000D02* X122600000Y-97450000D01* X100750000Y-96200000D02* X102350000Y-96200000D01* +X102750000Y-96600000D02* +X103150000Y-96600000D01* X114300000Y-97950000D02* X114800000Y-97450000D01* X100550000Y-96400000D02* X100750000Y-96200000D01* -X110250000Y-97550000D02* -X113450000Y-97550000D01* -X103550000Y-96200000D02* -X108900000Y-96200000D01* -X102350000Y-96200000D02* -X102750000Y-96600000D01* -X113850000Y-97950000D02* -X114300000Y-97950000D01* -X102750000Y-96600000D02* -X103150000Y-96600000D01* -X103150000Y-96600000D02* -X103550000Y-96200000D01* -X113450000Y-97550000D02* -X113850000Y-97950000D01* -X110400000Y-97250000D02* -X113850000Y-97250000D01* X113850000Y-97250000D02* X114050000Y-97450000D01* +X108850000Y-95900000D02* +X110200000Y-97250000D01* +X103150000Y-95900000D02* +X108850000Y-95900000D01* X102950000Y-96100000D02* X103150000Y-95900000D01* -X109050000Y-95900000D02* -X110400000Y-97250000D01* -X103150000Y-95900000D02* -X109050000Y-95900000D01* -X114300000Y-96950000D02* -X110550000Y-96950000D01* -X123250000Y-96650000D02* -X115400000Y-96650000D01* -X114500000Y-97150000D02* -X114300000Y-96950000D01* -X114900000Y-97150000D02* -X114500000Y-97150000D01* -X110550000Y-96950000D02* -X109200000Y-95600000D01* -X102750000Y-95600000D02* -X102450000Y-95900000D01* -X115400000Y-96650000D02* -X114900000Y-97150000D01* -X102450000Y-95900000D02* -X100350000Y-95900000D01* -X100350000Y-95900000D02* -X99650000Y-96600000D01* +X110200000Y-97250000D02* +X113850000Y-97250000D01* X99650000Y-96600000D02* X99650000Y-96900000D01* -X109200000Y-95600000D02* +X114900000Y-97150000D02* +X114500000Y-97150000D01* +X102750000Y-95600000D02* +X102450000Y-95900000D01* +X114300000Y-96950000D02* +X110350000Y-96950000D01* +X109000000Y-95600000D02* X102750000Y-95600000D01* -X114700000Y-96650000D02* -X111650000Y-96650000D01* -X111650000Y-96650000D02* -X109700000Y-94700000D01* -X109700000Y-94700000D02* +X115400000Y-96650000D02* +X114900000Y-97150000D01* +X123250000Y-96650000D02* +X115400000Y-96650000D01* +X100350000Y-95900000D02* +X99650000Y-96600000D01* +X110350000Y-96950000D02* +X109000000Y-95600000D01* +X114500000Y-97150000D02* +X114300000Y-96950000D01* +X102450000Y-95900000D02* +X100350000Y-95900000D01* +X109500000Y-94700000D02* X99400000Y-94700000D01* +X114700000Y-96650000D02* +X111450000Y-96650000D01* +X111450000Y-96650000D02* +X109500000Y-94700000D01* X99400000Y-94700000D02* X99200000Y-94900000D01* X97350000Y-94050000D02* X96700000Y-94700000D01* +X109750000Y-94050000D02* +X97350000Y-94050000D01* +X111550000Y-95850000D02* +X109750000Y-94050000D01* X96700000Y-94700000D02* X96700000Y-95650000D01* -X111750000Y-95850000D02* -X109950000Y-94050000D01* X114050000Y-95850000D02* -X111750000Y-95850000D01* -X109950000Y-94050000D02* -X97350000Y-94050000D01* -X96050000Y-94900000D02* -X97200000Y-93750000D01* -X110100000Y-93750000D02* -X111700000Y-95350000D01* -X111700000Y-95350000D02* -X114300000Y-95350000D01* -X114500000Y-95550000D02* -X114900000Y-95550000D01* -X97200000Y-93750000D02* -X110100000Y-93750000D01* -X114900000Y-95550000D02* -X115400000Y-95050000D01* +X111550000Y-95850000D01* X114300000Y-95350000D02* X114500000Y-95550000D01* +X109900000Y-93750000D02* +X111500000Y-95350000D01* +X96050000Y-94900000D02* +X97200000Y-93750000D01* +X114500000Y-95550000D02* +X114900000Y-95550000D01* X115400000Y-95050000D02* X123250000Y-95050000D01* +X114900000Y-95550000D02* +X115400000Y-95050000D01* +X111500000Y-95350000D02* +X114300000Y-95350000D01* +X97200000Y-93750000D02* +X109900000Y-93750000D01* X114800000Y-94250000D02* X114300000Y-94750000D01* -X96950000Y-93150000D02* -X96400000Y-92600000D01* +X114300000Y-94750000D02* +X111800000Y-94750000D01* +X110200000Y-93150000D02* +X96950000Y-93150000D01* +X111800000Y-94750000D02* +X110200000Y-93150000D01* X122600000Y-94250000D02* X114800000Y-94250000D01* -X114300000Y-94750000D02* -X111950000Y-94750000D01* -X110350000Y-93150000D02* -X96950000Y-93150000D01* -X111950000Y-94750000D02* -X110350000Y-93150000D01* -X99800000Y-92850000D02* -X110500000Y-92850000D01* +X96950000Y-93150000D02* +X96400000Y-92600000D01* +X110350000Y-92850000D02* +X111750000Y-94250000D01* X99550000Y-92600000D02* X99800000Y-92850000D01* -X111900000Y-94250000D02* +X99800000Y-92850000D02* +X110350000Y-92850000D01* +X111750000Y-94250000D02* X114050000Y-94250000D01* -X110500000Y-92850000D02* -X111900000Y-94250000D01* X70040500Y-105664000D02* X71945500Y-105664000D01* X80010000Y-97599500D02* @@ -1864,6 +1940,12 @@ X80050000Y-108700000D02* X80050000Y-107650000D01* X79720500Y-109029500D02* X80050000Y-108700000D01* +X69942500Y-118081000D02* +X69942500Y-115342500D01* +X69942500Y-115342500D02* +X68350000Y-113750000D01* +X69659500Y-118364000D02* +X69942500Y-118081000D01* X114750000Y-105350000D02* X122550000Y-105350000D01* X100750000Y-105200000D02* @@ -1878,34 +1960,24 @@ X110500000Y-104950000D02* X111250000Y-104950000D01* X100550000Y-105400000D02* X100750000Y-105200000D01* -X135128000Y-90932000D02* -X135128000Y-90678000D01* X135128000Y-90678000D02* X132200000Y-87750000D01* -X93900000Y-88800000D02* +X97650000Y-87750000D02* +X93900000Y-91500000D01* +X93900000Y-91500000D02* X93900000Y-92450000D01* X132200000Y-87750000D02* -X94950000Y-87750000D01* -X94950000Y-87750000D02* -X93900000Y-88800000D01* -X94800000Y-87450000D02* -X132350000Y-87450000D01* -X93600000Y-88650000D02* -X94800000Y-87450000D01* +X97650000Y-87750000D01* +X135128000Y-90932000D02* +X135128000Y-90678000D01* +X92950000Y-92000000D02* +X97500000Y-87450000D01* X135832000Y-90932000D02* X136398000Y-90932000D01* +X97500000Y-87450000D02* +X132350000Y-87450000D01* X132350000Y-87450000D02* X135832000Y-90932000D01* -X93600000Y-91350000D02* -X93600000Y-88650000D01* -X92950000Y-92000000D02* -X93600000Y-91350000D01* -X69942500Y-118081000D02* -X69942500Y-115342500D01* -X69942500Y-115342500D02* -X68350000Y-113750000D01* -X69659500Y-118364000D02* -X69942500Y-118081000D01* X93950000Y-113650000D02* X96550000Y-116250000D01* X93950000Y-108300000D02* @@ -1970,7 +2042,7 @@ X77900000Y-92550000D02* X82650000Y-92550000D01* X84850000Y-98400000D02* X87300000Y-98400000D01* -D17* +D18* X135200000Y-121150000D02* X134990500Y-120940500D01* X122800000Y-117500000D02* @@ -2095,7 +2167,7 @@ X65046550Y-122928155D01* X65085772Y-123163198D01* X65085773Y-123163202D01* X65085774Y-123163206D01* -X65124515Y-123276055D01* +X65117171Y-123254661D01* X65163152Y-123388600D01* X65163153Y-123388602D01* X65276569Y-123598177D01* @@ -2179,7 +2251,7 @@ X68255543Y-125857943D01* X68235578Y-125983999D01* X68235578Y-125984000D01* X68255543Y-126110056D01* -X68307561Y-126212146D01* +X68298486Y-126194336D01* X68313484Y-126223771D01* X68403729Y-126314016D01* X68517443Y-126371956D01* @@ -2265,8 +2337,6 @@ X63676271Y-123139016D01* X63766516Y-123048771D01* X63824457Y-122935055D01* X63844422Y-122809000D01* -X63843710Y-122804507D01* -X63840664Y-122785276D01* X63824457Y-122682945D01* X63766516Y-122569229D01* X63676271Y-122478984D01* @@ -2338,7 +2408,7 @@ X73002450Y-122928152D01* X73002450Y-122689848D01* X72963226Y-122454794D01* X72885849Y-122229403D01* -X72869937Y-122200000D01* +X72855867Y-122174001D01* X72772430Y-122019822D01* X72626061Y-121831766D01* X72626057Y-121831762D01* @@ -2738,7 +2808,280 @@ X127303100Y-131459100D01* X127254762Y-131441507D01* X127229042Y-131396958D01* X127227900Y-131383900D01* -X127227900Y-129794001D01* +X127227900Y-129607928D01* +X127228003Y-129603991D01* +X127228970Y-129585547D01* +X127230123Y-129563552D01* +X127221309Y-129540594D01* +X127217960Y-129529288D01* +X127212848Y-129505234D01* +X127207830Y-129498327D01* +X127198463Y-129481075D01* +X127195403Y-129473104D01* +X127195402Y-129473102D01* +X127178018Y-129455718D01* +X127170355Y-129446747D01* +X127155901Y-129426854D01* +X127150180Y-129423551D01* +X127148503Y-129422582D01* +X127132933Y-129410634D01* +X124600899Y-126878600D01* +X122066758Y-124344458D01* +X122064047Y-124341602D01* +X122036949Y-124311506D01* +X122036945Y-124311503D01* +X122014486Y-124301504D01* +X122004116Y-124295874D01* +X121983496Y-124282483D01* +X121975064Y-124281148D01* +X121956243Y-124275573D01* +X121948442Y-124272100D01* +X121923848Y-124272100D01* +X121912084Y-124271174D01* +X121887808Y-124267329D01* +X121887804Y-124267329D01* +X121879560Y-124269538D01* +X121860099Y-124272100D01* +X119475548Y-124272100D01* +X119427210Y-124254507D01* +X119422374Y-124250074D01* +X118773308Y-123601008D01* +X118751568Y-123554388D01* +X118752207Y-123536079D01* +X118757922Y-123500000D01* +X118737957Y-123373945D01* +X118680016Y-123260229D01* +X118589771Y-123169984D01* +X118476055Y-123112043D01* +X118476057Y-123112043D01* +X118350000Y-123092078D01* +X118223943Y-123112043D01* +X118110228Y-123169984D01* +X118019984Y-123260228D01* +X117962043Y-123373943D01* +X117942078Y-123499999D01* +X117942078Y-123500000D01* +X117962043Y-123626056D01* +X118006564Y-123713433D01* +X118019984Y-123739771D01* +X118110229Y-123830016D01* +X118223943Y-123887956D01* +X118223945Y-123887957D01* +X118350000Y-123907922D01* +X118386071Y-123902208D01* +X118436564Y-123912022D01* +X118451009Y-123923308D01* +X119183231Y-124655530D01* +X119185942Y-124658386D01* +X119213051Y-124688494D01* +X119218671Y-124690996D01* +X119235516Y-124698496D01* +X119245884Y-124704125D01* +X119266504Y-124717516D01* +X119274936Y-124718851D01* +X119293755Y-124724426D01* +X119301558Y-124727900D01* +X119326147Y-124727900D01* +X119337910Y-124728825D01* +X119362194Y-124732672D01* +X119368459Y-124730993D01* +X119370443Y-124730462D01* +X119389904Y-124727900D01* +X121774453Y-124727900D01* +X121822791Y-124745493D01* +X121827627Y-124749926D01* +X126750074Y-129672373D01* +X126771814Y-129718993D01* +X126772100Y-129725547D01* +X126772100Y-131383900D01* +X126754507Y-131432238D01* +X126709958Y-131457958D01* +X126696900Y-131459100D01* +X126577197Y-131459100D01* +X126491402Y-131469403D01* +X126354871Y-131523244D01* +X126354870Y-131523245D01* +X126310725Y-131556720D01* +X126265288Y-131572000D01* +X125194712Y-131572000D01* +X125149274Y-131556720D01* +X125105130Y-131523245D01* +X125105128Y-131523244D01* +X124968597Y-131469403D01* +X124882803Y-131459100D01* +X124882802Y-131459100D01* +X124763100Y-131459100D01* +X124714762Y-131441507D01* +X124689042Y-131396958D01* +X124687900Y-131383900D01* +X124687900Y-129467936D01* +X124688003Y-129463999D01* +X124688437Y-129455718D01* +X124690123Y-129423552D01* +X124689751Y-129422584D01* +X124681311Y-129400595D01* +X124677959Y-129389280D01* +X124672848Y-129365235D01* +X124672848Y-129365234D01* +X124668324Y-129359008D01* +X124667831Y-129358330D01* +X124658463Y-129341074D01* +X124658025Y-129339934D01* +X124655404Y-129333104D01* +X124638007Y-129315707D01* +X124630355Y-129306749D01* +X124615901Y-129286854D01* +X124615900Y-129286853D01* +X124615899Y-129286852D01* +X124608506Y-129282584D01* +X124592934Y-129270634D01* +X120966758Y-125644458D01* +X120964047Y-125641602D01* +X120936949Y-125611506D01* +X120936945Y-125611503D01* +X120914486Y-125601504D01* +X120904116Y-125595874D01* +X120883496Y-125582483D01* +X120875064Y-125581148D01* +X120856243Y-125575573D01* +X120848442Y-125572100D01* +X120823848Y-125572100D01* +X120812084Y-125571174D01* +X120787808Y-125567329D01* +X120787804Y-125567329D01* +X120779560Y-125569538D01* +X120760099Y-125572100D01* +X116525547Y-125572100D01* +X116477209Y-125554507D01* +X116472373Y-125550074D01* +X114123308Y-123201008D01* +X114101568Y-123154388D01* +X114102207Y-123136079D01* +X114107922Y-123100000D01* +X114592078Y-123100000D01* +X114593985Y-123112043D01* +X114596174Y-123125859D01* +X114597100Y-123137624D01* +X114597100Y-123163813D01* +X114605192Y-123188721D01* +X114607945Y-123200187D01* +X114612043Y-123226057D01* +X114623931Y-123249388D01* +X114628444Y-123260283D01* +X114636539Y-123285193D01* +X114636540Y-123285194D01* +X114636541Y-123285196D01* +X114651928Y-123306375D01* +X114658091Y-123316432D01* +X114669984Y-123339771D01* +X114719984Y-123389771D01* +X114767225Y-123437012D01* +X114810229Y-123480016D01* +X114833557Y-123491902D01* +X114843620Y-123498068D01* +X114864806Y-123513461D01* +X114864807Y-123513461D01* +X114864808Y-123513462D01* +X114889711Y-123521552D01* +X114900607Y-123526065D01* +X114923945Y-123537957D01* +X114949808Y-123542053D01* +X114961273Y-123544805D01* +X114986187Y-123552900D01* +X115018292Y-123552900D01* +X116436187Y-123552900D01* +X116462375Y-123552900D01* +X116474138Y-123553825D01* +X116500000Y-123557922D01* +X116525861Y-123553825D01* +X116537625Y-123552900D01* +X116563812Y-123552900D01* +X116563813Y-123552900D01* +X116588722Y-123544805D01* +X116600173Y-123542055D01* +X116626055Y-123537957D01* +X116649397Y-123526063D01* +X116660284Y-123521554D01* +X116685193Y-123513461D01* +X116706378Y-123498068D01* +X116716430Y-123491907D01* +X116739771Y-123480016D01* +X116830016Y-123389771D01* +X116830016Y-123389769D01* +X117282314Y-122937473D01* +X117282315Y-122937472D01* +X117305016Y-122914771D01* +X117305016Y-122914769D01* +X117311203Y-122908583D01* +X117311211Y-122908574D01* +X117344861Y-122874925D01* +X117391482Y-122853186D01* +X117398035Y-122852900D01* +X118801966Y-122852900D01* +X118850304Y-122870493D01* +X118855140Y-122874926D01* +X119017347Y-123037133D01* +X119017368Y-123037156D01* +X119114508Y-123134296D01* +X119114522Y-123134308D01* +X119317347Y-123337133D01* +X119317356Y-123337143D01* +X119319983Y-123339770D01* +X119319984Y-123339771D01* +X119338504Y-123358291D01* +X119346163Y-123367257D01* +X119361556Y-123388444D01* +X119382670Y-123403784D01* +X119382740Y-123403835D01* +X119391706Y-123411493D01* +X119441806Y-123461593D01* +X119460229Y-123480016D01* +X119483557Y-123491902D01* +X119493620Y-123498068D01* +X119514806Y-123513461D01* +X119514807Y-123513461D01* +X119514808Y-123513462D01* +X119539711Y-123521552D01* +X119550607Y-123526065D01* +X119573945Y-123537957D01* +X119599808Y-123542053D01* +X119611273Y-123544805D01* +X119636187Y-123552900D01* +X119668292Y-123552900D01* +X121136187Y-123552900D01* +X121162375Y-123552900D01* +X121174138Y-123553825D01* +X121200000Y-123557922D01* +X121225861Y-123553825D01* +X121237625Y-123552900D01* +X122801966Y-123552900D01* +X122850304Y-123570493D01* +X122855139Y-123574925D01* +X127789983Y-128509770D01* +X127789984Y-128509771D01* +X127880229Y-128600016D01* +X127903564Y-128611905D01* +X127913622Y-128618069D01* +X127934806Y-128633460D01* +X127934806Y-128633461D01* +X127959709Y-128641552D01* +X127970613Y-128646069D01* +X127993942Y-128657956D01* +X127993943Y-128657956D01* +X127993945Y-128657957D01* +X128019812Y-128662053D01* +X128031271Y-128664804D01* +X128056187Y-128672900D01* +X128088292Y-128672900D01* +X135691966Y-128672900D01* +X135740304Y-128690493D01* +X135745140Y-128694926D01* +X136223074Y-129172860D01* +X136244814Y-129219480D01* +X136245100Y-129226034D01* +X136245100Y-129742106D01* +X136244688Y-129749966D01* +X136240060Y-129793999D01* X136240060Y-129794001D01* X136241966Y-129812138D01* X136242275Y-129823931D01* @@ -2856,7 +3199,7 @@ X139215594Y-128720884D01* X139217900Y-128698952D01* X139217900Y-128676933D01* X139218003Y-128672998D01* -X139219134Y-128651407D01* +X139218566Y-128662247D01* X139221679Y-128602854D01* X139218825Y-128584840D01* X139217900Y-128573076D01* @@ -3048,29 +3391,16 @@ X136241321Y-126951854D01* X136242433Y-126973078D01* X136244997Y-127021998D01* X136245100Y-127025933D01* -X136245100Y-129742106D01* -X136244688Y-129749966D01* -X136240060Y-129793999D01* -X136240060Y-129794001D01* -X127227900Y-129794001D01* -X127227900Y-129607928D01* -X127228003Y-129603991D01* -X127228970Y-129585547D01* -X127230123Y-129563552D01* -X127221309Y-129540594D01* -X127217960Y-129529288D01* -X127212848Y-129505234D01* -X127207830Y-129498327D01* -X127198463Y-129481075D01* -X127195403Y-129473104D01* -X127195402Y-129473102D01* -X127178018Y-129455718D01* -X127170355Y-129446747D01* -X127155901Y-129426854D01* -X127150180Y-129423551D01* -X127148503Y-129422582D01* -X127132933Y-129410634D01* -X123822300Y-126100000D01* +X136245100Y-127313965D01* +X136227507Y-127362303D01* +X136223074Y-127367139D01* +X135745140Y-127845074D01* +X135698520Y-127866814D01* +X135691966Y-127867100D01* +X128318035Y-127867100D01* +X128269697Y-127849507D01* +X128264861Y-127845074D01* +X126519786Y-126100000D01* X129792329Y-126100000D01* X129811331Y-126244337D01* X129811916Y-126245749D01* @@ -3152,7 +3482,7 @@ X133144333Y-125705666D01* X133028839Y-125617045D01* X133028838Y-125617044D01* X133028836Y-125617043D01* -X132920335Y-125572100D01* +X132918099Y-125571174D01* X132894337Y-125561331D01* X132750000Y-125542329D01* X132605662Y-125561331D01* @@ -3176,7 +3506,7 @@ X130744333Y-125705666D01* X130628839Y-125617045D01* X130628838Y-125617044D01* X130628836Y-125617043D01* -X130520335Y-125572100D01* +X130518099Y-125571174D01* X130494337Y-125561331D01* X130350000Y-125542329D01* X130205662Y-125561331D01* @@ -3192,8 +3522,8 @@ X129867043Y-125821165D01* X129863300Y-125830201D01* X129811331Y-125955662D01* X129792329Y-126100000D01* -X123822300Y-126100000D01* -X122572300Y-124850000D01* +X126519786Y-126100000D01* +X125269786Y-124850000D01* X128592329Y-124850000D01* X128611331Y-124994336D01* X128667043Y-125128836D01* @@ -3245,7 +3575,7 @@ X134538669Y-125044336D01* X134557671Y-124900000D01* X134538669Y-124755664D01* X134482957Y-124621165D01* -X134466659Y-124599925D01* +X134444591Y-124571165D01* X134394333Y-124505666D01* X134278839Y-124417045D01* X134278838Y-124417044D01* @@ -3310,313 +3640,161 @@ X128661888Y-124583611D01* X128611331Y-124705662D01* X128601908Y-124777236D01* X128592329Y-124850000D01* -X122572300Y-124850000D01* -X121916758Y-124194458D01* -X121914047Y-124191602D01* -X121897926Y-124173698D01* -X121886949Y-124161506D01* -X121886945Y-124161503D01* -X121864486Y-124151504D01* -X121854116Y-124145874D01* -X121833496Y-124132483D01* -X121825064Y-124131148D01* -X121806243Y-124125573D01* -X121798442Y-124122100D01* -X121773848Y-124122100D01* -X121762084Y-124121174D01* -X121737808Y-124117329D01* -X121737804Y-124117329D01* -X121729560Y-124119538D01* -X121710099Y-124122100D01* -X121373036Y-124122100D01* -X121324698Y-124104507D01* -X121319862Y-124100075D01* -X121297760Y-124077973D01* -X121239771Y-124019984D01* -X121126055Y-123962043D01* -X121126057Y-123962043D01* -X121000000Y-123942078D01* -X120873943Y-123962043D01* -X120760228Y-124019984D01* -X120669984Y-124110228D01* -X120612043Y-124223943D01* -X120592078Y-124349999D01* -X120592078Y-124350000D01* -X120612043Y-124476056D01* -X120627131Y-124505667D01* -X120669984Y-124589771D01* -X120760229Y-124680016D01* -X120873943Y-124737956D01* -X120873945Y-124737957D01* -X121000000Y-124757922D01* -X121126055Y-124737957D01* -X121239771Y-124680016D01* -X121319862Y-124599925D01* -X121366482Y-124578186D01* -X121373036Y-124577900D01* -X121624453Y-124577900D01* -X121672791Y-124595493D01* -X121677627Y-124599926D01* -X126750074Y-129672373D01* -X126771814Y-129718993D01* -X126772100Y-129725547D01* -X126772100Y-131383900D01* -X126754507Y-131432238D01* -X126709958Y-131457958D01* -X126696900Y-131459100D01* -X126577197Y-131459100D01* -X126491402Y-131469403D01* -X126354871Y-131523244D01* -X126354870Y-131523245D01* -X126310725Y-131556720D01* -X126265288Y-131572000D01* -X125194712Y-131572000D01* -X125149274Y-131556720D01* -X125105130Y-131523245D01* -X125105128Y-131523244D01* -X124968597Y-131469403D01* -X124882803Y-131459100D01* -X124882802Y-131459100D01* -X124763100Y-131459100D01* -X124714762Y-131441507D01* -X124689042Y-131396958D01* -X124687900Y-131383900D01* -X124687900Y-129467936D01* -X124688003Y-129463999D01* -X124688437Y-129455718D01* -X124690123Y-129423552D01* -X124689751Y-129422584D01* -X124681311Y-129400595D01* -X124677959Y-129389280D01* -X124672848Y-129365235D01* -X124672848Y-129365234D01* -X124668324Y-129359008D01* -X124667831Y-129358330D01* -X124658463Y-129341074D01* -X124658025Y-129339934D01* -X124655404Y-129333104D01* -X124638007Y-129315707D01* -X124630355Y-129306749D01* -X124615901Y-129286854D01* -X124615900Y-129286853D01* -X124615899Y-129286852D01* -X124608506Y-129282584D01* -X124592934Y-129270634D01* -X120966758Y-125644458D01* -X120964047Y-125641602D01* -X120936949Y-125611506D01* -X120936945Y-125611503D01* -X120914486Y-125601504D01* -X120904116Y-125595874D01* -X120883496Y-125582483D01* -X120875064Y-125581148D01* -X120856243Y-125575573D01* -X120848442Y-125572100D01* -X120823848Y-125572100D01* -X120812084Y-125571174D01* -X120787808Y-125567329D01* -X120787804Y-125567329D01* -X120779560Y-125569538D01* -X120760099Y-125572100D01* -X116723036Y-125572100D01* -X116674698Y-125554507D01* -X116669862Y-125550075D01* -X116631118Y-125511331D01* -X116589771Y-125469984D01* -X116476055Y-125412043D01* -X116476057Y-125412043D01* -X116350000Y-125392078D01* -X116223943Y-125412043D01* -X116110230Y-125469983D01* -X116030138Y-125550075D01* -X115983518Y-125571814D01* -X115976964Y-125572100D01* -X112463547Y-125572100D01* -X112415209Y-125554507D01* -X112410373Y-125550074D01* -X111092498Y-124232199D01* -X109960300Y-123100000D01* -X114392078Y-123100000D01* -X114412043Y-123226056D01* -X114449682Y-123299926D01* -X114469984Y-123339771D01* -X114560229Y-123430016D01* -X114673943Y-123487956D01* -X114673945Y-123487957D01* -X114800000Y-123507922D01* -X114926055Y-123487957D01* -X115039771Y-123430016D01* -X115130016Y-123339771D01* -X115187957Y-123226055D01* -X115200003Y-123150000D01* -X116092078Y-123150000D01* -X116112043Y-123276056D01* -X116163319Y-123376690D01* -X116169984Y-123389771D01* -X116260229Y-123480016D01* -X116373943Y-123537956D01* -X116373945Y-123537957D01* -X116500000Y-123557922D01* -X116626055Y-123537957D01* -X116739771Y-123480016D01* -X116830016Y-123389771D01* -X116887957Y-123276055D01* -X116907922Y-123150000D01* -X116900003Y-123100000D01* -X119242078Y-123100000D01* -X119262043Y-123226056D01* -X119299682Y-123299926D01* -X119319984Y-123339771D01* -X119410229Y-123430016D01* -X119523943Y-123487956D01* -X119523945Y-123487957D01* -X119650000Y-123507922D01* -X119776055Y-123487957D01* -X119889771Y-123430016D01* -X119980016Y-123339771D01* -X120037957Y-123226055D01* -X120050003Y-123150000D01* -X120792078Y-123150000D01* -X120812043Y-123276056D01* -X120863319Y-123376690D01* -X120869984Y-123389771D01* -X120960229Y-123480016D01* -X121073943Y-123537956D01* -X121073945Y-123537957D01* -X121200000Y-123557922D01* -X121326055Y-123537957D01* -X121439771Y-123480016D01* -X121530016Y-123389771D01* -X121587957Y-123276055D01* -X121607922Y-123150000D01* -X121587957Y-123023945D01* -X121530016Y-122910229D01* -X121439771Y-122819984D01* -X121326055Y-122762043D01* -X121326057Y-122762043D01* -X121200000Y-122742078D01* -X121073943Y-122762043D01* -X120960228Y-122819984D01* -X120869984Y-122910228D01* -X120812043Y-123023943D01* -X120792078Y-123149999D01* -X120792078Y-123150000D01* -X120050003Y-123150000D01* -X120057922Y-123100000D01* -X120037957Y-122973945D01* -X119980016Y-122860229D01* -X119889771Y-122769984D01* -X119776055Y-122712043D01* -X119776057Y-122712043D01* -X119650000Y-122692078D01* -X119523943Y-122712043D01* -X119410228Y-122769984D01* -X119319984Y-122860228D01* -X119262043Y-122973943D01* -X119242078Y-123099999D01* -X119242078Y-123100000D01* -X116900003Y-123100000D01* -X116887957Y-123023945D01* -X116830016Y-122910229D01* -X116739771Y-122819984D01* -X116626055Y-122762043D01* -X116626057Y-122762043D01* -X116500000Y-122742078D01* -X116373943Y-122762043D01* -X116260228Y-122819984D01* -X116169984Y-122910228D01* -X116112043Y-123023943D01* -X116092078Y-123149999D01* -X116092078Y-123150000D01* -X115200003Y-123150000D01* -X115207922Y-123100000D01* -X115187957Y-122973945D01* -X115130016Y-122860229D01* -X115039771Y-122769984D01* -X114926055Y-122712043D01* -X114926057Y-122712043D01* -X114800000Y-122692078D01* -X114673943Y-122712043D01* -X114560228Y-122769984D01* -X114469984Y-122860228D01* -X114412043Y-122973943D01* -X114392078Y-123099999D01* -X114392078Y-123100000D01* -X109960300Y-123100000D01* -X109754758Y-122894458D01* -X109752047Y-122891602D01* -X109724949Y-122861506D01* -X109724945Y-122861503D01* -X109702486Y-122851504D01* -X109692116Y-122845874D01* -X109671496Y-122832483D01* -X109663064Y-122831148D01* -X109644243Y-122825573D01* -X109636442Y-122822100D01* -X109611848Y-122822100D01* -X109600084Y-122821174D01* -X109575808Y-122817329D01* -X109575804Y-122817329D01* -X109567560Y-122819538D01* -X109548099Y-122822100D01* -X109323036Y-122822100D01* -X109274698Y-122804507D01* -X109269862Y-122800075D01* -X109231830Y-122762043D01* -X109189771Y-122719984D01* -X109076055Y-122662043D01* -X109076057Y-122662043D01* -X108950000Y-122642078D01* -X108823943Y-122662043D01* -X108710228Y-122719984D01* -X108619984Y-122810228D01* -X108562043Y-122923943D01* -X108542078Y-123049999D01* -X108542078Y-123050000D01* -X108562043Y-123176056D01* -X108612995Y-123276055D01* -X108619984Y-123289771D01* -X108710229Y-123380016D01* -X108823943Y-123437956D01* -X108823945Y-123437957D01* -X108950000Y-123457922D01* -X109076055Y-123437957D01* -X109189771Y-123380016D01* -X109269862Y-123299925D01* -X109316482Y-123278186D01* -X109323036Y-123277900D01* -X109462453Y-123277900D01* -X109510791Y-123295493D01* -X109515627Y-123299926D01* -X112171231Y-125955530D01* -X112173942Y-125958386D01* -X112201051Y-125988494D01* -X112223516Y-125998495D01* -X112233887Y-126004127D01* -X112254502Y-126017516D01* -X112262928Y-126018850D01* -X112281759Y-126024428D01* -X112289553Y-126027898D01* -X112289555Y-126027898D01* -X112289558Y-126027900D01* -X112314152Y-126027900D01* -X112325915Y-126028825D01* -X112350194Y-126032671D01* -X112355253Y-126031315D01* -X112358440Y-126030462D01* -X112377901Y-126027900D01* -X115976964Y-126027900D01* -X116025302Y-126045493D01* -X116030138Y-126049925D01* -X116110229Y-126130016D01* -X116223943Y-126187956D01* -X116223945Y-126187957D01* -X116350000Y-126207922D01* -X116476055Y-126187957D01* -X116589771Y-126130016D01* -X116669862Y-126049925D01* -X116716482Y-126028186D01* -X116723036Y-126027900D01* +X125269786Y-124850000D01* +X123334308Y-122914522D01* +X123334303Y-122914516D01* +X123290280Y-122870493D01* +X123239771Y-122819984D01* +X123239770Y-122819983D01* +X122865591Y-122445805D01* +X122865591Y-122445804D01* +X122764770Y-122344983D01* +X122380016Y-121960230D01* +X122380016Y-121960229D01* +X122289771Y-121869984D01* +X122266432Y-121858091D01* +X122256375Y-121851928D01* +X122235196Y-121836541D01* +X122235194Y-121836540D01* +X122235193Y-121836539D01* +X122210283Y-121828444D01* +X122199388Y-121823931D01* +X122176056Y-121812043D01* +X122176058Y-121812043D01* +X122150187Y-121807945D01* +X122138721Y-121805192D01* +X122113813Y-121797100D01* +X122087625Y-121797100D01* +X122075861Y-121796174D01* +X122050000Y-121792078D01* +X122024139Y-121796174D01* +X122012375Y-121797100D01* +X120287625Y-121797100D01* +X120275861Y-121796174D01* +X120250000Y-121792078D01* +X120224139Y-121796174D01* +X120212375Y-121797100D01* +X117387625Y-121797100D01* +X117375861Y-121796174D01* +X117350000Y-121792078D01* +X117324139Y-121796174D01* +X117312375Y-121797100D01* +X115587625Y-121797100D01* +X115575861Y-121796174D01* +X115550000Y-121792078D01* +X115524139Y-121796174D01* +X115512375Y-121797100D01* +X115486182Y-121797100D01* +X115461281Y-121805191D01* +X115449813Y-121807945D01* +X115423943Y-121812043D01* +X115400606Y-121823933D01* +X115389712Y-121828445D01* +X115364810Y-121836537D01* +X115364805Y-121836539D01* +X115343620Y-121851931D01* +X115333564Y-121858093D01* +X115310229Y-121869983D01* +X115310225Y-121869986D01* +X115292812Y-121887401D01* +X115287527Y-121892686D01* +X114835230Y-122344983D01* +X114835229Y-122344984D01* +X114760229Y-122419984D01* +X114734409Y-122445804D01* +X114669983Y-122510229D01* +X114669982Y-122510230D01* +X114658091Y-122533567D01* +X114651929Y-122543623D01* +X114636539Y-122564805D01* +X114636537Y-122564810D01* +X114628445Y-122589712D01* +X114623933Y-122600606D01* +X114612043Y-122623943D01* +X114607945Y-122649813D01* +X114605191Y-122661281D01* +X114597100Y-122686182D01* +X114597100Y-123062374D01* +X114596174Y-123074138D01* +X114592078Y-123100000D01* +X114107922Y-123100000D01* +X114087957Y-122973945D01* +X114030016Y-122860229D01* +X113939771Y-122769984D01* +X113826055Y-122712043D01* +X113826057Y-122712043D01* +X113700000Y-122692078D01* +X113573943Y-122712043D01* +X113460230Y-122769983D01* +X113380138Y-122850075D01* +X113333518Y-122871814D01* +X113326964Y-122872100D01* +X109423036Y-122872100D01* +X109374698Y-122854507D01* +X109369862Y-122850075D01* +X109330015Y-122810228D01* +X109289771Y-122769984D01* +X109176055Y-122712043D01* +X109176057Y-122712043D01* +X109050000Y-122692078D01* +X108923943Y-122712043D01* +X108810228Y-122769984D01* +X108719984Y-122860228D01* +X108662043Y-122973943D01* +X108642078Y-123099999D01* +X108642078Y-123100000D01* +X108662043Y-123226056D01* +X108708093Y-123316434D01* +X108719984Y-123339771D01* +X108810229Y-123430016D01* +X108923943Y-123487956D01* +X108923945Y-123487957D01* +X109050000Y-123507922D01* +X109176055Y-123487957D01* +X109289771Y-123430016D01* +X109369862Y-123349925D01* +X109416482Y-123328186D01* +X109423036Y-123327900D01* +X113326964Y-123327900D01* +X113375302Y-123345493D01* +X113380138Y-123349925D01* +X113460229Y-123430016D01* +X113573943Y-123487956D01* +X113573945Y-123487957D01* +X113700000Y-123507922D01* +X113736071Y-123502208D01* +X113786564Y-123512022D01* +X113801009Y-123523308D01* +X116111867Y-125834167D01* +X116132249Y-125871704D01* +X116137151Y-125894763D01* +X116137152Y-125894767D01* +X116137409Y-125895120D01* +X116148088Y-125916079D01* +X116148222Y-125916491D01* +X116148224Y-125916495D01* +X116170007Y-125940688D01* +X116174959Y-125946803D01* +X116194099Y-125973146D01* +X116194468Y-125973359D01* +X116212758Y-125988169D01* +X116213051Y-125988494D01* +X116242799Y-126001738D01* +X116249808Y-126005309D01* +X116262530Y-126012655D01* +X116278001Y-126021588D01* +X116278430Y-126021633D01* +X116301157Y-126027722D01* +X116301557Y-126027900D01* +X116301558Y-126027900D01* +X116334116Y-126027900D01* +X116341976Y-126028312D01* +X116357184Y-126029910D01* +X116374354Y-126031715D01* +X116374354Y-126031714D01* +X116374355Y-126031715D01* +X116374765Y-126031582D01* +X116398006Y-126027900D01* X120674453Y-126027900D01* X120722791Y-126045493D01* X120727627Y-126049926D01* @@ -4001,6 +4179,8 @@ X108027900Y-123213846D01* X108036098Y-123179703D01* X108037957Y-123176055D01* X108057922Y-123050000D01* +X108056301Y-123039768D01* +X108045876Y-122973945D01* X108037957Y-122923945D01* X107980016Y-122810229D01* X107889771Y-122719984D01* @@ -4014,7 +4194,7 @@ X107262043Y-122923943D01* X107242078Y-123049999D01* X107242078Y-123050000D01* X107262043Y-123176056D01* -X107312995Y-123276055D01* +X107304931Y-123260228D01* X107319984Y-123289771D01* X107410229Y-123380016D01* X107523945Y-123437957D01* @@ -4118,6 +4298,7 @@ X107024424Y-124777248D01* X107027900Y-124769442D01* X107027900Y-124744852D01* X107028826Y-124733086D01* +X107029501Y-124728826D01* X107032672Y-124708806D01* X107030460Y-124700553D01* X107027900Y-124681095D01* @@ -4146,6 +4327,8 @@ X106727900Y-123213846D01* X106736098Y-123179703D01* X106737957Y-123176055D01* X106757922Y-123050000D01* +X106756301Y-123039768D01* +X106745876Y-122973945D01* X106737957Y-122923945D01* X106680016Y-122810229D01* X106589771Y-122719984D01* @@ -4159,7 +4342,7 @@ X105962043Y-122923943D01* X105942078Y-123049999D01* X105942078Y-123050000D01* X105962043Y-123176056D01* -X106012995Y-123276055D01* +X106004931Y-123260228D01* X106019984Y-123289771D01* X106110229Y-123380016D01* X106223945Y-123437957D01* @@ -4932,7 +5115,7 @@ X74207962Y-123804559D01* X74207362Y-123800000D01* X77692078Y-123800000D01* X77712043Y-123926056D01* -X77759902Y-124019984D01* +X77748072Y-123996767D01* X77769984Y-124039771D01* X77860229Y-124130016D01* X77973943Y-124187956D01* @@ -4945,7 +5128,7 @@ X78487957Y-123926055D01* X78507922Y-123800000D01* X86892078Y-123800000D01* X86912043Y-123926056D01* -X86959902Y-124019984D01* +X86948072Y-123996767D01* X86969984Y-124039771D01* X87060229Y-124130016D01* X87173943Y-124187956D01* @@ -4958,7 +5141,7 @@ X87687957Y-123926055D01* X87707922Y-123800000D01* X96092078Y-123800000D01* X96112043Y-123926056D01* -X96159902Y-124019984D01* +X96148072Y-123996767D01* X96169984Y-124039771D01* X96260229Y-124130016D01* X96373943Y-124187956D01* @@ -4971,7 +5154,7 @@ X96887957Y-123926055D01* X96907922Y-123800000D01* X105292078Y-123800000D01* X105312043Y-123926056D01* -X105359902Y-124019984D01* +X105348072Y-123996767D01* X105369984Y-124039771D01* X105460229Y-124130016D01* X105573943Y-124187956D01* @@ -5024,111 +5207,6 @@ X78507922Y-123800000D01* X78502208Y-123763927D01* X78512022Y-123713433D01* X78523304Y-123698993D01* -X80022297Y-122200000D01* -X115142078Y-122200000D01* -X115162043Y-122326056D01* -X115180122Y-122361537D01* -X115219984Y-122439771D01* -X115310229Y-122530016D01* -X115423943Y-122587956D01* -X115423945Y-122587957D01* -X115550000Y-122607922D01* -X115676055Y-122587957D01* -X115789771Y-122530016D01* -X115880016Y-122439771D01* -X115937957Y-122326055D01* -X115957922Y-122200000D01* -X116942078Y-122200000D01* -X116962043Y-122326056D01* -X116980122Y-122361537D01* -X117019984Y-122439771D01* -X117110229Y-122530016D01* -X117223943Y-122587956D01* -X117223945Y-122587957D01* -X117350000Y-122607922D01* -X117476055Y-122587957D01* -X117589771Y-122530016D01* -X117680016Y-122439771D01* -X117737957Y-122326055D01* -X117757922Y-122200000D01* -X119842078Y-122200000D01* -X119862043Y-122326056D01* -X119880122Y-122361537D01* -X119919984Y-122439771D01* -X120010229Y-122530016D01* -X120123943Y-122587956D01* -X120123945Y-122587957D01* -X120250000Y-122607922D01* -X120376055Y-122587957D01* -X120489771Y-122530016D01* -X120580016Y-122439771D01* -X120637957Y-122326055D01* -X120657922Y-122200000D01* -X121642078Y-122200000D01* -X121662043Y-122326056D01* -X121680122Y-122361537D01* -X121719984Y-122439771D01* -X121810229Y-122530016D01* -X121923943Y-122587956D01* -X121923945Y-122587957D01* -X122050000Y-122607922D01* -X122176055Y-122587957D01* -X122289771Y-122530016D01* -X122380016Y-122439771D01* -X122437957Y-122326055D01* -X122457922Y-122200000D01* -X122437957Y-122073945D01* -X122380016Y-121960229D01* -X122289771Y-121869984D01* -X122176055Y-121812043D01* -X122176057Y-121812043D01* -X122050000Y-121792078D01* -X121923943Y-121812043D01* -X121810228Y-121869984D01* -X121719984Y-121960228D01* -X121662043Y-122073943D01* -X121642078Y-122199999D01* -X121642078Y-122200000D01* -X120657922Y-122200000D01* -X120637957Y-122073945D01* -X120580016Y-121960229D01* -X120489771Y-121869984D01* -X120376055Y-121812043D01* -X120376057Y-121812043D01* -X120250000Y-121792078D01* -X120123943Y-121812043D01* -X120010228Y-121869984D01* -X119919984Y-121960228D01* -X119862043Y-122073943D01* -X119842078Y-122199999D01* -X119842078Y-122200000D01* -X117757922Y-122200000D01* -X117737957Y-122073945D01* -X117680016Y-121960229D01* -X117589771Y-121869984D01* -X117476055Y-121812043D01* -X117476057Y-121812043D01* -X117350000Y-121792078D01* -X117223943Y-121812043D01* -X117110228Y-121869984D01* -X117019984Y-121960228D01* -X116962043Y-122073943D01* -X116942078Y-122199999D01* -X116942078Y-122200000D01* -X115957922Y-122200000D01* -X115937957Y-122073945D01* -X115880016Y-121960229D01* -X115789771Y-121869984D01* -X115676055Y-121812043D01* -X115676057Y-121812043D01* -X115550000Y-121792078D01* -X115423943Y-121812043D01* -X115310228Y-121869984D01* -X115219984Y-121960228D01* -X115162043Y-122073943D01* -X115142078Y-122199999D01* -X115142078Y-122200000D01* -X80022297Y-122200000D01* X82072297Y-120150000D01* X86892078Y-120150000D01* X86912043Y-120276056D01* @@ -5817,6 +5895,34 @@ X115239771Y-119930016D01* X115330016Y-119839771D01* X115387957Y-119726055D01* X115407922Y-119600000D01* +X116917078Y-119600000D01* +X116937043Y-119726056D01* +X116984902Y-119819984D01* +X116994984Y-119839771D01* +X117085229Y-119930016D01* +X117198943Y-119987956D01* +X117198945Y-119987957D01* +X117325000Y-120007922D01* +X117451055Y-119987957D01* +X117564771Y-119930016D01* +X117655016Y-119839771D01* +X117712957Y-119726055D01* +X117732922Y-119600000D01* +X117732921Y-119599996D01* +X117727208Y-119563926D01* +X117712957Y-119473945D01* +X117655016Y-119360229D01* +X117564771Y-119269984D01* +X117451055Y-119212043D01* +X117451057Y-119212043D01* +X117325000Y-119192078D01* +X117198943Y-119212043D01* +X117085228Y-119269984D01* +X116994984Y-119360228D01* +X116937043Y-119473943D01* +X116917078Y-119599999D01* +X116917078Y-119600000D01* +X115407922Y-119600000D01* X115407921Y-119599996D01* X115402208Y-119563926D01* X115387957Y-119473945D01* @@ -10517,34 +10623,34 @@ X99593456Y-94991336D01* X99618395Y-94946345D01* X99666418Y-94927911D01* X99667730Y-94927900D01* -X109574453Y-94927900D01* -X109622791Y-94945493D01* -X109627627Y-94949926D01* -X111271427Y-96593726D01* -X111293167Y-96640346D01* -X111279853Y-96690033D01* -X111237716Y-96719538D01* -X111218253Y-96722100D01* -X110675547Y-96722100D01* -X110627209Y-96704507D01* -X110622373Y-96700074D01* -X110002315Y-96080016D01* -X109366758Y-95444458D01* -X109364047Y-95441602D01* -X109336949Y-95411506D01* -X109336945Y-95411503D01* -X109314486Y-95401504D01* -X109304116Y-95395874D01* -X109283496Y-95382483D01* -X109275064Y-95381148D01* -X109256243Y-95375573D01* -X109248442Y-95372100D01* -X109223848Y-95372100D01* -X109212084Y-95371174D01* -X109187808Y-95367329D01* -X109187804Y-95367329D01* -X109179560Y-95369538D01* -X109160099Y-95372100D01* +X109374453Y-94927900D01* +X109422791Y-94945493D01* +X109427627Y-94949926D01* +X111071427Y-96593726D01* +X111093167Y-96640346D01* +X111079853Y-96690033D01* +X111037716Y-96719538D01* +X111018253Y-96722100D01* +X110475547Y-96722100D01* +X110427209Y-96704507D01* +X110422373Y-96700074D01* +X109802315Y-96080016D01* +X109166758Y-95444458D01* +X109164047Y-95441602D01* +X109136949Y-95411506D01* +X109136945Y-95411503D01* +X109114486Y-95401504D01* +X109104116Y-95395874D01* +X109083496Y-95382483D01* +X109075064Y-95381148D01* +X109056243Y-95375573D01* +X109048442Y-95372100D01* +X109023848Y-95372100D01* +X109012084Y-95371174D01* +X108987808Y-95367329D01* +X108987804Y-95367329D01* +X108979560Y-95369538D01* +X108960099Y-95372100D01* X102757936Y-95372100D01* X102754000Y-95371997D01* X102752192Y-95371902D01* @@ -10674,26 +10780,26 @@ X103339361Y-96732936D01* X103622374Y-96449925D01* X103668994Y-96428186D01* X103675548Y-96427900D01* -X108774453Y-96427900D01* -X108822791Y-96445493D01* -X108827627Y-96449926D01* -X110083231Y-97705530D01* -X110085942Y-97708386D01* -X110113051Y-97738494D01* -X110135516Y-97748495D01* -X110145887Y-97754127D01* -X110166502Y-97767516D01* -X110174928Y-97768850D01* -X110193759Y-97774428D01* -X110201553Y-97777898D01* -X110201555Y-97777898D01* -X110201558Y-97777900D01* -X110226152Y-97777900D01* -X110237915Y-97778825D01* -X110262194Y-97782671D01* -X110267253Y-97781315D01* -X110270440Y-97780462D01* -X110289901Y-97777900D01* +X108599453Y-96427900D01* +X108647791Y-96445493D01* +X108652627Y-96449926D01* +X109908231Y-97705530D01* +X109910942Y-97708386D01* +X109938051Y-97738494D01* +X109960516Y-97748495D01* +X109970887Y-97754127D01* +X109991502Y-97767516D01* +X109999928Y-97768850D01* +X110018759Y-97774428D01* +X110026553Y-97777898D01* +X110026555Y-97777898D01* +X110026558Y-97777900D01* +X110051152Y-97777900D01* +X110062915Y-97778825D01* +X110087194Y-97782671D01* +X110092253Y-97781315D01* +X110095440Y-97780462D01* +X110114901Y-97777900D01* X113324453Y-97777900D01* X113372791Y-97795493D01* X113377627Y-97799926D01* @@ -10701,33 +10807,13 @@ X113548546Y-97970845D01* X113570286Y-98017465D01* X113556972Y-98067152D01* X113539575Y-98084856D01* -X113526854Y-98094098D01* -X113526852Y-98094100D01* -X113522585Y-98101491D01* -X113510638Y-98117060D01* -X113427626Y-98200074D01* -X113381006Y-98221814D01* -X113374451Y-98222100D01* -X109507936Y-98222100D01* -X109504000Y-98221997D01* -X109502283Y-98221907D01* -X109463552Y-98219876D01* -X109440588Y-98228691D01* -X109429279Y-98232040D01* -X109405236Y-98237151D01* -X109405234Y-98237151D01* -X109398327Y-98242170D01* -X109381083Y-98251532D01* -X109373104Y-98254595D01* -X109355710Y-98271989D01* -X109346740Y-98279650D01* -X109326854Y-98294098D01* -X109326852Y-98294100D01* -X109322585Y-98301491D01* -X109310638Y-98317060D01* -X109227626Y-98400074D01* -X109181006Y-98421814D01* -X109174451Y-98422100D01* +X113526852Y-98094099D01* +X113526851Y-98094100D01* +X113522583Y-98101493D01* +X113510637Y-98117061D01* +X113227626Y-98400074D01* +X113181005Y-98421814D01* +X113174451Y-98422100D01* X103975547Y-98422100D01* X103927209Y-98404507D01* X103922373Y-98400074D01* @@ -13248,11 +13334,11 @@ X114460230Y-93119983D01* X114380138Y-93200075D01* X114333518Y-93221814D01* X114326964Y-93222100D01* -X112125547Y-93222100D01* -X112077209Y-93204507D01* -X112072373Y-93200074D01* -X111526687Y-92654388D01* -X111522299Y-92650000D01* +X111975547Y-93222100D01* +X111927209Y-93204507D01* +X111922373Y-93200074D01* +X111376687Y-92654388D01* +X111372299Y-92650000D01* X122842078Y-92650000D01* X122862043Y-92776056D01* X122906759Y-92863816D01* @@ -13341,23 +13427,23 @@ X122919984Y-92410228D01* X122862043Y-92523943D01* X122842078Y-92649999D01* X122842078Y-92650000D01* -X111522299Y-92650000D01* -X110966758Y-92094458D01* -X110964047Y-92091602D01* -X110936949Y-92061506D01* -X110936945Y-92061503D01* -X110914486Y-92051504D01* -X110904116Y-92045874D01* -X110883496Y-92032483D01* -X110875064Y-92031148D01* -X110856243Y-92025573D01* -X110848442Y-92022100D01* -X110823848Y-92022100D01* -X110812084Y-92021174D01* -X110787808Y-92017329D01* -X110787804Y-92017329D01* -X110779560Y-92019538D01* -X110760099Y-92022100D01* +X111372299Y-92650000D01* +X110816758Y-92094458D01* +X110814047Y-92091602D01* +X110786949Y-92061506D01* +X110786945Y-92061503D01* +X110764486Y-92051504D01* +X110754116Y-92045874D01* +X110733496Y-92032483D01* +X110725064Y-92031148D01* +X110706243Y-92025573D01* +X110698442Y-92022100D01* +X110673848Y-92022100D01* +X110662084Y-92021174D01* +X110637808Y-92017329D01* +X110637804Y-92017329D01* +X110629560Y-92019538D01* +X110610099Y-92022100D01* X101075548Y-92022100D01* X101027210Y-92004507D01* X101022373Y-92000074D01* @@ -13709,9 +13795,9 @@ X93189771Y-92330016D01* X93280016Y-92239771D01* X93337957Y-92126055D01* X93357922Y-92000000D01* -X93352208Y-91963926D01* -X93362022Y-91913434D01* -X93373300Y-91898998D01* +X93352208Y-91963928D01* +X93362022Y-91913435D01* +X93373304Y-91898993D01* X93543727Y-91728571D01* X93590346Y-91706833D01* X93640033Y-91720147D01* @@ -13743,7 +13829,10 @@ X94230016Y-92210229D01* X94149925Y-92130138D01* X94128186Y-92083518D01* X94127900Y-92076964D01* -X94127900Y-90800000D01* +X94127900Y-91625547D01* +X94145493Y-91577209D01* +X94149926Y-91572373D01* +X94922299Y-90800000D01* X97992078Y-90800000D01* X98012043Y-90926056D01* X98015072Y-90932000D01* @@ -13834,8 +13923,8 @@ X98069984Y-90560228D01* X98012043Y-90673943D01* X97992078Y-90799999D01* X97992078Y-90800000D01* -X94127900Y-90800000D01* -X94127900Y-89850000D01* +X94922299Y-90800000D01* +X95872299Y-89850000D01* X113792443Y-89850000D01* X113810977Y-89978911D01* X113865078Y-90097373D01* @@ -13895,13 +13984,10 @@ X113865080Y-89602623D01* X113865078Y-89602626D01* X113810977Y-89721088D01* X113792443Y-89850000D01* -X94127900Y-89850000D01* -X94127900Y-88925547D01* -X94145493Y-88877209D01* -X94149926Y-88872373D01* -X95022373Y-87999926D01* -X95068993Y-87978186D01* -X95075547Y-87977900D01* +X95872299Y-89850000D01* +X97722374Y-87999926D01* +X97768994Y-87978186D01* +X97775548Y-87977900D01* X132074453Y-87977900D01* X132122791Y-87995493D01* X132127627Y-87999926D01* @@ -13986,45 +14072,27 @@ X132337808Y-87217329D01* X132337804Y-87217329D01* X132329560Y-87219538D01* X132310099Y-87222100D01* -X94807929Y-87222100D01* -X94803992Y-87221997D01* -X94763551Y-87219876D01* -X94740597Y-87228688D01* -X94729286Y-87232039D01* -X94705235Y-87237151D01* -X94705229Y-87237154D01* -X94698324Y-87242171D01* -X94681085Y-87251532D01* -X94673104Y-87254596D01* -X94655712Y-87271987D01* -X94646746Y-87279645D01* -X94626854Y-87294098D01* -X94626852Y-87294101D01* -X94622583Y-87301495D01* -X94610634Y-87317065D01* -X93444456Y-88483242D01* -X93441602Y-88485952D01* -X93411504Y-88513052D01* -X93401507Y-88535509D01* -X93395877Y-88545878D01* -X93382485Y-88566501D01* -X93382483Y-88566506D01* -X93381148Y-88574935D01* -X93375574Y-88593754D01* -X93372100Y-88601557D01* -X93372100Y-88626152D01* -X93371174Y-88637916D01* -X93367329Y-88662191D01* -X93367329Y-88662194D01* -X93369538Y-88670438D01* -X93372100Y-88689900D01* -X93372100Y-91224450D01* -X93354507Y-91272788D01* -X93350074Y-91277625D01* -X93051007Y-91576691D01* -X93004387Y-91598430D01* -X92986070Y-91597790D01* -X92950002Y-91592078D01* +X97507936Y-87222100D01* +X97504000Y-87221997D01* +X97502283Y-87221907D01* +X97463552Y-87219876D01* +X97440588Y-87228691D01* +X97429279Y-87232040D01* +X97405236Y-87237151D01* +X97405234Y-87237151D01* +X97398327Y-87242170D01* +X97381083Y-87251532D01* +X97373104Y-87254595D01* +X97355710Y-87271989D01* +X97346740Y-87279650D01* +X97326854Y-87294098D01* +X97326851Y-87294102D01* +X97322582Y-87301495D01* +X97310635Y-87317063D01* +X93051008Y-91576690D01* +X93004388Y-91598430D01* +X92986072Y-91597790D01* +X92950003Y-91592078D01* X92949999Y-91592078D01* X92823943Y-91612043D01* X92710228Y-91669984D01* diff --git a/Hardware/LCMXO2/gerber/GR8RAM-B_Mask.gbs b/Hardware/LCMXO2/gerber/GR8RAM-B_Mask.gbs index 3efc43b..235a49c 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM-B_Mask.gbs +++ b/Hardware/LCMXO2/gerber/GR8RAM-B_Mask.gbs @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-18T20:49:12-04:00* +G04 #@! TF.CreationDate,2024-03-29T07:49:19-04:00* G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Soldermask,Bot* G04 #@! TF.FilePolarity,Negative* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-18 20:49:12* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 07:49:19* %MOMM*% %LPD*% G01* diff --git a/Hardware/LCMXO2/gerber/GR8RAM-B_Silkscreen.gbo b/Hardware/LCMXO2/gerber/GR8RAM-B_Silkscreen.gbo index b46c121..5ccc99b 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM-B_Silkscreen.gbo +++ b/Hardware/LCMXO2/gerber/GR8RAM-B_Silkscreen.gbo @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-18T20:49:12-04:00* +G04 #@! TF.CreationDate,2024-03-29T07:49:19-04:00* G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Legend,Bot* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-18 20:49:12* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 07:49:19* %MOMM*% %LPD*% G01* @@ -29,17 +29,250 @@ G04 Aperture macros list* 20,1,$1+$1,$6,$7,$8,$9,0* 20,1,$1+$1,$8,$9,$2,$3,0*% G04 Aperture macros list end* -%ADD10C,0.203200*% -%ADD11C,0.120000*% -%ADD12C,0.000000*% -%ADD13RoundRect,0.419100X0.419100X3.327100X-0.419100X3.327100X-0.419100X-3.327100X0.419100X-3.327100X0*% -%ADD14C,2.152400*% -%ADD15C,1.448000*% -%ADD16C,2.527300*% -%ADD17C,1.143000*% -%ADD18C,0.939800*% +%ADD10C,0.200000*% +%ADD11C,0.190500*% +%ADD12C,0.203200*% +%ADD13C,0.120000*% +%ADD14C,0.000000*% +%ADD15RoundRect,0.419100X0.419100X3.327100X-0.419100X3.327100X-0.419100X-3.327100X0.419100X-3.327100X0*% +%ADD16C,2.152400*% +%ADD17C,1.448000*% +%ADD18C,2.527300*% +%ADD19C,1.143000*% +%ADD20C,0.939800*% G04 APERTURE END LIST* D10* +X143383000Y-102362000D02* +X143002000Y-101981000D01* +X142113000Y-116459000D02* +X143383000Y-116459000D01* +X143383000Y-116459000D02* +X143002000Y-116840000D01* +X142113000Y-102362000D02* +X143383000Y-102362000D01* +X143383000Y-116459000D02* +X143002000Y-116078000D01* +X143383000Y-102362000D02* +X143002000Y-102743000D01* +X141732000Y-117665500D02* +X143637000Y-118745000D01* +X143637000Y-118745000D02* +X141732000Y-119824500D01* +X141732000Y-119824500D02* +X141732000Y-117665500D01* +D11* +X142141798Y-118745000D02* +X142103094Y-118706295D01* +X142103094Y-118706295D02* +X142064389Y-118745000D01* +X142064389Y-118745000D02* +X142103094Y-118783704D01* +X142103094Y-118783704D02* +X142141798Y-118745000D01* +X142141798Y-118745000D02* +X142064389Y-118745000D01* +X142374027Y-118745000D02* +X142838484Y-118783704D01* +X142838484Y-118783704D02* +X142877189Y-118745000D01* +X142877189Y-118745000D02* +X142838484Y-118706295D01* +X142838484Y-118706295D02* +X142374027Y-118745000D01* +X142374027Y-118745000D02* +X142877189Y-118745000D01* +D10* +X143313873Y-115122475D02* +X143313873Y-114396761D01* +X142043873Y-114759618D02* +X143313873Y-114759618D01* +X142043873Y-113791999D02* +X142104350Y-113912951D01* +X142104350Y-113912951D02* +X142164826Y-113973428D01* +X142164826Y-113973428D02* +X142285778Y-114033904D01* +X142285778Y-114033904D02* +X142648635Y-114033904D01* +X142648635Y-114033904D02* +X142769588Y-113973428D01* +X142769588Y-113973428D02* +X142830064Y-113912951D01* +X142830064Y-113912951D02* +X142890540Y-113791999D01* +X142890540Y-113791999D02* +X142890540Y-113610570D01* +X142890540Y-113610570D02* +X142830064Y-113489618D01* +X142830064Y-113489618D02* +X142769588Y-113429142D01* +X142769588Y-113429142D02* +X142648635Y-113368666D01* +X142648635Y-113368666D02* +X142285778Y-113368666D01* +X142285778Y-113368666D02* +X142164826Y-113429142D01* +X142164826Y-113429142D02* +X142104350Y-113489618D01* +X142104350Y-113489618D02* +X142043873Y-113610570D01* +X142043873Y-113610570D02* +X142043873Y-113791999D01* +X142890540Y-112945332D02* +X142043873Y-112703427D01* +X142043873Y-112703427D02* +X142648635Y-112461522D01* +X142648635Y-112461522D02* +X142043873Y-112219618D01* +X142043873Y-112219618D02* +X142890540Y-111977713D01* +X142043873Y-110949618D02* +X142709111Y-110949618D01* +X142709111Y-110949618D02* +X142830064Y-111010094D01* +X142830064Y-111010094D02* +X142890540Y-111131046D01* +X142890540Y-111131046D02* +X142890540Y-111372951D01* +X142890540Y-111372951D02* +X142830064Y-111493904D01* +X142104350Y-110949618D02* +X142043873Y-111070570D01* +X142043873Y-111070570D02* +X142043873Y-111372951D01* +X142043873Y-111372951D02* +X142104350Y-111493904D01* +X142104350Y-111493904D02* +X142225302Y-111554380D01* +X142225302Y-111554380D02* +X142346254Y-111554380D01* +X142346254Y-111554380D02* +X142467207Y-111493904D01* +X142467207Y-111493904D02* +X142527683Y-111372951D01* +X142527683Y-111372951D02* +X142527683Y-111070570D01* +X142527683Y-111070570D02* +X142588159Y-110949618D01* +X142043873Y-110344856D02* +X142890540Y-110344856D01* +X142648635Y-110344856D02* +X142769588Y-110284379D01* +X142769588Y-110284379D02* +X142830064Y-110223903D01* +X142830064Y-110223903D02* +X142890540Y-110102951D01* +X142890540Y-110102951D02* +X142890540Y-109981998D01* +X142043873Y-109014380D02* +X143313873Y-109014380D01* +X142104350Y-109014380D02* +X142043873Y-109135332D01* +X142043873Y-109135332D02* +X142043873Y-109377237D01* +X142043873Y-109377237D02* +X142104350Y-109498189D01* +X142104350Y-109498189D02* +X142164826Y-109558666D01* +X142164826Y-109558666D02* +X142285778Y-109619142D01* +X142285778Y-109619142D02* +X142648635Y-109619142D01* +X142648635Y-109619142D02* +X142769588Y-109558666D01* +X142769588Y-109558666D02* +X142830064Y-109498189D01* +X142830064Y-109498189D02* +X142890540Y-109377237D01* +X142890540Y-109377237D02* +X142890540Y-109135332D01* +X142890540Y-109135332D02* +X142830064Y-109014380D01* +X142043873Y-106716284D02* +X142648635Y-107139618D01* +X142043873Y-107441999D02* +X143313873Y-107441999D01* +X143313873Y-107441999D02* +X143313873Y-106958189D01* +X143313873Y-106958189D02* +X143253397Y-106837237D01* +X143253397Y-106837237D02* +X143192921Y-106776760D01* +X143192921Y-106776760D02* +X143071969Y-106716284D01* +X143071969Y-106716284D02* +X142890540Y-106716284D01* +X142890540Y-106716284D02* +X142769588Y-106776760D01* +X142769588Y-106776760D02* +X142709111Y-106837237D01* +X142709111Y-106837237D02* +X142648635Y-106958189D01* +X142648635Y-106958189D02* +X142648635Y-107441999D01* +X142104350Y-105688189D02* +X142043873Y-105809141D01* +X142043873Y-105809141D02* +X142043873Y-106051046D01* +X142043873Y-106051046D02* +X142104350Y-106171999D01* +X142104350Y-106171999D02* +X142225302Y-106232475D01* +X142225302Y-106232475D02* +X142709111Y-106232475D01* +X142709111Y-106232475D02* +X142830064Y-106171999D01* +X142830064Y-106171999D02* +X142890540Y-106051046D01* +X142890540Y-106051046D02* +X142890540Y-105809141D01* +X142890540Y-105809141D02* +X142830064Y-105688189D01* +X142830064Y-105688189D02* +X142709111Y-105627713D01* +X142709111Y-105627713D02* +X142588159Y-105627713D01* +X142588159Y-105627713D02* +X142467207Y-106232475D01* +X142043873Y-104539142D02* +X142709111Y-104539142D01* +X142709111Y-104539142D02* +X142830064Y-104599618D01* +X142830064Y-104599618D02* +X142890540Y-104720570D01* +X142890540Y-104720570D02* +X142890540Y-104962475D01* +X142890540Y-104962475D02* +X142830064Y-105083428D01* +X142104350Y-104539142D02* +X142043873Y-104660094D01* +X142043873Y-104660094D02* +X142043873Y-104962475D01* +X142043873Y-104962475D02* +X142104350Y-105083428D01* +X142104350Y-105083428D02* +X142225302Y-105143904D01* +X142225302Y-105143904D02* +X142346254Y-105143904D01* +X142346254Y-105143904D02* +X142467207Y-105083428D01* +X142467207Y-105083428D02* +X142527683Y-104962475D01* +X142527683Y-104962475D02* +X142527683Y-104660094D01* +X142527683Y-104660094D02* +X142588159Y-104539142D01* +X142043873Y-103934380D02* +X142890540Y-103934380D01* +X142648635Y-103934380D02* +X142769588Y-103873903D01* +X142769588Y-103873903D02* +X142830064Y-103813427D01* +X142830064Y-103813427D02* +X142890540Y-103692475D01* +X142890540Y-103692475D02* +X142890540Y-103571522D01* +D12* X134396237Y-129473649D02* X133854371Y-129473649D01* X133854371Y-129473649D02* @@ -182,49 +415,43 @@ X71522771Y-129551059D02* X71484066Y-129512354D01* X71484066Y-129512354D02* X71406657Y-129473649D01* -X70516447Y-129860697D02* -X70400333Y-129899402D01* -X70400333Y-129899402D02* -X70361628Y-129938106D01* -X70361628Y-129938106D02* -X70322924Y-130015516D01* -X70322924Y-130015516D02* -X70322924Y-130131630D01* -X70322924Y-130131630D02* -X70361628Y-130209040D01* -X70361628Y-130209040D02* -X70400333Y-130247745D01* -X70400333Y-130247745D02* +X70322924Y-130209040D02* +X70361628Y-130247745D01* +X70361628Y-130247745D02* X70477743Y-130286449D01* X70477743Y-130286449D02* -X70787381Y-130286449D01* -X70787381Y-130286449D02* -X70787381Y-129473649D01* -X70787381Y-129473649D02* -X70516447Y-129473649D01* -X70516447Y-129473649D02* -X70439038Y-129512354D01* -X70439038Y-129512354D02* -X70400333Y-129551059D01* -X70400333Y-129551059D02* -X70361628Y-129628468D01* -X70361628Y-129628468D02* -X70361628Y-129705878D01* -X70361628Y-129705878D02* -X70400333Y-129783287D01* -X70400333Y-129783287D02* -X70439038Y-129821992D01* -X70439038Y-129821992D02* -X70516447Y-129860697D01* -X70516447Y-129860697D02* -X70787381Y-129860697D01* -D11* +X70555152Y-130286449D01* +X70555152Y-130286449D02* +X70671266Y-130247745D01* +X70671266Y-130247745D02* +X70748676Y-130170335D01* +X70748676Y-130170335D02* +X70787381Y-130092925D01* +X70787381Y-130092925D02* +X70826085Y-129938106D01* +X70826085Y-129938106D02* +X70826085Y-129821992D01* +X70826085Y-129821992D02* +X70787381Y-129667173D01* +X70787381Y-129667173D02* +X70748676Y-129589764D01* +X70748676Y-129589764D02* +X70671266Y-129512354D01* +X70671266Y-129512354D02* +X70555152Y-129473649D01* +X70555152Y-129473649D02* +X70477743Y-129473649D01* +X70477743Y-129473649D02* +X70361628Y-129512354D01* +X70361628Y-129512354D02* +X70322924Y-129551059D01* +D13* X70294500Y-117729000D02* X69659500Y-117729000D01* X70294500Y-118364000D02* X70294500Y-117729000D01* %LPC*% -D12* +D14* G36* X139700000Y-139446000D02* G01* @@ -235,7 +462,7 @@ X73660000Y-132080000D01* X139700000Y-132080000D01* X139700000Y-139446000D01* G37* -D13* +D15* X137160000Y-135282000D03* X134620000Y-135282000D03* X132080000Y-135282000D03* @@ -261,37 +488,37 @@ X83820000Y-135282000D03* X81280000Y-135282000D03* X78740000Y-135282000D03* X76200000Y-135282000D03* -D14* +D16* X140462000Y-129540000D03* -D15* +D17* X140462000Y-82423000D03* X57023000Y-84709000D03* X143002000Y-127000000D03* -D16* -X71564500Y-117094000D03* -D17* -X69024500Y-117094000D03* -D16* -X66484500Y-117094000D03* -X71564500Y-122809000D03* -X66484500Y-122809000D03* -D17* -X70040500Y-124714000D03* -X68008500Y-124714000D03* -D15* -X48133000Y-127000000D03* -D16* -X71564500Y-117094000D03* -D17* -X69024500Y-117094000D03* -D16* -X66484500Y-117094000D03* -X71564500Y-122809000D03* -X66484500Y-122809000D03* -D17* -X70040500Y-124714000D03* -X68008500Y-124714000D03* D18* +X71564500Y-117094000D03* +D19* +X69024500Y-117094000D03* +D18* +X66484500Y-117094000D03* +X71564500Y-122809000D03* +X66484500Y-122809000D03* +D19* +X70040500Y-124714000D03* +X68008500Y-124714000D03* +D17* +X48133000Y-127000000D03* +D18* +X71564500Y-117094000D03* +D19* +X69024500Y-117094000D03* +D18* +X66484500Y-117094000D03* +X71564500Y-122809000D03* +X66484500Y-122809000D03* +D19* +X70040500Y-124714000D03* +X68008500Y-124714000D03* +D20* X69659500Y-118364000D03* X69659500Y-119634000D03* X69659500Y-120904000D03* diff --git a/Hardware/LCMXO2/gerber/GR8RAM-Edge_Cuts.gm1 b/Hardware/LCMXO2/gerber/GR8RAM-Edge_Cuts.gm1 index 63e5134..22dae9b 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM-Edge_Cuts.gm1 +++ b/Hardware/LCMXO2/gerber/GR8RAM-Edge_Cuts.gm1 @@ -1,11 +1,11 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-18T20:49:12-04:00* +G04 #@! TF.CreationDate,2024-03-29T07:49:19-04:00* G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Profile,NP* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-18 20:49:12* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 07:49:19* %MOMM*% %LPD*% G01* diff --git a/Hardware/LCMXO2/gerber/GR8RAM-F_Cu.gtl b/Hardware/LCMXO2/gerber/GR8RAM-F_Cu.gtl index 1e08b6a..b4f80dc 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM-F_Cu.gtl +++ b/Hardware/LCMXO2/gerber/GR8RAM-F_Cu.gtl @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-18T20:49:12-04:00* +G04 #@! TF.CreationDate,2024-03-29T07:49:19-04:00* G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L1,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-18 20:49:12* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 07:49:19* %MOMM*% %LPD*% G01* @@ -126,40 +126,40 @@ G04 #@! TA.AperFunction,SMDPad,CuDef* %ADD41RoundRect,0.262500X-0.262500X-0.437500X0.262500X-0.437500X0.262500X0.437500X-0.262500X0.437500X0*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD42C,1.524000*% +%ADD42C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD43C,0.800000*% +%ADD43C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD44C,0.500000*% +%ADD44C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD45C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD46C,0.800000*% +%ADD46C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD47C,1.524000*% +%ADD47C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD48C,0.762000*% +%ADD48C,0.400000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD49C,0.600000*% +%ADD49C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD50C,0.450000*% +%ADD50C,0.762000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD51C,0.300000*% +%ADD51C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD52C,0.400000*% +%ADD52C,0.450000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD53C,0.500000*% +%ADD53C,0.300000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* %ADD54C,0.150000*% @@ -397,9 +397,6 @@ X86387500Y-105900000D03* X86387500Y-106400000D03* X86387500Y-106900000D03* X86387500Y-107400000D03* -D22* -X115600000Y-125800000D03* -X114000000Y-125800000D03* D20* X110850000Y-109450000D03* X110850000Y-107950000D03* @@ -637,6 +634,8 @@ X81026000Y-104361000D03* D16* X106600000Y-100050000D03* X108200000Y-100050000D03* +X118050000Y-118700000D03* +X119650000Y-118700000D03* D40* X68389500Y-118364000D03* X68389500Y-119634000D03* @@ -669,14 +668,11 @@ D32* X63817500Y-95656500D03* X63817500Y-97256500D03* D22* -X120250000Y-124350000D03* -X118650000Y-124350000D03* +X116600000Y-118700000D03* +X115000000Y-118700000D03* D29* X98550000Y-91650000D03* X97050000Y-91650000D03* -D22* -X115600000Y-124350000D03* -X114000000Y-124350000D03* D41* X115394000Y-128270000D03* X117094000Y-128270000D03* @@ -725,11 +721,22 @@ X76600000Y-120550000D03* X126450000Y-111850000D03* X126450000Y-113350000D03* D42* -X137160000Y-129794000D03* +X122050000Y-122200000D03* +X120250000Y-122200000D03* +X119650000Y-123100000D03* D43* -X138303000Y-128270000D03* -X137160000Y-127000000D03* +X137160000Y-129794000D03* D44* +X138303000Y-128270000D03* +D42* +X115000000Y-123100000D03* +X121200000Y-123150000D03* +X115550000Y-122200000D03* +D44* +X137160000Y-127000000D03* +D42* +X116500000Y-123150000D03* +X117350000Y-122200000D03* X94500000Y-129900000D03* X135763000Y-93345000D03* X107823000Y-86931500D03* @@ -742,13 +749,13 @@ X118110000Y-131318000D03* X98400000Y-110250000D03* D45* X127450000Y-104300000D03* -D44* +D42* X121200000Y-120050000D03* X123063000Y-85725000D03* X51435000Y-109601000D03* -D43* -X120777000Y-129540000D03* D44* +X120777000Y-129540000D03* +D42* X64262000Y-115697000D03* X82550000Y-131318000D03* X140843000Y-93345000D03* @@ -759,9 +766,9 @@ X97790000Y-131318000D03* X138303000Y-90805000D03* X64643000Y-93345000D03* X93150000Y-123800000D03* -D43* -X121856500Y-128270000D03* D44* +X121856500Y-128270000D03* +D42* X64643000Y-83185000D03* X56515000Y-89916000D03* X97663000Y-80645000D03* @@ -770,7 +777,7 @@ X104950000Y-102600000D03* X72263000Y-80645000D03* D45* X136200000Y-119450000D03* -D44* +D42* X100550000Y-103900000D03* X51435000Y-114681000D03* X69088000Y-128016000D03* @@ -787,21 +794,22 @@ X115443000Y-88265000D03* X102900000Y-108050000D03* D45* X49550000Y-104400000D03* -D44* +D42* X52500000Y-97000000D03* X82800000Y-102100000D03* X48895000Y-117221000D03* X89400000Y-129850000D03* -D43* -X129150000Y-118700000D03* D44* +X129150000Y-118700000D03* +D42* +X143383000Y-121285000D03* X138430000Y-131318000D03* X90900000Y-126300000D03* X104650000Y-108250000D03* D45* X113050000Y-116250000D03* X123050000Y-114450000D03* -D44* +D42* X56515000Y-104584500D03* X135763000Y-88265000D03* X56515000Y-109664500D03* @@ -811,7 +819,7 @@ X74803000Y-88265000D03* X91950000Y-129850000D03* D45* X123050000Y-89850000D03* -D44* +D42* X117983000Y-116205000D03* X53900000Y-89100600D03* X87503000Y-86931500D03* @@ -829,9 +837,9 @@ X62928500Y-100076000D03* X108050000Y-104350000D03* X46355000Y-129540000D03* X136398000Y-100838000D03* -D43* -X134000000Y-123500000D03* D44* +X134000000Y-123500000D03* +D42* X100650000Y-115750000D03* X53975000Y-85725000D03* X62103000Y-80645000D03* @@ -840,29 +848,29 @@ X63754000Y-92138500D03* X88050000Y-129650000D03* D45* X84900000Y-120400000D03* -D44* +D42* X67183000Y-111379000D03* X113700000Y-119600000D03* X48133000Y-131318000D03* X100330000Y-131318000D03* -D43* +D44* X114300000Y-128270000D03* -D44* +D42* X102870000Y-131318000D03* -X143383000Y-116205000D03* -D43* -X140100000Y-122300000D03* D44* +X140100000Y-122300000D03* +D42* X103000000Y-124950000D03* X70485000Y-119380000D03* X118650000Y-110650000D03* X130683000Y-93345000D03* X98200000Y-112000000D03* +X111500000Y-98100000D03* X85200000Y-103250000D03* X64262000Y-118491000D03* -D43* -X126450000Y-95100000D03* D44* +X126450000Y-95100000D03* +D42* X51435000Y-104584500D03* X144780000Y-123825000D03* X59055000Y-117284500D03* @@ -874,7 +882,7 @@ X118650000Y-109450000D03* X93450000Y-110300000D03* D45* X103300000Y-120400000D03* -D44* +D42* X85150000Y-108750000D03* X106500000Y-117950000D03* X98400000Y-107850000D03* @@ -894,12 +902,12 @@ X144780000Y-103505000D03* X97400000Y-94950000D03* D45* X85800000Y-121400000D03* -D44* +D42* X105410000Y-131318000D03* X83950000Y-126100000D03* -D43* -X115443000Y-129540000D03* D44* +X115443000Y-129540000D03* +D42* X135763000Y-83185000D03* X102500000Y-117600000D03* X83950000Y-123800000D03* @@ -907,16 +915,17 @@ X56515000Y-131318000D03* X133223000Y-95885000D03* D45* X122050000Y-90750000D03* -D44* +D42* X91950000Y-126750000D03* X128143000Y-111125000D03* X111550000Y-123800000D03* +X82450000Y-109100000D03* X128143000Y-80645000D03* X143383000Y-90805000D03* X130350000Y-122400000D03* +X75350000Y-112600000D03* X102850000Y-98400000D03* X64706500Y-100076000D03* -X143383000Y-121285000D03* X114050000Y-111850000D03* X90900000Y-112000000D03* X97450000Y-126900000D03* @@ -932,7 +941,7 @@ X110363000Y-88265000D03* X50673000Y-94615000D03* D45* X110800000Y-102450000D03* -D44* +D42* X128270000Y-131318000D03* X66900000Y-100450000D03* X102743000Y-85725000D03* @@ -941,7 +950,7 @@ X135763000Y-98425000D03* X69723000Y-83185000D03* D45* X112050000Y-115350000D03* -D44* +D42* X77343000Y-80645000D03* X93150000Y-126100000D03* X105283000Y-88265000D03* @@ -958,6 +967,7 @@ X106900000Y-126800000D03* X118650000Y-105850000D03* X51435000Y-131318000D03* X120350000Y-121000000D03* +X84000000Y-110600000D03* X82423000Y-80645000D03* X125603000Y-118745000D03* X61531500Y-114744500D03* @@ -967,7 +977,6 @@ X111550000Y-126100000D03* X59055000Y-107124500D03* X117983000Y-86931500D03* X59055000Y-122364500D03* -X130683000Y-128905000D03* X84600000Y-124950000D03* X56515000Y-83185000D03* X125603000Y-123825000D03* @@ -979,21 +988,21 @@ X95850000Y-120650000D03* X118650000Y-111850000D03* D45* X47000000Y-104950000D03* -D44* +D42* X63563500Y-114998500D03* D45* X123050000Y-116250000D03* -D44* +D42* X80750000Y-129900000D03* X86900000Y-126200000D03* X53975000Y-122364500D03* X119850000Y-103050000D03* -D43* +D44* X127450000Y-93900000D03* D45* X76600000Y-121400000D03* X104200000Y-121400000D03* -D44* +D42* X110100000Y-128450000D03* X120523000Y-83185000D03* X65786000Y-111379000D03* @@ -1001,37 +1010,39 @@ X130683000Y-98425000D03* X62103000Y-95059500D03* X57912000Y-86360000D03* X86850000Y-129950000D03* +X73750000Y-111400000D03* X56388000Y-95059500D03* X64643000Y-98425000D03* X118350000Y-119600000D03* X90170000Y-131318000D03* X99550000Y-128550000D03* -D43* -X74549000Y-129540000D03* D44* +X74549000Y-129540000D03* +D42* X108900000Y-113400000D03* X102350000Y-123800000D03* -X143383000Y-111125000D03* X77470000Y-131318000D03* -D43* -X135509000Y-127000000D03* D44* +X135509000Y-127000000D03* +D42* X128143000Y-90805000D03* X46355000Y-119761000D03* X69532500Y-111823500D03* X144780000Y-118745000D03* X53975000Y-112204500D03* X118650000Y-113450000D03* -D43* -X138900000Y-123450000D03* D44* +X138900000Y-123450000D03* +D42* X53848000Y-128016000D03* X78000000Y-107600000D03* X88100000Y-117950000D03* +X141200000Y-118550000D03* +X111750000Y-92650000D03* X52006500Y-90297000D03* D45* X138400000Y-119450000D03* -D44* +D42* X55435500Y-88773000D03* X113030000Y-131318000D03* X109950000Y-107850000D03* @@ -1045,12 +1056,12 @@ X139000000Y-118550000D03* X48895000Y-107061000D03* X106500000Y-120150000D03* X114050000Y-107050000D03* -D43* +D44* X132750000Y-117650000D03* D45* X112050000Y-90750000D03* X75700000Y-120400000D03* -D44* +D42* X90300000Y-129350000D03* X51435000Y-88265000D03* X88950000Y-103250000D03* @@ -1065,24 +1076,24 @@ X109537500Y-126809500D03* X63944500Y-119570500D03* X144780000Y-88265000D03* X118650000Y-108250000D03* -D43* -X135509000Y-129540000D03* D44* +X135509000Y-129540000D03* +D42* X118650000Y-107050000D03* X102100000Y-129700000D03* -D43* -X131550000Y-118900000D03* D44* +X131550000Y-118900000D03* +D42* X79883000Y-88265000D03* X112200000Y-124950000D03* -D43* +D44* X135200000Y-122300000D03* X141300000Y-123550000D03* D45* X126450000Y-109500000D03* -D43* -X134000000Y-118950000D03* D44* +X134000000Y-118950000D03* +D42* X133223000Y-111125000D03* X61531500Y-109664500D03* X111750000Y-103050000D03* @@ -1094,16 +1105,16 @@ X144780000Y-113665000D03* X46355000Y-109601000D03* X53848000Y-95123000D03* X58928000Y-128016000D03* -D43* -X131550000Y-123550000D03* D44* +X131550000Y-123550000D03* +D42* X46355000Y-124841000D03* X57404000Y-92900500D03* X53200000Y-90650600D03* X73787000Y-115379500D03* -D43* -X129150000Y-123350000D03* D44* +X129150000Y-123350000D03* +D42* X138303000Y-85725000D03* X79950000Y-128350000D03* X143002000Y-131318000D03* @@ -1112,7 +1123,7 @@ X133223000Y-80645000D03* X105050000Y-120650000D03* X62103000Y-85725000D03* X77216000Y-98298000D03* -X110750000Y-96450000D03* +X110550000Y-96450000D03* X114050000Y-92650000D03* X76454000Y-97091500D03* X104700000Y-98150000D03* @@ -1133,12 +1144,13 @@ X118650000Y-104650000D03* X130683000Y-113665000D03* D45* X112900000Y-91950000D03* -D44* +D42* X143002000Y-80645000D03* X135128000Y-100838000D03* +X83000000Y-111600000D03* D45* X94100000Y-120400000D03* -D44* +D42* X95123000Y-88265000D03* X52324000Y-94551500D03* X62103000Y-90805000D03* @@ -1153,7 +1165,7 @@ X60833000Y-100012500D03* X138303000Y-95885000D03* D45* X110850000Y-107000000D03* -D44* +D42* X56515000Y-119824500D03* X117983000Y-90805000D03* X55400000Y-94350600D03* @@ -1161,37 +1173,36 @@ X144780000Y-93345000D03* X128143000Y-126365000D03* D45* X127350000Y-113200000D03* -D44* -X143383000Y-106045000D03* +D42* X97663000Y-86931500D03* X105283000Y-83185000D03* D45* X47000000Y-94650000D03* -D44* +D42* X49974500Y-93345000D03* X135890000Y-131318000D03* -X87800000Y-114450000D03* +X87600000Y-114600000D03* D45* X109450000Y-103350000D03* -D44* +D42* X95250000Y-131318000D03* X72263000Y-90805000D03* X77450000Y-120650000D03* D45* X49550000Y-95200000D03* -D44* +D42* X123190000Y-131318000D03* X87630000Y-131318000D03* X59309000Y-90487500D03* -X111750000Y-92500000D03* X130810000Y-131318000D03* X72263000Y-85725000D03* X94450000Y-111300000D03* X50750000Y-102900000D03* X67183000Y-85725000D03* -D43* -X126450000Y-114300000D03* D44* +X126450000Y-114300000D03* +D42* +X89150000Y-113450000D03* X101000000Y-111550000D03* X120523000Y-88265000D03* X123063000Y-126365000D03* @@ -1199,8 +1210,9 @@ X89700000Y-94950000D03* X85090000Y-131318000D03* D45* X127450000Y-108300000D03* -D44* +D42* X53975000Y-107124500D03* +X86200000Y-114600000D03* X92550000Y-127950000D03* X125603000Y-83185000D03* X113100000Y-120500000D03* @@ -1213,7 +1225,7 @@ X120650000Y-131318000D03* X115570000Y-131318000D03* D45* X123050000Y-91650000D03* -D44* +D42* X133223000Y-100965000D03* X87503000Y-80645000D03* X128143000Y-116205000D03* @@ -1223,11 +1235,11 @@ X67183000Y-90805000D03* X92583000Y-80645000D03* D45* X122050000Y-115350000D03* -D43* +D44* X73406000Y-128524000D03* D45* X95000000Y-121400000D03* -D44* +D42* X93800000Y-124950000D03* X64008000Y-128016000D03* X140843000Y-88265000D03* @@ -1238,25 +1250,22 @@ X107823000Y-80645000D03* X48895000Y-122301000D03* D45* X126450000Y-105400000D03* -D44* +D42* X48895000Y-112141000D03* X107823000Y-90805000D03* X102743000Y-80645000D03* -D43* -X130350000Y-117650000D03* D44* +X130350000Y-117650000D03* +D42* X90700000Y-107850000D03* X100550000Y-98400000D03* D45* X113050000Y-89850000D03* -D44* +D42* X144780000Y-108585000D03* X125730000Y-131318000D03* X86650000Y-120650000D03* X78900000Y-117950000D03* -D43* -X141350000Y-118950000D03* -D44* X88400000Y-128050000D03* X128143000Y-85725000D03* X46355000Y-93472000D03* @@ -1276,19 +1285,19 @@ X78750000Y-126100000D03* X80050000Y-126100000D03* X80700000Y-126850000D03* X81350000Y-126100000D03* -D43* +D44* X76200000Y-129540000D03* X77343000Y-128270000D03* X119126000Y-129540000D03* X117094000Y-129540000D03* -D44* +D42* X85200000Y-127381000D03* X106350000Y-123050000D03* X113150000Y-127950000D03* X108300000Y-123800000D03* -X121000000Y-124350000D03* -X108950000Y-123050000D03* -X116350000Y-125800000D03* +X118350000Y-123500000D03* +X109050000Y-123100000D03* +X113700000Y-123100000D03* X107650000Y-123050000D03* X107000000Y-123800000D03* X132080000Y-131191000D03* @@ -1321,60 +1330,56 @@ X100550000Y-107400000D03* X102850000Y-97400000D03* D45* X125250000Y-115350000D03* -D43* -X127850000Y-117650000D03* D44* -X122050000Y-122200000D03* +X127850000Y-117650000D03* +D42* X87600000Y-104050000D03* X100550000Y-97400000D03* D45* X126450000Y-111000000D03* -D44* +D42* X89700000Y-112000000D03* X88900000Y-94950000D03* D45* X138400000Y-120400000D03* X114250000Y-89850000D03* -D44* +D42* X125550000Y-107050000D03* D45* X115250000Y-115350000D03* -D44* +D42* +X117325000Y-119600000D03* X111750000Y-104650000D03* X62103000Y-102806500D03* X81026000Y-105600500D03* D45* X126450000Y-91800000D03* -D44* +D42* X124400000Y-91950000D03* X102900000Y-107250000D03* X104900000Y-103700000D03* X59563000Y-95059500D03* D45* X114250000Y-91650000D03* -D43* +D44* X131550000Y-124850000D03* D45* X124250000Y-89850000D03* X114250000Y-116250000D03* -D44* +D42* X105075000Y-119050000D03* -X120250000Y-122200000D03* X87300000Y-117950000D03* X86675000Y-119050000D03* X95875000Y-119050000D03* X81950000Y-104400000D03* -D43* +D44* X130350000Y-126100000D03* -D44* -X119650000Y-123100000D03* -D43* X129150000Y-124850000D03* -D44* +D42* X86000000Y-112050000D03* -D43* -X95000000Y-118100000D03* D44* +X95000000Y-118100000D03* +D42* X63436500Y-122809000D03* X66900000Y-97450000D03* X98400000Y-90800000D03* @@ -1386,77 +1391,74 @@ X84950000Y-119150000D03* D45* X124250000Y-116250000D03* X75700000Y-119200000D03* -D44* +D42* X104900000Y-101650000D03* X105700000Y-120150000D03* X96500000Y-117950000D03* -D43* -X104200000Y-118100000D03* D44* +X104200000Y-118100000D03* +D42* X104650000Y-97050000D03* X59563000Y-97853500D03* X62103000Y-100012500D03* X104650000Y-107050000D03* D45* X137300000Y-117750000D03* -D44* +D42* X62801500Y-101409500D03* D45* X126450000Y-102100000D03* -D43* -X127450000Y-92900000D03* D44* +X127450000Y-92900000D03* +D42* X78100000Y-120150000D03* X63817500Y-94869000D03* -D43* -X135200000Y-126050000D03* D44* +X135200000Y-126050000D03* +D42* X83450000Y-97750000D03* X96500000Y-120150000D03* -D43* -X141350000Y-120150000D03* D44* +X141350000Y-120150000D03* +D42* X59182000Y-94043500D03* -X121200000Y-123150000D03* X87300000Y-120150000D03* X114050000Y-109450000D03* D45* X126450000Y-106200000D03* -D44* +D42* X123250000Y-92650000D03* X124400000Y-114050000D03* D45* X110850000Y-105650000D03* -D44* +D42* X125550000Y-103050000D03* X66900000Y-98950000D03* D45* X127450000Y-107300000D03* -D44* +D42* X125550000Y-111850000D03* -X115550000Y-122200000D03* X64706500Y-101219000D03* D45* X114250000Y-114450000D03* -D44* +D42* X84250000Y-105200000D03* X54483000Y-102806500D03* X98200000Y-92550000D03* X82650000Y-105200000D03* X99400000Y-112000000D03* -X114800000Y-123100000D03* D45* X76600000Y-118200000D03* X127450000Y-103300000D03* -D44* +D42* X114050000Y-104650000D03* X78100000Y-117950000D03* -D43* +D44* X85800000Y-118100000D03* D45* X115250000Y-90750000D03* X127350000Y-112000000D03* -D44* +D42* X89900000Y-107850000D03* X123250000Y-113450000D03* X123250000Y-107050000D03* @@ -1468,22 +1470,20 @@ X125550000Y-92650000D03* X88900000Y-92550000D03* X66294000Y-119634000D03* X89900000Y-110250000D03* -X116500000Y-123150000D03* -D43* +D44* X132750000Y-126100000D03* -D44* +D42* X110850000Y-110250000D03* -D43* -X134000000Y-124900000D03* D44* +X134000000Y-124900000D03* +D42* X88700000Y-90800000D03* X96500000Y-123800000D03* -X117350000Y-122200000D03* X85200000Y-97550000D03* X77475000Y-119050000D03* -D43* -X126600000Y-118600000D03* D44* +X126600000Y-118600000D03* +D42* X123250000Y-111850000D03* X58293000Y-95059500D03* X94150000Y-119150000D03* @@ -1492,7 +1492,7 @@ X58293000Y-97853500D03* D45* X125250000Y-90750000D03* X137300000Y-121150000D03* -D44* +D42* X63817500Y-102235000D03* X105700000Y-117950000D03* X97350000Y-107800000D03* @@ -1581,6 +1581,7 @@ X70040500Y-104394000D03* X79756000Y-103124000D03* X80050000Y-107650000D03* X71183500Y-109029500D03* +X68350000Y-113750000D03* X114750000Y-105350000D03* X122550000Y-105350000D03* X100550000Y-105400000D03* @@ -1588,7 +1589,6 @@ X135128000Y-90932000D03* X93900000Y-92450000D03* X92950000Y-92000000D03* X136398000Y-90932000D03* -X68350000Y-113750000D03* X119650000Y-119600000D03* X93550000Y-107900000D03* X86400000Y-94850000D03* @@ -1599,82 +1599,128 @@ X88750000Y-98250000D03* X70000000Y-97450000D03* X70000000Y-100450000D03* X87900000Y-99000000D03* -D43* +D44* X134000000Y-120400000D03* D45* X135200000Y-121150000D03* -D44* +D42* X132750000Y-121350000D03* -D43* +D44* X131550000Y-120200000D03* X126600000Y-120500000D03* -D44* +D42* X130350000Y-121350000D03* -D43* +D44* X95300000Y-106800000D03* X129150000Y-120200000D03* D46* +X116500000Y-122350000D02* +X117200000Y-122350000D01* +D47* X137300000Y-126378000D02* X137922000Y-127000000D01* X137160000Y-127000000D02* X137750000Y-127000000D01* +D48* +X115000000Y-122200000D02* +X115000000Y-123100000D01* +D47* X137300000Y-124200000D02* X137300000Y-126860000D01* X137300000Y-124200000D02* X138250000Y-124200000D01* -D47* +D46* +X121200000Y-122350000D02* +X121200000Y-123150000D01* +X121900000Y-122350000D02* +X122050000Y-122200000D01* +D49* X137160000Y-135382000D02* X137160000Y-129794000D01* -D46* +D47* X137160000Y-128270000D02* X137160000Y-129794000D01* X137668000Y-129794000D02* X138303000Y-129159000D01* +D46* +X121200000Y-122350000D02* +X121900000Y-122350000D01* +D47* X138303000Y-127381000D02* X138303000Y-128270000D01* X137160000Y-130937000D02* X137160000Y-135382000D01* -D48* +D50* X137160000Y-128270000D02* X137160000Y-127000000D01* D46* +X115700000Y-122350000D02* +X115550000Y-122200000D01* +X121200000Y-122350000D02* +X120400000Y-122350000D01* +D47* X137922000Y-127000000D02* X138303000Y-127381000D01* +D48* +X119650000Y-122200000D02* +X120250000Y-122200000D01* +D47* X137300000Y-124200000D02* X137300000Y-126378000D01* +D48* +X115000000Y-122200000D02* +X115550000Y-122200000D01* +D46* +X120400000Y-122350000D02* +X120250000Y-122200000D01* +D47* X139100000Y-125050000D02* X140100000Y-125050000D01* +D46* +X116500000Y-122350000D02* +X115700000Y-122350000D01* +D47* X138303000Y-129159000D02* X138303000Y-128270000D01* X137160000Y-129794000D02* X137668000Y-129794000D01* X138250000Y-124200000D02* X139100000Y-125050000D01* +D46* +X116500000Y-122350000D02* +X116500000Y-123150000D01* +D47* X137160000Y-127000000D02* X137922000Y-127000000D01* X137300000Y-126860000D02* X137160000Y-127000000D01* D48* +X119650000Y-122200000D02* +X119650000Y-123100000D01* +D46* +X117200000Y-122350000D02* +X117350000Y-122200000D01* +D50* X137160000Y-128270000D02* X138303000Y-128270000D01* -D49* +D51* X122900000Y-115350000D02* X122900000Y-116100000D01* X47000000Y-96000000D02* X47000000Y-94650000D01* -D50* +D52* X111550000Y-124950000D02* X111550000Y-123800000D01* -D49* +D51* X103450000Y-120550000D02* X103300000Y-120400000D01* -D51* +D53* X97550000Y-92700000D02* X97400000Y-92550000D01* -D52* +D48* X113700000Y-120500000D02* X113100000Y-120500000D01* -D53* +D46* X50750000Y-96700000D02* X50750000Y-97000000D01* X95750000Y-120550000D02* @@ -1685,140 +1731,143 @@ X140100000Y-118700000D02* X139150000Y-118700000D01* X95000000Y-120550000D02* X95750000Y-120550000D01* -D46* +D47* X134000000Y-123500000D02* X133850000Y-123350000D01* -D53* +D46* X97050000Y-91650000D02* X97050000Y-92350000D01* X116500000Y-120850000D02* X117200000Y-120850000D01* -D51* +D53* X104950000Y-102950000D02* X104950000Y-102600000D01* -D49* +D46* +X141050000Y-118700000D02* +X141200000Y-118550000D01* +D51* X85800000Y-120550000D02* X85050000Y-120550000D01* D54* X114150000Y-119600000D02* X113700000Y-119600000D01* -D49* +D51* X76600000Y-120550000D02* X76600000Y-121400000D01* -D53* +D46* X84300000Y-102900000D02* X84300000Y-102100000D01* X63095000Y-99909500D02* X62928500Y-100076000D01* -D50* +D52* X110250000Y-124950000D02* X110250000Y-126100000D01* -D52* +D48* X49675000Y-101100000D02* X50750000Y-101100000D01* -D53* +D46* X97050000Y-91650000D02* X97050000Y-90950000D01* -D50* +D52* X83950000Y-124950000D02* X83950000Y-126100000D01* -D53* +D46* X115800000Y-120850000D02* X115650000Y-121000000D01* -D50* +D52* X106350000Y-118100000D02* X106500000Y-117950000D01* D55* X125650000Y-104550000D02* X125550000Y-104650000D01* -D49* +D51* X49550000Y-96700000D02* X49550000Y-95200000D01* -D53* +D46* X97050000Y-90950000D02* X97200000Y-90800000D01* -D50* +D52* X97150000Y-118100000D02* X97300000Y-117950000D01* -D49* +D51* X122900000Y-116100000D02* X123050000Y-116250000D01* -D53* +D46* X58293000Y-92734000D02* X57570500Y-92734000D01* -D51* +D53* X89550000Y-93737500D02* X89550000Y-94800000D01* -D53* +D46* X51199400Y-91850600D02* X50350600Y-91850600D01* -D51* +D53* X90550000Y-109062500D02* X90550000Y-108000000D01* -D53* +D46* X120500000Y-120850000D02* X120350000Y-121000000D01* D54* X114350000Y-120500000D02* X114350000Y-119800000D01* -D50* +D52* X124400000Y-109450000D02* X126400000Y-109450000D01* -D53* +D46* X77350000Y-120550000D02* X77450000Y-120650000D01* X51199400Y-91850600D02* X51900000Y-91850600D01* -D48* +D50* X135460000Y-129491000D02* X135509000Y-129540000D01* -D49* +D51* X95000000Y-120550000D02* X94250000Y-120550000D01* -D53* +D46* X62800000Y-96458000D02* X62801500Y-96456500D01* X84300000Y-108900000D02* X83600000Y-108900000D01* -D46* +D47* X73406000Y-128524000D02* X73660000Y-128270000D01* -D49* +D51* X122900000Y-90750000D02* X122900000Y-91500000D01* -D50* +D52* X124400000Y-104650000D02* X125550000Y-104650000D01* X97150000Y-119050000D02* X97150000Y-118100000D01* -D53* +D46* X98050000Y-111850000D02* X98200000Y-112000000D01* X117200000Y-120850000D02* X117350000Y-121000000D01* -D50* +D52* X124400000Y-109450000D02* X123250000Y-109450000D01* -D51* +D53* X90550000Y-108000000D02* X90700000Y-107850000D01* -D50* +D52* X112900000Y-107050000D02* X114050000Y-107050000D01* -D53* +D46* X58293000Y-92734000D02* X58293000Y-91884500D01* X104050000Y-103150000D02* X104050000Y-103950000D01* X57570500Y-92734000D02* X57404000Y-92900500D01* -D50* +D52* X112900000Y-111850000D02* X111750000Y-111850000D01* -D49* +D51* X49550000Y-102900000D02* X49550000Y-104400000D01* -D53* +D46* X77216000Y-97061000D02* X77216000Y-95821500D01* X84300000Y-108900000D02* @@ -1827,116 +1876,110 @@ X49550000Y-102900000D02* X50750000Y-102900000D01* X50350600Y-91850600D02* X50350000Y-91850000D01* -D50* +D52* X112900000Y-113450000D02* X114050000Y-113450000D01* -D53* +D46* X90050000Y-91650000D02* X90050000Y-92350000D01* X77216000Y-97061000D02* X77216000Y-98298000D01* X125750000Y-113350000D02* X125550000Y-113150000D01* -D50* +D52* X78750000Y-118100000D02* X78900000Y-117950000D01* -D53* +D46* X84300000Y-102900000D02* X85000000Y-102900000D01* X85000000Y-102900000D02* X85200000Y-103100000D01* -D51* +D53* X87450000Y-96900000D02* X87600000Y-96750000D01* -D53* +D46* X53199400Y-91650600D02* X53199400Y-90651200D01* -D50* +D52* X83950000Y-124950000D02* X84600000Y-124950000D01* -D53* +D46* X63817500Y-99909500D02* X64540000Y-99909500D01* -D46* +D47* X126450000Y-114300000D02* X126450000Y-113350000D01* -D50* -X111750000Y-92500000D02* -X111900000Y-92650000D01* -D46* -X140100000Y-118700000D02* -X141100000Y-118700000D01* -D52* +D48* X113700000Y-120500000D02* X113700000Y-119600000D01* -D50* +D52* X102350000Y-124950000D02* X103000000Y-124950000D01* -D53* +D46* X58293000Y-92734000D02* X59015500Y-92734000D01* -D46* +D47* X131750000Y-118700000D02* X131550000Y-118900000D01* -D50* +D52* X112900000Y-107050000D02* X110900000Y-107050000D01* -D51* +D53* X98550000Y-109062500D02* X98550000Y-110100000D01* -D53* +D46* X104050000Y-103150000D02* X103350000Y-103150000D01* X98250000Y-110250000D02* X98400000Y-110250000D01* -D49* +D51* X127200000Y-104550000D02* X127450000Y-104300000D01* X85800000Y-120550000D02* X85800000Y-121400000D01* -D53* +D46* X98050000Y-111150000D02* X98050000Y-110450000D01* -D46* +D47* X120826000Y-129491000D02* X120777000Y-129540000D01* -D53* +D46* X90850000Y-110250000D02* X90700000Y-110250000D01* -D49* +D51* X122900000Y-90000000D02* X123050000Y-89850000D01* -D46* +D47* X74500000Y-128270000D02* X74500000Y-129491000D01* X127200000Y-94150000D02* X127450000Y-93900000D01* -D50* +D52* X102350000Y-124950000D02* X102350000Y-123800000D01* -D53* +D46* X109950000Y-107850000D02* X110050000Y-107950000D01* X64540000Y-99909500D02* X64706500Y-100076000D01* -D50* +D52* X112900000Y-103050000D02* X114050000Y-103050000D01* X106350000Y-119050000D02* X106350000Y-118100000D01* -D53* +D46* X121200000Y-120850000D02* X121900000Y-120850000D01* -D51* +D53* X101712500Y-98400000D02* X102850000Y-98400000D01* -D49* +D51* X126450000Y-104550000D02* X126450000Y-105400000D01* -D51* +D53* X85350000Y-103400000D02* X85200000Y-103250000D01* -D53* +D46* X62103000Y-96458000D02* X62103000Y-97853500D01* X126450000Y-94150000D02* @@ -1945,44 +1988,44 @@ X121900000Y-120850000D02* X122050000Y-121000000D01* X104950000Y-120550000D02* X105050000Y-120650000D01* -D51* +D53* X86387500Y-103400000D02* X85350000Y-103400000D01* -D50* +D52* X87950000Y-118100000D02* X88100000Y-117950000D01* -D53* +D46* X51650000Y-97000000D02* X50750000Y-97000000D01* -D49* +D51* X112900000Y-90750000D02* X112050000Y-90750000D01* -D53* +D46* X125550000Y-113150000D02* X125550000Y-113050000D01* -D50* +D52* X102350000Y-124950000D02* X102350000Y-126100000D01* -D53* +D46* X116500000Y-120850000D02* X115800000Y-120850000D01* -D49* +D51* X104200000Y-120550000D02* X103450000Y-120550000D01* -D53* +D46* X62103000Y-96458000D02* X62103000Y-95059500D01* X110050000Y-107950000D02* X110850000Y-107950000D01* X84300000Y-96400000D02* X85000000Y-96400000D01* -D50* +D52* X124400000Y-104650000D02* X123250000Y-104650000D01* D54* X119000000Y-120500000D02* X119000000Y-119800000D01* -D53* +D46* X90050000Y-92350000D02* X89850000Y-92550000D01* X103200000Y-102950000D02* @@ -1990,229 +2033,226 @@ X103200000Y-103000000D01* D54* X63766500Y-93027500D02* X63754000Y-93015000D01* -D46* +D47* X130350000Y-123350000D02* X131350000Y-123350000D01* -D53* +D46* X136398000Y-99695000D02* X136398000Y-100838000D01* -D49* +D51* X137300000Y-119450000D02* X136200000Y-119450000D01* X122900000Y-90750000D02* X122050000Y-90750000D01* -D46* +D47* X74500000Y-129491000D02* X74549000Y-129540000D01* -D51* +D53* X102800000Y-103900000D02* X102850000Y-103950000D01* -D53* +D46* X130350000Y-122400000D02* X130350000Y-123350000D01* -D46* +D47* X120826000Y-128270000D02* X121856500Y-128270000D01* -D53* +D46* X139150000Y-118700000D02* X139000000Y-118550000D01* -D46* +D47* X115394000Y-128270000D02* X114300000Y-128270000D01* -D53* +D46* X104500000Y-98400000D02* X103800000Y-98400000D01* -D51* +D53* X104050000Y-103150000D02* X104750000Y-103150000D01* -D49* +D51* X95000000Y-120550000D02* X95000000Y-121400000D01* -D53* +D46* X55399400Y-93350600D02* X55399400Y-94350000D01* -D49* +D51* X110850000Y-107950000D02* X110850000Y-107000000D01* -D46* +D47* X115394000Y-129491000D02* X115443000Y-129540000D01* -D49* +D51* X110800000Y-103300000D02* X110800000Y-102450000D01* -D46* +D47* X135200000Y-123250000D02* X135200000Y-122300000D01* X132750000Y-118700000D02* X131750000Y-118700000D01* X132750000Y-118700000D02* X133750000Y-118700000D01* -D49* +D51* X85050000Y-120550000D02* X84900000Y-120400000D01* D54* X63754000Y-93015000D02* X63754000Y-92138500D01* -D49* +D51* X112900000Y-115350000D02* X112900000Y-116100000D01* D54* X59309000Y-91352500D02* X59309000Y-90487500D01* -D51* +D53* X90550000Y-110100000D02* X90700000Y-110250000D01* -D53* +D46* X102850000Y-98400000D02* X103800000Y-98400000D01* X69659500Y-122174000D02* X70802500Y-121031000D01* -D46* -X141100000Y-118700000D02* -X141350000Y-118950000D01* -D51* +D53* X86387500Y-103400000D02* X87450000Y-103400000D01* -D46* +D47* X112900000Y-114700000D02* X112900000Y-115350000D01* -D49* +D51* X109500000Y-103300000D02* X109450000Y-103350000D01* -D46* +D47* X135200000Y-123250000D02* X134250000Y-123250000D01* -D49* +D51* X76600000Y-120550000D02* X75850000Y-120550000D01* -D53* +D46* X116500000Y-120850000D02* X116500000Y-120050000D01* X86550000Y-120550000D02* X86650000Y-120650000D01* X91050000Y-111150000D02* X91050000Y-110450000D01* -D50* +D52* X111550000Y-124950000D02* X112200000Y-124950000D01* -D53* +D46* X85200000Y-96600000D02* X85200000Y-96750000D01* -D46* +D47* X73660000Y-128270000D02* X74500000Y-128270000D01* -D49* +D51* X126450000Y-108550000D02* X126450000Y-109500000D01* X122900000Y-115350000D02* X122050000Y-115350000D01* -D53* +D46* X57023000Y-100012500D02* X57023000Y-101408000D01* X90050000Y-91650000D02* X90050000Y-90950000D01* -D51* +D53* X87450000Y-103400000D02* X87600000Y-103250000D01* -D48* +D50* X135460000Y-127049000D02* X135509000Y-127000000D01* D54* X118800000Y-119600000D02* X118350000Y-119600000D01* -D46* +D47* X133850000Y-123350000D02* X132750000Y-123350000D01* X130350000Y-118700000D02* X131350000Y-118700000D01* -D49* +D51* X112900000Y-90750000D02* X112900000Y-91950000D01* -D50* +D52* X78750000Y-119050000D02* X78750000Y-118100000D01* -D49* +D51* X127200000Y-113350000D02* X127350000Y-113200000D01* -D51* +D53* X90550000Y-109062500D02* X90550000Y-110100000D01* -D49* +D51* X137300000Y-119450000D02* X138400000Y-119450000D01* D54* X59333000Y-91376500D02* X59309000Y-91352500D01* -D46* +D47* X132750000Y-118700000D02* X132750000Y-117650000D01* -D53* +D46* X85200000Y-103100000D02* X85200000Y-103250000D01* -D51* +D53* X98550000Y-108000000D02* X98400000Y-107850000D01* -D46* +D47* X140100000Y-123350000D02* X139000000Y-123350000D01* -D51* +D53* X86387500Y-96900000D02* X87450000Y-96900000D01* -D50* +D52* X111750000Y-113450000D02* X112900000Y-113450000D01* -D53* +D46* X102900000Y-108200000D02* X102900000Y-108050000D01* -D49* +D51* X104200000Y-120550000D02* X104200000Y-121400000D01* X127200000Y-108550000D02* X127450000Y-108300000D01* -D46* +D47* X126450000Y-94150000D02* X126450000Y-95100000D01* -D49* +D51* X110800000Y-103300000D02* X109500000Y-103300000D01* -D53* +D46* X84300000Y-96400000D02* X83600000Y-96400000D01* X112900000Y-114700000D02* X112900000Y-114050000D01* X60833000Y-101408000D02* X60833000Y-100012500D01* -D49* +D51* X126450000Y-104550000D02* X127200000Y-104550000D01* -D50* +D52* X112900000Y-92650000D02* X112900000Y-91950000D01* -D49* +D51* X112900000Y-90750000D02* X112900000Y-90000000D01* -D53* +D46* X104700000Y-98150000D02* X104700000Y-98200000D01* -D49* +D51* X122900000Y-91500000D02* X123050000Y-91650000D01* -D53* +D46* X63817500Y-99909500D02* X63095000Y-99909500D01* -D51* +D53* X98550000Y-110100000D02* X98400000Y-110250000D01* -D50* +D52* X93150000Y-124950000D02* X93150000Y-126100000D01* -D53* +D46* X77216000Y-97061000D02* X76484500Y-97061000D01* -D46* +D47* X131750000Y-123350000D02* X131550000Y-123550000D01* -D53* +D46* X103800000Y-108400000D02* X104500000Y-108400000D01* X53199400Y-91650600D02* @@ -2220,25 +2260,28 @@ X52100000Y-91650600D01* D54* X114350000Y-119800000D02* X114150000Y-119600000D01* -D46* +D47* X141100000Y-123350000D02* X141300000Y-123550000D01* -D50* +D52* X93150000Y-124950000D02* X93800000Y-124950000D01* -D53* +D46* X135128000Y-99695000D02* X135128000Y-100838000D01* -D50* +D52* X106350000Y-120000000D02* X106350000Y-119050000D01* -D49* +D46* +X140100000Y-118700000D02* +X141050000Y-118700000D01* +D51* X122900000Y-114600000D02* X123050000Y-114450000D01* -D51* +D53* X101712500Y-103900000D02* X100550000Y-103900000D01* -D46* +D47* X130350000Y-117650000D02* X130350000Y-118700000D01* X115394000Y-128270000D02* @@ -2248,19 +2291,19 @@ X129150000Y-118700000D01* D55* X111650000Y-103150000D02* X111750000Y-103050000D01* -D49* +D51* X47000000Y-103600000D02* X47000000Y-104950000D01* -D50* +D52* X87950000Y-119050000D02* X87950000Y-118100000D01* -D46* +D47* X139000000Y-123350000D02* X138900000Y-123450000D01* -D50* +D52* X93150000Y-124950000D02* X93150000Y-123800000D01* -D53* +D46* X103350000Y-103150000D02* X103200000Y-103000000D01* X60833000Y-101408000D02* @@ -2271,28 +2314,28 @@ X85000000Y-96400000D02* X85200000Y-96600000D01* X51650000Y-97000000D02* X52500000Y-97000000D01* -D46* +D47* X120826000Y-128270000D02* X120826000Y-129491000D01* -D53* +D46* X103800000Y-108400000D02* X103100000Y-108400000D01* -D50* +D52* X110900000Y-107050000D02* X110850000Y-107000000D01* -D53* +D46* X51650000Y-97000000D02* X51650000Y-96200000D01* -D51* +D53* X97550000Y-93737500D02* X97550000Y-94800000D01* -D49* +D51* X122900000Y-90750000D02* X122900000Y-90000000D01* -D51* +D53* X104750000Y-103150000D02* X104950000Y-102950000D01* -D46* +D47* X132750000Y-123350000D02* X131750000Y-123350000D01* X140100000Y-123350000D02* @@ -2300,75 +2343,79 @@ X141100000Y-123350000D01* D54* X119000000Y-119800000D02* X118800000Y-119600000D01* -D51* +D53* X101712500Y-103900000D02* X102800000Y-103900000D01* -D50* +D52* X112900000Y-113450000D02* X112900000Y-114050000D01* -D53* +D46* X91050000Y-110450000D02* X90850000Y-110250000D01* X82100000Y-102900000D02* X81950000Y-103050000D01* -D50* +D52* X106500000Y-120150000D02* X106350000Y-120000000D01* -D49* +D51* X112900000Y-90000000D02* X113050000Y-89850000D01* D55* X126400000Y-109450000D02* X126450000Y-109500000D01* -D51* +D53* X98550000Y-109062500D02* X98550000Y-108000000D01* -D48* +D50* X135460000Y-128270000D02* X135460000Y-129491000D01* -D53* +D46* X53199400Y-90651200D02* X53200000Y-90650600D01* -D51* +D53* X97550000Y-94800000D02* X97400000Y-94950000D01* -D53* +D46* X76484500Y-97061000D02* X76454000Y-97091500D01* X91050000Y-111150000D02* X91050000Y-111850000D01* -D49* +D51* X112900000Y-116100000D02* X113050000Y-116250000D01* -D51* +D53* X97550000Y-93737500D02* X97550000Y-92700000D01* -D50* +D52* X112900000Y-103050000D02* X111750000Y-103050000D01* -D53* +D46* X63817500Y-99909500D02* X63817500Y-99060000D01* X108200000Y-103350000D02* X108200000Y-104200000D01* -D48* +D50* X135460000Y-128270000D02* X135460000Y-127049000D01* -D53* +D46* X97250000Y-92550000D02* X97050000Y-92350000D01* -D46* +D47* X126450000Y-94150000D02* X127200000Y-94150000D01* -D53* +D46* X121200000Y-120850000D02* X121200000Y-120050000D01* +D52* +X112900000Y-92650000D02* +X111750000Y-92650000D01* +D46* X70802500Y-121031000D02* X70802500Y-120713500D01* -D46* +D47* X130350000Y-123350000D02* X129150000Y-123350000D01* -D53* +D46* X104700000Y-98200000D02* X104500000Y-98400000D01* X76600000Y-120550000D02* @@ -2376,16 +2423,16 @@ X77350000Y-120550000D01* D55* X110800000Y-103300000D02* X111600000Y-103300000D01* -D50* +D52* X112900000Y-111850000D02* X114050000Y-111850000D01* -D51* +D53* X89550000Y-92700000D02* X89700000Y-92550000D01* -D46* +D47* X134250000Y-123250000D02* X134000000Y-123500000D01* -D49* +D51* X126450000Y-108550000D02* X127200000Y-108550000D01* X112900000Y-115350000D02* @@ -2394,19 +2441,19 @@ X75850000Y-120550000D02* X75700000Y-120400000D01* X126450000Y-113350000D02* X127200000Y-113350000D01* -D53* +D46* X89850000Y-92550000D02* X89700000Y-92550000D01* -D49* +D51* X122900000Y-115350000D02* X122900000Y-114600000D01* -D51* +D53* X85350000Y-96900000D02* X85200000Y-96750000D01* -D46* +D47* X131350000Y-118700000D02* X131550000Y-118900000D01* -D53* +D46* X62103000Y-96458000D02* X62800000Y-96458000D01* X104200000Y-120550000D02* @@ -2415,13 +2462,13 @@ X98050000Y-111150000D02* X98050000Y-111850000D01* X85800000Y-120550000D02* X86550000Y-120550000D01* -D46* +D47* X133750000Y-118700000D02* X134000000Y-118950000D01* -D51* +D53* X86387500Y-96900000D02* X85350000Y-96900000D01* -D53* +D46* X82800000Y-102900000D02* X82100000Y-102900000D01* X59015500Y-92734000D02* @@ -2433,21 +2480,18 @@ X97250000Y-92550000D01* D54* X67650000Y-100450000D02* X66900000Y-100450000D01* -D50* -X111900000Y-92650000D02* -X112900000Y-92650000D01* -D53* +D46* X51900000Y-91850600D02* X52100000Y-91650600D01* X121200000Y-120850000D02* X120500000Y-120850000D01* -D46* +D47* X131350000Y-123350000D02* X131550000Y-123550000D01* D55* X126450000Y-104550000D02* X125650000Y-104550000D01* -D53* +D46* X82800000Y-102900000D02* X82800000Y-102100000D01* X108200000Y-104200000D02* @@ -2456,52 +2500,52 @@ X49550000Y-96700000D02* X50750000Y-96700000D01* X104500000Y-108400000D02* X104650000Y-108250000D01* -D50* +D52* X112900000Y-92650000D02* X114050000Y-92650000D01* -D53* +D46* X83600000Y-108900000D02* X83450000Y-108750000D01* X83600000Y-96400000D02* X83450000Y-96550000D01* -D51* +D53* X101712500Y-98400000D02* X100550000Y-98400000D01* X89550000Y-93737500D02* X89550000Y-92700000D01* -D53* +D46* X91050000Y-111850000D02* X90900000Y-112000000D01* X132750000Y-123350000D02* X132750000Y-122400000D01* X85000000Y-108900000D02* X85150000Y-108750000D01* -D49* +D51* X94250000Y-120550000D02* X94100000Y-120400000D01* -D50* +D52* X111550000Y-124950000D02* X111550000Y-126100000D01* X83950000Y-124950000D02* X83950000Y-123800000D01* -D53* +D46* X103100000Y-108400000D02* X102900000Y-108200000D01* X53900000Y-90000600D02* X53900000Y-89100600D01* -D52* +D48* X118350000Y-120500000D02* X118350000Y-119600000D01* -D46* +D47* X108200000Y-103350000D02* X109450000Y-103350000D01* -D53* +D46* X126450000Y-113350000D02* X125750000Y-113350000D01* -D51* +D53* X89550000Y-94800000D02* X89700000Y-94950000D01* -D46* +D47* X140100000Y-123350000D02* X140100000Y-122300000D01* D54* @@ -2607,7 +2651,7 @@ X98450000Y-124950000D02* X98450000Y-125900000D01* X101350000Y-128800000D02* X101900000Y-128800000D01* -D46* +D47* X76200000Y-128270000D02* X76200000Y-129540000D01* X76200000Y-128270000D02* @@ -2707,14 +2751,14 @@ X124460000Y-131191000D02* X124460000Y-135382000D01* X113150000Y-127950000D02* X114200000Y-126900000D01* -X120250000Y-124350000D02* -X121000000Y-124350000D01* -X115600000Y-124350000D02* -X115600000Y-125800000D01* -X108950000Y-123050000D02* +X118350000Y-122200000D02* +X118350000Y-123500000D01* +X109050000Y-123100000D02* +X108950000Y-123200000D01* +X108950000Y-123200000D02* X108950000Y-124950000D01* -X115600000Y-125800000D02* -X116350000Y-125800000D01* +X113700000Y-122200000D02* +X113700000Y-123100000D01* X107650000Y-124950000D02* X107650000Y-123050000D01* X107000000Y-124950000D02* @@ -2981,24 +3025,24 @@ X85000000Y-106700000D02* X83850000Y-106700000D01* X86387500Y-106900000D02* X85200000Y-106900000D01* -X97800000Y-117750000D02* -X97800000Y-119050000D01* -X95700000Y-116800000D02* -X96850000Y-116800000D01* -X82950000Y-110750000D02* -X86150000Y-113950000D01* X83850000Y-106700000D02* X82950000Y-107600000D01* -X86150000Y-113950000D02* +X82950000Y-110300000D02* +X86600000Y-113950000D01* +X97800000Y-117750000D02* +X97800000Y-119050000D01* +X86600000Y-113950000D02* X92850000Y-113950000D01* -X82950000Y-107600000D02* -X82950000Y-110750000D01* -X85200000Y-106900000D02* -X85000000Y-106700000D01* X96850000Y-116800000D02* X97800000Y-117750000D01* +X82950000Y-107600000D02* +X82950000Y-110300000D01* X92850000Y-113950000D02* X95700000Y-116800000D01* +X95700000Y-116800000D02* +X96850000Y-116800000D01* +X85200000Y-106900000D02* +X85000000Y-106700000D01* X93000000Y-113650000D02* X95850000Y-116500000D01* X97000000Y-116500000D02* @@ -3193,59 +3237,56 @@ X85700000Y-92400000D02* X76650000Y-92400000D01* X88050000Y-93737500D02* X87037500Y-93737500D01* -D53* +D46* X58293000Y-96458000D02* X58293000Y-95059500D01* -D49* +D51* X126450000Y-111850000D02* X127200000Y-111850000D01* X104200000Y-119050000D02* X105075000Y-119050000D01* D53* -X116500000Y-122350000D02* -X117200000Y-122350000D01* -D51* X101712500Y-107400000D02* X102750000Y-107400000D01* -D46* +D47* X127850000Y-118600000D02* X127850000Y-117650000D01* -D53* +D46* X54483000Y-101408000D02* X54483000Y-102806500D01* -D46* +D47* X131750000Y-125050000D02* X131550000Y-124850000D01* -D53* +D46* X84300000Y-104400000D02* X84300000Y-105150000D01* -D51* +D53* X86387500Y-97400000D02* X87450000Y-97400000D01* -D53* +D46* X63817500Y-101409500D02* X64516000Y-101409500D01* X62103000Y-101408000D02* X62800000Y-101408000D01* X124400000Y-115350000D02* X124400000Y-114050000D01* -D50* +D52* X105700000Y-119050000D02* X105700000Y-120150000D01* -D49* +D51* X85775000Y-119050000D02* X86675000Y-119050000D01* X127200000Y-111850000D02* X127350000Y-112000000D01* X114400000Y-115350000D02* X114400000Y-114600000D01* -D53* +D46* X103800000Y-106900000D02* X103100000Y-106900000D01* -D51* +D53* X101712500Y-107400000D02* X100550000Y-107400000D01* -D49* +D51* X137300000Y-120400000D02* X138400000Y-120400000D01* X126450000Y-111850000D02* @@ -3253,88 +3294,88 @@ X126450000Y-111000000D01* D55* X111750000Y-104650000D02* X110950000Y-104650000D01* -D46* +D47* X85800000Y-119050000D02* X85800000Y-118100000D01* -D51* +D53* X87450000Y-97400000D02* X87600000Y-97550000D01* -D49* +D51* X114400000Y-116100000D02* X114250000Y-116250000D01* -D53* +D46* X84300000Y-104400000D02* X85000000Y-104400000D01* -D50* +D52* X124400000Y-92650000D02* X124400000Y-91950000D01* -D49* +D51* X124400000Y-90000000D02* X124250000Y-89850000D01* D56* X101712500Y-97400000D02* X100550000Y-97400000D01* -D53* +D46* X99550000Y-110450000D02* X99350000Y-110250000D01* X84300000Y-105150000D02* X84250000Y-105200000D01* -D49* +D51* X114400000Y-115350000D02* X115250000Y-115350000D01* -D53* +D46* X110850000Y-109450000D02* X110850000Y-110250000D01* -D49* +D51* X114400000Y-114600000D02* X114250000Y-114450000D01* -D51* +D53* X98050000Y-93737500D02* X98050000Y-92700000D01* -D50* +D52* X112900000Y-109450000D02* X114050000Y-109450000D01* D55* X85775000Y-119050000D02* X85050000Y-119050000D01* -D46* +D47* X130350000Y-125050000D02* X131350000Y-125050000D01* -D49* +D51* X124400000Y-90750000D02* X124400000Y-90000000D01* -D53* +D46* X84300000Y-97900000D02* X85000000Y-97900000D01* -D46* +D47* X141100000Y-120400000D02* X141350000Y-120150000D01* -D50* +D52* X124400000Y-113450000D02* X124400000Y-114050000D01* -D53* +D46* X85000000Y-104400000D02* X85200000Y-104200000D01* -D51* +D53* X98050000Y-94800000D02* X98200000Y-94950000D01* -D46* +D47* X135200000Y-125150000D02* X135200000Y-126050000D01* -D53* +D46* X88550000Y-91650000D02* X88550000Y-92350000D01* -D50* +D52* X96500000Y-119050000D02* X96500000Y-117950000D01* -D51* +D53* X86387500Y-103900000D02* X87450000Y-103900000D01* X90050000Y-108000000D02* X89900000Y-107850000D01* X89050000Y-93737500D02* X89050000Y-92700000D01* -D53* +D46* X82800000Y-104400000D02* X81950000Y-104400000D01* X99550000Y-111150000D02* @@ -3345,52 +3386,46 @@ X66900000Y-97450000D01* D55* X94975000Y-119050000D02* X94250000Y-119050000D01* -D49* +D51* X126450000Y-92650000D02* X126450000Y-91800000D01* -D50* +D52* X112900000Y-104650000D02* X114050000Y-104650000D01* -D53* +D46* X104050000Y-101650000D02* X103350000Y-101650000D01* D56* X101712500Y-97400000D02* X102850000Y-97400000D01* -D53* +D46* X103800000Y-96900000D02* X104500000Y-96900000D01* -D49* +D51* X110850000Y-104850000D02* X110800000Y-104800000D01* -D53* +D46* X88550000Y-92350000D02* X88750000Y-92550000D01* -D51* +D53* X90050000Y-109062500D02* X90050000Y-108000000D01* -D53* -X121200000Y-122350000D02* -X121200000Y-123150000D01* -D49* +D51* X114400000Y-90000000D02* X114250000Y-89850000D01* -D53* -X121900000Y-122350000D02* -X122050000Y-122200000D01* -D46* +D47* X140100000Y-120400000D02* X138400000Y-120400000D01* D55* X110950000Y-104650000D02* X110800000Y-104800000D01* -D53* +D46* X89550000Y-110450000D02* X89750000Y-110250000D01* -D51* +D53* X89050000Y-93737500D02* X89050000Y-94800000D01* -D53* +D46* X58293000Y-94234000D02* X58991500Y-94234000D01* X89550000Y-111850000D02* @@ -3400,65 +3435,62 @@ X89550000Y-110450000D01* D54* X63817500Y-95656500D02* X63817500Y-94869000D01* -D50* +D52* X124400000Y-107050000D02* X123250000Y-107050000D01* -D53* +D46* X59563000Y-96458000D02* X59563000Y-97853500D01* X81950000Y-104400000D02* X81065000Y-104400000D01* X62801500Y-101409500D02* X63817500Y-101409500D01* -D51* +D53* X86387500Y-103900000D02* X85350000Y-103900000D01* -D53* +D46* X89750000Y-110250000D02* X89900000Y-110250000D01* X98550000Y-91650000D02* X98550000Y-92350000D01* -D50* +D52* X87300000Y-124950000D02* X87300000Y-123800000D01* -D49* +D51* X124400000Y-115350000D02* X124400000Y-116100000D01* -D53* +D46* X103350000Y-101650000D02* X103200000Y-101800000D01* -D46* +D47* X127200000Y-92650000D02* X127450000Y-92900000D01* -D53* +D46* X88550000Y-91650000D02* X88550000Y-90950000D01* X126450000Y-92650000D02* X125550000Y-92650000D01* -D50* +D52* X124400000Y-113450000D02* X123250000Y-113450000D01* D55* X110650000Y-104650000D02* X110800000Y-104800000D01* -D53* +D46* X81026000Y-104361000D02* X81026000Y-105600500D01* X99350000Y-110250000D02* X99200000Y-110250000D01* -D46* +D47* X95000000Y-119050000D02* X95000000Y-118100000D01* D53* -X121200000Y-122350000D02* -X121900000Y-122350000D01* -D51* X99050000Y-108000000D02* X99200000Y-107850000D01* -D49* +D51* X127450000Y-103300000D02* X127200000Y-103050000D01* -D53* +D46* X58293000Y-94234000D02* X58293000Y-95059500D01* X85000000Y-97900000D02* @@ -3466,71 +3498,64 @@ X85200000Y-97700000D01* D55* X103450000Y-119050000D02* X103350000Y-119150000D01* -D49* +D51* X126450000Y-107050000D02* X126450000Y-106200000D01* -D46* +D47* X133850000Y-125050000D02* X132750000Y-125050000D01* -D49* +D51* X76575000Y-119050000D02* X77475000Y-119050000D01* -D50* +D52* X124400000Y-111850000D02* X123250000Y-111850000D01* -D51* +D53* X85350000Y-97400000D02* X85200000Y-97550000D01* -D46* +D47* X132750000Y-125050000D02* X130350000Y-125050000D01* D55* X109950000Y-104650000D02* X110650000Y-104650000D01* -D51* +D53* X99050000Y-110100000D02* X99200000Y-110250000D01* -D49* +D51* X124400000Y-115350000D02* X125250000Y-115350000D01* -D53* +D46* X89550000Y-111150000D02* X89550000Y-111850000D01* -X115700000Y-122350000D02* -X115550000Y-122200000D01* X104500000Y-106900000D02* X104650000Y-107050000D01* X62800000Y-101408000D02* X62801500Y-101409500D01* -D51* +D53* X102750000Y-107400000D02* X102900000Y-107250000D01* D52* -X115000000Y-122200000D02* -X115000000Y-122900000D01* -D50* X105700000Y-119050000D02* X105700000Y-117950000D01* -D49* +D51* X114400000Y-90750000D02* X114400000Y-90000000D01* X110850000Y-105650000D02* X110850000Y-104850000D01* -D53* -X121200000Y-122350000D02* -X120400000Y-122350000D01* +D46* X68389500Y-119634000D02* X66294000Y-119634000D01* -D49* +D51* X127200000Y-107050000D02* X127450000Y-107300000D01* D54* X67650000Y-95950000D02* X66900000Y-95950000D01* -D50* +D52* X78100000Y-119050000D02* X78100000Y-117950000D01* -D53* +D46* X98200000Y-92550000D02* X98350000Y-92550000D01* X85200000Y-97700000D02* @@ -3539,10 +3564,13 @@ X59563000Y-96458000D02* X59563000Y-95059500D01* X64516000Y-101409500D02* X64706500Y-101219000D01* -D46* +D53* +X117325000Y-118700000D02* +X117325000Y-119600000D01* +D47* X132750000Y-125050000D02* X132750000Y-126100000D01* -D50* +D52* X124400000Y-103050000D02* X123250000Y-103050000D01* X105700000Y-119050000D02* @@ -3550,144 +3578,134 @@ X105075000Y-119050000D01* D54* X63436500Y-122059500D02* X63436500Y-122809000D01* -D50* +D52* X87300000Y-119050000D02* X87300000Y-117950000D01* -D53* +D46* X98350000Y-92550000D02* X98550000Y-92350000D01* -D52* -X119650000Y-122200000D02* -X120250000Y-122200000D01* D55* X94250000Y-119050000D02* X94150000Y-119150000D01* -D53* +D46* X98550000Y-91650000D02* X98550000Y-90950000D01* X103800000Y-106900000D02* X104500000Y-106900000D01* -D46* +D47* X134000000Y-124900000D02* X133850000Y-125050000D01* -D50* +D52* X96500000Y-119050000D02* X95875000Y-119050000D01* -D53* +D46* X63817500Y-101409500D02* X63817500Y-102235000D01* -D51* +D53* X89050000Y-92700000D02* X88900000Y-92550000D01* -D53* +D46* X81065000Y-104400000D02* X81026000Y-104361000D01* -D52* -X115000000Y-122200000D02* -X115550000Y-122200000D01* -D46* +D47* X131350000Y-125050000D02* X131550000Y-124850000D01* -D49* +D51* X114400000Y-90750000D02* X115250000Y-90750000D01* -D46* +D47* X132750000Y-125050000D02* X131750000Y-125050000D01* -D50* +D52* X96500000Y-119050000D02* X96500000Y-120150000D01* -D51* +D53* X90050000Y-110100000D02* X89900000Y-110250000D01* -D46* +D47* X140100000Y-120400000D02* X141100000Y-120400000D01* D54* X63436500Y-123558500D02* X63436500Y-122809000D01* -D53* +D46* X55753000Y-101408000D02* X55753000Y-102806500D01* -D51* +D53* X90050000Y-109062500D02* X90050000Y-110100000D01* -D50* +D52* X78100000Y-119050000D02* X77475000Y-119050000D01* D55* X104175000Y-119050000D02* X103450000Y-119050000D01* -D53* +D46* X106000000Y-101650000D02* X104900000Y-101650000D01* -X120400000Y-122350000D02* -X120250000Y-122200000D01* D54* X104900000Y-103550000D02* X105100000Y-103350000D01* -D53* +D46* X98550000Y-90950000D02* X98400000Y-90800000D01* X85200000Y-104200000D02* X85200000Y-104050000D01* -D46* +D47* X129350000Y-125050000D02* X129150000Y-124850000D01* -D49* +D51* X137300000Y-120400000D02* X137300000Y-121150000D01* -D50* +D52* X124400000Y-92650000D02* X125550000Y-92650000D01* D55* X85050000Y-119050000D02* X84950000Y-119150000D01* -D53* +D46* X99550000Y-111850000D02* X99400000Y-112000000D01* -D49* +D51* X114400000Y-115350000D02* X114400000Y-116100000D01* -D46* +D47* X130350000Y-125050000D02* X129350000Y-125050000D01* -D49* +D51* X126450000Y-103050000D02* X126450000Y-102100000D01* -D51* +D53* +X116600000Y-118700000D02* +X117325000Y-118700000D01* X85350000Y-103900000D02* X85200000Y-104050000D01* -D53* +D46* X82800000Y-105050000D02* X82650000Y-105200000D01* X104050000Y-101650000D02* X104900000Y-101650000D01* -D52* -X115000000Y-122900000D02* -X114800000Y-123100000D01* -D53* X58991500Y-94234000D02* X59182000Y-94043500D01* -D50* +D52* X96500000Y-124950000D02* X96500000Y-123800000D01* -D49* +D51* X76600000Y-119050000D02* X76600000Y-118200000D01* -D53* +D46* X102900000Y-107100000D02* X103100000Y-106900000D01* -D50* +D52* X87300000Y-119050000D02* X86675000Y-119050000D01* -D53* +D46* X58293000Y-96458000D02* X58293000Y-97853500D01* D54* X106000000Y-103350000D02* X105100000Y-103350000D01* -D53* +D46* X88750000Y-92550000D02* X88900000Y-92550000D01* X103100000Y-96900000D02* @@ -3695,160 +3713,150 @@ X102850000Y-97150000D01* D54* X67650000Y-98950000D02* X66900000Y-98950000D01* -D50* +D52* X105700000Y-124950000D02* X105700000Y-123800000D01* X124400000Y-107050000D02* X125550000Y-107050000D01* -D49* +D51* X124400000Y-90750000D02* X125250000Y-90750000D01* -D53* +D46* X62103000Y-101408000D02* X62103000Y-100012500D01* -D46* +D47* X135200000Y-125150000D02* X134250000Y-125150000D01* -D53* +D46* X102900000Y-107250000D02* X102900000Y-107100000D01* D54* X104900000Y-103700000D02* X104900000Y-103550000D01* -D50* +D52* X124400000Y-111850000D02* X125550000Y-111850000D01* D55* X126450000Y-103050000D02* X125550000Y-103050000D01* -D53* +D46* X84300000Y-97900000D02* X83600000Y-97900000D01* -D49* +D51* X124400000Y-116100000D02* X124250000Y-116250000D01* -D46* +D47* X104200000Y-119050000D02* X104200000Y-118100000D01* -D49* +D51* X125550000Y-111850000D02* X126450000Y-111850000D01* -D51* +D53* X89050000Y-94800000D02* X88900000Y-94950000D01* X98050000Y-93737500D02* X98050000Y-94800000D01* -D50* +D52* X112900000Y-109450000D02* X111750000Y-109450000D01* X87300000Y-120150000D02* X87300000Y-119050000D01* -D51* +D53* X98050000Y-92700000D02* X98200000Y-92550000D01* -D53* -X116500000Y-122350000D02* -X115700000Y-122350000D01* -D51* X99050000Y-109062500D02* X99050000Y-108000000D01* D55* X110850000Y-109450000D02* X111750000Y-109450000D01* -D50* +D52* X124400000Y-103050000D02* X125550000Y-103050000D01* -D49* +D51* X94975000Y-119050000D02* X95875000Y-119050000D01* D55* X126450000Y-107050000D02* X125550000Y-107050000D01* -D49* +D51* X126450000Y-107050000D02* X127200000Y-107050000D01* -D46* +D47* X134250000Y-125150000D02* X134000000Y-124900000D01* -D50* +D52* X124400000Y-92650000D02* X123250000Y-92650000D01* -D53* +D46* X99550000Y-111150000D02* X99550000Y-111850000D01* -D51* +D53* X87450000Y-103900000D02* X87600000Y-104050000D01* -D53* +D46* X82800000Y-104400000D02* X82800000Y-105050000D01* -D49* +D51* X137300000Y-118500000D02* X137300000Y-117750000D01* -D50* +D52* X78100000Y-119050000D02* X78100000Y-120150000D01* -D53* +D46* X102850000Y-97400000D02* X102850000Y-97150000D01* X103800000Y-96900000D02* X103100000Y-96900000D01* -X116500000Y-122350000D02* -X116500000Y-123150000D01* -D51* +D53* X99050000Y-109062500D02* X99050000Y-110100000D01* -D49* +D51* X124400000Y-90750000D02* X124400000Y-91950000D01* -D53* +D46* X62103000Y-101408000D02* X62103000Y-102806500D01* -D50* +D52* X112900000Y-104650000D02* X111750000Y-104650000D01* -D53* +D46* X88550000Y-90950000D02* X88700000Y-90800000D01* -D46* +D47* X130350000Y-125050000D02* X130350000Y-126100000D01* X126450000Y-92650000D02* X127200000Y-92650000D01* -D49* +D51* X127200000Y-103050000D02* X126450000Y-103050000D01* X75850000Y-119050000D02* X75700000Y-119200000D01* -D51* +D53* X86387500Y-97400000D02* X85350000Y-97400000D01* -D53* +X117325000Y-118700000D02* +X118050000Y-118700000D01* +D46* X104500000Y-96900000D02* X104650000Y-97050000D01* -D52* -X119650000Y-122200000D02* -X119650000Y-123100000D01* -D49* +D51* X114400000Y-91500000D02* X114250000Y-91650000D01* X76600000Y-119050000D02* X75850000Y-119050000D01* -D53* +D46* X83600000Y-97900000D02* X83450000Y-97750000D01* -D49* +D51* X114400000Y-90750000D02* X114400000Y-91500000D01* D54* X86000000Y-111150000D02* X86000000Y-112050000D01* -D46* +D47* X127850000Y-118600000D02* X126600000Y-118600000D01* -D53* -X117200000Y-122350000D02* -X117350000Y-122200000D01* D54* X103300000Y-115900000D02* X107350000Y-115900000D01* @@ -3996,10 +4004,10 @@ X78100000Y-124950000D02* X78100000Y-123800000D01* X87600000Y-111150000D02* X87600000Y-110500000D01* -D52* +D48* X49675000Y-98500000D02* X50600000Y-98500000D01* -D53* +D46* X50600000Y-98500000D02* X51650000Y-98500000D01* D54* @@ -4245,58 +4253,58 @@ X80010000Y-95694500D02* X79756000Y-95948500D01* X68262500Y-110998000D02* X63754000Y-115506500D01* -X79756000Y-98298000D02* -X75850000Y-102204000D01* -X83150000Y-106400000D02* +X83350000Y-106750000D02* +X83700000Y-106400000D01* +X83700000Y-106400000D02* X86387500Y-106400000D01* -X79756000Y-97061000D02* -X79756000Y-98298000D01* -X82800000Y-106750000D02* -X83150000Y-106400000D01* -X75850000Y-102204000D02* -X75850000Y-104900000D01* +X77700000Y-106750000D02* +X83350000Y-106750000D01* X70040500Y-105664000D02* X68262500Y-107442000D01* X67627500Y-120142000D02* X68389500Y-120904000D01* X65214500Y-120142000D02* X67627500Y-120142000D01* +X79756000Y-98298000D02* +X75850000Y-102204000D01* X63754000Y-118681500D02* X65214500Y-120142000D01* -X77700000Y-106750000D02* -X82800000Y-106750000D01* +X75850000Y-102204000D02* +X75850000Y-104900000D01* +X79756000Y-97061000D02* +X79756000Y-98298000D01* X69913500Y-120904000D02* X69659500Y-120904000D01* X70040500Y-112331500D02* X73596500Y-115887500D01* X73596500Y-115887500D02* X73596500Y-117983000D01* -X86387500Y-105900000D02* -X85400000Y-105900000D01* X70294500Y-108140500D02* X70040500Y-108394500D01* X73596500Y-117983000D02* X72453500Y-119126000D01* -X77216000Y-105803500D02* -X77862500Y-106450000D01* +X83550000Y-106100000D02* +X83200000Y-106450000D01* +X85200000Y-106100000D02* +X83550000Y-106100000D01* X77216000Y-104361000D02* X77216000Y-103124000D01* +X77216000Y-105803500D02* +X77216000Y-104361000D01* +X86387500Y-105900000D02* +X85400000Y-105900000D01* X85400000Y-105900000D02* X85200000Y-106100000D01* -X77216000Y-104361000D02* -X77216000Y-105803500D01* -X77862500Y-106450000D02* -X82650000Y-106450000D01* X72453500Y-119126000D02* X71691500Y-119126000D01* X71691500Y-119126000D02* X69913500Y-120904000D01* X70040500Y-108394500D02* X70040500Y-112331500D01* -X83000000Y-106100000D02* -X82650000Y-106450000D01* -X85200000Y-106100000D02* -X83000000Y-106100000D01* +X83200000Y-106450000D02* +X77862500Y-106450000D01* +X77862500Y-106450000D02* +X77216000Y-105803500D01* X82950000Y-101400000D02* X86387500Y-101400000D01* X81026000Y-99476000D02* @@ -4331,6 +4339,8 @@ X79100000Y-108100000D02* X79100000Y-108300000D01* X80100000Y-109300000D02* X80950000Y-109300000D01* +X115000000Y-119600000D02* +X115000000Y-118700000D01* X91050000Y-108200000D02* X91050000Y-109062500D01* X115000000Y-120500000D02* @@ -4423,12 +4433,6 @@ X54483000Y-95059500D02* X54691900Y-94850600D01* X56750000Y-94000000D02* X55899400Y-94850600D01* -X55076500Y-99800000D02* -X49675000Y-99800000D01* -X57023000Y-96458000D02* -X57023000Y-97853500D01* -X57023000Y-97853500D02* -X55076500Y-99800000D01* X54932000Y-99500000D02* X54673500Y-99500000D01* X55753000Y-96458000D02* @@ -4465,6 +4469,12 @@ G75* G03* X54673500Y-99500000I300000J0D01* G01* +X55076500Y-99800000D02* +X49675000Y-99800000D01* +X57023000Y-96458000D02* +X57023000Y-97853500D01* +X57023000Y-97853500D02* +X55076500Y-99800000D01* X74295000Y-123507500D02* X71691500Y-126111000D01* X70929500Y-111823500D02* @@ -4487,6 +4497,8 @@ X80100000Y-107700000D02* X80050000Y-107650000D01* X69024500Y-124079000D02* X69659500Y-123444000D01* +X68350000Y-114650000D02* +X68350000Y-113750000D01* X114650000Y-105450000D02* X114750000Y-105350000D01* X112900000Y-105450000D02* @@ -4499,7 +4511,7 @@ X122550000Y-105350000D02* X122650000Y-105450000D01* X94050000Y-92600000D02* X93900000Y-92450000D01* -D53* +D46* X135128000Y-92075000D02* X135128000Y-90932000D01* D54* @@ -4507,7 +4519,7 @@ X94050000Y-93737500D02* X94050000Y-92600000D01* X93550000Y-93737500D02* X93550000Y-92800000D01* -D53* +D46* X136398000Y-92075000D02* X136398000Y-90932000D01* D54* @@ -4519,26 +4531,12 @@ X60833000Y-96458000D02* X60833000Y-94627500D01* X108200000Y-101650000D02* X108200000Y-100050000D01* -X113700000Y-123150000D02* -X114000000Y-123450000D01* -X114000000Y-123450000D02* -X114000000Y-124350000D01* -X114000000Y-125800000D02* -X114000000Y-124350000D01* -X113700000Y-122200000D02* -X113700000Y-123150000D01* -X68350000Y-114650000D02* -X68350000Y-113750000D01* -X118350000Y-122200000D02* -X118350000Y-123150000D01* -X118350000Y-123150000D02* -X118650000Y-123450000D01* -X118650000Y-123450000D02* -X118650000Y-124350000D01* X119650000Y-120500000D02* X119650000Y-119600000D01* X93550000Y-109062500D02* X93550000Y-107900000D01* +X119650000Y-119600000D02* +X119650000Y-118700000D01* X86400000Y-95387500D02* X86400000Y-94850000D01* X69250000Y-95950000D02* @@ -4565,59 +4563,59 @@ X87300000Y-98400000D02* X87900000Y-99000000D01* X69250000Y-100450000D02* X70000000Y-100450000D01* -D46* +D47* X128950000Y-120400000D02* X128850000Y-120500000D01* X129350000Y-120400000D02* X129150000Y-120200000D01* X128850000Y-120500000D02* X129150000Y-120200000D01* -D53* +D46* X102800000Y-95400000D02* X103800000Y-95400000D01* -D49* +D51* X135200000Y-120400000D02* X134000000Y-120400000D01* -D53* +D46* X130350000Y-120400000D02* X130350000Y-121350000D01* -D46* +D47* X130350000Y-120400000D02* X129350000Y-120400000D01* -D53* +D46* X85300000Y-107400000D02* X84300000Y-107400000D01* -D46* +D47* X127850000Y-120500000D02* X126600000Y-120500000D01* X132750000Y-120400000D02* X130350000Y-120400000D01* -D51* +D53* X87800000Y-107400000D02* X86387500Y-107400000D01* X101712500Y-95400000D02* X102800000Y-95400000D01* -D46* +D47* X127850000Y-120500000D02* X128850000Y-120500000D01* -D51* +D53* X88400000Y-106800000D02* X87800000Y-107400000D01* X95300000Y-100050000D02* X99950000Y-95400000D01* -D46* +D47* X130350000Y-120400000D02* X131350000Y-120400000D01* -D51* +D53* X86387500Y-107400000D02* X85300000Y-107400000D01* -D53* +D46* X132750000Y-120400000D02* X132750000Y-121350000D01* -D51* +D53* X95300000Y-106800000D02* X88400000Y-106800000D01* -D46* +D47* X131750000Y-120400000D02* X131550000Y-120200000D01* X131350000Y-120400000D02* @@ -4628,21 +4626,21 @@ X132750000Y-120400000D02* X134000000Y-120400000D01* X132750000Y-120400000D02* X131750000Y-120400000D01* -D51* +D53* X99950000Y-95400000D02* X101712500Y-95400000D01* X95300000Y-106800000D02* X95300000Y-100050000D01* -D49* +D51* X135200000Y-120400000D02* X135200000Y-121150000D01* D54* X102950000Y-100900000D02* X105300000Y-98550000D01* -X105300000Y-98550000D02* -X106600000Y-98550000D01* X101712500Y-100900000D02* X102950000Y-100900000D01* +X105300000Y-98550000D02* +X106600000Y-98550000D01* G04 #@! TA.AperFunction,Conductor* G36* X138267190Y-123817593D02* @@ -6243,10 +6241,10 @@ X89693167Y-113640346D01* X89679853Y-113690033D01* X89637716Y-113719538D01* X89618253Y-113722100D01* -X86275547Y-113722100D01* -X86227209Y-113704507D01* -X86222373Y-113700074D01* -X84010718Y-111488418D01* +X86725548Y-113722100D01* +X86677210Y-113704507D01* +X86672374Y-113700074D01* +X84460718Y-111488418D01* X85497100Y-111488418D01* X85503386Y-111536174D01* X85503388Y-111536180D01* @@ -6312,10 +6310,10 @@ X85503388Y-110763819D01* X85503386Y-110763825D01* X85497100Y-110811581D01* X85497100Y-111488418D01* -X84010718Y-111488418D01* -X83199926Y-110677626D01* -X83178186Y-110631006D01* -X83177900Y-110624452D01* +X84460718Y-111488418D01* +X83199926Y-110227626D01* +X83178186Y-110181006D01* +X83177900Y-110174452D01* X83177900Y-109050000D01* X83672601Y-109050000D01* X83672601Y-109147142D01* @@ -7661,7 +7659,7 @@ X141948378Y-126322855D01* X141957899Y-126268856D01* X141957900Y-126268856D01* X141957900Y-125231145D01* -X141957815Y-125227254D01* +X141957859Y-125229283D01* X141957750Y-125224264D01* X141957464Y-125217710D01* X141942819Y-125157861D01* @@ -8544,6 +8542,689 @@ X111050000Y-125100000D01* X110750000Y-125100000D01* X110400000Y-125100000D01* X110100000Y-125100000D01* +X110100000Y-124850000D01* +X128592329Y-124850000D01* +X128595049Y-124870665D01* +X128595648Y-124883045D01* +X128594827Y-124907069D01* +X128594828Y-124907076D01* +X128604770Y-124947876D01* +X128606264Y-124955861D01* +X128611330Y-124994333D01* +X128611331Y-124994337D01* +X128620683Y-125016915D01* +X128624269Y-125027887D01* +X128630813Y-125054742D01* +X128630814Y-125054745D01* +X128649639Y-125088223D01* +X128653566Y-125096301D01* +X128667043Y-125128837D01* +X128667044Y-125128839D01* +X128684106Y-125151074D01* +X128689994Y-125159995D01* +X128705302Y-125187219D01* +X128705309Y-125187228D01* +X128729924Y-125211843D01* +X128736409Y-125219237D01* +X128755666Y-125244332D01* +X128780763Y-125263591D01* +X128788157Y-125270076D01* +X128951449Y-125433368D01* +X128953234Y-125435214D01* +X128997791Y-125482923D01* +X128998274Y-125483217D01* +X129035213Y-125505679D01* +X129041576Y-125510010D01* +X129076471Y-125536472D01* +X129076474Y-125536473D01* +X129097160Y-125544631D01* +X129108646Y-125550336D01* +X129121082Y-125557898D01* +X129127653Y-125561894D01* +X129169832Y-125573711D01* +X129177100Y-125576155D01* +X129217862Y-125592230D01* +X129239989Y-125594503D01* +X129252581Y-125596896D01* +X129274006Y-125602900D01* +X129317796Y-125602900D01* +X129325485Y-125603293D01* +X129369054Y-125607773D01* +X129369054Y-125607772D01* +X129369056Y-125607773D01* +X129390971Y-125603994D01* +X129403750Y-125602900D01* +X129584287Y-125602900D01* +X129632625Y-125620493D01* +X129637461Y-125624926D01* +X129665291Y-125652756D01* +X129691004Y-125665857D01* +X129756039Y-125698993D01* +X129791122Y-125736613D01* +X129797100Y-125765997D01* +X129797100Y-126058829D01* +X129796456Y-126068644D01* +X129792862Y-126095956D01* +X129792329Y-126100001D01* +X129796568Y-126132207D01* +X129797034Y-126136864D01* +X129797098Y-126137807D01* +X129801994Y-126173435D01* +X129802050Y-126173854D01* +X129811505Y-126245661D01* +X129812236Y-126249283D01* +X129812597Y-126250573D01* +X129840753Y-126315396D01* +X129841253Y-126316576D01* +X129867041Y-126378833D01* +X129869506Y-126383102D01* +X129869073Y-126383351D01* +X129870413Y-126385628D01* +X129870480Y-126385588D01* +X129873149Y-126389978D01* +X129915481Y-126442011D01* +X129916807Y-126443690D01* +X129955669Y-126494336D01* +X129959150Y-126497817D01* +X129959132Y-126497834D01* +X129967564Y-126506030D01* +X129969067Y-126507877D01* +X129969069Y-126507880D01* +X130020918Y-126544477D01* +X130023332Y-126546254D01* +X130030530Y-126551777D01* +X130071164Y-126582957D01* +X130073747Y-126584026D01* +X130088339Y-126592068D01* +X130093237Y-126595526D01* +X130093238Y-126595526D01* +X130093239Y-126595527D01* +X130149686Y-126615588D01* +X130153251Y-126616958D01* +X130205664Y-126638669D01* +X130212022Y-126639505D01* +X130227389Y-126643204D01* +X130236452Y-126646425D01* +X130292641Y-126650268D01* +X130297324Y-126650736D01* +X130304163Y-126651636D01* +X130350000Y-126657671D01* +X130359944Y-126656361D01* +X130374889Y-126655894D01* +X130388082Y-126656797D01* +X130388085Y-126656797D01* +X130388085Y-126656796D01* +X130388086Y-126656797D01* +X130439752Y-126646060D01* +X130445189Y-126645138D01* +X130494336Y-126638669D01* +X130506930Y-126633451D01* +X130520409Y-126629299D01* +X130536896Y-126625874D01* +X130536897Y-126625873D01* +X130536899Y-126625873D01* +X130580550Y-126603254D01* +X130586363Y-126600549D01* +X130628836Y-126582957D01* +X130642513Y-126572460D01* +X130653695Y-126565352D01* +X130671844Y-126555949D01* +X130705167Y-126524826D01* +X130710701Y-126520139D01* +X130718787Y-126513935D01* +X130739770Y-126497834D01* +X130744328Y-126494337D01* +X130744328Y-126494336D01* +X130744333Y-126494333D01* +X130757022Y-126477795D01* +X130765349Y-126468620D01* +X130782923Y-126452209D01* +X130804748Y-126416317D01* +X130809326Y-126409630D01* +X130832957Y-126378836D01* +X130842312Y-126356249D01* +X130847523Y-126345977D01* +X130861894Y-126322347D01* +X130872258Y-126285352D01* +X130875195Y-126276862D01* +X130875896Y-126275171D01* +X130888669Y-126244336D01* +X130892328Y-126216536D01* +X130894471Y-126206075D01* +X130902900Y-126175994D01* +X130902900Y-126141170D01* +X130903543Y-126131355D01* +X130903554Y-126131268D01* +X130907671Y-126100000D01* +X130903543Y-126068644D01* +X130902900Y-126058829D01* +X130902900Y-125765997D01* +X130920493Y-125717659D01* +X130943959Y-125698993D01* +X131034710Y-125652755D01* +X131062539Y-125624926D01* +X131109159Y-125603186D01* +X131115713Y-125602900D01* +X131339285Y-125602900D01* +X131341851Y-125602943D01* +X131352098Y-125603294D01* +X131407071Y-125605172D01* +X131407072Y-125605172D01* +X131407072Y-125605171D01* +X131407073Y-125605172D01* +X131407623Y-125605038D01* +X131425428Y-125602900D01* +X131674006Y-125602900D01* +X131717796Y-125602900D01* +X131725485Y-125603293D01* +X131769054Y-125607773D01* +X131769054Y-125607772D01* +X131769056Y-125607773D01* +X131790971Y-125603994D01* +X131803750Y-125602900D01* +X131984287Y-125602900D01* +X132032625Y-125620493D01* +X132037461Y-125624926D01* +X132065291Y-125652756D01* +X132091004Y-125665857D01* +X132156039Y-125698993D01* +X132191122Y-125736613D01* +X132197100Y-125765997D01* +X132197100Y-126058829D01* +X132196456Y-126068644D01* +X132192862Y-126095956D01* +X132192329Y-126100001D01* +X132196568Y-126132207D01* +X132197034Y-126136864D01* +X132197098Y-126137807D01* +X132201994Y-126173435D01* +X132202050Y-126173854D01* +X132211505Y-126245661D01* +X132212236Y-126249283D01* +X132212597Y-126250573D01* +X132240753Y-126315396D01* +X132241253Y-126316576D01* +X132267041Y-126378833D01* +X132269506Y-126383102D01* +X132269073Y-126383351D01* +X132270413Y-126385628D01* +X132270480Y-126385588D01* +X132273149Y-126389978D01* +X132315481Y-126442011D01* +X132316807Y-126443690D01* +X132355669Y-126494336D01* +X132359150Y-126497817D01* +X132359132Y-126497834D01* +X132367564Y-126506030D01* +X132369067Y-126507877D01* +X132369069Y-126507880D01* +X132420918Y-126544477D01* +X132423332Y-126546254D01* +X132430530Y-126551777D01* +X132471164Y-126582957D01* +X132473747Y-126584026D01* +X132488339Y-126592068D01* +X132493237Y-126595526D01* +X132493238Y-126595526D01* +X132493239Y-126595527D01* +X132549686Y-126615588D01* +X132553251Y-126616958D01* +X132605664Y-126638669D01* +X132612022Y-126639505D01* +X132627389Y-126643204D01* +X132636452Y-126646425D01* +X132692641Y-126650268D01* +X132697324Y-126650736D01* +X132704163Y-126651636D01* +X132750000Y-126657671D01* +X132759944Y-126656361D01* +X132774889Y-126655894D01* +X132788082Y-126656797D01* +X132788085Y-126656797D01* +X132788085Y-126656796D01* +X132788086Y-126656797D01* +X132839752Y-126646060D01* +X132845189Y-126645138D01* +X132894336Y-126638669D01* +X132906930Y-126633451D01* +X132920409Y-126629299D01* +X132936896Y-126625874D01* +X132936897Y-126625873D01* +X132936899Y-126625873D01* +X132980550Y-126603254D01* +X132986363Y-126600549D01* +X133028836Y-126582957D01* +X133042513Y-126572460D01* +X133053695Y-126565352D01* +X133071844Y-126555949D01* +X133105167Y-126524826D01* +X133110701Y-126520139D01* +X133118787Y-126513935D01* +X133139770Y-126497834D01* +X133144328Y-126494337D01* +X133144328Y-126494336D01* +X133144333Y-126494333D01* +X133157022Y-126477795D01* +X133165349Y-126468620D01* +X133182923Y-126452209D01* +X133204748Y-126416317D01* +X133209326Y-126409630D01* +X133232957Y-126378836D01* +X133242312Y-126356249D01* +X133247523Y-126345977D01* +X133261894Y-126322347D01* +X133272258Y-126285352D01* +X133275195Y-126276862D01* +X133275896Y-126275171D01* +X133288669Y-126244336D01* +X133292328Y-126216536D01* +X133294471Y-126206075D01* +X133302900Y-126175994D01* +X133302900Y-126141170D01* +X133303543Y-126131355D01* +X133303554Y-126131268D01* +X133307671Y-126100000D01* +X133303543Y-126068644D01* +X133302900Y-126058829D01* +X133302900Y-125765997D01* +X133320493Y-125717659D01* +X133343959Y-125698993D01* +X133434710Y-125652755D01* +X133462539Y-125624926D01* +X133509159Y-125603186D01* +X133515713Y-125602900D01* +X133839285Y-125602900D01* +X133841851Y-125602943D01* +X133848036Y-125603155D01* +X133912215Y-125605348D01* +X133912119Y-125608151D01* +X133952104Y-125618137D01* +X133955451Y-125620532D01* +X133976470Y-125636472D01* +X133976471Y-125636472D01* +X133976472Y-125636473D01* +X133997162Y-125644632D01* +X134008643Y-125650334D01* +X134027653Y-125661894D01* +X134069831Y-125673711D01* +X134077105Y-125676157D01* +X134117862Y-125692230D01* +X134139994Y-125694505D01* +X134152590Y-125696899D01* +X134174006Y-125702900D01* +X134217795Y-125702900D01* +X134225484Y-125703293D01* +X134269053Y-125707773D01* +X134269053Y-125707772D01* +X134269055Y-125707773D01* +X134290970Y-125703994D01* +X134303749Y-125702900D01* +X134571900Y-125702900D01* +X134620238Y-125720493D01* +X134645958Y-125765042D01* +X134647100Y-125778100D01* +X134647100Y-126008829D01* +X134646457Y-126018644D01* +X134642329Y-126050001D01* +X134646568Y-126082207D01* +X134647034Y-126086864D01* +X134647098Y-126087807D01* +X134651994Y-126123435D01* +X134652050Y-126123854D01* +X134661505Y-126195661D01* +X134662236Y-126199283D01* +X134662597Y-126200573D01* +X134690753Y-126265396D01* +X134691253Y-126266576D01* +X134717041Y-126328833D01* +X134719506Y-126333102D01* +X134719073Y-126333351D01* +X134720413Y-126335628D01* +X134720480Y-126335588D01* +X134723149Y-126339978D01* +X134739122Y-126359611D01* +X134762655Y-126388538D01* +X134765481Y-126392011D01* +X134766807Y-126393690D01* +X134805669Y-126444336D01* +X134809150Y-126447817D01* +X134809132Y-126447834D01* +X134817564Y-126456030D01* +X134819067Y-126457877D01* +X134819069Y-126457880D01* +X134870918Y-126494477D01* +X134873332Y-126496254D01* +X134888481Y-126507878D01* +X134921164Y-126532957D01* +X134923747Y-126534026D01* +X134938339Y-126542068D01* +X134943237Y-126545526D01* +X134943238Y-126545526D01* +X134943239Y-126545527D01* +X134999686Y-126565588D01* +X135003251Y-126566958D01* +X135055664Y-126588669D01* +X135062022Y-126589505D01* +X135077389Y-126593204D01* +X135086452Y-126596425D01* +X135142641Y-126600268D01* +X135147324Y-126600736D01* +X135154163Y-126601636D01* +X135200000Y-126607671D01* +X135209944Y-126606361D01* +X135224889Y-126605894D01* +X135238082Y-126606797D01* +X135238085Y-126606797D01* +X135238085Y-126606796D01* +X135238086Y-126606797D01* +X135289752Y-126596060D01* +X135295189Y-126595138D01* +X135344336Y-126588669D01* +X135356930Y-126583451D01* +X135370409Y-126579299D01* +X135386896Y-126575874D01* +X135386897Y-126575873D01* +X135386899Y-126575873D01* +X135430550Y-126553254D01* +X135436363Y-126550549D01* +X135478836Y-126532957D01* +X135492513Y-126522460D01* +X135503695Y-126515352D01* +X135521844Y-126505949D01* +X135555167Y-126474826D01* +X135560701Y-126470139D01* +X135594328Y-126444337D01* +X135594328Y-126444336D01* +X135594333Y-126444333D01* +X135607022Y-126427795D01* +X135615349Y-126418620D01* +X135632923Y-126402209D01* +X135654748Y-126366317D01* +X135659326Y-126359630D01* +X135682957Y-126328836D01* +X135692312Y-126306249D01* +X135697523Y-126295977D01* +X135711894Y-126272347D01* +X135722258Y-126235352D01* +X135725195Y-126226862D01* +X135725530Y-126226055D01* +X135738669Y-126194336D01* +X135742328Y-126166536D01* +X135744471Y-126156075D01* +X135752900Y-126125994D01* +X135752900Y-126091170D01* +X135753543Y-126081355D01* +X135754381Y-126074986D01* +X135757671Y-126050000D01* +X135753543Y-126018644D01* +X135752900Y-126008829D01* +X135752900Y-125751673D01* +X135770493Y-125703335D01* +X135795073Y-125684114D01* +X135882898Y-125641179D01* +X135966177Y-125557900D01* +X135966179Y-125557898D01* +X136017906Y-125452088D01* +X136026601Y-125392408D01* +X136027899Y-125383502D01* +X136027900Y-125383492D01* +X136027900Y-124916507D01* +X136027899Y-124916497D01* +X136021454Y-124872266D01* +X136017906Y-124847912D01* +X135966179Y-124742102D01* +X135966177Y-124742100D01* +X135966177Y-124742099D01* +X135882900Y-124658822D01* +X135867301Y-124651196D01* +X135777088Y-124607094D01* +X135708502Y-124597100D01* +X135708494Y-124597100D01* +X135275994Y-124597100D01* +X135221460Y-124597100D01* +X135216328Y-124596925D01* +X135161914Y-124593203D01* +X135150729Y-124595527D01* +X135135430Y-124597100D01* +X134510167Y-124597100D01* +X134461829Y-124579507D01* +X134456993Y-124575074D01* +X134420071Y-124538152D01* +X134413584Y-124530756D01* +X134394333Y-124505667D01* +X134386372Y-124499558D01* +X134375482Y-124489329D01* +X134366784Y-124479354D01* +X134322674Y-124450422D01* +X134318140Y-124447201D01* +X134277794Y-124416243D01* +X134274691Y-124414182D01* +X134273526Y-124413526D01* +X134262900Y-124409336D01* +X134249247Y-124402261D01* +X134239699Y-124395999D01* +X134239692Y-124395995D01* +X134192838Y-124381124D01* +X134186811Y-124378924D01* +X134144338Y-124361331D01* +X134139582Y-124360057D01* +X134139710Y-124359578D01* +X134137150Y-124358914D01* +X134137133Y-124358987D01* +X134132140Y-124357770D01* +X134120768Y-124356601D01* +X134105713Y-124353472D01* +X134094827Y-124350017D01* +X134083454Y-124349628D01* +X134049272Y-124348460D01* +X134042027Y-124347861D01* +X134000001Y-124342329D01* +X133995076Y-124342329D01* +X133995076Y-124342304D01* +X133983323Y-124342471D01* +X133980944Y-124342226D01* +X133969682Y-124344168D01* +X133954343Y-124345217D01* +X133942931Y-124344827D01* +X133942921Y-124344828D01* +X133902122Y-124354770D01* +X133894138Y-124356264D01* +X133855668Y-124361330D01* +X133855660Y-124361332D01* +X133853073Y-124362404D01* +X133837086Y-124367031D01* +X133831166Y-124368052D01* +X133820851Y-124372957D01* +X133806367Y-124378105D01* +X133795261Y-124380812D01* +X133795259Y-124380812D01* +X133795259Y-124380813D01* +X133763098Y-124398896D01* +X133761771Y-124399642D01* +X133753697Y-124403567D01* +X133721159Y-124417045D01* +X133716065Y-124420954D01* +X133702600Y-124429195D01* +X133693909Y-124433329D01* +X133693908Y-124433329D01* +X133685292Y-124440841D01* +X133672742Y-124449700D01* +X133662774Y-124455306D01* +X133643007Y-124475074D01* +X133596387Y-124496814D01* +X133589833Y-124497100D01* +X133515713Y-124497100D01* +X133467375Y-124479507D01* +X133462539Y-124475074D01* +X133434710Y-124447245D01* +X133317466Y-124387507D01* +X133317468Y-124387507D01* +X133220194Y-124372100D01* +X133220192Y-124372100D01* +X132279808Y-124372100D01* +X132279805Y-124372100D01* +X132182532Y-124387507D01* +X132065290Y-124447244D01* +X132053773Y-124458762D01* +X132007152Y-124480500D01* +X131957465Y-124467185D01* +X131947426Y-124458760D01* +X131944336Y-124455670D01* +X131944334Y-124455668D01* +X131944333Y-124455667D01* +X131936372Y-124449558D01* +X131925477Y-124439324D01* +X131916784Y-124429354D01* +X131880116Y-124405303D01* +X131872669Y-124400418D01* +X131868137Y-124397199D01* +X131833933Y-124370954D01* +X131828836Y-124367043D01* +X131828834Y-124367042D01* +X131827785Y-124366237D01* +X131824691Y-124364182D01* +X131823531Y-124363530D01* +X131823529Y-124363528D01* +X131812902Y-124359337D01* +X131799250Y-124352262D01* +X131789699Y-124345998D01* +X131789690Y-124345993D01* +X131742831Y-124331121D01* +X131736807Y-124328923D01* +X131694336Y-124311331D01* +X131694334Y-124311330D01* +X131689582Y-124310057D01* +X131689710Y-124309578D01* +X131687150Y-124308914D01* +X131687133Y-124308987D01* +X131682140Y-124307770D01* +X131670768Y-124306601D01* +X131655713Y-124303472D01* +X131644827Y-124300017D01* +X131633454Y-124299628D01* +X131599272Y-124298460D01* +X131592027Y-124297861D01* +X131550001Y-124292329D01* +X131545076Y-124292329D01* +X131545076Y-124292304D01* +X131533323Y-124292471D01* +X131530944Y-124292226D01* +X131519682Y-124294168D01* +X131504343Y-124295217D01* +X131492931Y-124294827D01* +X131492922Y-124294828D01* +X131452123Y-124304770D01* +X131444139Y-124306264D01* +X131405667Y-124311330D01* +X131405657Y-124311333D01* +X131403069Y-124312405D01* +X131387087Y-124317030D01* +X131381171Y-124318050D01* +X131381165Y-124318052D01* +X131370844Y-124322960D01* +X131356365Y-124328106D01* +X131345260Y-124330813D01* +X131345256Y-124330814D01* +X131311771Y-124349642D01* +X131303695Y-124353568D01* +X131271160Y-124367045D01* +X131271158Y-124367046D01* +X131266065Y-124370954D01* +X131252600Y-124379195D01* +X131243910Y-124383328D01* +X131243908Y-124383329D01* +X131235296Y-124390839D01* +X131222734Y-124399706D01* +X131212780Y-124405303D01* +X131212770Y-124405310D01* +X131188156Y-124429924D01* +X131180764Y-124436408D01* +X131155662Y-124455670D01* +X131152573Y-124458760D01* +X131105953Y-124480500D01* +X131056266Y-124467186D01* +X131046225Y-124458760D01* +X131034710Y-124447245D01* +X130917466Y-124387507D01* +X130917468Y-124387507D01* +X130820194Y-124372100D01* +X130820192Y-124372100D01* +X129879808Y-124372100D01* +X129879805Y-124372100D01* +X129782532Y-124387507D01* +X129665289Y-124447245D01* +X129653775Y-124458760D01* +X129607155Y-124480500D01* +X129557468Y-124467186D01* +X129547427Y-124458760D01* +X129544336Y-124455669D01* +X129518571Y-124435899D01* +X129514931Y-124432920D01* +X129514227Y-124432306D01* +X129485467Y-124410496D01* +X129485128Y-124410237D01* +X129427787Y-124366238D01* +X129424691Y-124364182D01* +X129423524Y-124363525D01* +X129357833Y-124337619D01* +X129356645Y-124337139D01* +X129294339Y-124311331D01* +X129289582Y-124310057D01* +X129289710Y-124309578D01* +X129287148Y-124308914D01* +X129287131Y-124308987D01* +X129282137Y-124307769D01* +X129215426Y-124300911D01* +X129213302Y-124300662D01* +X129150000Y-124292329D01* +X129145076Y-124292329D01* +X129145076Y-124292304D01* +X129133324Y-124292471D01* +X129130948Y-124292227D01* +X129130947Y-124292227D01* +X129130946Y-124292227D01* +X129112073Y-124295481D01* +X129068390Y-124303012D01* +X129065431Y-124303461D01* +X129005669Y-124311330D01* +X129005660Y-124311332D01* +X129003073Y-124312404D01* +X128987086Y-124317031D01* +X128981165Y-124318052D01* +X128927073Y-124343777D01* +X128923555Y-124345341D01* +X128871168Y-124367040D01* +X128871159Y-124367046D01* +X128866065Y-124370954D01* +X128852600Y-124379195D01* +X128843910Y-124383328D01* +X128843908Y-124383329D01* +X128801455Y-124420346D01* +X128797814Y-124423326D01* +X128776969Y-124439321D01* +X128755667Y-124455667D01* +X128749559Y-124463625D01* +X128739327Y-124474519D01* +X128729354Y-124483214D01* +X128700425Y-124527321D01* +X128697206Y-124531854D01* +X128667042Y-124571166D01* +X128661826Y-124583758D01* +X128655235Y-124596216D01* +X128645995Y-124610303D01* +X128645994Y-124610305D01* +X128631121Y-124657169D01* +X128628921Y-124663197D01* +X128611330Y-124705665D01* +X128609080Y-124722756D01* +X128606201Y-124735686D01* +X128600018Y-124755165D01* +X128600017Y-124755175D01* +X128598460Y-124800726D01* +X128597861Y-124807970D01* +X128592329Y-124849999D01* +X128592329Y-124850000D01* +X110100000Y-124850000D01* X110100000Y-124800000D01* X110400000Y-124800000D01* X110750000Y-124800000D01* @@ -8604,30 +9285,795 @@ X109233219Y-124132378D01* X109211320Y-124117745D01* X109180904Y-124076261D01* X109177900Y-124055219D01* -X109177900Y-123423035D01* -X109195493Y-123374697D01* -X109199926Y-123369861D01* -X109238712Y-123331075D01* -X109280016Y-123289771D01* -X109337957Y-123176055D01* -X109357922Y-123050000D01* -X109337957Y-122923945D01* -X109280016Y-122810229D01* -X109189771Y-122719984D01* -X109076055Y-122662043D01* -X109076057Y-122662043D01* -X108950000Y-122642078D01* -X108823943Y-122662043D01* -X108710228Y-122719984D01* -X108619984Y-122810228D01* -X108562043Y-122923943D01* -X108542078Y-123049999D01* -X108542078Y-123050000D01* -X108562043Y-123176056D01* -X108619984Y-123289771D01* -X108700074Y-123369861D01* -X108721814Y-123416481D01* -X108722100Y-123423035D01* +X109177900Y-123533099D01* +X109195493Y-123484761D01* +X109218958Y-123466095D01* +X109289771Y-123430016D01* +X109380016Y-123339771D01* +X109437957Y-123226055D01* +X109457922Y-123100000D01* +X113292078Y-123100000D01* +X113312043Y-123226056D01* +X113344508Y-123289771D01* +X113369984Y-123339771D01* +X113460229Y-123430016D01* +X113573943Y-123487956D01* +X113573945Y-123487957D01* +X113700000Y-123507922D01* +X113826055Y-123487957D01* +X113939771Y-123430016D01* +X114030016Y-123339771D01* +X114087957Y-123226055D01* +X114107922Y-123100000D01* +X114592078Y-123100000D01* +X114612043Y-123226056D01* +X114644508Y-123289771D01* +X114669984Y-123339771D01* +X114760229Y-123430016D01* +X114873943Y-123487956D01* +X114873945Y-123487957D01* +X115000000Y-123507922D01* +X115126055Y-123487957D01* +X115239771Y-123430016D01* +X115330016Y-123339771D01* +X115387957Y-123226055D01* +X115407922Y-123100000D01* +X115387957Y-122973945D01* +X115374498Y-122947530D01* +X115361096Y-122921226D01* +X115352900Y-122887086D01* +X115352900Y-122748044D01* +X115370493Y-122699706D01* +X115415042Y-122673986D01* +X115462240Y-122681040D01* +X115471896Y-122685960D01* +X115483566Y-122691907D01* +X115493619Y-122698067D01* +X115514807Y-122713461D01* +X115534883Y-122719984D01* +X115539709Y-122721552D01* +X115550613Y-122726069D01* +X115573942Y-122737956D01* +X115573943Y-122737956D01* +X115573945Y-122737957D01* +X115599812Y-122742053D01* +X115611271Y-122744804D01* +X115636187Y-122752900D01* +X115668292Y-122752900D01* +X115879998Y-122752900D01* +X115928336Y-122770493D01* +X115933172Y-122774926D01* +X116022237Y-122863991D01* +X116022238Y-122863991D01* +X116022239Y-122863992D01* +X116054926Y-122879971D01* +X116090625Y-122917004D01* +X116097100Y-122947530D01* +X116097100Y-123112374D01* +X116096174Y-123124138D01* +X116092078Y-123149999D01* +X116092078Y-123150000D01* +X116112043Y-123276056D01* +X116131906Y-123315039D01* +X116169984Y-123389771D01* +X116260229Y-123480016D01* +X116373943Y-123537956D01* +X116373945Y-123537957D01* +X116500000Y-123557922D01* +X116626055Y-123537957D01* +X116700550Y-123500000D01* +X117942078Y-123500000D01* +X117962043Y-123626056D01* +X118009736Y-123719659D01* +X118019984Y-123739771D01* +X118110229Y-123830016D01* +X118223943Y-123887956D01* +X118223945Y-123887957D01* +X118350000Y-123907922D01* +X118476055Y-123887957D01* +X118589771Y-123830016D01* +X118680016Y-123739771D01* +X118737957Y-123626055D01* +X118757922Y-123500000D01* +X118756014Y-123487956D01* +X118752552Y-123466095D01* +X118737957Y-123373945D01* +X118680016Y-123260229D01* +X118599925Y-123180138D01* +X118578186Y-123133518D01* +X118577900Y-123126964D01* +X118577900Y-123100000D01* +X119242078Y-123100000D01* +X119262043Y-123226056D01* +X119294508Y-123289771D01* +X119319984Y-123339771D01* +X119410229Y-123430016D01* +X119523943Y-123487956D01* +X119523945Y-123487957D01* +X119650000Y-123507922D01* +X119776055Y-123487957D01* +X119889771Y-123430016D01* +X119980016Y-123339771D01* +X120037957Y-123226055D01* +X120057922Y-123100000D01* +X120037957Y-122973945D01* +X120024498Y-122947530D01* +X120011096Y-122921226D01* +X120002900Y-122887086D01* +X120002900Y-122704236D01* +X120020493Y-122655898D01* +X120065042Y-122630178D01* +X120115700Y-122639111D01* +X120131274Y-122651062D01* +X120160226Y-122680014D01* +X120160228Y-122680015D01* +X120160229Y-122680016D01* +X120183567Y-122691907D01* +X120193619Y-122698067D01* +X120214807Y-122713461D01* +X120234883Y-122719984D01* +X120239709Y-122721552D01* +X120250613Y-122726069D01* +X120273942Y-122737956D01* +X120273943Y-122737956D01* +X120273945Y-122737957D01* +X120299812Y-122742053D01* +X120311271Y-122744804D01* +X120336187Y-122752900D01* +X120368292Y-122752900D01* +X120579998Y-122752900D01* +X120628336Y-122770493D01* +X120633172Y-122774926D01* +X120722237Y-122863991D01* +X120722238Y-122863991D01* +X120722239Y-122863992D01* +X120754926Y-122879971D01* +X120790625Y-122917004D01* +X120797100Y-122947530D01* +X120797100Y-123112374D01* +X120796174Y-123124138D01* +X120792078Y-123149999D01* +X120792078Y-123150000D01* +X120812043Y-123276056D01* +X120831906Y-123315039D01* +X120869984Y-123389771D01* +X120960229Y-123480016D01* +X121073943Y-123537956D01* +X121073945Y-123537957D01* +X121200000Y-123557922D01* +X121326055Y-123537957D01* +X121400550Y-123500000D01* +X129497600Y-123500000D01* +X129497600Y-123645156D01* +X129512988Y-123742310D01* +X129572656Y-123859414D01* +X129665585Y-123952343D01* +X129782690Y-124012011D01* +X129782688Y-124012011D01* +X129879843Y-124027399D01* +X129879850Y-124027400D01* +X130200000Y-124027400D01* +X130200000Y-123500000D01* +X130500000Y-123500000D01* +X130500000Y-124027400D01* +X130820150Y-124027400D01* +X130820156Y-124027399D01* +X130917310Y-124012011D01* +X131034414Y-123952343D01* +X131127343Y-123859414D01* +X131187011Y-123742310D01* +X131202399Y-123645156D01* +X131202400Y-123645149D01* +X131202400Y-123500000D01* +X131897600Y-123500000D01* +X131897600Y-123645156D01* +X131912988Y-123742310D01* +X131972656Y-123859414D01* +X132065585Y-123952343D01* +X132182690Y-124012011D01* +X132182688Y-124012011D01* +X132279843Y-124027399D01* +X132279850Y-124027400D01* +X132600000Y-124027400D01* +X132600000Y-123500000D01* +X132900000Y-123500000D01* +X132900000Y-124027400D01* +X133220150Y-124027400D01* +X133220156Y-124027399D01* +X133317310Y-124012011D01* +X133434414Y-123952343D01* +X133527343Y-123859414D01* +X133587011Y-123742310D01* +X133602399Y-123645156D01* +X133602400Y-123645149D01* +X133602400Y-123500000D01* +X132900000Y-123500000D01* +X132600000Y-123500000D01* +X131897600Y-123500000D01* +X131202400Y-123500000D01* +X130500000Y-123500000D01* +X130200000Y-123500000D01* +X129497600Y-123500000D01* +X121400550Y-123500000D01* +X121439771Y-123480016D01* +X121519787Y-123400000D01* +X134372600Y-123400000D01* +X134372600Y-123483454D01* +X134382580Y-123551942D01* +X134434235Y-123657605D01* +X134517394Y-123740764D01* +X134623057Y-123792419D01* +X134623056Y-123792419D01* +X134691545Y-123802399D01* +X134691556Y-123802400D01* +X135050000Y-123802400D01* +X135050000Y-123400000D01* +X135350000Y-123400000D01* +X135350000Y-123802400D01* +X135708444Y-123802400D01* +X135708454Y-123802399D01* +X135776942Y-123792419D01* +X135882605Y-123740764D01* +X135965764Y-123657605D01* +X136017419Y-123551942D01* +X136024988Y-123500000D01* +X139247600Y-123500000D01* +X139247600Y-123645156D01* +X139262988Y-123742310D01* +X139322656Y-123859414D01* +X139415585Y-123952343D01* +X139532690Y-124012011D01* +X139532688Y-124012011D01* +X139629843Y-124027399D01* +X139629850Y-124027400D01* +X139950000Y-124027400D01* +X139950000Y-123500000D01* +X140250000Y-123500000D01* +X140250000Y-124027400D01* +X140570150Y-124027400D01* +X140570156Y-124027399D01* +X140667310Y-124012011D01* +X140784414Y-123952343D01* +X140877343Y-123859414D01* +X140937011Y-123742310D01* +X140952399Y-123645156D01* +X140952400Y-123645149D01* +X140952400Y-123500000D01* +X140250000Y-123500000D01* +X139950000Y-123500000D01* +X139247600Y-123500000D01* +X136024988Y-123500000D01* +X136027399Y-123483454D01* +X136027400Y-123483444D01* +X136027400Y-123400000D01* +X135350000Y-123400000D01* +X135050000Y-123400000D01* +X134372600Y-123400000D01* +X121519787Y-123400000D01* +X121530016Y-123389771D01* +X121587957Y-123276055D01* +X121600003Y-123200000D01* +X129497600Y-123200000D01* +X130200000Y-123200000D01* +X130200000Y-122672600D01* +X130500000Y-122672600D01* +X130500000Y-123200000D01* +X131202400Y-123200000D01* +X131897600Y-123200000D01* +X132600000Y-123200000D01* +X132600000Y-122672600D01* +X132900000Y-122672600D01* +X132900000Y-123200000D01* +X133602400Y-123200000D01* +X139247600Y-123200000D01* +X139950000Y-123200000D01* +X139950000Y-122672600D01* +X140250000Y-122672600D01* +X140250000Y-123200000D01* +X140952400Y-123200000D01* +X140952400Y-123054850D01* +X140952399Y-123054843D01* +X140937011Y-122957689D01* +X140877343Y-122840585D01* +X140784414Y-122747656D01* +X140667309Y-122687988D01* +X140667311Y-122687988D01* +X140570156Y-122672600D01* +X140250000Y-122672600D01* +X139950000Y-122672600D01* +X139629843Y-122672600D01* +X139532689Y-122687988D01* +X139415585Y-122747656D01* +X139322656Y-122840585D01* +X139262988Y-122957689D01* +X139247600Y-123054843D01* +X139247600Y-123200000D01* +X133602400Y-123200000D01* +X133602400Y-123100000D01* +X134372600Y-123100000D01* +X135050000Y-123100000D01* +X135050000Y-122697600D01* +X135350000Y-122697600D01* +X135350000Y-123100000D01* +X136027400Y-123100000D01* +X136027400Y-123016555D01* +X136027399Y-123016545D01* +X136017419Y-122948057D01* +X135965764Y-122842394D01* +X135882605Y-122759235D01* +X135776942Y-122707580D01* +X135776943Y-122707580D01* +X135708454Y-122697600D01* +X135350000Y-122697600D01* +X135050000Y-122697600D01* +X134691545Y-122697600D01* +X134623057Y-122707580D01* +X134517394Y-122759235D01* +X134434235Y-122842394D01* +X134382580Y-122948057D01* +X134372600Y-123016545D01* +X134372600Y-123100000D01* +X133602400Y-123100000D01* +X133602400Y-123054850D01* +X133602399Y-123054843D01* +X133587011Y-122957689D01* +X133527343Y-122840585D01* +X133434414Y-122747656D01* +X133317309Y-122687988D01* +X133317311Y-122687988D01* +X133220156Y-122672600D01* +X132900000Y-122672600D01* +X132600000Y-122672600D01* +X132279843Y-122672600D01* +X132182689Y-122687988D01* +X132065585Y-122747656D01* +X131972656Y-122840585D01* +X131912988Y-122957689D01* +X131897600Y-123054843D01* +X131897600Y-123200000D01* +X131202400Y-123200000D01* +X131202400Y-123054850D01* +X131202399Y-123054843D01* +X131187011Y-122957689D01* +X131127343Y-122840585D01* +X131034414Y-122747656D01* +X130917309Y-122687988D01* +X130917311Y-122687988D01* +X130820156Y-122672600D01* +X130500000Y-122672600D01* +X130200000Y-122672600D01* +X129879843Y-122672600D01* +X129782689Y-122687988D01* +X129665585Y-122747656D01* +X129572656Y-122840585D01* +X129512988Y-122957689D01* +X129497600Y-123054843D01* +X129497600Y-123200000D01* +X121600003Y-123200000D01* +X121607922Y-123150000D01* +X121604273Y-123126964D01* +X121603826Y-123124138D01* +X121602900Y-123112374D01* +X121602900Y-122947530D01* +X121620493Y-122899192D01* +X121645072Y-122879971D01* +X121677761Y-122863992D01* +X121715019Y-122826734D01* +X121766828Y-122774926D01* +X121813448Y-122753186D01* +X121820002Y-122752900D01* +X121963812Y-122752900D01* +X121963813Y-122752900D01* +X121988722Y-122744805D01* +X122000173Y-122742055D01* +X122026055Y-122737957D01* +X122049397Y-122726063D01* +X122060284Y-122721554D01* +X122085193Y-122713461D01* +X122106378Y-122698068D01* +X122116430Y-122691907D01* +X122139771Y-122680016D01* +X122230016Y-122589771D01* +X122236201Y-122583586D01* +X122236208Y-122583576D01* +X122283576Y-122536208D01* +X122283586Y-122536201D01* +X122380014Y-122439773D01* +X122380016Y-122439771D01* +X122391907Y-122416430D01* +X122398068Y-122406378D01* +X122413461Y-122385193D01* +X122421554Y-122360284D01* +X122426063Y-122349397D01* +X122437957Y-122326055D01* +X122442055Y-122300173D01* +X122444805Y-122288722D01* +X122452900Y-122263813D01* +X122452900Y-122237624D01* +X122453826Y-122225859D01* +X122457922Y-122200000D01* +X122457922Y-122199999D01* +X122453826Y-122174138D01* +X122452900Y-122162374D01* +X122452900Y-122136188D01* +X122452900Y-122136187D01* +X122444804Y-122111271D01* +X122442053Y-122099812D01* +X122437957Y-122073945D01* +X122437956Y-122073943D01* +X122437956Y-122073942D01* +X122426069Y-122050613D01* +X122421552Y-122039709D01* +X122413461Y-122014808D01* +X122413461Y-122014807D01* +X122398067Y-121993619D01* +X122391906Y-121983565D01* +X122380016Y-121960229D01* +X122380015Y-121960228D01* +X122380014Y-121960226D01* +X122361499Y-121941711D01* +X122353836Y-121932740D01* +X122338444Y-121911556D01* +X122317259Y-121896164D01* +X122308291Y-121888504D01* +X122289771Y-121869984D01* +X122266432Y-121858091D01* +X122256375Y-121851928D01* +X122235196Y-121836541D01* +X122235194Y-121836540D01* +X122235193Y-121836539D01* +X122210283Y-121828444D01* +X122199388Y-121823931D01* +X122176056Y-121812043D01* +X122176058Y-121812043D01* +X122150187Y-121807945D01* +X122138721Y-121805192D01* +X122113813Y-121797100D01* +X122087625Y-121797100D01* +X122075861Y-121796174D01* +X122050000Y-121792078D01* +X122024139Y-121796174D01* +X122012375Y-121797100D01* +X121986182Y-121797100D01* +X121961281Y-121805191D01* +X121949813Y-121807945D01* +X121923943Y-121812043D01* +X121900606Y-121823933D01* +X121889712Y-121828445D01* +X121864810Y-121836537D01* +X121864805Y-121836539D01* +X121843623Y-121851929D01* +X121833567Y-121858091D01* +X121810230Y-121869982D01* +X121805442Y-121873462D01* +X121803644Y-121870987D01* +X121767390Y-121887807D01* +X121717729Y-121874397D01* +X121707813Y-121866060D01* +X121677761Y-121836008D01* +X121568203Y-121782449D01* +X121497182Y-121772100D01* +X121497174Y-121772100D01* +X120902826Y-121772100D01* +X120902817Y-121772100D01* +X120831797Y-121782449D01* +X120722237Y-121836009D01* +X120642190Y-121916056D01* +X120595570Y-121937795D01* +X120545883Y-121924481D01* +X120535847Y-121916060D01* +X120489771Y-121869984D01* +X120466432Y-121858091D01* +X120456375Y-121851928D01* +X120435196Y-121836541D01* +X120435194Y-121836540D01* +X120435193Y-121836539D01* +X120410283Y-121828444D01* +X120399388Y-121823931D01* +X120376056Y-121812043D01* +X120376058Y-121812043D01* +X120350187Y-121807945D01* +X120338721Y-121805192D01* +X120313813Y-121797100D01* +X120287625Y-121797100D01* +X120275861Y-121796174D01* +X120250000Y-121792078D01* +X120224139Y-121796174D01* +X120212375Y-121797100D01* +X120186182Y-121797100D01* +X120161281Y-121805191D01* +X120149813Y-121807945D01* +X120123941Y-121812043D01* +X120123941Y-121812044D01* +X120109221Y-121819543D01* +X120058164Y-121825808D01* +X120015025Y-121797789D01* +X120001331Y-121767207D01* +X119995513Y-121737956D01* +X119988227Y-121701323D01* +X119932331Y-121617669D01* +X119848677Y-121561773D01* +X119848675Y-121561772D01* +X119774911Y-121547100D01* +X119525088Y-121547100D01* +X119525087Y-121547101D01* +X119451326Y-121561772D01* +X119451324Y-121561772D01* +X119451323Y-121561773D01* +X119368108Y-121617376D01* +X119367669Y-121617669D01* +X119311773Y-121701323D01* +X119311772Y-121701324D01* +X119297100Y-121775088D01* +X119297100Y-122182290D01* +X119296843Y-122188501D01* +X119293441Y-122229545D01* +X119294798Y-122234901D01* +X119297100Y-122253364D01* +X119297100Y-122887086D01* +X119288904Y-122921226D01* +X119262043Y-122973943D01* +X119242078Y-123099999D01* +X119242078Y-123100000D01* +X118577900Y-123100000D01* +X118577900Y-122858895D01* +X118595493Y-122810557D01* +X118611319Y-122796370D01* +X118632331Y-122782331D01* +X118688227Y-122698677D01* +X118702900Y-122624911D01* +X118702899Y-121775090D01* +X118688227Y-121701323D01* +X118632331Y-121617669D01* +X118548677Y-121561773D01* +X118548675Y-121561772D01* +X118474911Y-121547100D01* +X118225088Y-121547100D01* +X118225087Y-121547101D01* +X118151326Y-121561772D01* +X118151324Y-121561772D01* +X118151323Y-121561773D01* +X118068108Y-121617376D01* +X118067669Y-121617669D01* +X118011773Y-121701323D01* +X118011772Y-121701324D01* +X117997100Y-121775088D01* +X117997100Y-122624911D01* +X117997101Y-122624912D01* +X118011772Y-122698673D01* +X118011773Y-122698677D01* +X118067669Y-122782331D01* +X118088678Y-122796368D01* +X118119095Y-122837850D01* +X118122100Y-122858895D01* +X118122100Y-123126964D01* +X118104507Y-123175302D01* +X118100075Y-123180138D01* +X118019983Y-123260230D01* +X117962043Y-123373943D01* +X117942078Y-123499999D01* +X117942078Y-123500000D01* +X116700550Y-123500000D01* +X116739771Y-123480016D01* +X116830016Y-123389771D01* +X116887957Y-123276055D01* +X116907922Y-123150000D01* +X116904273Y-123126964D01* +X116903826Y-123124138D01* +X116902900Y-123112374D01* +X116902900Y-122947530D01* +X116920493Y-122899192D01* +X116945072Y-122879971D01* +X116977761Y-122863992D01* +X117015019Y-122826734D01* +X117066828Y-122774926D01* +X117113448Y-122753186D01* +X117120002Y-122752900D01* +X117263812Y-122752900D01* +X117263813Y-122752900D01* +X117288722Y-122744805D01* +X117300173Y-122742055D01* +X117326055Y-122737957D01* +X117349397Y-122726063D01* +X117360284Y-122721554D01* +X117385193Y-122713461D01* +X117406378Y-122698068D01* +X117416430Y-122691907D01* +X117439771Y-122680016D01* +X117530016Y-122589771D01* +X117536201Y-122583586D01* +X117536208Y-122583576D01* +X117583576Y-122536208D01* +X117583586Y-122536201D01* +X117680014Y-122439773D01* +X117680016Y-122439771D01* +X117691907Y-122416430D01* +X117698068Y-122406378D01* +X117713461Y-122385193D01* +X117721554Y-122360284D01* +X117726063Y-122349397D01* +X117737957Y-122326055D01* +X117742055Y-122300173D01* +X117744805Y-122288722D01* +X117752900Y-122263813D01* +X117752900Y-122237624D01* +X117753826Y-122225859D01* +X117757922Y-122200000D01* +X117757922Y-122199999D01* +X117753826Y-122174138D01* +X117752900Y-122162374D01* +X117752900Y-122136188D01* +X117752900Y-122136187D01* +X117744804Y-122111271D01* +X117742053Y-122099812D01* +X117737957Y-122073945D01* +X117737956Y-122073943D01* +X117737956Y-122073942D01* +X117726069Y-122050613D01* +X117721552Y-122039709D01* +X117713461Y-122014808D01* +X117713461Y-122014807D01* +X117698067Y-121993619D01* +X117691906Y-121983565D01* +X117680016Y-121960229D01* +X117680015Y-121960228D01* +X117680014Y-121960226D01* +X117661499Y-121941711D01* +X117653836Y-121932740D01* +X117638444Y-121911556D01* +X117617259Y-121896164D01* +X117608291Y-121888504D01* +X117589771Y-121869984D01* +X117566432Y-121858091D01* +X117556375Y-121851928D01* +X117535196Y-121836541D01* +X117535194Y-121836540D01* +X117535193Y-121836539D01* +X117510283Y-121828444D01* +X117499388Y-121823931D01* +X117476056Y-121812043D01* +X117476058Y-121812043D01* +X117450187Y-121807945D01* +X117438721Y-121805192D01* +X117413813Y-121797100D01* +X117387625Y-121797100D01* +X117375861Y-121796174D01* +X117350000Y-121792078D01* +X117324139Y-121796174D01* +X117312375Y-121797100D01* +X117286182Y-121797100D01* +X117261281Y-121805191D01* +X117249813Y-121807945D01* +X117223943Y-121812043D01* +X117200606Y-121823933D01* +X117189712Y-121828445D01* +X117164810Y-121836537D01* +X117164805Y-121836539D01* +X117143623Y-121851929D01* +X117133567Y-121858091D01* +X117110230Y-121869982D01* +X117105442Y-121873462D01* +X117103644Y-121870987D01* +X117067390Y-121887807D01* +X117017729Y-121874397D01* +X117007813Y-121866060D01* +X116977761Y-121836008D01* +X116868203Y-121782449D01* +X116797182Y-121772100D01* +X116797174Y-121772100D01* +X116202826Y-121772100D01* +X116202817Y-121772100D01* +X116131797Y-121782449D01* +X116022237Y-121836009D01* +X115942190Y-121916056D01* +X115895570Y-121937795D01* +X115845883Y-121924481D01* +X115835847Y-121916060D01* +X115789771Y-121869984D01* +X115766432Y-121858091D01* +X115756375Y-121851928D01* +X115735196Y-121836541D01* +X115735194Y-121836540D01* +X115735193Y-121836539D01* +X115710283Y-121828444D01* +X115699388Y-121823931D01* +X115676056Y-121812043D01* +X115676058Y-121812043D01* +X115650187Y-121807945D01* +X115638721Y-121805192D01* +X115613813Y-121797100D01* +X115587625Y-121797100D01* +X115575861Y-121796174D01* +X115550000Y-121792078D01* +X115524139Y-121796174D01* +X115512375Y-121797100D01* +X115486182Y-121797100D01* +X115461281Y-121805191D01* +X115449813Y-121807944D01* +X115433612Y-121810511D01* +X115383117Y-121800699D01* +X115350742Y-121760725D01* +X115348089Y-121750908D01* +X115345513Y-121737956D01* +X115338227Y-121701323D01* +X115282331Y-121617669D01* +X115198677Y-121561773D01* +X115198675Y-121561772D01* +X115124911Y-121547100D01* +X114875088Y-121547100D01* +X114875087Y-121547101D01* +X114801326Y-121561772D01* +X114801324Y-121561772D01* +X114801323Y-121561773D01* +X114718108Y-121617376D01* +X114717669Y-121617669D01* +X114661773Y-121701323D01* +X114661772Y-121701324D01* +X114647100Y-121775088D01* +X114647100Y-122182290D01* +X114646843Y-122188501D01* +X114643441Y-122229545D01* +X114644798Y-122234901D01* +X114647100Y-122253364D01* +X114647100Y-122887086D01* +X114638904Y-122921226D01* +X114612043Y-122973943D01* +X114592078Y-123099999D01* +X114592078Y-123100000D01* +X114107922Y-123100000D01* +X114087957Y-122973945D01* +X114030016Y-122860229D01* +X114013868Y-122844081D01* +X113992128Y-122797461D01* +X114004515Y-122749130D01* +X114038227Y-122698677D01* +X114052900Y-122624911D01* +X114052899Y-121775090D01* +X114038227Y-121701323D01* +X113982331Y-121617669D01* +X113898677Y-121561773D01* +X113898675Y-121561772D01* +X113824911Y-121547100D01* +X113575088Y-121547100D01* +X113575087Y-121547101D01* +X113501326Y-121561772D01* +X113501324Y-121561772D01* +X113501323Y-121561773D01* +X113418108Y-121617376D01* +X113417669Y-121617669D01* +X113361773Y-121701323D01* +X113361772Y-121701324D01* +X113347100Y-121775088D01* +X113347100Y-122624911D01* +X113347101Y-122624912D01* +X113361772Y-122698673D01* +X113361774Y-122698679D01* +X113373817Y-122716702D01* +X113390755Y-122742052D01* +X113395483Y-122749127D01* +X113407710Y-122799093D01* +X113386133Y-122844079D01* +X113369984Y-122860228D01* +X113312043Y-122973943D01* +X113292078Y-123099999D01* +X113292078Y-123100000D01* +X109457922Y-123100000D01* +X109437957Y-122973945D01* +X109380016Y-122860229D01* +X109289771Y-122769984D01* +X109176055Y-122712043D01* +X109176057Y-122712043D01* +X109050000Y-122692078D01* +X108923943Y-122712043D01* +X108810228Y-122769984D01* +X108719984Y-122860228D01* +X108662043Y-122973943D01* +X108642078Y-123099999D01* +X108642078Y-123100000D01* +X108662043Y-123226057D01* +X108662044Y-123226061D01* +X108713903Y-123327837D01* +X108722100Y-123361977D01* X108722100Y-123470765D01* X108704507Y-123519103D01* X108659958Y-123544823D01* @@ -8647,7 +10093,7 @@ X107877900Y-123470765D01* X107877900Y-123423035D01* X107895493Y-123374697D01* X107899926Y-123369861D01* -X107938712Y-123331075D01* +X107930016Y-123339771D01* X107980016Y-123289771D01* X108037957Y-123176055D01* X108057922Y-123050000D01* @@ -8687,7 +10133,7 @@ X106577900Y-123470765D01* X106577900Y-123423035D01* X106595493Y-123374697D01* X106599926Y-123369861D01* -X106638712Y-123331075D01* +X106630016Y-123339771D01* X106680016Y-123289771D01* X106737957Y-123176055D01* X106757922Y-123050000D01* @@ -10305,1827 +11751,6 @@ X74527671Y-123495306D01* X74527129Y-123493284D01* X74525462Y-123487059D01* X74522900Y-123467599D01* -X74522900Y-122624911D01* -X113347100Y-122624911D01* -X113347101Y-122624912D01* -X113361772Y-122698673D01* -X113361773Y-122698677D01* -X113417669Y-122782331D01* -X113438678Y-122796368D01* -X113469095Y-122837850D01* -X113472100Y-122858895D01* -X113472100Y-123142062D01* -X113471997Y-123145998D01* -X113469876Y-123186448D01* -X113478688Y-123209402D01* -X113482039Y-123220715D01* -X113487151Y-123244763D01* -X113487152Y-123244766D01* -X113492169Y-123251671D01* -X113501536Y-123268922D01* -X113504596Y-123276895D01* -X113504597Y-123276896D01* -X113521985Y-123294284D01* -X113529644Y-123303252D01* -X113544097Y-123323144D01* -X113544098Y-123323145D01* -X113544099Y-123323146D01* -X113551492Y-123327414D01* -X113567067Y-123339366D01* -X113750074Y-123522373D01* -X113771814Y-123568993D01* -X113772100Y-123575547D01* -X113772100Y-123664962D01* -X113754507Y-123713300D01* -X113728681Y-123733116D01* -X113634026Y-123777254D01* -X113634018Y-123777260D01* -X113552260Y-123859018D01* -X113552254Y-123859026D01* -X113503388Y-123963819D01* -X113503386Y-123963825D01* -X113497100Y-124011581D01* -X113497100Y-124688418D01* -X113503386Y-124736174D01* -X113503388Y-124736180D01* -X113552254Y-124840973D01* -X113552260Y-124840981D01* -X113634018Y-124922739D01* -X113634026Y-124922745D01* -X113696404Y-124951832D01* -X113713628Y-124959864D01* -X113728681Y-124966883D01* -X113765054Y-125003256D01* -X113772100Y-125035037D01* -X113772100Y-125114962D01* -X113754507Y-125163300D01* -X113728681Y-125183116D01* -X113634026Y-125227254D01* -X113634018Y-125227260D01* -X113552260Y-125309018D01* -X113552254Y-125309026D01* -X113503388Y-125413819D01* -X113503386Y-125413825D01* -X113498763Y-125448948D01* -X113497335Y-125459799D01* -X113497100Y-125461581D01* -X113497100Y-126138418D01* -X113503386Y-126186174D01* -X113503388Y-126186180D01* -X113552254Y-126290973D01* -X113552260Y-126290981D01* -X113634018Y-126372739D01* -X113634026Y-126372745D01* -X113738819Y-126421611D01* -X113738821Y-126421611D01* -X113738824Y-126421613D01* -X113786580Y-126427900D01* -X113786582Y-126427900D01* -X114213418Y-126427900D01* -X114213420Y-126427900D01* -X114261176Y-126421613D01* -X114319163Y-126394573D01* -X114365973Y-126372745D01* -X114365973Y-126372744D01* -X114365977Y-126372743D01* -X114447743Y-126290977D01* -X114448658Y-126289016D01* -X114485973Y-126208993D01* -X114496613Y-126186176D01* -X114502900Y-126138420D01* -X114502900Y-126138418D01* -X115097100Y-126138418D01* -X115103386Y-126186174D01* -X115103388Y-126186180D01* -X115152254Y-126290973D01* -X115152260Y-126290981D01* -X115234018Y-126372739D01* -X115234026Y-126372745D01* -X115338819Y-126421611D01* -X115338821Y-126421611D01* -X115338824Y-126421613D01* -X115386580Y-126427900D01* -X115386582Y-126427900D01* -X115813418Y-126427900D01* -X115813420Y-126427900D01* -X115861176Y-126421613D01* -X115919163Y-126394573D01* -X115965973Y-126372745D01* -X115965973Y-126372744D01* -X115965977Y-126372743D01* -X116047743Y-126290977D01* -X116087477Y-126205766D01* -X116123850Y-126169394D01* -X116175094Y-126164910D01* -X116189772Y-126170545D01* -X116223942Y-126187956D01* -X116223943Y-126187956D01* -X116223945Y-126187957D01* -X116350000Y-126207922D01* -X116476055Y-126187957D01* -X116589771Y-126130016D01* -X116680016Y-126039771D01* -X116737957Y-125926055D01* -X116757922Y-125800000D01* -X116737957Y-125673945D01* -X116680016Y-125560229D01* -X116589771Y-125469984D01* -X116476055Y-125412043D01* -X116476057Y-125412043D01* -X116350000Y-125392078D01* -X116223943Y-125412043D01* -X116189771Y-125429455D01* -X116138714Y-125435724D01* -X116095573Y-125407707D01* -X116087477Y-125394232D01* -X116047745Y-125309026D01* -X116047739Y-125309018D01* -X115965981Y-125227260D01* -X115965973Y-125227254D01* -X115871319Y-125183116D01* -X115834945Y-125146743D01* -X115827900Y-125114962D01* -X115827900Y-125035037D01* -X115845493Y-124986699D01* -X115871319Y-124966883D01* -X115886372Y-124959864D01* -X115965977Y-124922743D01* -X116047743Y-124840977D01* -X116096613Y-124736176D01* -X116102900Y-124688420D01* -X116102900Y-124011580D01* -X116096613Y-123963824D01* -X116096611Y-123963819D01* -X116047745Y-123859026D01* -X116047739Y-123859018D01* -X115965981Y-123777260D01* -X115965973Y-123777254D01* -X115861180Y-123728388D01* -X115861174Y-123728386D01* -X115825992Y-123723755D01* -X115813420Y-123722100D01* -X115386580Y-123722100D01* -X115375470Y-123723562D01* -X115338825Y-123728386D01* -X115338819Y-123728388D01* -X115234026Y-123777254D01* -X115234018Y-123777260D01* -X115152260Y-123859018D01* -X115152254Y-123859026D01* -X115103388Y-123963819D01* -X115103386Y-123963825D01* -X115097100Y-124011581D01* -X115097100Y-124688418D01* -X115103386Y-124736174D01* -X115103388Y-124736180D01* -X115152254Y-124840973D01* -X115152260Y-124840981D01* -X115234018Y-124922739D01* -X115234026Y-124922745D01* -X115296404Y-124951832D01* -X115313628Y-124959864D01* -X115328681Y-124966883D01* -X115365054Y-125003256D01* -X115372100Y-125035037D01* -X115372100Y-125114962D01* -X115354507Y-125163300D01* -X115328681Y-125183116D01* -X115234026Y-125227254D01* -X115234018Y-125227260D01* -X115152260Y-125309018D01* -X115152254Y-125309026D01* -X115103388Y-125413819D01* -X115103386Y-125413825D01* -X115098763Y-125448948D01* -X115097335Y-125459799D01* -X115097100Y-125461581D01* -X115097100Y-126138418D01* -X114502900Y-126138418D01* -X114502900Y-125461580D01* -X114496613Y-125413824D01* -X114496611Y-125413819D01* -X114447745Y-125309026D01* -X114447739Y-125309018D01* -X114365981Y-125227260D01* -X114365973Y-125227254D01* -X114271319Y-125183116D01* -X114234945Y-125146743D01* -X114227900Y-125114962D01* -X114227900Y-125035037D01* -X114245493Y-124986699D01* -X114271319Y-124966883D01* -X114286372Y-124959864D01* -X114365977Y-124922743D01* -X114447743Y-124840977D01* -X114496613Y-124736176D01* -X114502900Y-124688420D01* -X114502900Y-124011580D01* -X114496613Y-123963824D01* -X114496611Y-123963819D01* -X114447745Y-123859026D01* -X114447739Y-123859018D01* -X114365981Y-123777260D01* -X114365973Y-123777254D01* -X114271319Y-123733116D01* -X114234945Y-123696743D01* -X114227900Y-123664962D01* -X114227900Y-123457936D01* -X114228003Y-123453999D01* -X114230123Y-123413555D01* -X114230122Y-123413554D01* -X114230123Y-123413552D01* -X114221306Y-123390586D01* -X114217960Y-123379288D01* -X114212848Y-123355234D01* -X114207830Y-123348327D01* -X114198463Y-123331075D01* -X114195403Y-123323104D01* -X114195402Y-123323102D01* -X114178018Y-123305718D01* -X114170355Y-123296747D01* -X114155901Y-123276854D01* -X114154517Y-123276055D01* -X114148506Y-123272584D01* -X114132934Y-123260635D01* -X113972300Y-123100000D01* -X114392078Y-123100000D01* -X114412043Y-123226056D01* -X114444508Y-123289771D01* -X114469984Y-123339771D01* -X114560229Y-123430016D01* -X114673943Y-123487956D01* -X114673945Y-123487957D01* -X114800000Y-123507922D01* -X114926055Y-123487957D01* -X115039771Y-123430016D01* -X115130016Y-123339771D01* -X115187957Y-123226055D01* -X115187957Y-123226048D01* -X115189566Y-123221101D01* -X115207911Y-123191163D01* -X115218143Y-123180931D01* -X115230181Y-123171155D01* -X115242318Y-123163227D01* -X115262171Y-123137718D01* -X115268332Y-123130743D01* -X115270216Y-123128860D01* -X115282082Y-123112238D01* -X115283927Y-123109766D01* -X115291529Y-123100000D01* -X115314658Y-123070284D01* -X115314658Y-123070283D01* -X115314660Y-123070281D01* -X115317626Y-123064801D01* -X115317957Y-123064980D01* -X115319028Y-123062898D01* -X115318689Y-123062733D01* -X115321424Y-123057138D01* -X115321424Y-123057137D01* -X115321426Y-123057135D01* -X115335712Y-123009148D01* -X115336659Y-123006195D01* -X115344451Y-122983501D01* -X115352900Y-122958889D01* -X115352900Y-122958885D01* -X115353925Y-122952744D01* -X115354294Y-122952805D01* -X115354632Y-122950489D01* -X115354262Y-122950443D01* -X115355032Y-122944258D01* -X115355033Y-122944255D01* -X115352964Y-122894229D01* -X115352900Y-122891122D01* -X115352900Y-122748044D01* -X115370493Y-122699706D01* -X115415042Y-122673986D01* -X115462240Y-122681040D01* -X115471896Y-122685960D01* -X115483566Y-122691907D01* -X115493619Y-122698067D01* -X115514807Y-122713461D01* -X115534883Y-122719984D01* -X115539709Y-122721552D01* -X115550613Y-122726069D01* -X115573942Y-122737956D01* -X115573943Y-122737956D01* -X115573945Y-122737957D01* -X115599812Y-122742053D01* -X115611271Y-122744804D01* -X115636187Y-122752900D01* -X115668292Y-122752900D01* -X115879998Y-122752900D01* -X115928336Y-122770493D01* -X115933172Y-122774926D01* -X116022237Y-122863991D01* -X116022238Y-122863991D01* -X116022239Y-122863992D01* -X116054926Y-122879971D01* -X116090625Y-122917004D01* -X116097100Y-122947530D01* -X116097100Y-123112374D01* -X116096174Y-123124138D01* -X116092078Y-123149999D01* -X116092078Y-123150000D01* -X116112043Y-123276056D01* -X116165014Y-123380016D01* -X116169984Y-123389771D01* -X116260229Y-123480016D01* -X116373943Y-123537956D01* -X116373945Y-123537957D01* -X116500000Y-123557922D01* -X116626055Y-123537957D01* -X116739771Y-123480016D01* -X116830016Y-123389771D01* -X116887957Y-123276055D01* -X116907922Y-123150000D01* -X116903826Y-123124138D01* -X116902900Y-123112374D01* -X116902900Y-122947530D01* -X116920493Y-122899192D01* -X116945072Y-122879971D01* -X116977761Y-122863992D01* -X117015019Y-122826734D01* -X117066828Y-122774926D01* -X117113448Y-122753186D01* -X117120002Y-122752900D01* -X117263812Y-122752900D01* -X117263813Y-122752900D01* -X117288722Y-122744805D01* -X117300173Y-122742055D01* -X117326055Y-122737957D01* -X117349397Y-122726063D01* -X117360284Y-122721554D01* -X117385193Y-122713461D01* -X117406378Y-122698068D01* -X117416430Y-122691907D01* -X117439771Y-122680016D01* -X117494876Y-122624911D01* -X117997100Y-122624911D01* -X117997101Y-122624912D01* -X118011772Y-122698673D01* -X118011773Y-122698677D01* -X118067669Y-122782331D01* -X118088678Y-122796368D01* -X118119095Y-122837850D01* -X118122100Y-122858895D01* -X118122100Y-123142062D01* -X118121997Y-123145998D01* -X118119876Y-123186448D01* -X118128688Y-123209402D01* -X118132039Y-123220715D01* -X118137151Y-123244763D01* -X118137152Y-123244766D01* -X118142169Y-123251671D01* -X118151536Y-123268922D01* -X118154596Y-123276895D01* -X118154597Y-123276896D01* -X118171985Y-123294284D01* -X118179644Y-123303252D01* -X118194097Y-123323144D01* -X118194098Y-123323145D01* -X118194099Y-123323146D01* -X118201492Y-123327414D01* -X118217067Y-123339366D01* -X118400074Y-123522373D01* -X118421814Y-123568993D01* -X118422100Y-123575547D01* -X118422100Y-123664962D01* -X118404507Y-123713300D01* -X118378681Y-123733116D01* -X118284026Y-123777254D01* -X118284018Y-123777260D01* -X118202260Y-123859018D01* -X118202254Y-123859026D01* -X118153388Y-123963819D01* -X118153386Y-123963825D01* -X118147100Y-124011581D01* -X118147100Y-124688418D01* -X118153386Y-124736174D01* -X118153388Y-124736180D01* -X118202254Y-124840973D01* -X118202260Y-124840981D01* -X118284018Y-124922739D01* -X118284026Y-124922745D01* -X118388819Y-124971611D01* -X118388821Y-124971611D01* -X118388824Y-124971613D01* -X118436580Y-124977900D01* -X118436582Y-124977900D01* -X118863418Y-124977900D01* -X118863420Y-124977900D01* -X118911176Y-124971613D01* -X119015977Y-124922743D01* -X119097743Y-124840977D01* -X119146613Y-124736176D01* -X119152900Y-124688420D01* -X119152900Y-124688418D01* -X119747100Y-124688418D01* -X119753386Y-124736174D01* -X119753388Y-124736180D01* -X119802254Y-124840973D01* -X119802260Y-124840981D01* -X119884018Y-124922739D01* -X119884026Y-124922745D01* -X119988819Y-124971611D01* -X119988821Y-124971611D01* -X119988824Y-124971613D01* -X120036580Y-124977900D01* -X120036582Y-124977900D01* -X120463418Y-124977900D01* -X120463420Y-124977900D01* -X120511176Y-124971613D01* -X120615977Y-124922743D01* -X120688720Y-124850000D01* -X128592329Y-124850000D01* -X128595049Y-124870665D01* -X128595648Y-124883045D01* -X128594827Y-124907069D01* -X128594828Y-124907076D01* -X128604770Y-124947876D01* -X128606264Y-124955861D01* -X128611330Y-124994333D01* -X128611331Y-124994337D01* -X128620683Y-125016915D01* -X128624269Y-125027887D01* -X128630813Y-125054742D01* -X128630814Y-125054745D01* -X128649639Y-125088223D01* -X128653566Y-125096301D01* -X128667043Y-125128837D01* -X128667044Y-125128839D01* -X128684106Y-125151074D01* -X128689994Y-125159995D01* -X128705302Y-125187219D01* -X128705309Y-125187228D01* -X128729924Y-125211843D01* -X128736409Y-125219237D01* -X128755666Y-125244332D01* -X128780763Y-125263591D01* -X128788157Y-125270076D01* -X128951449Y-125433368D01* -X128953234Y-125435214D01* -X128992551Y-125477313D01* -X128997791Y-125482923D01* -X128998274Y-125483217D01* -X129035213Y-125505679D01* -X129041576Y-125510010D01* -X129076471Y-125536472D01* -X129076474Y-125536473D01* -X129097160Y-125544631D01* -X129108646Y-125550336D01* -X129121082Y-125557898D01* -X129127653Y-125561894D01* -X129169832Y-125573711D01* -X129177100Y-125576155D01* -X129217862Y-125592230D01* -X129239989Y-125594503D01* -X129252581Y-125596896D01* -X129274006Y-125602900D01* -X129317796Y-125602900D01* -X129325485Y-125603293D01* -X129369054Y-125607773D01* -X129369054Y-125607772D01* -X129369056Y-125607773D01* -X129390971Y-125603994D01* -X129403750Y-125602900D01* -X129584287Y-125602900D01* -X129632625Y-125620493D01* -X129637461Y-125624926D01* -X129665291Y-125652756D01* -X129691004Y-125665857D01* -X129756039Y-125698993D01* -X129791122Y-125736613D01* -X129797100Y-125765997D01* -X129797100Y-126058829D01* -X129796456Y-126068644D01* -X129792862Y-126095956D01* -X129792329Y-126100001D01* -X129796568Y-126132207D01* -X129797034Y-126136864D01* -X129797098Y-126137807D01* -X129801994Y-126173435D01* -X129802050Y-126173854D01* -X129811505Y-126245661D01* -X129812236Y-126249283D01* -X129812597Y-126250573D01* -X129840753Y-126315396D01* -X129841253Y-126316576D01* -X129867041Y-126378833D01* -X129869506Y-126383102D01* -X129869073Y-126383351D01* -X129870413Y-126385628D01* -X129870480Y-126385588D01* -X129873149Y-126389978D01* -X129915481Y-126442011D01* -X129916807Y-126443690D01* -X129955669Y-126494336D01* -X129959150Y-126497817D01* -X129959132Y-126497834D01* -X129967564Y-126506030D01* -X129969067Y-126507877D01* -X129969069Y-126507880D01* -X130020918Y-126544477D01* -X130023332Y-126546254D01* -X130030530Y-126551777D01* -X130071164Y-126582957D01* -X130073747Y-126584026D01* -X130088339Y-126592068D01* -X130093237Y-126595526D01* -X130093238Y-126595526D01* -X130093239Y-126595527D01* -X130149686Y-126615588D01* -X130153251Y-126616958D01* -X130205664Y-126638669D01* -X130212022Y-126639505D01* -X130227389Y-126643204D01* -X130236452Y-126646425D01* -X130292641Y-126650268D01* -X130297324Y-126650736D01* -X130304163Y-126651636D01* -X130350000Y-126657671D01* -X130359944Y-126656361D01* -X130374889Y-126655894D01* -X130388082Y-126656797D01* -X130388085Y-126656797D01* -X130388085Y-126656796D01* -X130388086Y-126656797D01* -X130439752Y-126646060D01* -X130445189Y-126645138D01* -X130494336Y-126638669D01* -X130506930Y-126633451D01* -X130520409Y-126629299D01* -X130536896Y-126625874D01* -X130536897Y-126625873D01* -X130536899Y-126625873D01* -X130580550Y-126603254D01* -X130586363Y-126600549D01* -X130628836Y-126582957D01* -X130642513Y-126572460D01* -X130653695Y-126565352D01* -X130671844Y-126555949D01* -X130705167Y-126524826D01* -X130710701Y-126520139D01* -X130718787Y-126513935D01* -X130739770Y-126497834D01* -X130744328Y-126494337D01* -X130744328Y-126494336D01* -X130744333Y-126494333D01* -X130757022Y-126477795D01* -X130765349Y-126468620D01* -X130782923Y-126452209D01* -X130804748Y-126416317D01* -X130809326Y-126409630D01* -X130832957Y-126378836D01* -X130842312Y-126356249D01* -X130847523Y-126345977D01* -X130861894Y-126322347D01* -X130872258Y-126285352D01* -X130875195Y-126276862D01* -X130875896Y-126275171D01* -X130888669Y-126244336D01* -X130892328Y-126216536D01* -X130894471Y-126206075D01* -X130902900Y-126175994D01* -X130902900Y-126141170D01* -X130903543Y-126131355D01* -X130903719Y-126130015D01* -X130907671Y-126100000D01* -X130903543Y-126068644D01* -X130902900Y-126058829D01* -X130902900Y-125765997D01* -X130920493Y-125717659D01* -X130943959Y-125698993D01* -X131034710Y-125652755D01* -X131062539Y-125624926D01* -X131109159Y-125603186D01* -X131115713Y-125602900D01* -X131339285Y-125602900D01* -X131341851Y-125602943D01* -X131352098Y-125603294D01* -X131407071Y-125605172D01* -X131407072Y-125605172D01* -X131407072Y-125605171D01* -X131407073Y-125605172D01* -X131407623Y-125605038D01* -X131425428Y-125602900D01* -X131674006Y-125602900D01* -X131717796Y-125602900D01* -X131725485Y-125603293D01* -X131769054Y-125607773D01* -X131769054Y-125607772D01* -X131769056Y-125607773D01* -X131790971Y-125603994D01* -X131803750Y-125602900D01* -X131984287Y-125602900D01* -X132032625Y-125620493D01* -X132037461Y-125624926D01* -X132065291Y-125652756D01* -X132091004Y-125665857D01* -X132156039Y-125698993D01* -X132191122Y-125736613D01* -X132197100Y-125765997D01* -X132197100Y-126058829D01* -X132196456Y-126068644D01* -X132192862Y-126095956D01* -X132192329Y-126100001D01* -X132196568Y-126132207D01* -X132197034Y-126136864D01* -X132197098Y-126137807D01* -X132201994Y-126173435D01* -X132202050Y-126173854D01* -X132211505Y-126245661D01* -X132212236Y-126249283D01* -X132212597Y-126250573D01* -X132240753Y-126315396D01* -X132241253Y-126316576D01* -X132267041Y-126378833D01* -X132269506Y-126383102D01* -X132269073Y-126383351D01* -X132270413Y-126385628D01* -X132270480Y-126385588D01* -X132273149Y-126389978D01* -X132315481Y-126442011D01* -X132316807Y-126443690D01* -X132355669Y-126494336D01* -X132359150Y-126497817D01* -X132359132Y-126497834D01* -X132367564Y-126506030D01* -X132369067Y-126507877D01* -X132369069Y-126507880D01* -X132420918Y-126544477D01* -X132423332Y-126546254D01* -X132430530Y-126551777D01* -X132471164Y-126582957D01* -X132473747Y-126584026D01* -X132488339Y-126592068D01* -X132493237Y-126595526D01* -X132493238Y-126595526D01* -X132493239Y-126595527D01* -X132549686Y-126615588D01* -X132553251Y-126616958D01* -X132605664Y-126638669D01* -X132612022Y-126639505D01* -X132627389Y-126643204D01* -X132636452Y-126646425D01* -X132692641Y-126650268D01* -X132697324Y-126650736D01* -X132704163Y-126651636D01* -X132750000Y-126657671D01* -X132759944Y-126656361D01* -X132774889Y-126655894D01* -X132788082Y-126656797D01* -X132788085Y-126656797D01* -X132788085Y-126656796D01* -X132788086Y-126656797D01* -X132839752Y-126646060D01* -X132845189Y-126645138D01* -X132894336Y-126638669D01* -X132906930Y-126633451D01* -X132920409Y-126629299D01* -X132936896Y-126625874D01* -X132936897Y-126625873D01* -X132936899Y-126625873D01* -X132980550Y-126603254D01* -X132986363Y-126600549D01* -X133028836Y-126582957D01* -X133042513Y-126572460D01* -X133053695Y-126565352D01* -X133071844Y-126555949D01* -X133105167Y-126524826D01* -X133110701Y-126520139D01* -X133118787Y-126513935D01* -X133139770Y-126497834D01* -X133144328Y-126494337D01* -X133144328Y-126494336D01* -X133144333Y-126494333D01* -X133157022Y-126477795D01* -X133165349Y-126468620D01* -X133182923Y-126452209D01* -X133204748Y-126416317D01* -X133209326Y-126409630D01* -X133232957Y-126378836D01* -X133242312Y-126356249D01* -X133247523Y-126345977D01* -X133261894Y-126322347D01* -X133272258Y-126285352D01* -X133275195Y-126276862D01* -X133275896Y-126275171D01* -X133288669Y-126244336D01* -X133292328Y-126216536D01* -X133294471Y-126206075D01* -X133302900Y-126175994D01* -X133302900Y-126141170D01* -X133303543Y-126131355D01* -X133303719Y-126130015D01* -X133307671Y-126100000D01* -X133303543Y-126068644D01* -X133302900Y-126058829D01* -X133302900Y-125765997D01* -X133320493Y-125717659D01* -X133343959Y-125698993D01* -X133434710Y-125652755D01* -X133462539Y-125624926D01* -X133509159Y-125603186D01* -X133515713Y-125602900D01* -X133839285Y-125602900D01* -X133841851Y-125602943D01* -X133848036Y-125603155D01* -X133912215Y-125605348D01* -X133912119Y-125608151D01* -X133952104Y-125618137D01* -X133955451Y-125620532D01* -X133976470Y-125636472D01* -X133976471Y-125636472D01* -X133976472Y-125636473D01* -X133997162Y-125644632D01* -X134008643Y-125650334D01* -X134027653Y-125661894D01* -X134069831Y-125673711D01* -X134077105Y-125676157D01* -X134117862Y-125692230D01* -X134139994Y-125694505D01* -X134152590Y-125696899D01* -X134174006Y-125702900D01* -X134217795Y-125702900D01* -X134225484Y-125703293D01* -X134269053Y-125707773D01* -X134269053Y-125707772D01* -X134269055Y-125707773D01* -X134290970Y-125703994D01* -X134303749Y-125702900D01* -X134571900Y-125702900D01* -X134620238Y-125720493D01* -X134645958Y-125765042D01* -X134647100Y-125778100D01* -X134647100Y-126008829D01* -X134646457Y-126018644D01* -X134642329Y-126050001D01* -X134646568Y-126082207D01* -X134647034Y-126086864D01* -X134647098Y-126087807D01* -X134651994Y-126123435D01* -X134652050Y-126123854D01* -X134661505Y-126195661D01* -X134662236Y-126199283D01* -X134662597Y-126200573D01* -X134690753Y-126265396D01* -X134691253Y-126266576D01* -X134717041Y-126328833D01* -X134719506Y-126333102D01* -X134719073Y-126333351D01* -X134720413Y-126335628D01* -X134720480Y-126335588D01* -X134723149Y-126339978D01* -X134739122Y-126359611D01* -X134762655Y-126388538D01* -X134765481Y-126392011D01* -X134766807Y-126393690D01* -X134805669Y-126444336D01* -X134809150Y-126447817D01* -X134809132Y-126447834D01* -X134817564Y-126456030D01* -X134819067Y-126457877D01* -X134819069Y-126457880D01* -X134870918Y-126494477D01* -X134873332Y-126496254D01* -X134888481Y-126507878D01* -X134921164Y-126532957D01* -X134923747Y-126534026D01* -X134938339Y-126542068D01* -X134943237Y-126545526D01* -X134943238Y-126545526D01* -X134943239Y-126545527D01* -X134999686Y-126565588D01* -X135003251Y-126566958D01* -X135055664Y-126588669D01* -X135062022Y-126589505D01* -X135077389Y-126593204D01* -X135086452Y-126596425D01* -X135142641Y-126600268D01* -X135147324Y-126600736D01* -X135154163Y-126601636D01* -X135200000Y-126607671D01* -X135209944Y-126606361D01* -X135224889Y-126605894D01* -X135238082Y-126606797D01* -X135238085Y-126606797D01* -X135238085Y-126606796D01* -X135238086Y-126606797D01* -X135289752Y-126596060D01* -X135295189Y-126595138D01* -X135344336Y-126588669D01* -X135356930Y-126583451D01* -X135370409Y-126579299D01* -X135386896Y-126575874D01* -X135386897Y-126575873D01* -X135386899Y-126575873D01* -X135430550Y-126553254D01* -X135436363Y-126550549D01* -X135478836Y-126532957D01* -X135492513Y-126522460D01* -X135503695Y-126515352D01* -X135521844Y-126505949D01* -X135555167Y-126474826D01* -X135560701Y-126470139D01* -X135594328Y-126444337D01* -X135594328Y-126444336D01* -X135594333Y-126444333D01* -X135607022Y-126427795D01* -X135615349Y-126418620D01* -X135632923Y-126402209D01* -X135654748Y-126366317D01* -X135659326Y-126359630D01* -X135682957Y-126328836D01* -X135692312Y-126306249D01* -X135697523Y-126295977D01* -X135711894Y-126272347D01* -X135722258Y-126235352D01* -X135725195Y-126226862D01* -X135725530Y-126226055D01* -X135738669Y-126194336D01* -X135742328Y-126166536D01* -X135744471Y-126156075D01* -X135752900Y-126125994D01* -X135752900Y-126091170D01* -X135753543Y-126081355D01* -X135754381Y-126074986D01* -X135757671Y-126050000D01* -X135753543Y-126018644D01* -X135752900Y-126008829D01* -X135752900Y-125751673D01* -X135770493Y-125703335D01* -X135795073Y-125684114D01* -X135882898Y-125641179D01* -X135966177Y-125557900D01* -X135966179Y-125557898D01* -X136017906Y-125452088D01* -X136026649Y-125392078D01* -X136027899Y-125383502D01* -X136027900Y-125383492D01* -X136027900Y-124916507D01* -X136027899Y-124916497D01* -X136021454Y-124872266D01* -X136017906Y-124847912D01* -X135966179Y-124742102D01* -X135966177Y-124742100D01* -X135966177Y-124742099D01* -X135882900Y-124658822D01* -X135867301Y-124651196D01* -X135777088Y-124607094D01* -X135708502Y-124597100D01* -X135708494Y-124597100D01* -X135275994Y-124597100D01* -X135221460Y-124597100D01* -X135216328Y-124596925D01* -X135161914Y-124593203D01* -X135150729Y-124595527D01* -X135135430Y-124597100D01* -X134510167Y-124597100D01* -X134461829Y-124579507D01* -X134456993Y-124575074D01* -X134420071Y-124538152D01* -X134413584Y-124530756D01* -X134394333Y-124505667D01* -X134386372Y-124499558D01* -X134375482Y-124489329D01* -X134366784Y-124479354D01* -X134322674Y-124450422D01* -X134318140Y-124447201D01* -X134277794Y-124416243D01* -X134274691Y-124414182D01* -X134273526Y-124413526D01* -X134262900Y-124409336D01* -X134249247Y-124402261D01* -X134239699Y-124395999D01* -X134239692Y-124395995D01* -X134192838Y-124381124D01* -X134186811Y-124378924D01* -X134144338Y-124361331D01* -X134139582Y-124360057D01* -X134139710Y-124359578D01* -X134137150Y-124358914D01* -X134137133Y-124358987D01* -X134132140Y-124357770D01* -X134120768Y-124356601D01* -X134105713Y-124353472D01* -X134094827Y-124350017D01* -X134083454Y-124349628D01* -X134049272Y-124348460D01* -X134042027Y-124347861D01* -X134000001Y-124342329D01* -X133995076Y-124342329D01* -X133995076Y-124342304D01* -X133983323Y-124342471D01* -X133980944Y-124342226D01* -X133969682Y-124344168D01* -X133954343Y-124345217D01* -X133942931Y-124344827D01* -X133942921Y-124344828D01* -X133902122Y-124354770D01* -X133894138Y-124356264D01* -X133855668Y-124361330D01* -X133855660Y-124361332D01* -X133853073Y-124362404D01* -X133837086Y-124367031D01* -X133831166Y-124368052D01* -X133820851Y-124372957D01* -X133806367Y-124378105D01* -X133795261Y-124380812D01* -X133795259Y-124380812D01* -X133795259Y-124380813D01* -X133763098Y-124398896D01* -X133761771Y-124399642D01* -X133753697Y-124403567D01* -X133721159Y-124417045D01* -X133716065Y-124420954D01* -X133702600Y-124429195D01* -X133693909Y-124433329D01* -X133693908Y-124433329D01* -X133685292Y-124440841D01* -X133672742Y-124449700D01* -X133662774Y-124455306D01* -X133643007Y-124475074D01* -X133596387Y-124496814D01* -X133589833Y-124497100D01* -X133515713Y-124497100D01* -X133467375Y-124479507D01* -X133462539Y-124475074D01* -X133434710Y-124447245D01* -X133317466Y-124387507D01* -X133317468Y-124387507D01* -X133220194Y-124372100D01* -X133220192Y-124372100D01* -X132279808Y-124372100D01* -X132279805Y-124372100D01* -X132182532Y-124387507D01* -X132065290Y-124447244D01* -X132053773Y-124458762D01* -X132007152Y-124480500D01* -X131957465Y-124467185D01* -X131947426Y-124458760D01* -X131944336Y-124455670D01* -X131944334Y-124455668D01* -X131944333Y-124455667D01* -X131936372Y-124449558D01* -X131925477Y-124439324D01* -X131916784Y-124429354D01* -X131880116Y-124405303D01* -X131872669Y-124400418D01* -X131868137Y-124397199D01* -X131833933Y-124370954D01* -X131828836Y-124367043D01* -X131828834Y-124367042D01* -X131827785Y-124366237D01* -X131824691Y-124364182D01* -X131823531Y-124363530D01* -X131823529Y-124363528D01* -X131812902Y-124359337D01* -X131799250Y-124352262D01* -X131789699Y-124345998D01* -X131789690Y-124345993D01* -X131742831Y-124331121D01* -X131736807Y-124328923D01* -X131694336Y-124311331D01* -X131694334Y-124311330D01* -X131689582Y-124310057D01* -X131689710Y-124309578D01* -X131687150Y-124308914D01* -X131687133Y-124308987D01* -X131682140Y-124307770D01* -X131670768Y-124306601D01* -X131655713Y-124303472D01* -X131644827Y-124300017D01* -X131633454Y-124299628D01* -X131599272Y-124298460D01* -X131592027Y-124297861D01* -X131550001Y-124292329D01* -X131545076Y-124292329D01* -X131545076Y-124292304D01* -X131533323Y-124292471D01* -X131530944Y-124292226D01* -X131519682Y-124294168D01* -X131504343Y-124295217D01* -X131492931Y-124294827D01* -X131492922Y-124294828D01* -X131452123Y-124304770D01* -X131444139Y-124306264D01* -X131405667Y-124311330D01* -X131405657Y-124311333D01* -X131403069Y-124312405D01* -X131387087Y-124317030D01* -X131381171Y-124318050D01* -X131381165Y-124318052D01* -X131370844Y-124322960D01* -X131356365Y-124328106D01* -X131345260Y-124330813D01* -X131345256Y-124330814D01* -X131311771Y-124349642D01* -X131303695Y-124353568D01* -X131271160Y-124367045D01* -X131271158Y-124367046D01* -X131266065Y-124370954D01* -X131252600Y-124379195D01* -X131243910Y-124383328D01* -X131243908Y-124383329D01* -X131235296Y-124390839D01* -X131222734Y-124399706D01* -X131212780Y-124405303D01* -X131212770Y-124405310D01* -X131188156Y-124429924D01* -X131180764Y-124436408D01* -X131155662Y-124455670D01* -X131152573Y-124458760D01* -X131105953Y-124480500D01* -X131056266Y-124467186D01* -X131046225Y-124458760D01* -X131034710Y-124447245D01* -X130917466Y-124387507D01* -X130917468Y-124387507D01* -X130820194Y-124372100D01* -X130820192Y-124372100D01* -X129879808Y-124372100D01* -X129879805Y-124372100D01* -X129782532Y-124387507D01* -X129665289Y-124447245D01* -X129653775Y-124458760D01* -X129607155Y-124480500D01* -X129557468Y-124467186D01* -X129547427Y-124458760D01* -X129544336Y-124455669D01* -X129518571Y-124435899D01* -X129514931Y-124432920D01* -X129514227Y-124432306D01* -X129485467Y-124410496D01* -X129485128Y-124410237D01* -X129427787Y-124366238D01* -X129424691Y-124364182D01* -X129423524Y-124363525D01* -X129357833Y-124337619D01* -X129356645Y-124337139D01* -X129294339Y-124311331D01* -X129289582Y-124310057D01* -X129289710Y-124309578D01* -X129287148Y-124308914D01* -X129287131Y-124308987D01* -X129282137Y-124307769D01* -X129215426Y-124300911D01* -X129213302Y-124300662D01* -X129150000Y-124292329D01* -X129145076Y-124292329D01* -X129145076Y-124292304D01* -X129133324Y-124292471D01* -X129130948Y-124292227D01* -X129130947Y-124292227D01* -X129130946Y-124292227D01* -X129112073Y-124295481D01* -X129068390Y-124303012D01* -X129065431Y-124303461D01* -X129005669Y-124311330D01* -X129005660Y-124311332D01* -X129003073Y-124312404D01* -X128987086Y-124317031D01* -X128981165Y-124318052D01* -X128927073Y-124343777D01* -X128923555Y-124345341D01* -X128871168Y-124367040D01* -X128871159Y-124367046D01* -X128866065Y-124370954D01* -X128852600Y-124379195D01* -X128843910Y-124383328D01* -X128843908Y-124383329D01* -X128801455Y-124420346D01* -X128797814Y-124423326D01* -X128776969Y-124439321D01* -X128755667Y-124455667D01* -X128749559Y-124463625D01* -X128739327Y-124474519D01* -X128729354Y-124483214D01* -X128700425Y-124527321D01* -X128697206Y-124531854D01* -X128667042Y-124571166D01* -X128661826Y-124583758D01* -X128655235Y-124596216D01* -X128645995Y-124610303D01* -X128645994Y-124610305D01* -X128631121Y-124657169D01* -X128628921Y-124663197D01* -X128611330Y-124705665D01* -X128609080Y-124722756D01* -X128606201Y-124735686D01* -X128600018Y-124755165D01* -X128600017Y-124755175D01* -X128598460Y-124800726D01* -X128597861Y-124807970D01* -X128592329Y-124849999D01* -X128592329Y-124850000D01* -X120688720Y-124850000D01* -X120697743Y-124840977D01* -X120737477Y-124755766D01* -X120773850Y-124719394D01* -X120825094Y-124714910D01* -X120839772Y-124720545D01* -X120873942Y-124737956D01* -X120873943Y-124737956D01* -X120873945Y-124737957D01* -X121000000Y-124757922D01* -X121126055Y-124737957D01* -X121239771Y-124680016D01* -X121330016Y-124589771D01* -X121387957Y-124476055D01* -X121407922Y-124350000D01* -X121407658Y-124348336D01* -X121402475Y-124315608D01* -X121387957Y-124223945D01* -X121330016Y-124110229D01* -X121239771Y-124019984D01* -X121126055Y-123962043D01* -X121126057Y-123962043D01* -X121000000Y-123942078D01* -X120873943Y-123962043D01* -X120839771Y-123979455D01* -X120788714Y-123985724D01* -X120745573Y-123957707D01* -X120737477Y-123944232D01* -X120697745Y-123859026D01* -X120697739Y-123859018D01* -X120615981Y-123777260D01* -X120615973Y-123777254D01* -X120511180Y-123728388D01* -X120511174Y-123728386D01* -X120475992Y-123723755D01* -X120463420Y-123722100D01* -X120036580Y-123722100D01* -X120025470Y-123723562D01* -X119988825Y-123728386D01* -X119988819Y-123728388D01* -X119884026Y-123777254D01* -X119884018Y-123777260D01* -X119802260Y-123859018D01* -X119802254Y-123859026D01* -X119753388Y-123963819D01* -X119753386Y-123963825D01* -X119747100Y-124011581D01* -X119747100Y-124688418D01* -X119152900Y-124688418D01* -X119152900Y-124011580D01* -X119146613Y-123963824D01* -X119146611Y-123963819D01* -X119097745Y-123859026D01* -X119097739Y-123859018D01* -X119015981Y-123777260D01* -X119015973Y-123777254D01* -X118921319Y-123733116D01* -X118884945Y-123696743D01* -X118877900Y-123664962D01* -X118877900Y-123457936D01* -X118878003Y-123453999D01* -X118880123Y-123413555D01* -X118880122Y-123413554D01* -X118880123Y-123413552D01* -X118871306Y-123390586D01* -X118867960Y-123379288D01* -X118862848Y-123355234D01* -X118857830Y-123348327D01* -X118848463Y-123331075D01* -X118845403Y-123323104D01* -X118845402Y-123323102D01* -X118828018Y-123305718D01* -X118820355Y-123296747D01* -X118805901Y-123276854D01* -X118804517Y-123276055D01* -X118798506Y-123272584D01* -X118782934Y-123260635D01* -X118622300Y-123100000D01* -X119242078Y-123100000D01* -X119262043Y-123226056D01* -X119294508Y-123289771D01* -X119319984Y-123339771D01* -X119410229Y-123430016D01* -X119523943Y-123487956D01* -X119523945Y-123487957D01* -X119650000Y-123507922D01* -X119776055Y-123487957D01* -X119889771Y-123430016D01* -X119980016Y-123339771D01* -X120037957Y-123226055D01* -X120057922Y-123100000D01* -X120037957Y-122973945D01* -X120022831Y-122944258D01* -X120011096Y-122921226D01* -X120002900Y-122887086D01* -X120002900Y-122704236D01* -X120020493Y-122655898D01* -X120065042Y-122630178D01* -X120115700Y-122639111D01* -X120131274Y-122651062D01* -X120160226Y-122680014D01* -X120160228Y-122680015D01* -X120160229Y-122680016D01* -X120183567Y-122691907D01* -X120193619Y-122698067D01* -X120214807Y-122713461D01* -X120234883Y-122719984D01* -X120239709Y-122721552D01* -X120250613Y-122726069D01* -X120273942Y-122737956D01* -X120273943Y-122737956D01* -X120273945Y-122737957D01* -X120299812Y-122742053D01* -X120311271Y-122744804D01* -X120336187Y-122752900D01* -X120368292Y-122752900D01* -X120579998Y-122752900D01* -X120628336Y-122770493D01* -X120633172Y-122774926D01* -X120722237Y-122863991D01* -X120722238Y-122863991D01* -X120722239Y-122863992D01* -X120754926Y-122879971D01* -X120790625Y-122917004D01* -X120797100Y-122947530D01* -X120797100Y-123112374D01* -X120796174Y-123124138D01* -X120792078Y-123149999D01* -X120792078Y-123150000D01* -X120812043Y-123276056D01* -X120865014Y-123380016D01* -X120869984Y-123389771D01* -X120960229Y-123480016D01* -X121073943Y-123537956D01* -X121073945Y-123537957D01* -X121200000Y-123557922D01* -X121326055Y-123537957D01* -X121400550Y-123500000D01* -X129497600Y-123500000D01* -X129497600Y-123645156D01* -X129512988Y-123742310D01* -X129572656Y-123859414D01* -X129665585Y-123952343D01* -X129782690Y-124012011D01* -X129782688Y-124012011D01* -X129879843Y-124027399D01* -X129879850Y-124027400D01* -X130200000Y-124027400D01* -X130200000Y-123500000D01* -X130500000Y-123500000D01* -X130500000Y-124027400D01* -X130820150Y-124027400D01* -X130820156Y-124027399D01* -X130917310Y-124012011D01* -X131034414Y-123952343D01* -X131127343Y-123859414D01* -X131187011Y-123742310D01* -X131202399Y-123645156D01* -X131202400Y-123645149D01* -X131202400Y-123500000D01* -X131897600Y-123500000D01* -X131897600Y-123645156D01* -X131912988Y-123742310D01* -X131972656Y-123859414D01* -X132065585Y-123952343D01* -X132182690Y-124012011D01* -X132182688Y-124012011D01* -X132279843Y-124027399D01* -X132279850Y-124027400D01* -X132600000Y-124027400D01* -X132600000Y-123500000D01* -X132900000Y-123500000D01* -X132900000Y-124027400D01* -X133220150Y-124027400D01* -X133220156Y-124027399D01* -X133317310Y-124012011D01* -X133434414Y-123952343D01* -X133527343Y-123859414D01* -X133587011Y-123742310D01* -X133602399Y-123645156D01* -X133602400Y-123645149D01* -X133602400Y-123500000D01* -X132900000Y-123500000D01* -X132600000Y-123500000D01* -X131897600Y-123500000D01* -X131202400Y-123500000D01* -X130500000Y-123500000D01* -X130200000Y-123500000D01* -X129497600Y-123500000D01* -X121400550Y-123500000D01* -X121439771Y-123480016D01* -X121519787Y-123400000D01* -X134372600Y-123400000D01* -X134372600Y-123483454D01* -X134382580Y-123551942D01* -X134434235Y-123657605D01* -X134517394Y-123740764D01* -X134623057Y-123792419D01* -X134623056Y-123792419D01* -X134691545Y-123802399D01* -X134691556Y-123802400D01* -X135050000Y-123802400D01* -X135050000Y-123400000D01* -X135350000Y-123400000D01* -X135350000Y-123802400D01* -X135708444Y-123802400D01* -X135708454Y-123802399D01* -X135776942Y-123792419D01* -X135882605Y-123740764D01* -X135965764Y-123657605D01* -X136017419Y-123551942D01* -X136024988Y-123500000D01* -X139247600Y-123500000D01* -X139247600Y-123645156D01* -X139262988Y-123742310D01* -X139322656Y-123859414D01* -X139415585Y-123952343D01* -X139532690Y-124012011D01* -X139532688Y-124012011D01* -X139629843Y-124027399D01* -X139629850Y-124027400D01* -X139950000Y-124027400D01* -X139950000Y-123500000D01* -X140250000Y-123500000D01* -X140250000Y-124027400D01* -X140570150Y-124027400D01* -X140570156Y-124027399D01* -X140667310Y-124012011D01* -X140784414Y-123952343D01* -X140877343Y-123859414D01* -X140937011Y-123742310D01* -X140952399Y-123645156D01* -X140952400Y-123645149D01* -X140952400Y-123500000D01* -X140250000Y-123500000D01* -X139950000Y-123500000D01* -X139247600Y-123500000D01* -X136024988Y-123500000D01* -X136027399Y-123483454D01* -X136027400Y-123483444D01* -X136027400Y-123400000D01* -X135350000Y-123400000D01* -X135050000Y-123400000D01* -X134372600Y-123400000D01* -X121519787Y-123400000D01* -X121530016Y-123389771D01* -X121587957Y-123276055D01* -X121600003Y-123200000D01* -X129497600Y-123200000D01* -X130200000Y-123200000D01* -X130200000Y-122672600D01* -X130500000Y-122672600D01* -X130500000Y-123200000D01* -X131202400Y-123200000D01* -X131897600Y-123200000D01* -X132600000Y-123200000D01* -X132600000Y-122672600D01* -X132900000Y-122672600D01* -X132900000Y-123200000D01* -X133602400Y-123200000D01* -X139247600Y-123200000D01* -X139950000Y-123200000D01* -X139950000Y-122672600D01* -X140250000Y-122672600D01* -X140250000Y-123200000D01* -X140952400Y-123200000D01* -X140952400Y-123054850D01* -X140952399Y-123054843D01* -X140937011Y-122957689D01* -X140877343Y-122840585D01* -X140784414Y-122747656D01* -X140667309Y-122687988D01* -X140667311Y-122687988D01* -X140570156Y-122672600D01* -X140250000Y-122672600D01* -X139950000Y-122672600D01* -X139629843Y-122672600D01* -X139532689Y-122687988D01* -X139415585Y-122747656D01* -X139322656Y-122840585D01* -X139262988Y-122957689D01* -X139247600Y-123054843D01* -X139247600Y-123200000D01* -X133602400Y-123200000D01* -X133602400Y-123100000D01* -X134372600Y-123100000D01* -X135050000Y-123100000D01* -X135050000Y-122697600D01* -X135350000Y-122697600D01* -X135350000Y-123100000D01* -X136027400Y-123100000D01* -X136027400Y-123016555D01* -X136027399Y-123016545D01* -X136017419Y-122948057D01* -X135965764Y-122842394D01* -X135882605Y-122759235D01* -X135776942Y-122707580D01* -X135776943Y-122707580D01* -X135708454Y-122697600D01* -X135350000Y-122697600D01* -X135050000Y-122697600D01* -X134691545Y-122697600D01* -X134623057Y-122707580D01* -X134517394Y-122759235D01* -X134434235Y-122842394D01* -X134382580Y-122948057D01* -X134372600Y-123016545D01* -X134372600Y-123100000D01* -X133602400Y-123100000D01* -X133602400Y-123054850D01* -X133602399Y-123054843D01* -X133587011Y-122957689D01* -X133527343Y-122840585D01* -X133434414Y-122747656D01* -X133317309Y-122687988D01* -X133317311Y-122687988D01* -X133220156Y-122672600D01* -X132900000Y-122672600D01* -X132600000Y-122672600D01* -X132279843Y-122672600D01* -X132182689Y-122687988D01* -X132065585Y-122747656D01* -X131972656Y-122840585D01* -X131912988Y-122957689D01* -X131897600Y-123054843D01* -X131897600Y-123200000D01* -X131202400Y-123200000D01* -X131202400Y-123054850D01* -X131202399Y-123054843D01* -X131187011Y-122957689D01* -X131127343Y-122840585D01* -X131034414Y-122747656D01* -X130917309Y-122687988D01* -X130917311Y-122687988D01* -X130820156Y-122672600D01* -X130500000Y-122672600D01* -X130200000Y-122672600D01* -X129879843Y-122672600D01* -X129782689Y-122687988D01* -X129665585Y-122747656D01* -X129572656Y-122840585D01* -X129512988Y-122957689D01* -X129497600Y-123054843D01* -X129497600Y-123200000D01* -X121600003Y-123200000D01* -X121607922Y-123150000D01* -X121603826Y-123124138D01* -X121602900Y-123112374D01* -X121602900Y-122947530D01* -X121620493Y-122899192D01* -X121645072Y-122879971D01* -X121677761Y-122863992D01* -X121715019Y-122826734D01* -X121766828Y-122774926D01* -X121813448Y-122753186D01* -X121820002Y-122752900D01* -X121963812Y-122752900D01* -X121963813Y-122752900D01* -X121988722Y-122744805D01* -X122000173Y-122742055D01* -X122026055Y-122737957D01* -X122049397Y-122726063D01* -X122060284Y-122721554D01* -X122085193Y-122713461D01* -X122106378Y-122698068D01* -X122116430Y-122691907D01* -X122139771Y-122680016D01* -X122230016Y-122589771D01* -X122236201Y-122583586D01* -X122236208Y-122583576D01* -X122283576Y-122536208D01* -X122283586Y-122536201D01* -X122380014Y-122439773D01* -X122380016Y-122439771D01* -X122391907Y-122416430D01* -X122398068Y-122406378D01* -X122413461Y-122385193D01* -X122421554Y-122360284D01* -X122426063Y-122349397D01* -X122437957Y-122326055D01* -X122442055Y-122300173D01* -X122444805Y-122288722D01* -X122452900Y-122263813D01* -X122452900Y-122237624D01* -X122453826Y-122225859D01* -X122457922Y-122200000D01* -X122457922Y-122199999D01* -X122453826Y-122174138D01* -X122452900Y-122162374D01* -X122452900Y-122136188D01* -X122452900Y-122136187D01* -X122444804Y-122111271D01* -X122442053Y-122099812D01* -X122437957Y-122073945D01* -X122437956Y-122073943D01* -X122437956Y-122073942D01* -X122426069Y-122050613D01* -X122421552Y-122039709D01* -X122413461Y-122014808D01* -X122413461Y-122014807D01* -X122398067Y-121993619D01* -X122391906Y-121983565D01* -X122380016Y-121960229D01* -X122380015Y-121960228D01* -X122380014Y-121960226D01* -X122361499Y-121941711D01* -X122353836Y-121932740D01* -X122338444Y-121911556D01* -X122317259Y-121896164D01* -X122308291Y-121888504D01* -X122289771Y-121869984D01* -X122266432Y-121858091D01* -X122256375Y-121851928D01* -X122235196Y-121836541D01* -X122235194Y-121836540D01* -X122235193Y-121836539D01* -X122210283Y-121828444D01* -X122199388Y-121823931D01* -X122176056Y-121812043D01* -X122176058Y-121812043D01* -X122150187Y-121807945D01* -X122138721Y-121805192D01* -X122113813Y-121797100D01* -X122087625Y-121797100D01* -X122075861Y-121796174D01* -X122050000Y-121792078D01* -X122024139Y-121796174D01* -X122012375Y-121797100D01* -X121986182Y-121797100D01* -X121961281Y-121805191D01* -X121949813Y-121807945D01* -X121923943Y-121812043D01* -X121900606Y-121823933D01* -X121889712Y-121828445D01* -X121864810Y-121836537D01* -X121864805Y-121836539D01* -X121843623Y-121851929D01* -X121833567Y-121858091D01* -X121810230Y-121869982D01* -X121805442Y-121873462D01* -X121803644Y-121870987D01* -X121767390Y-121887807D01* -X121717729Y-121874397D01* -X121707813Y-121866060D01* -X121677761Y-121836008D01* -X121568203Y-121782449D01* -X121497182Y-121772100D01* -X121497174Y-121772100D01* -X120902826Y-121772100D01* -X120902817Y-121772100D01* -X120831797Y-121782449D01* -X120722237Y-121836009D01* -X120642190Y-121916056D01* -X120595570Y-121937795D01* -X120545883Y-121924481D01* -X120535847Y-121916060D01* -X120489771Y-121869984D01* -X120466432Y-121858091D01* -X120456375Y-121851928D01* -X120435196Y-121836541D01* -X120435194Y-121836540D01* -X120435193Y-121836539D01* -X120410283Y-121828444D01* -X120399388Y-121823931D01* -X120376056Y-121812043D01* -X120376058Y-121812043D01* -X120350187Y-121807945D01* -X120338721Y-121805192D01* -X120313813Y-121797100D01* -X120287625Y-121797100D01* -X120275861Y-121796174D01* -X120250000Y-121792078D01* -X120224139Y-121796174D01* -X120212375Y-121797100D01* -X120186182Y-121797100D01* -X120161281Y-121805191D01* -X120149813Y-121807945D01* -X120123941Y-121812043D01* -X120123941Y-121812044D01* -X120109221Y-121819543D01* -X120058164Y-121825808D01* -X120015025Y-121797789D01* -X120001331Y-121767207D01* -X119995513Y-121737956D01* -X119988227Y-121701323D01* -X119932331Y-121617669D01* -X119848677Y-121561773D01* -X119848675Y-121561772D01* -X119774911Y-121547100D01* -X119525088Y-121547100D01* -X119525087Y-121547101D01* -X119451326Y-121561772D01* -X119451324Y-121561772D01* -X119451323Y-121561773D01* -X119368108Y-121617376D01* -X119367669Y-121617669D01* -X119311773Y-121701323D01* -X119311772Y-121701324D01* -X119297100Y-121775088D01* -X119297100Y-122182290D01* -X119296843Y-122188501D01* -X119293441Y-122229545D01* -X119294798Y-122234901D01* -X119297100Y-122253364D01* -X119297100Y-122887086D01* -X119288904Y-122921226D01* -X119262043Y-122973943D01* -X119242078Y-123099999D01* -X119242078Y-123100000D01* -X118622300Y-123100000D01* -X118599925Y-123077625D01* -X118578186Y-123031005D01* -X118577900Y-123024451D01* -X118577900Y-122858895D01* -X118595493Y-122810557D01* -X118611319Y-122796370D01* -X118632331Y-122782331D01* -X118688227Y-122698677D01* -X118702900Y-122624911D01* -X118702899Y-121775090D01* -X118688227Y-121701323D01* -X118632331Y-121617669D01* -X118548677Y-121561773D01* -X118548675Y-121561772D01* -X118474911Y-121547100D01* -X118225088Y-121547100D01* -X118225087Y-121547101D01* -X118151326Y-121561772D01* -X118151324Y-121561772D01* -X118151323Y-121561773D01* -X118068108Y-121617376D01* -X118067669Y-121617669D01* -X118011773Y-121701323D01* -X118011772Y-121701324D01* -X117997100Y-121775088D01* -X117997100Y-122624911D01* -X117494876Y-122624911D01* -X117530016Y-122589771D01* -X117536201Y-122583586D01* -X117536208Y-122583576D01* -X117583576Y-122536208D01* -X117583586Y-122536201D01* -X117680014Y-122439773D01* -X117680016Y-122439771D01* -X117691907Y-122416430D01* -X117698068Y-122406378D01* -X117713461Y-122385193D01* -X117721554Y-122360284D01* -X117726063Y-122349397D01* -X117737957Y-122326055D01* -X117742055Y-122300173D01* -X117744805Y-122288722D01* -X117752900Y-122263813D01* -X117752900Y-122237624D01* -X117753826Y-122225859D01* -X117757922Y-122200000D01* -X117757922Y-122199999D01* -X117753826Y-122174138D01* -X117752900Y-122162374D01* -X117752900Y-122136188D01* -X117752900Y-122136187D01* -X117744804Y-122111271D01* -X117742053Y-122099812D01* -X117737957Y-122073945D01* -X117737956Y-122073943D01* -X117737956Y-122073942D01* -X117726069Y-122050613D01* -X117721552Y-122039709D01* -X117713461Y-122014808D01* -X117713461Y-122014807D01* -X117698067Y-121993619D01* -X117691906Y-121983565D01* -X117680016Y-121960229D01* -X117680015Y-121960228D01* -X117680014Y-121960226D01* -X117661499Y-121941711D01* -X117653836Y-121932740D01* -X117638444Y-121911556D01* -X117617259Y-121896164D01* -X117608291Y-121888504D01* -X117589771Y-121869984D01* -X117566432Y-121858091D01* -X117556375Y-121851928D01* -X117535196Y-121836541D01* -X117535194Y-121836540D01* -X117535193Y-121836539D01* -X117510283Y-121828444D01* -X117499388Y-121823931D01* -X117476056Y-121812043D01* -X117476058Y-121812043D01* -X117450187Y-121807945D01* -X117438721Y-121805192D01* -X117413813Y-121797100D01* -X117387625Y-121797100D01* -X117375861Y-121796174D01* -X117350000Y-121792078D01* -X117324139Y-121796174D01* -X117312375Y-121797100D01* -X117286182Y-121797100D01* -X117261281Y-121805191D01* -X117249813Y-121807945D01* -X117223943Y-121812043D01* -X117200606Y-121823933D01* -X117189712Y-121828445D01* -X117164810Y-121836537D01* -X117164805Y-121836539D01* -X117143623Y-121851929D01* -X117133567Y-121858091D01* -X117110230Y-121869982D01* -X117105442Y-121873462D01* -X117103644Y-121870987D01* -X117067390Y-121887807D01* -X117017729Y-121874397D01* -X117007813Y-121866060D01* -X116977761Y-121836008D01* -X116868203Y-121782449D01* -X116797182Y-121772100D01* -X116797174Y-121772100D01* -X116202826Y-121772100D01* -X116202817Y-121772100D01* -X116131797Y-121782449D01* -X116022237Y-121836009D01* -X115942190Y-121916056D01* -X115895570Y-121937795D01* -X115845883Y-121924481D01* -X115835847Y-121916060D01* -X115789771Y-121869984D01* -X115766432Y-121858091D01* -X115756375Y-121851928D01* -X115735196Y-121836541D01* -X115735194Y-121836540D01* -X115735193Y-121836539D01* -X115710283Y-121828444D01* -X115699388Y-121823931D01* -X115676056Y-121812043D01* -X115676058Y-121812043D01* -X115650187Y-121807945D01* -X115638721Y-121805192D01* -X115613813Y-121797100D01* -X115587625Y-121797100D01* -X115575861Y-121796174D01* -X115550000Y-121792078D01* -X115524139Y-121796174D01* -X115512375Y-121797100D01* -X115486182Y-121797100D01* -X115461281Y-121805191D01* -X115449813Y-121807944D01* -X115433612Y-121810511D01* -X115383117Y-121800699D01* -X115350742Y-121760725D01* -X115348089Y-121750908D01* -X115345513Y-121737956D01* -X115338227Y-121701323D01* -X115282331Y-121617669D01* -X115198677Y-121561773D01* -X115198675Y-121561772D01* -X115124911Y-121547100D01* -X114875088Y-121547100D01* -X114875087Y-121547101D01* -X114801326Y-121561772D01* -X114801324Y-121561772D01* -X114801323Y-121561773D01* -X114718108Y-121617376D01* -X114717669Y-121617669D01* -X114661773Y-121701323D01* -X114661772Y-121701324D01* -X114647100Y-121775088D01* -X114647100Y-122182290D01* -X114646843Y-122188501D01* -X114643441Y-122229545D01* -X114644798Y-122234901D01* -X114647100Y-122253364D01* -X114647100Y-122679638D01* -X114629507Y-122727976D01* -X114606041Y-122746641D01* -X114560229Y-122769983D01* -X114469983Y-122860230D01* -X114412043Y-122973943D01* -X114392078Y-123099999D01* -X114392078Y-123100000D01* -X113972300Y-123100000D01* -X113949925Y-123077625D01* -X113928186Y-123031005D01* -X113927900Y-123024451D01* -X113927900Y-122858895D01* -X113945493Y-122810557D01* -X113961319Y-122796370D01* -X113982331Y-122782331D01* -X114038227Y-122698677D01* -X114052900Y-122624911D01* -X114052899Y-121775090D01* -X114038227Y-121701323D01* -X113982331Y-121617669D01* -X113898677Y-121561773D01* -X113898675Y-121561772D01* -X113824911Y-121547100D01* -X113575088Y-121547100D01* -X113575087Y-121547101D01* -X113501326Y-121561772D01* -X113501324Y-121561772D01* -X113501323Y-121561773D01* -X113418108Y-121617376D01* -X113417669Y-121617669D01* -X113361773Y-121701323D01* -X113361772Y-121701324D01* -X113347100Y-121775088D01* -X113347100Y-122624911D01* -X74522900Y-122624911D01* X74522900Y-120700000D01* X75972601Y-120700000D01* X75972601Y-120797142D01* @@ -12244,1192 +11869,6 @@ X114033184Y-121068906D01* X114066779Y-121081133D01* X114151518Y-121137755D01* X114200000Y-121147398D01* -X114200000Y-121147397D01* -X114500000Y-121147397D01* -X114548478Y-121137756D01* -X114548483Y-121137754D01* -X114632770Y-121081435D01* -X114682735Y-121069208D01* -X114716328Y-121081435D01* -X114801319Y-121138225D01* -X114801321Y-121138226D01* -X114801323Y-121138227D01* -X114875089Y-121152900D01* -X115124910Y-121152899D01* -X115124911Y-121152899D01* -X115124911Y-121152898D01* -X115198677Y-121138227D01* -X115282331Y-121082331D01* -X115337343Y-121000000D01* -X115872601Y-121000000D01* -X115872601Y-121097142D01* -X115882934Y-121168059D01* -X115936421Y-121277469D01* -X116022530Y-121363578D01* -X116131941Y-121417065D01* -X116131940Y-121417065D01* -X116202863Y-121427399D01* -X116350000Y-121427399D01* -X116350000Y-121000000D01* -X116650000Y-121000000D01* -X116650000Y-121427399D01* -X116797130Y-121427399D01* -X116797142Y-121427398D01* -X116868059Y-121417065D01* -X116977469Y-121363578D01* -X117063578Y-121277469D01* -X117117065Y-121168058D01* -X117127399Y-121097141D01* -X117127400Y-121097131D01* -X117127400Y-121000000D01* -X116650000Y-121000000D01* -X116350000Y-121000000D01* -X115872601Y-121000000D01* -X115337343Y-121000000D01* -X115338227Y-120998677D01* -X115352900Y-120924911D01* -X115352900Y-120700000D01* -X115872600Y-120700000D01* -X116350000Y-120700000D01* -X116350000Y-120272600D01* -X116650000Y-120272600D01* -X116650000Y-120700000D01* -X117127399Y-120700000D01* -X117127399Y-120650000D01* -X117997601Y-120650000D01* -X117997601Y-120924861D01* -X118012244Y-120998481D01* -X118068030Y-121081969D01* -X118151518Y-121137755D01* -X118200000Y-121147398D01* -X118200000Y-120650000D01* -X118500000Y-120650000D01* -X118500000Y-121147397D01* -X118548478Y-121137756D01* -X118548480Y-121137755D01* -X118633219Y-121081133D01* -X118683184Y-121068906D01* -X118716779Y-121081133D01* -X118801518Y-121137755D01* -X118850000Y-121147398D01* -X118850000Y-121147397D01* -X119150000Y-121147397D01* -X119198478Y-121137756D01* -X119198483Y-121137754D01* -X119282770Y-121081435D01* -X119332735Y-121069208D01* -X119366328Y-121081435D01* -X119451319Y-121138225D01* -X119451321Y-121138226D01* -X119451323Y-121138227D01* -X119525089Y-121152900D01* -X119774910Y-121152899D01* -X119774911Y-121152899D01* -X119774911Y-121152898D01* -X119848677Y-121138227D01* -X119932331Y-121082331D01* -X119987343Y-121000000D01* -X120572601Y-121000000D01* -X120572601Y-121097142D01* -X120582934Y-121168059D01* -X120636421Y-121277469D01* -X120722530Y-121363578D01* -X120831941Y-121417065D01* -X120831940Y-121417065D01* -X120902863Y-121427399D01* -X121050000Y-121427399D01* -X121050000Y-121000000D01* -X121350000Y-121000000D01* -X121350000Y-121427399D01* -X121497130Y-121427399D01* -X121497142Y-121427398D01* -X121568059Y-121417065D01* -X121677469Y-121363578D01* -X121763578Y-121277469D01* -X121817065Y-121168058D01* -X121827399Y-121097141D01* -X121827400Y-121097131D01* -X121827400Y-121000000D01* -X121350000Y-121000000D01* -X121050000Y-121000000D01* -X120572601Y-121000000D01* -X119987343Y-121000000D01* -X119988227Y-120998677D01* -X120002900Y-120924911D01* -X120002900Y-120700000D01* -X120572600Y-120700000D01* -X121050000Y-120700000D01* -X121050000Y-120272600D01* -X121350000Y-120272600D01* -X121350000Y-120700000D01* -X121827399Y-120700000D01* -X121827399Y-120602869D01* -X121827398Y-120602857D01* -X121817065Y-120531940D01* -X121801452Y-120500002D01* -X126042329Y-120500002D01* -X126043637Y-120509943D01* -X126044105Y-120524882D01* -X126043202Y-120538082D01* -X126043203Y-120538087D01* -X126053932Y-120589718D01* -X126054861Y-120595201D01* -X126061330Y-120644332D01* -X126061331Y-120644337D01* -X126066549Y-120656934D01* -X126070699Y-120670406D01* -X126074040Y-120686484D01* -X126074127Y-120686899D01* -X126096744Y-120730547D01* -X126099450Y-120736365D01* -X126117040Y-120778831D01* -X126117042Y-120778834D01* -X126117043Y-120778836D01* -X126121409Y-120784526D01* -X126127539Y-120792515D01* -X126134644Y-120803692D01* -X126144050Y-120821843D01* -X126144051Y-120821844D01* -X126175163Y-120855157D01* -X126179854Y-120860693D01* -X126205667Y-120894333D01* -X126222202Y-120907021D01* -X126231378Y-120915349D01* -X126247791Y-120932923D01* -X126283684Y-120954749D01* -X126290382Y-120959337D01* -X126321160Y-120982955D01* -X126321162Y-120982956D01* -X126321164Y-120982957D01* -X126330631Y-120986878D01* -X126343745Y-120992311D01* -X126354032Y-120997530D01* -X126377653Y-121011894D01* -X126414645Y-121022258D01* -X126423136Y-121025195D01* -X126455661Y-121038668D01* -X126455664Y-121038669D01* -X126483465Y-121042328D01* -X126493924Y-121044471D01* -X126524006Y-121052900D01* -X126558829Y-121052900D01* -X126568644Y-121053543D01* -X126600000Y-121057671D01* -X126631356Y-121053543D01* -X126641171Y-121052900D01* -X127209430Y-121052900D01* -X127242457Y-121060540D01* -X127256797Y-121067551D01* -X127308848Y-121075135D01* -X127327817Y-121077899D01* -X127327822Y-121077899D01* -X127327826Y-121077900D01* -X127327828Y-121077900D01* -X128372172Y-121077900D01* -X128372174Y-121077900D01* -X128372178Y-121077899D01* -X128372182Y-121077899D01* -X128381151Y-121076592D01* -X128443203Y-121067551D01* -X128457542Y-121060540D01* -X128490570Y-121052900D01* -X128839285Y-121052900D01* -X128841851Y-121052943D01* -X128857832Y-121053489D01* -X128907070Y-121055172D01* -X128907072Y-121055172D01* -X128907072Y-121055171D01* -X128907073Y-121055172D01* -X128949627Y-121044801D01* -X128957178Y-121043366D01* -X129000573Y-121037402D01* -X129020976Y-121028538D01* -X129033115Y-121024456D01* -X129054741Y-121019187D01* -X129092927Y-120997714D01* -X129099807Y-120994297D01* -X129139979Y-120976850D01* -X129148684Y-120969767D01* -X129196142Y-120952900D01* -X129274006Y-120952900D01* -X129317796Y-120952900D01* -X129325485Y-120953293D01* -X129369054Y-120957773D01* -X129369054Y-120957772D01* -X129369056Y-120957773D01* -X129390971Y-120953994D01* -X129403750Y-120952900D01* -X129584287Y-120952900D01* -X129632625Y-120970493D01* -X129637461Y-120974926D01* -X129665290Y-121002755D01* -X129782532Y-121062492D01* -X129782534Y-121062493D01* -X129879808Y-121077900D01* -X129879809Y-121077900D01* -X129882726Y-121078362D01* -X129882483Y-121079894D01* -X129924562Y-121099027D01* -X129946747Y-121145436D01* -X129947100Y-121152709D01* -X129947100Y-121312374D01* -X129946174Y-121324138D01* -X129942078Y-121349999D01* -X129942078Y-121350000D01* -X129962043Y-121476056D01* -X130015068Y-121580123D01* -X130019984Y-121589771D01* -X130110229Y-121680016D01* -X130223943Y-121737956D01* -X130223945Y-121737957D01* -X130350000Y-121757922D01* -X130476055Y-121737957D01* -X130589771Y-121680016D01* -X130680016Y-121589771D01* -X130737957Y-121476055D01* -X130757922Y-121350000D01* -X130756828Y-121343095D01* -X130753826Y-121324138D01* -X130752900Y-121312374D01* -X130752900Y-121152709D01* -X130770493Y-121104371D01* -X130815042Y-121078651D01* -X130817274Y-121078368D01* -X130817274Y-121078362D01* -X130820191Y-121077900D01* -X130820192Y-121077900D01* -X130917466Y-121062493D01* -X131034710Y-121002755D01* -X131062539Y-120974926D01* -X131109159Y-120953186D01* -X131115713Y-120952900D01* -X131339285Y-120952900D01* -X131341851Y-120952943D01* -X131352098Y-120953294D01* -X131407071Y-120955172D01* -X131407072Y-120955172D01* -X131407072Y-120955171D01* -X131407073Y-120955172D01* -X131407623Y-120955038D01* -X131425428Y-120952900D01* -X131674006Y-120952900D01* -X131717796Y-120952900D01* -X131725485Y-120953293D01* -X131769054Y-120957773D01* -X131769054Y-120957772D01* -X131769056Y-120957773D01* -X131790971Y-120953994D01* -X131803750Y-120952900D01* -X131984287Y-120952900D01* -X132032625Y-120970493D01* -X132037461Y-120974926D01* -X132065290Y-121002755D01* -X132182532Y-121062492D01* -X132182534Y-121062493D01* -X132279808Y-121077900D01* -X132279809Y-121077900D01* -X132282726Y-121078362D01* -X132282483Y-121079894D01* -X132324562Y-121099027D01* -X132346747Y-121145436D01* -X132347100Y-121152709D01* -X132347100Y-121312374D01* -X132346174Y-121324138D01* -X132342078Y-121349999D01* -X132342078Y-121350000D01* -X132362043Y-121476056D01* -X132415068Y-121580123D01* -X132419984Y-121589771D01* -X132510229Y-121680016D01* -X132623943Y-121737956D01* -X132623945Y-121737957D01* -X132750000Y-121757922D01* -X132876055Y-121737957D01* -X132989771Y-121680016D01* -X133080016Y-121589771D01* -X133137957Y-121476055D01* -X133157922Y-121350000D01* -X133156828Y-121343095D01* -X133153826Y-121324138D01* -X133152900Y-121312374D01* -X133152900Y-121152709D01* -X133170493Y-121104371D01* -X133215042Y-121078651D01* -X133217274Y-121078368D01* -X133217274Y-121078362D01* -X133220191Y-121077900D01* -X133220192Y-121077900D01* -X133317466Y-121062493D01* -X133434710Y-121002755D01* -X133462539Y-120974926D01* -X133509159Y-120953186D01* -X133515713Y-120952900D01* -X133958829Y-120952900D01* -X133968644Y-120953543D01* -X134000000Y-120957671D01* -X134032217Y-120953429D01* -X134036889Y-120952963D01* -X134037796Y-120952900D01* -X134037818Y-120952900D01* -X134073659Y-120947973D01* -X134144336Y-120938669D01* -X134144339Y-120938667D01* -X134145696Y-120938489D01* -X134149255Y-120937770D01* -X134150571Y-120937402D01* -X134150573Y-120937402D01* -X134215416Y-120909236D01* -X134216443Y-120908800D01* -X134278836Y-120882957D01* -X134278842Y-120882951D01* -X134283107Y-120880491D01* -X134283363Y-120880935D01* -X134285630Y-120879597D01* -X134285585Y-120879522D01* -X134289974Y-120876851D01* -X134289979Y-120876850D01* -X134292768Y-120874580D01* -X134298686Y-120869767D01* -X134346144Y-120852900D01* -X134547293Y-120852900D01* -X134579073Y-120859945D01* -X134604609Y-120871853D01* -X134650540Y-120877900D01* -X134671900Y-120877900D01* -X134720238Y-120895493D01* -X134745958Y-120940042D01* -X134747100Y-120953100D01* -X134747100Y-121112228D01* -X134746335Y-121122929D01* -X134742443Y-121150000D01* -X134746493Y-121178172D01* -X134747048Y-121183251D01* -X134747100Y-121183948D01* -X134751890Y-121215726D01* -X134751964Y-121216230D01* -X134761227Y-121280646D01* -X134761830Y-121283374D01* -X134762334Y-121285008D01* -X134789639Y-121341709D01* -X134790290Y-121343095D01* -X134815079Y-121397374D01* -X134817989Y-121401901D01* -X134817399Y-121402279D01* -X134818197Y-121403480D01* -X134818390Y-121403349D01* -X134821563Y-121408003D01* -X134821568Y-121408008D01* -X134821569Y-121408010D01* -X134861940Y-121451519D01* -X134863591Y-121453360D01* -X134889560Y-121483330D01* -X134900366Y-121495801D01* -X134904428Y-121499321D01* -X134904351Y-121499409D01* -X134913423Y-121507004D01* -X134914432Y-121508091D01* -X134937251Y-121521265D01* -X134962671Y-121535941D01* -X134965728Y-121537805D01* -X134969186Y-121540027D01* -X135009924Y-121566208D01* -X135011818Y-121566764D01* -X135028224Y-121573789D01* -X135032668Y-121576355D01* -X135032670Y-121576355D01* -X135032671Y-121576356D01* -X135049177Y-121580123D01* -X135083478Y-121587951D01* -X135087910Y-121589107D01* -X135134883Y-121602900D01* -X135140495Y-121602900D01* -X135157229Y-121604786D01* -X135165766Y-121606734D01* -X135165770Y-121606735D01* -X135165771Y-121606734D01* -X135165772Y-121606735D01* -X135214148Y-121603110D01* -X135219767Y-121602900D01* -X135265114Y-121602900D01* -X135265117Y-121602900D01* -X135273988Y-121600294D01* -X135289552Y-121597458D01* -X135301918Y-121596533D01* -X135343753Y-121580112D01* -X135350017Y-121577970D01* -X135390071Y-121566210D01* -X135390072Y-121566209D01* -X135390076Y-121566208D01* -X135400912Y-121559243D01* -X135414083Y-121552510D01* -X135429008Y-121546653D01* -X135461318Y-121520886D01* -X135467534Y-121516429D01* -X135475692Y-121511186D01* -X135499636Y-121495799D01* -X135510444Y-121483324D01* -X135520391Y-121473777D01* -X135535749Y-121461530D01* -X135539985Y-121455317D01* -X135556993Y-121430370D01* -X135562291Y-121423490D01* -X135567859Y-121417065D01* -X135580671Y-121402279D01* -X135584918Y-121397378D01* -X135584918Y-121397377D01* -X135584921Y-121397374D01* -X135593279Y-121379069D01* -X135599543Y-121367960D01* -X135612658Y-121348726D01* -X135622713Y-121316124D01* -X135626157Y-121307080D01* -X135639023Y-121278909D01* -X135642401Y-121255407D01* -X135644973Y-121243960D01* -X135652900Y-121218264D01* -X135652900Y-121187771D01* -X135653665Y-121177070D01* -X135654960Y-121168059D01* -X135657557Y-121150000D01* -X135653665Y-121122929D01* -X135652900Y-121112228D01* -X135652900Y-120953100D01* -X135670493Y-120904762D01* -X135715042Y-120879042D01* -X135728100Y-120877900D01* -X135749458Y-120877900D01* -X135749460Y-120877900D01* -X135795391Y-120871853D01* -X135896197Y-120824847D01* -X135974847Y-120746197D01* -X136021853Y-120645391D01* -X136027900Y-120599460D01* -X136472100Y-120599460D01* -X136472549Y-120602869D01* -X136478147Y-120645391D01* -X136525151Y-120746194D01* -X136525156Y-120746201D01* -X136603798Y-120824843D01* -X136603801Y-120824845D01* -X136603803Y-120824847D01* -X136704609Y-120871853D01* -X136750540Y-120877900D01* -X136771900Y-120877900D01* -X136820238Y-120895493D01* -X136845958Y-120940042D01* -X136847100Y-120953100D01* -X136847100Y-121112228D01* -X136846335Y-121122929D01* -X136842443Y-121150000D01* -X136846493Y-121178172D01* -X136847048Y-121183251D01* -X136847100Y-121183948D01* -X136851890Y-121215726D01* -X136851964Y-121216230D01* -X136861227Y-121280646D01* -X136861830Y-121283374D01* -X136862334Y-121285008D01* -X136889639Y-121341709D01* -X136890290Y-121343095D01* -X136915079Y-121397374D01* -X136917989Y-121401901D01* -X136917399Y-121402279D01* -X136918197Y-121403480D01* -X136918390Y-121403349D01* -X136921563Y-121408003D01* -X136921568Y-121408008D01* -X136921569Y-121408010D01* -X136961940Y-121451519D01* -X136963591Y-121453360D01* -X136989560Y-121483330D01* -X137000366Y-121495801D01* -X137004428Y-121499321D01* -X137004351Y-121499409D01* -X137013423Y-121507004D01* -X137014432Y-121508091D01* -X137037251Y-121521265D01* -X137062671Y-121535941D01* -X137065728Y-121537805D01* -X137069186Y-121540027D01* -X137109924Y-121566208D01* -X137111818Y-121566764D01* -X137128224Y-121573789D01* -X137132668Y-121576355D01* -X137132670Y-121576355D01* -X137132671Y-121576356D01* -X137149177Y-121580123D01* -X137183478Y-121587951D01* -X137187910Y-121589107D01* -X137234883Y-121602900D01* -X137240495Y-121602900D01* -X137257229Y-121604786D01* -X137265766Y-121606734D01* -X137265770Y-121606735D01* -X137265771Y-121606734D01* -X137265772Y-121606735D01* -X137314148Y-121603110D01* -X137319767Y-121602900D01* -X137365114Y-121602900D01* -X137365117Y-121602900D01* -X137373988Y-121600294D01* -X137389552Y-121597458D01* -X137401918Y-121596533D01* -X137443753Y-121580112D01* -X137450017Y-121577970D01* -X137490071Y-121566210D01* -X137490072Y-121566209D01* -X137490076Y-121566208D01* -X137500912Y-121559243D01* -X137514083Y-121552510D01* -X137529008Y-121546653D01* -X137561318Y-121520886D01* -X137567534Y-121516429D01* -X137575692Y-121511186D01* -X137599636Y-121495799D01* -X137610444Y-121483324D01* -X137620391Y-121473777D01* -X137635749Y-121461530D01* -X137639985Y-121455317D01* -X137656993Y-121430370D01* -X137662291Y-121423490D01* -X137667859Y-121417065D01* -X137680671Y-121402279D01* -X137684918Y-121397378D01* -X137684918Y-121397377D01* -X137684921Y-121397374D01* -X137693279Y-121379069D01* -X137699543Y-121367960D01* -X137712658Y-121348726D01* -X137722713Y-121316124D01* -X137726157Y-121307080D01* -X137739023Y-121278909D01* -X137742401Y-121255407D01* -X137744973Y-121243960D01* -X137752900Y-121218264D01* -X137752900Y-121187771D01* -X137753665Y-121177070D01* -X137754960Y-121168059D01* -X137757557Y-121150000D01* -X137753665Y-121122929D01* -X137752900Y-121112228D01* -X137752900Y-120953100D01* -X137770493Y-120904762D01* -X137815042Y-120879042D01* -X137828100Y-120877900D01* -X137849458Y-120877900D01* -X137849460Y-120877900D01* -X137895391Y-120871853D01* -X137920926Y-120859945D01* -X137952707Y-120852900D01* -X138059572Y-120852900D01* -X138098645Y-120863848D01* -X138172527Y-120908777D01* -X138177655Y-120911895D01* -X138324004Y-120952900D01* -X138324006Y-120952900D01* -X139334287Y-120952900D01* -X139382625Y-120970493D01* -X139387461Y-120974926D01* -X139415290Y-121002755D01* -X139532532Y-121062492D01* -X139532534Y-121062493D01* -X139629808Y-121077900D01* -X139629812Y-121077900D01* -X140570188Y-121077900D01* -X140570192Y-121077900D01* -X140667466Y-121062493D01* -X140784710Y-121002755D01* -X140812539Y-120974926D01* -X140859159Y-120953186D01* -X140865713Y-120952900D01* -X141089285Y-120952900D01* -X141091851Y-120952943D01* -X141102098Y-120953294D01* -X141157070Y-120955172D01* -X141157072Y-120955172D01* -X141157072Y-120955171D01* -X141157073Y-120955172D01* -X141199627Y-120944801D01* -X141207178Y-120943366D01* -X141250573Y-120937402D01* -X141270976Y-120928538D01* -X141283115Y-120924456D01* -X141304741Y-120919187D01* -X141342927Y-120897714D01* -X141349807Y-120894297D01* -X141389979Y-120876850D01* -X141407241Y-120862805D01* -X141417833Y-120855596D01* -X141437223Y-120844695D01* -X141468195Y-120813722D01* -X141473905Y-120808570D01* -X141507874Y-120780936D01* -X141507875Y-120780934D01* -X141507878Y-120780932D01* -X141520713Y-120762746D01* -X141528965Y-120752951D01* -X141711848Y-120570068D01* -X141719226Y-120563597D01* -X141744333Y-120544333D01* -X141764125Y-120518537D01* -X141767117Y-120514885D01* -X141767690Y-120514227D01* -X141767700Y-120514218D01* -X141789539Y-120485418D01* -X141832957Y-120428836D01* -X141832959Y-120428830D01* -X141833790Y-120427748D01* -X141835807Y-120424711D01* -X141836470Y-120423532D01* -X141836472Y-120423530D01* -X141862445Y-120357663D01* -X141862810Y-120356762D01* -X141888669Y-120294336D01* -X141888670Y-120294331D01* -X141889946Y-120289572D01* -X141890432Y-120289702D01* -X141891090Y-120287158D01* -X141891012Y-120287139D01* -X141892229Y-120282142D01* -X141892229Y-120282140D01* -X141892230Y-120282138D01* -X141899093Y-120215372D01* -X141899336Y-120213306D01* -X141907671Y-120150003D01* -X141907671Y-120145069D01* -X141907698Y-120145069D01* -X141907530Y-120133308D01* -X141907773Y-120130947D01* -X141907772Y-120130945D01* -X141907773Y-120130942D01* -X141896987Y-120068387D01* -X141896536Y-120065425D01* -X141888669Y-120005664D01* -X141887598Y-120003078D01* -X141882967Y-119987077D01* -X141882934Y-119986887D01* -X141881948Y-119981167D01* -X141865440Y-119946457D01* -X141856223Y-119927075D01* -X141854658Y-119923556D01* -X141851960Y-119917043D01* -X141832957Y-119871165D01* -X141832955Y-119871162D01* -X141829052Y-119866075D01* -X141820800Y-119852592D01* -X141820084Y-119851087D01* -X141816671Y-119843910D01* -X141788962Y-119812132D01* -X141779656Y-119801459D01* -X141776675Y-119797816D01* -X141752303Y-119766054D01* -X141744333Y-119755667D01* -X141744332Y-119755666D01* -X141736375Y-119749560D01* -X141725474Y-119739320D01* -X141716786Y-119729355D01* -X141716782Y-119729352D01* -X141672683Y-119700428D01* -X141668148Y-119697208D01* -X141628837Y-119667044D01* -X141628836Y-119667043D01* -X141625372Y-119665608D01* -X141616231Y-119661821D01* -X141603772Y-119655230D01* -X141595825Y-119650018D01* -X141589693Y-119645996D01* -X141542828Y-119631121D01* -X141536820Y-119628928D01* -X141494334Y-119611330D01* -X141477236Y-119609079D01* -X141464305Y-119606200D01* -X141446694Y-119600611D01* -X141444826Y-119600018D01* -X141444270Y-119599999D01* -X141399278Y-119598461D01* -X141392034Y-119597862D01* -X141350000Y-119592329D01* -X141349998Y-119592329D01* -X141329330Y-119595049D01* -X141316951Y-119595648D01* -X141292929Y-119594827D01* -X141292920Y-119594828D01* -X141252120Y-119604770D01* -X141244137Y-119606264D01* -X141205667Y-119611330D01* -X141205663Y-119611331D01* -X141183078Y-119620685D01* -X141172115Y-119624267D01* -X141147963Y-119630154D01* -X141145259Y-119630813D01* -X141111764Y-119649644D01* -X141103693Y-119653567D01* -X141071163Y-119667043D01* -X141048927Y-119684104D01* -X141040014Y-119689987D01* -X141012779Y-119705303D01* -X141012768Y-119705311D01* -X140988152Y-119729927D01* -X140980760Y-119736410D01* -X140955668Y-119755665D01* -X140936413Y-119780757D01* -X140929931Y-119788148D01* -X140905948Y-119812132D01* -X140859328Y-119833873D01* -X140809640Y-119820560D01* -X140799598Y-119812133D01* -X140784710Y-119797245D01* -X140667466Y-119737507D01* -X140667468Y-119737507D01* -X140570194Y-119722100D01* -X140570192Y-119722100D01* -X139629808Y-119722100D01* -X139629805Y-119722100D01* -X139532532Y-119737507D01* -X139415289Y-119797245D01* -X139387461Y-119825074D01* -X139340841Y-119846814D01* -X139334287Y-119847100D01* -X138362182Y-119847100D01* -X138290359Y-119856972D01* -X138249424Y-119862598D01* -X138172934Y-119895822D01* -X138121589Y-119898942D01* -X138080250Y-119868328D01* -X138068262Y-119818305D01* -X138074821Y-119795065D01* -X138121362Y-119695260D01* -X138121363Y-119695257D01* -X138127400Y-119649400D01* -X138127400Y-119600000D01* -X136472600Y-119600000D01* -X136472600Y-119649400D01* -X136478636Y-119695257D01* -X136478638Y-119695263D01* -X136525567Y-119795902D01* -X136525573Y-119795910D01* -X136601135Y-119871472D01* -X136622875Y-119918092D01* -X136609561Y-119967779D01* -X136601135Y-119977820D01* -X136525156Y-120053798D01* -X136525151Y-120053805D01* -X136478147Y-120154608D01* -X136478147Y-120154609D01* -X136472100Y-120200540D01* -X136472100Y-120599460D01* -X136027900Y-120599460D01* -X136027900Y-120200540D01* -X136021853Y-120154609D01* -X135974847Y-120053803D01* -X135974845Y-120053801D01* -X135974843Y-120053798D01* -X135896201Y-119975156D01* -X135896194Y-119975151D01* -X135795391Y-119928147D01* -X135787248Y-119927075D01* -X135749460Y-119922100D01* -X134650540Y-119922100D01* -X134642565Y-119923150D01* -X134604610Y-119928146D01* -X134604607Y-119928147D01* -X134586001Y-119936823D01* -X134579073Y-119940054D01* -X134547293Y-119947100D01* -X134343534Y-119947100D01* -X134297756Y-119931561D01* -X134293307Y-119928147D01* -X134278836Y-119917043D01* -X134278834Y-119917042D01* -X134278829Y-119917039D01* -X134256257Y-119907689D01* -X134245968Y-119902469D01* -X134222345Y-119888105D01* -X134222342Y-119888103D01* -X134185361Y-119877742D01* -X134176874Y-119874808D01* -X134144334Y-119861330D01* -X134116538Y-119857670D01* -X134106071Y-119855526D01* -X134075994Y-119847100D01* -X134041171Y-119847100D01* -X134031356Y-119846457D01* -X134000000Y-119842329D01* -X133968644Y-119846457D01* -X133958829Y-119847100D01* -X133515713Y-119847100D01* -X133467375Y-119829507D01* -X133462539Y-119825074D01* -X133434710Y-119797245D01* -X133317466Y-119737507D01* -X133317468Y-119737507D01* -X133220194Y-119722100D01* -X133220192Y-119722100D01* -X132279808Y-119722100D01* -X132279805Y-119722100D01* -X132182532Y-119737507D01* -X132065290Y-119797244D01* -X132053773Y-119808762D01* -X132007152Y-119830500D01* -X131957465Y-119817185D01* -X131947426Y-119808760D01* -X131944336Y-119805670D01* -X131944334Y-119805668D01* -X131944333Y-119805667D01* -X131936372Y-119799558D01* -X131925477Y-119789324D01* -X131916784Y-119779354D01* -X131880671Y-119755667D01* -X131872669Y-119750418D01* -X131868137Y-119747199D01* -X131844880Y-119729354D01* -X131828836Y-119717043D01* -X131828834Y-119717042D01* -X131827785Y-119716237D01* -X131824691Y-119714182D01* -X131823531Y-119713530D01* -X131823529Y-119713528D01* -X131812902Y-119709337D01* -X131799250Y-119702262D01* -X131789699Y-119695998D01* -X131789696Y-119695996D01* -X131789694Y-119695995D01* -X131789693Y-119695994D01* -X131789690Y-119695993D01* -X131742831Y-119681121D01* -X131736807Y-119678923D01* -X131694336Y-119661331D01* -X131694334Y-119661330D01* -X131689582Y-119660057D01* -X131689710Y-119659578D01* -X131687150Y-119658914D01* -X131687133Y-119658987D01* -X131682140Y-119657770D01* -X131670768Y-119656601D01* -X131655713Y-119653472D01* -X131644827Y-119650017D01* -X131633454Y-119649628D01* -X131599272Y-119648460D01* -X131592027Y-119647861D01* -X131550001Y-119642329D01* -X131545076Y-119642329D01* -X131545076Y-119642304D01* -X131533323Y-119642471D01* -X131530944Y-119642226D01* -X131519682Y-119644168D01* -X131504343Y-119645217D01* -X131492931Y-119644827D01* -X131492922Y-119644828D01* -X131452123Y-119654770D01* -X131444139Y-119656264D01* -X131405667Y-119661330D01* -X131405657Y-119661333D01* -X131403069Y-119662405D01* -X131387087Y-119667030D01* -X131381171Y-119668050D01* -X131381165Y-119668052D01* -X131370844Y-119672960D01* -X131356365Y-119678106D01* -X131347976Y-119680151D01* -X131345256Y-119680814D01* -X131311771Y-119699642D01* -X131303695Y-119703567D01* -X131299502Y-119705305D01* -X131271160Y-119717045D01* -X131271158Y-119717046D01* -X131266065Y-119720954D01* -X131252600Y-119729195D01* -X131243910Y-119733328D01* -X131243908Y-119733329D01* -X131235296Y-119740839D01* -X131222734Y-119749706D01* -X131212780Y-119755303D01* -X131212770Y-119755310D01* -X131188156Y-119779924D01* -X131180764Y-119786408D01* -X131155662Y-119805670D01* -X131152573Y-119808760D01* -X131105953Y-119830500D01* -X131056266Y-119817186D01* -X131046225Y-119808760D01* -X131034710Y-119797245D01* -X130917466Y-119737507D01* -X130917468Y-119737507D01* -X130820194Y-119722100D01* -X130820192Y-119722100D01* -X129879808Y-119722100D01* -X129879805Y-119722100D01* -X129782532Y-119737507D01* -X129665290Y-119797244D01* -X129653773Y-119808762D01* -X129607152Y-119830500D01* -X129557465Y-119817185D01* -X129547426Y-119808760D01* -X129544336Y-119805670D01* -X129544334Y-119805668D01* -X129544333Y-119805667D01* -X129536372Y-119799558D01* -X129525477Y-119789324D01* -X129516784Y-119779354D01* -X129516783Y-119779353D01* -X129472674Y-119750422D01* -X129468140Y-119747201D01* -X129427794Y-119716243D01* -X129424691Y-119714182D01* -X129423526Y-119713526D01* -X129412900Y-119709336D01* -X129399247Y-119702261D01* -X129389699Y-119695999D01* -X129389692Y-119695995D01* -X129342838Y-119681124D01* -X129336811Y-119678924D01* -X129294338Y-119661331D01* -X129289582Y-119660057D01* -X129289710Y-119659578D01* -X129287150Y-119658914D01* -X129287133Y-119658987D01* -X129282140Y-119657770D01* -X129270768Y-119656601D01* -X129255713Y-119653472D01* -X129244827Y-119650017D01* -X129233454Y-119649628D01* -X129199272Y-119648460D01* -X129192027Y-119647861D01* -X129150001Y-119642329D01* -X129145076Y-119642329D01* -X129145076Y-119642304D01* -X129133323Y-119642471D01* -X129130944Y-119642226D01* -X129119682Y-119644168D01* -X129104343Y-119645217D01* -X129092931Y-119644827D01* -X129092921Y-119644828D01* -X129052122Y-119654770D01* -X129044138Y-119656264D01* -X129005668Y-119661330D01* -X129005660Y-119661332D01* -X129003073Y-119662404D01* -X128987086Y-119667031D01* -X128981166Y-119668052D01* -X128970851Y-119672957D01* -X128956367Y-119678105D01* -X128945261Y-119680812D01* -X128945260Y-119680812D01* -X128911764Y-119699644D01* -X128903693Y-119703567D01* -X128871161Y-119717044D01* -X128871157Y-119717046D01* -X128866064Y-119720954D01* -X128852600Y-119729194D01* -X128843915Y-119733325D01* -X128843907Y-119733330D01* -X128835295Y-119740839D01* -X128822741Y-119749701D01* -X128812779Y-119755303D01* -X128812768Y-119755311D01* -X128788152Y-119779927D01* -X128780760Y-119786410D01* -X128755667Y-119805665D01* -X128749559Y-119813625D01* -X128739330Y-119824516D01* -X128729352Y-119833217D01* -X128729350Y-119833219D01* -X128723084Y-119842772D01* -X128713382Y-119854697D01* -X128643007Y-119925074D01* -X128596387Y-119946814D01* -X128589832Y-119947100D01* -X128490570Y-119947100D01* -X128457542Y-119939459D01* -X128443203Y-119932449D01* -X128372182Y-119922100D01* -X128372174Y-119922100D01* -X127327826Y-119922100D01* -X127327817Y-119922100D01* -X127256797Y-119932449D01* -X127243383Y-119939007D01* -X127242458Y-119939459D01* -X127209430Y-119947100D01* -X126641171Y-119947100D01* -X126631356Y-119946457D01* -X126629053Y-119946153D01* -X126600000Y-119942329D01* -X126599999Y-119942329D01* -X126599998Y-119942329D01* -X126567792Y-119946568D01* -X126563137Y-119947034D01* -X126562190Y-119947099D01* -X126562183Y-119947100D01* -X126562182Y-119947100D01* -X126559491Y-119947469D01* -X126526564Y-119951994D01* -X126526145Y-119952050D01* -X126454352Y-119961503D01* -X126450720Y-119962236D01* -X126449429Y-119962597D01* -X126449427Y-119962598D01* -X126449424Y-119962598D01* -X126449421Y-119962600D01* -X126384610Y-119990749D01* -X126383433Y-119991249D01* -X126321163Y-120017043D01* -X126316898Y-120019506D01* -X126316652Y-120019079D01* -X126314380Y-120020414D01* -X126314418Y-120020476D01* -X126310020Y-120023150D01* -X126258011Y-120065461D01* -X126256335Y-120066785D01* -X126205666Y-120105666D01* -X126202187Y-120109146D01* -X126202170Y-120109129D01* -X126193985Y-120117551D01* -X126192125Y-120119064D01* -X126192120Y-120119070D01* -X126155508Y-120170936D01* -X126153734Y-120173345D01* -X126117046Y-120221159D01* -X126117042Y-120221167D01* -X126115969Y-120223757D01* -X126107938Y-120238329D01* -X126104474Y-120243237D01* -X126104472Y-120243240D01* -X126084409Y-120299688D01* -X126083028Y-120303280D01* -X126061330Y-120355664D01* -X126060493Y-120362024D01* -X126056797Y-120377385D01* -X126053574Y-120386452D01* -X126049730Y-120442649D01* -X126049262Y-120447330D01* -X126042329Y-120500000D01* -X126042329Y-120500002D01* -X121801452Y-120500002D01* -X121763578Y-120422530D01* -X121677469Y-120336421D01* -X121568058Y-120282934D01* -X121568059Y-120282934D01* -X121497141Y-120272600D01* -X121350000Y-120272600D01* -X121050000Y-120272600D01* -X120902870Y-120272600D01* -X120902857Y-120272601D01* -X120831940Y-120282934D01* -X120722530Y-120336421D01* -X120636421Y-120422530D01* -X120582934Y-120531941D01* -X120572600Y-120602858D01* -X120572600Y-120700000D01* -X120002900Y-120700000D01* -X120002899Y-120075090D01* -X119988227Y-120001323D01* -X119954514Y-119950868D01* -X119942289Y-119900905D01* -X119963868Y-119855918D01* -X119980016Y-119839771D01* -X120037957Y-119726055D01* -X120057922Y-119600000D01* -X120057583Y-119597862D01* -X120051649Y-119560396D01* -X120037957Y-119473945D01* -X119980016Y-119360229D01* -X119889771Y-119269984D01* -X119776055Y-119212043D01* -X119776057Y-119212043D01* -X119650000Y-119192078D01* -X119523943Y-119212043D01* -X119410228Y-119269984D01* -X119319984Y-119360228D01* -X119262043Y-119473943D01* -X119242078Y-119599999D01* -X119242078Y-119600000D01* -X119262043Y-119726056D01* -X119276042Y-119753530D01* -X119282311Y-119804586D01* -X119254295Y-119847727D01* -X119205102Y-119862767D01* -X119194367Y-119861425D01* -X119150000Y-119852599D01* -X119150000Y-121147397D01* -X118850000Y-121147397D01* -X118850000Y-120650000D01* -X118500000Y-120650000D01* -X118200000Y-120650000D01* -X117997601Y-120650000D01* -X117127399Y-120650000D01* -X117127399Y-120602869D01* -X117127398Y-120602857D01* -X117117065Y-120531940D01* -X117063578Y-120422530D01* -X116991048Y-120350000D01* -X117997600Y-120350000D01* -X118200000Y-120350000D01* -X118200000Y-119852601D01* -X118151518Y-119862244D01* -X118068030Y-119918030D01* -X118012244Y-120001517D01* -X118012243Y-120001519D01* -X117997600Y-120075138D01* -X117997600Y-120350000D01* -X116991048Y-120350000D01* -X116977469Y-120336421D01* -X116868058Y-120282934D01* -X116868059Y-120282934D01* -X116797141Y-120272600D01* -X116650000Y-120272600D01* -X116350000Y-120272600D01* -X116202870Y-120272600D01* -X116202857Y-120272601D01* -X116131940Y-120282934D01* -X116022530Y-120336421D01* -X115936421Y-120422530D01* -X115882934Y-120531941D01* -X115872600Y-120602858D01* -X115872600Y-120700000D01* -X115352900Y-120700000D01* -X115352899Y-120075090D01* -X115338227Y-120001323D01* -X115304514Y-119950868D01* -X115292289Y-119900905D01* -X115313868Y-119855918D01* -X115317186Y-119852600D01* -X118500000Y-119852600D01* -X118500000Y-120350000D01* -X118850000Y-120350000D01* -X118850000Y-119852601D01* -X118801518Y-119862244D01* -X118716780Y-119918866D01* -X118666814Y-119931093D01* -X118633220Y-119918866D01* -X118548480Y-119862243D01* -X118500000Y-119852600D01* -X115317186Y-119852600D01* -X115330016Y-119839771D01* -X115387957Y-119726055D01* -X115407922Y-119600000D01* -X115407583Y-119597862D01* -X115401649Y-119560396D01* -X115387957Y-119473945D01* -X115330016Y-119360229D01* -X115239771Y-119269984D01* -X115126055Y-119212043D01* -X115126057Y-119212043D01* -X115000000Y-119192078D01* -X114873943Y-119212043D01* -X114760228Y-119269984D01* -X114669984Y-119360228D01* -X114612043Y-119473943D01* -X114592078Y-119599999D01* -X114592078Y-119600000D01* -X114612043Y-119726056D01* -X114626042Y-119753530D01* -X114632311Y-119804586D01* -X114604295Y-119847727D01* -X114555102Y-119862767D01* -X114544367Y-119861425D01* -X114500000Y-119852599D01* -X114500000Y-121147397D01* -X114200000Y-121147397D01* X114200000Y-120650000D01* X113850000Y-120650000D01* X113550000Y-120650000D01* @@ -13908,7 +12347,6 @@ X69365200Y-125066127D01* X69387920Y-125086346D01* X69390219Y-125089435D01* X69390221Y-125089438D01* -X69492821Y-125227254D01* X69494332Y-125229283D01* X69627882Y-125341346D01* X69627883Y-125341347D01* @@ -14019,7 +12457,7 @@ X70157493Y-122504413D01* X70126550Y-122689842D01* X70126550Y-122689848D01* X70126550Y-122928152D01* -X70129237Y-122944255D01* +X70129784Y-122947530D01* X70130602Y-122952435D01* X70121203Y-123003009D01* X70081495Y-123035710D01* @@ -15155,7 +13593,7 @@ X65046550Y-122928155D01* X65085772Y-123163198D01* X65085773Y-123163202D01* X65085774Y-123163206D01* -X65140667Y-123323104D01* +X65142292Y-123327837D01* X65163152Y-123388600D01* X65163153Y-123388602D01* X65276569Y-123598177D01* @@ -15224,7 +13662,7 @@ X63098082Y-124061400D01* X63774918Y-124061400D01* X63774920Y-124061400D01* X63822676Y-124055113D01* -X63916032Y-124011580D01* +X63915108Y-124012011D01* X63927473Y-124006245D01* X63927473Y-124006244D01* X63927477Y-124006243D01* @@ -15244,6 +13682,8 @@ X63801616Y-122983501D01* X63807248Y-122968829D01* X63824457Y-122935055D01* X63844422Y-122809000D01* +X63842594Y-122797461D01* +X63838323Y-122770493D01* X63824457Y-122682945D01* X63824456Y-122682943D01* X63824456Y-122682942D01* @@ -15303,7 +13743,7 @@ X62863760Y-123192518D01* X62863754Y-123192526D01* X62814888Y-123297319D01* X62814886Y-123297325D01* -X62808600Y-123345081D01* +X62808600Y-123345078D01* X62808600Y-123771918D01* X62584900Y-123771918D01* X62584900Y-114235046D01* @@ -15517,7 +13957,7 @@ X62787271Y-110820016D01* X62877516Y-110729771D01* X62935457Y-110616055D01* X62955422Y-110490000D01* -X62952184Y-110469559D01* +X62955321Y-110489365D01* X62945117Y-110424935D01* X62935457Y-110363945D01* X62935001Y-110363051D01* @@ -17560,7 +16000,7 @@ X50721635Y-93864589D01* X50721636Y-93864589D01* X50721639Y-93864592D01* X50831197Y-93918151D01* -X50883248Y-93925735D01* +X50879577Y-93925200D01* X50902217Y-93928499D01* X50902222Y-93928499D01* X50902226Y-93928500D01* @@ -17583,7 +16023,7 @@ X52319638Y-93596093D01* X52345358Y-93640642D01* X52346500Y-93653700D01* X52346500Y-93705164D01* -X52349360Y-93735656D01* +X52349360Y-93735658D01* X52349369Y-93735750D01* X52350776Y-93739771D01* X52394459Y-93864614D01* @@ -17628,7 +16068,141 @@ X53537145Y-97537220D01* X53617220Y-97457145D01* X53662962Y-97353550D01* X53665900Y-97328226D01* -X53665900Y-97328223D01* +X53665900Y-95587774D01* +X53662962Y-95562450D01* +X53617220Y-95458855D01* +X53617219Y-95458854D01* +X53617217Y-95458851D01* +X53537148Y-95378782D01* +X53537141Y-95378777D01* +X53485725Y-95356075D01* +X53448613Y-95320457D01* +X53440900Y-95287283D01* +X53440900Y-94178700D01* +X53458493Y-94130362D01* +X53503042Y-94104642D01* +X53516100Y-94103500D01* +X53653963Y-94103500D01* +X53653963Y-94103499D01* +X53684550Y-94100631D01* +X53813417Y-94055539D01* +X53923266Y-93974466D01* +X53992583Y-93880544D01* +X54035443Y-93852100D01* +X54053089Y-93850000D01* +X54546332Y-93850000D01* +X54594670Y-93867593D01* +X54606837Y-93880544D01* +X54675890Y-93974105D01* +X54785623Y-94055093D01* +X54785622Y-94055093D01* +X54914341Y-94100132D01* +X54914350Y-94100134D01* +X54944899Y-94102999D01* +X55249400Y-94102999D01* +X55249400Y-93925200D01* +X55266993Y-93876862D01* +X55311542Y-93851142D01* +X55324600Y-93850000D01* +X55474200Y-93850000D01* +X55522538Y-93867593D01* +X55548258Y-93912142D01* +X55549400Y-93925200D01* +X55549400Y-94102999D01* +X55853893Y-94102999D01* +X55884456Y-94100134D01* +X56013176Y-94055093D01* +X56122907Y-93974107D01* +X56203893Y-93864376D01* +X56248932Y-93735658D01* +X56248934Y-93735649D01* +X56251799Y-93705101D01* +X56251800Y-93705100D01* +X56251800Y-93500600D01* +X56075200Y-93500600D01* +X56026862Y-93483007D01* +X56001142Y-93438458D01* +X56000000Y-93425400D01* +X56000000Y-93275800D01* +X56017593Y-93227462D01* +X56062142Y-93201742D01* +X56075200Y-93200600D01* +X56251799Y-93200600D01* +X56251799Y-92996107D01* +X56248934Y-92965543D01* +X56203893Y-92836823D01* +X56122905Y-92727090D01* +X56030544Y-92658923D01* +X56002100Y-92616064D01* +X56000000Y-92598418D01* +X56000000Y-92403403D01* +X56017593Y-92355065D01* +X56030540Y-92342900D01* +X56123266Y-92274466D01* +X56204339Y-92164617D01* +X56249431Y-92035750D01* +X56252299Y-92005163D01* +X56252300Y-92005163D01* +X56252300Y-91953700D01* +X56269893Y-91905362D01* +X56314442Y-91879642D01* +X56327500Y-91878500D01* +X56425053Y-91878500D01* +X56473391Y-91896093D01* +X56478227Y-91900526D01* +X56500074Y-91922373D01* +X56521814Y-91968993D01* +X56522100Y-91975547D01* +X56522100Y-93874452D01* +X56504507Y-93922790D01* +X56500074Y-93927626D01* +X55827026Y-94600674D01* +X55780406Y-94622414D01* +X55773852Y-94622700D01* +X54699829Y-94622700D01* +X54695892Y-94622597D01* +X54655451Y-94620476D01* +X54632497Y-94629288D01* +X54621186Y-94632639D01* +X54597135Y-94637751D01* +X54597132Y-94637753D01* +X54590224Y-94642772D01* +X54572983Y-94652133D01* +X54565007Y-94655195D01* +X54565001Y-94655199D01* +X54547609Y-94672589D01* +X54538645Y-94680245D01* +X54518754Y-94694698D01* +X54518751Y-94694701D01* +X54514483Y-94702094D01* +X54502535Y-94717664D01* +X54327448Y-94892750D01* +X54324593Y-94895459D01* +X54294507Y-94922548D01* +X54294505Y-94922550D01* +X54284506Y-94945010D01* +X54278878Y-94955376D01* +X54265484Y-94976001D01* +X54265483Y-94976006D01* +X54264148Y-94984435D01* +X54258574Y-95003254D01* +X54255100Y-95011057D01* +X54255100Y-95035652D01* +X54254174Y-95047416D01* +X54250600Y-95069984D01* +X54250329Y-95071694D01* +X54252501Y-95079802D01* +X54252538Y-95079938D01* +X54255100Y-95099400D01* +X54255100Y-95287283D01* +X54237507Y-95335621D01* +X54210275Y-95356075D01* +X54158858Y-95378777D01* +X54158851Y-95378782D01* +X54078782Y-95458851D01* +X54078780Y-95458854D01* +X54033037Y-95562451D01* +X54030100Y-95587776D01* X54030100Y-97328223D01* X54033037Y-97353548D01* X54078780Y-97457145D01* @@ -18302,7 +16876,7 @@ X86354042Y-118534958D01* X86352900Y-118521900D01* X86352900Y-118141170D01* X86353543Y-118131355D01* -X86355043Y-118119961D01* +X86354082Y-118127260D01* X86357671Y-118100000D01* X86353430Y-118067788D01* X86352963Y-118063112D01* @@ -18410,7 +16984,7 @@ X85247100Y-118058829D01* X85246457Y-118068644D01* X85242638Y-118097656D01* X85242329Y-118100000D01* -X85244957Y-118119961D01* +X85245918Y-118127260D01* X85246457Y-118131355D01* X85247100Y-118141170D01* X85247100Y-118567900D01* @@ -18740,7 +17314,7 @@ X95554042Y-118534958D01* X95552900Y-118521900D01* X95552900Y-118141170D01* X95553543Y-118131355D01* -X95555043Y-118119961D01* +X95554082Y-118127260D01* X95557671Y-118100000D01* X95553430Y-118067788D01* X95552963Y-118063112D01* @@ -18848,7 +17422,7 @@ X94447100Y-118058829D01* X94446457Y-118068644D01* X94442638Y-118097656D01* X94442329Y-118100000D01* -X94444957Y-118119961D01* +X94445918Y-118127260D01* X94446457Y-118131355D01* X94447100Y-118141170D01* X94447100Y-118567900D01* @@ -19689,27 +18263,27 @@ X85045076Y-105767517D01* X85047768Y-105818886D01* X85016811Y-105859968D01* X84975854Y-105872100D01* -X83007936Y-105872100D01* -X83004000Y-105871997D01* -X83002192Y-105871902D01* -X82963551Y-105869876D01* -X82963550Y-105869876D01* -X82940597Y-105878688D01* -X82929286Y-105882039D01* -X82905235Y-105887151D01* -X82905229Y-105887154D01* -X82898324Y-105892171D01* -X82881085Y-105901532D01* -X82873104Y-105904596D01* -X82855712Y-105921987D01* -X82846746Y-105929645D01* -X82826852Y-105944100D01* -X82826851Y-105944100D01* -X82822583Y-105951493D01* -X82810637Y-105967061D01* -X82577626Y-106200074D01* -X82531005Y-106221814D01* -X82524451Y-106222100D01* +X83557936Y-105872100D01* +X83554000Y-105871997D01* +X83552192Y-105871902D01* +X83513551Y-105869876D01* +X83513550Y-105869876D01* +X83490597Y-105878688D01* +X83479286Y-105882039D01* +X83455235Y-105887151D01* +X83455229Y-105887154D01* +X83448324Y-105892171D01* +X83431085Y-105901532D01* +X83423104Y-105904596D01* +X83405712Y-105921987D01* +X83396746Y-105929645D01* +X83376852Y-105944100D01* +X83376851Y-105944100D01* +X83372583Y-105951493D01* +X83360637Y-105967061D01* +X83127626Y-106200074D01* +X83081005Y-106221814D01* +X83074451Y-106222100D01* X77988047Y-106222100D01* X77939709Y-106204507D01* X77934873Y-106200074D01* @@ -19977,7 +18551,7 @@ X80203739Y-103495018D01* X80120085Y-103411364D01* X80098345Y-103364744D01* X80106255Y-103324050D01* -X80127236Y-103282871D01* +X80131145Y-103275200D01* X80143957Y-103250055D01* X80163922Y-103124000D01* X80163305Y-103120107D01* @@ -20116,7 +18690,7 @@ X78933739Y-103495018D01* X78850085Y-103411364D01* X78828345Y-103364744D01* X78836255Y-103324050D01* -X78857236Y-103282871D01* +X78861145Y-103275200D01* X78873957Y-103250055D01* X78893922Y-103124000D01* X78893305Y-103120107D01* @@ -20153,7 +18727,7 @@ X77663739Y-103495018D01* X77580085Y-103411364D01* X77558345Y-103364744D01* X77566255Y-103324050D01* -X77587236Y-103282871D01* +X77591145Y-103275200D01* X77603957Y-103250055D01* X77623922Y-103124000D01* X77623305Y-103120107D01* @@ -20353,36 +18927,14 @@ X77712194Y-106982671D01* X77717253Y-106981315D01* X77720440Y-106980462D01* X77739901Y-106977900D01* -X82792064Y-106977900D01* -X82796000Y-106978003D01* -X82836447Y-106980123D01* -X82836447Y-106980122D01* -X82836448Y-106980123D01* -X82859403Y-106971310D01* -X82870722Y-106967958D01* -X82894761Y-106962849D01* -X82894760Y-106962849D01* -X82894766Y-106962848D01* -X82901674Y-106957828D01* -X82918921Y-106948464D01* -X82926896Y-106945403D01* -X82944289Y-106928009D01* -X82953249Y-106920356D01* -X82973146Y-106905901D01* -X82977415Y-106898506D01* -X82989360Y-106882938D01* -X83222375Y-106649925D01* -X83268995Y-106628186D01* -X83275549Y-106627900D01* -X83418253Y-106627900D01* -X83466591Y-106645493D01* -X83492311Y-106690042D01* -X83483378Y-106740700D01* -X83471431Y-106756269D01* -X82905743Y-107321956D01* -X82794457Y-107433242D01* -X82791603Y-107435950D01* -X82761505Y-107463050D01* +X83068251Y-106977900D01* +X83116589Y-106995493D01* +X83142309Y-107040042D01* +X83133376Y-107090700D01* +X83121425Y-107106275D01* +X82794446Y-107433252D01* +X82791592Y-107435961D01* +X82761504Y-107463052D01* X82754438Y-107478926D01* X82752351Y-107483614D01* X82751507Y-107485509D01* @@ -20399,42 +18951,38 @@ X82717329Y-107612194D01* X82718381Y-107616122D01* X82719538Y-107620438D01* X82722100Y-107639900D01* -X82722100Y-110742062D01* -X82721997Y-110745998D01* -X82719876Y-110786448D01* -X82728688Y-110809402D01* -X82732039Y-110820715D01* -X82737151Y-110844763D01* -X82737152Y-110844766D01* -X82742169Y-110851671D01* -X82751534Y-110868920D01* -X82752368Y-110871091D01* -X82754596Y-110876895D01* -X82754597Y-110876896D01* -X82771985Y-110894284D01* -X82779644Y-110903252D01* -X82794097Y-110923144D01* -X82794099Y-110923146D01* -X82801495Y-110927416D01* -X82817066Y-110939365D01* -X85983231Y-114105530D01* -X85985942Y-114108386D01* -X86013051Y-114138494D01* -X86035516Y-114148495D01* -X86045885Y-114154126D01* -X86049193Y-114156274D01* -X86066502Y-114167516D01* -X86074928Y-114168850D01* -X86093759Y-114174428D01* -X86101553Y-114177898D01* -X86101555Y-114177898D01* -X86101558Y-114177900D01* -X86126152Y-114177900D01* -X86137915Y-114178825D01* -X86162194Y-114182671D01* -X86167253Y-114181315D01* -X86170440Y-114180462D01* -X86189901Y-114177900D01* +X82722100Y-110292062D01* +X82721997Y-110295998D01* +X82719876Y-110336448D01* +X82728688Y-110359402D01* +X82732039Y-110370715D01* +X82737151Y-110394763D01* +X82737152Y-110394766D01* +X82742169Y-110401671D01* +X82751536Y-110418922D01* +X82754596Y-110426895D01* +X82754597Y-110426896D01* +X82771985Y-110444284D01* +X82779644Y-110453252D01* +X82794097Y-110473144D01* +X82794099Y-110473146D01* +X82801495Y-110477416D01* +X82817066Y-110489365D01* +X86433231Y-114105530D01* +X86435942Y-114108386D01* +X86463051Y-114138494D01* +X86485516Y-114148496D01* +X86495884Y-114154125D01* +X86516504Y-114167516D01* +X86524936Y-114168851D01* +X86543755Y-114174426D01* +X86551558Y-114177900D01* +X86576147Y-114177900D01* +X86587910Y-114178825D01* +X86612194Y-114182672D01* +X86618459Y-114180993D01* +X86620443Y-114180462D01* +X86639904Y-114177900D01* X92724453Y-114177900D01* X92772791Y-114195493D01* X92777627Y-114199926D01* @@ -20707,7 +19255,7 @@ X104754042Y-118534958D01* X104752900Y-118521900D01* X104752900Y-118141170D01* X104753543Y-118131355D01* -X104755043Y-118119961D01* +X104754082Y-118127260D01* X104757671Y-118100000D01* X104753430Y-118067788D01* X104752963Y-118063112D01* @@ -20815,7 +19363,7 @@ X103647100Y-118058829D01* X103646457Y-118068644D01* X103642638Y-118097656D01* X103642329Y-118100000D01* -X103644957Y-118119961D01* +X103645918Y-118127260D01* X103646457Y-118131355D01* X103647100Y-118141170D01* X103647100Y-118567900D01* @@ -21017,7 +19565,1289 @@ X113850000Y-119852600D01* X111854672Y-119852600D01* X111912501Y-119766054D01* X111927900Y-119688637D01* -X111927899Y-118600002D01* +X111927899Y-119038418D01* +X114497100Y-119038418D01* +X114503386Y-119086174D01* +X114503388Y-119086180D01* +X114552254Y-119190973D01* +X114552260Y-119190981D01* +X114634018Y-119272739D01* +X114634021Y-119272741D01* +X114634023Y-119272743D01* +X114634025Y-119272743D01* +X114639413Y-119276517D01* +X114637532Y-119279202D01* +X114664871Y-119306498D01* +X114669394Y-119357739D01* +X114663745Y-119372472D01* +X114612043Y-119473943D01* +X114592078Y-119599999D01* +X114592078Y-119600000D01* +X114612043Y-119726056D01* +X114626042Y-119753530D01* +X114632311Y-119804586D01* +X114604295Y-119847727D01* +X114555102Y-119862767D01* +X114544367Y-119861425D01* +X114500000Y-119852599D01* +X114500000Y-121147397D01* +X114548478Y-121137756D01* +X114548483Y-121137754D01* +X114632770Y-121081435D01* +X114682735Y-121069208D01* +X114716328Y-121081435D01* +X114801319Y-121138225D01* +X114801321Y-121138226D01* +X114801323Y-121138227D01* +X114875089Y-121152900D01* +X115124910Y-121152899D01* +X115124911Y-121152899D01* +X115124911Y-121152898D01* +X115198677Y-121138227D01* +X115282331Y-121082331D01* +X115337343Y-121000000D01* +X115872601Y-121000000D01* +X115872601Y-121097142D01* +X115882934Y-121168059D01* +X115936421Y-121277469D01* +X116022530Y-121363578D01* +X116131941Y-121417065D01* +X116131940Y-121417065D01* +X116202863Y-121427399D01* +X116350000Y-121427399D01* +X116350000Y-121000000D01* +X116650000Y-121000000D01* +X116650000Y-121427399D01* +X116797130Y-121427399D01* +X116797142Y-121427398D01* +X116868059Y-121417065D01* +X116977469Y-121363578D01* +X117063578Y-121277469D01* +X117117065Y-121168058D01* +X117127399Y-121097141D01* +X117127400Y-121097131D01* +X117127400Y-121000000D01* +X116650000Y-121000000D01* +X116350000Y-121000000D01* +X115872601Y-121000000D01* +X115337343Y-121000000D01* +X115338227Y-120998677D01* +X115352900Y-120924911D01* +X115352900Y-120700000D01* +X115872600Y-120700000D01* +X116350000Y-120700000D01* +X116350000Y-120272600D01* +X116650000Y-120272600D01* +X116650000Y-120700000D01* +X117127399Y-120700000D01* +X117127399Y-120650000D01* +X117997601Y-120650000D01* +X117997601Y-120924861D01* +X118012244Y-120998481D01* +X118068030Y-121081969D01* +X118151518Y-121137755D01* +X118200000Y-121147398D01* +X118200000Y-120650000D01* +X118500000Y-120650000D01* +X118500000Y-121147397D01* +X118548478Y-121137756D01* +X118548480Y-121137755D01* +X118633219Y-121081133D01* +X118683184Y-121068906D01* +X118716779Y-121081133D01* +X118801518Y-121137755D01* +X118850000Y-121147398D01* +X118850000Y-120650000D01* +X118500000Y-120650000D01* +X118200000Y-120650000D01* +X117997601Y-120650000D01* +X117127399Y-120650000D01* +X117127399Y-120602869D01* +X117127398Y-120602857D01* +X117117065Y-120531940D01* +X117063578Y-120422530D01* +X116991048Y-120350000D01* +X117997600Y-120350000D01* +X118200000Y-120350000D01* +X118200000Y-119852601D01* +X118151518Y-119862244D01* +X118068030Y-119918030D01* +X118012244Y-120001517D01* +X118012243Y-120001519D01* +X117997600Y-120075138D01* +X117997600Y-120350000D01* +X116991048Y-120350000D01* +X116977469Y-120336421D01* +X116868058Y-120282934D01* +X116868059Y-120282934D01* +X116797141Y-120272600D01* +X116650000Y-120272600D01* +X116350000Y-120272600D01* +X116202870Y-120272600D01* +X116202857Y-120272601D01* +X116131940Y-120282934D01* +X116022530Y-120336421D01* +X115936421Y-120422530D01* +X115882934Y-120531941D01* +X115872600Y-120602858D01* +X115872600Y-120700000D01* +X115352900Y-120700000D01* +X115352899Y-120075090D01* +X115338227Y-120001323D01* +X115304514Y-119950868D01* +X115292289Y-119900905D01* +X115313868Y-119855918D01* +X115330016Y-119839771D01* +X115387957Y-119726055D01* +X115407922Y-119600000D01* +X115407583Y-119597862D01* +X115401649Y-119560396D01* +X115387957Y-119473945D01* +X115336253Y-119372471D01* +X115329985Y-119321416D01* +X115358001Y-119278275D01* +X115360689Y-119276662D01* +X115360587Y-119276517D01* +X115365971Y-119272745D01* +X115365977Y-119272743D01* +X115447743Y-119190977D01* +X115453842Y-119177899D01* +X115479222Y-119123471D01* +X115496613Y-119086176D01* +X115502900Y-119038420D01* +X115502900Y-119038418D01* +X116097100Y-119038418D01* +X116103386Y-119086174D01* +X116103388Y-119086180D01* +X116152254Y-119190973D01* +X116152260Y-119190981D01* +X116234018Y-119272739D01* +X116234026Y-119272745D01* +X116338819Y-119321611D01* +X116338821Y-119321611D01* +X116338824Y-119321613D01* +X116386580Y-119327900D01* +X116386582Y-119327900D01* +X116813418Y-119327900D01* +X116813420Y-119327900D01* +X116861176Y-119321613D01* +X116864553Y-119320037D01* +X116915796Y-119315552D01* +X116957935Y-119345055D01* +X116971251Y-119394741D01* +X116963341Y-119422331D01* +X116937043Y-119473943D01* +X116917078Y-119599999D01* +X116917078Y-119600000D01* +X116937043Y-119726056D01* +X116989754Y-119829507D01* +X116994984Y-119839771D01* +X117085229Y-119930016D01* +X117198943Y-119987956D01* +X117198945Y-119987957D01* +X117325000Y-120007922D01* +X117451055Y-119987957D01* +X117564771Y-119930016D01* +X117642187Y-119852600D01* +X118500000Y-119852600D01* +X118500000Y-120350000D01* +X118850000Y-120350000D01* +X118850000Y-119852601D01* +X118801518Y-119862244D01* +X118716780Y-119918866D01* +X118666814Y-119931093D01* +X118633220Y-119918866D01* +X118548480Y-119862243D01* +X118500000Y-119852600D01* +X117642187Y-119852600D01* +X117655016Y-119839771D01* +X117712957Y-119726055D01* +X117732922Y-119600000D01* +X117732583Y-119597862D01* +X117726649Y-119560396D01* +X117712957Y-119473945D01* +X117712956Y-119473943D01* +X117712956Y-119473942D01* +X117712956Y-119473941D01* +X117686659Y-119422332D01* +X117680389Y-119371275D01* +X117708405Y-119328134D01* +X117757597Y-119313094D01* +X117785443Y-119320037D01* +X117788819Y-119321611D01* +X117788821Y-119321611D01* +X117788824Y-119321613D01* +X117836580Y-119327900D01* +X117836582Y-119327900D01* +X118263418Y-119327900D01* +X118263420Y-119327900D01* +X118311176Y-119321613D01* +X118396338Y-119281901D01* +X118415973Y-119272745D01* +X118415973Y-119272744D01* +X118415977Y-119272743D01* +X118497743Y-119190977D01* +X118503842Y-119177899D01* +X118529222Y-119123471D01* +X118546613Y-119086176D01* +X118552900Y-119038420D01* +X118552900Y-119038418D01* +X119147100Y-119038418D01* +X119153386Y-119086174D01* +X119153388Y-119086180D01* +X119202254Y-119190973D01* +X119202260Y-119190981D01* +X119284018Y-119272739D01* +X119284021Y-119272741D01* +X119284023Y-119272743D01* +X119284025Y-119272743D01* +X119289413Y-119276517D01* +X119287532Y-119279202D01* +X119314871Y-119306498D01* +X119319394Y-119357739D01* +X119313745Y-119372472D01* +X119262043Y-119473943D01* +X119242078Y-119599999D01* +X119242078Y-119600000D01* +X119262043Y-119726056D01* +X119276042Y-119753530D01* +X119282311Y-119804586D01* +X119254295Y-119847727D01* +X119205102Y-119862767D01* +X119194367Y-119861425D01* +X119150000Y-119852599D01* +X119150000Y-121147397D01* +X119198478Y-121137756D01* +X119198483Y-121137754D01* +X119282770Y-121081435D01* +X119332735Y-121069208D01* +X119366328Y-121081435D01* +X119451319Y-121138225D01* +X119451321Y-121138226D01* +X119451323Y-121138227D01* +X119525089Y-121152900D01* +X119774910Y-121152899D01* +X119774911Y-121152899D01* +X119774911Y-121152898D01* +X119848677Y-121138227D01* +X119932331Y-121082331D01* +X119987343Y-121000000D01* +X120572601Y-121000000D01* +X120572601Y-121097142D01* +X120582934Y-121168059D01* +X120636421Y-121277469D01* +X120722530Y-121363578D01* +X120831941Y-121417065D01* +X120831940Y-121417065D01* +X120902863Y-121427399D01* +X121050000Y-121427399D01* +X121050000Y-121000000D01* +X121350000Y-121000000D01* +X121350000Y-121427399D01* +X121497130Y-121427399D01* +X121497142Y-121427398D01* +X121568059Y-121417065D01* +X121677469Y-121363578D01* +X121763578Y-121277469D01* +X121817065Y-121168058D01* +X121827399Y-121097141D01* +X121827400Y-121097131D01* +X121827400Y-121000000D01* +X121350000Y-121000000D01* +X121050000Y-121000000D01* +X120572601Y-121000000D01* +X119987343Y-121000000D01* +X119988227Y-120998677D01* +X120002900Y-120924911D01* +X120002900Y-120700000D01* +X120572600Y-120700000D01* +X121050000Y-120700000D01* +X121050000Y-120272600D01* +X121350000Y-120272600D01* +X121350000Y-120700000D01* +X121827399Y-120700000D01* +X121827399Y-120602869D01* +X121827398Y-120602857D01* +X121817065Y-120531940D01* +X121801452Y-120500002D01* +X126042329Y-120500002D01* +X126043637Y-120509943D01* +X126044105Y-120524882D01* +X126043202Y-120538082D01* +X126043203Y-120538087D01* +X126053932Y-120589718D01* +X126054861Y-120595201D01* +X126061330Y-120644332D01* +X126061331Y-120644337D01* +X126066549Y-120656934D01* +X126070699Y-120670406D01* +X126074040Y-120686484D01* +X126074127Y-120686899D01* +X126096744Y-120730547D01* +X126099450Y-120736365D01* +X126117040Y-120778831D01* +X126117042Y-120778834D01* +X126117043Y-120778836D01* +X126121409Y-120784526D01* +X126127539Y-120792515D01* +X126134644Y-120803692D01* +X126144050Y-120821843D01* +X126144051Y-120821844D01* +X126175163Y-120855157D01* +X126179854Y-120860693D01* +X126205667Y-120894333D01* +X126222202Y-120907021D01* +X126231378Y-120915349D01* +X126247791Y-120932923D01* +X126283684Y-120954749D01* +X126290382Y-120959337D01* +X126321160Y-120982955D01* +X126321162Y-120982956D01* +X126321164Y-120982957D01* +X126330631Y-120986878D01* +X126343745Y-120992311D01* +X126354032Y-120997530D01* +X126377653Y-121011894D01* +X126414645Y-121022258D01* +X126423136Y-121025195D01* +X126455661Y-121038668D01* +X126455664Y-121038669D01* +X126483465Y-121042328D01* +X126493924Y-121044471D01* +X126524006Y-121052900D01* +X126558829Y-121052900D01* +X126568644Y-121053543D01* +X126600000Y-121057671D01* +X126631356Y-121053543D01* +X126641171Y-121052900D01* +X127209430Y-121052900D01* +X127242457Y-121060540D01* +X127256797Y-121067551D01* +X127308848Y-121075135D01* +X127327817Y-121077899D01* +X127327822Y-121077899D01* +X127327826Y-121077900D01* +X127327828Y-121077900D01* +X128372172Y-121077900D01* +X128372174Y-121077900D01* +X128372178Y-121077899D01* +X128372182Y-121077899D01* +X128381151Y-121076592D01* +X128443203Y-121067551D01* +X128457542Y-121060540D01* +X128490570Y-121052900D01* +X128839285Y-121052900D01* +X128841851Y-121052943D01* +X128857832Y-121053489D01* +X128907070Y-121055172D01* +X128907072Y-121055172D01* +X128907072Y-121055171D01* +X128907073Y-121055172D01* +X128949627Y-121044801D01* +X128957178Y-121043366D01* +X129000573Y-121037402D01* +X129020976Y-121028538D01* +X129033115Y-121024456D01* +X129054741Y-121019187D01* +X129092927Y-120997714D01* +X129099807Y-120994297D01* +X129139979Y-120976850D01* +X129148684Y-120969767D01* +X129196142Y-120952900D01* +X129274006Y-120952900D01* +X129317796Y-120952900D01* +X129325485Y-120953293D01* +X129369054Y-120957773D01* +X129369054Y-120957772D01* +X129369056Y-120957773D01* +X129390971Y-120953994D01* +X129403750Y-120952900D01* +X129584287Y-120952900D01* +X129632625Y-120970493D01* +X129637461Y-120974926D01* +X129665290Y-121002755D01* +X129782532Y-121062492D01* +X129782534Y-121062493D01* +X129879808Y-121077900D01* +X129879809Y-121077900D01* +X129882726Y-121078362D01* +X129882483Y-121079894D01* +X129924562Y-121099027D01* +X129946747Y-121145436D01* +X129947100Y-121152709D01* +X129947100Y-121312374D01* +X129946174Y-121324138D01* +X129942078Y-121349999D01* +X129942078Y-121350000D01* +X129962043Y-121476056D01* +X130015068Y-121580123D01* +X130019984Y-121589771D01* +X130110229Y-121680016D01* +X130223943Y-121737956D01* +X130223945Y-121737957D01* +X130350000Y-121757922D01* +X130476055Y-121737957D01* +X130589771Y-121680016D01* +X130680016Y-121589771D01* +X130737957Y-121476055D01* +X130757922Y-121350000D01* +X130756828Y-121343095D01* +X130753826Y-121324138D01* +X130752900Y-121312374D01* +X130752900Y-121152709D01* +X130770493Y-121104371D01* +X130815042Y-121078651D01* +X130817274Y-121078368D01* +X130817274Y-121078362D01* +X130820191Y-121077900D01* +X130820192Y-121077900D01* +X130917466Y-121062493D01* +X131034710Y-121002755D01* +X131062539Y-120974926D01* +X131109159Y-120953186D01* +X131115713Y-120952900D01* +X131339285Y-120952900D01* +X131341851Y-120952943D01* +X131352098Y-120953294D01* +X131407071Y-120955172D01* +X131407072Y-120955172D01* +X131407072Y-120955171D01* +X131407073Y-120955172D01* +X131407623Y-120955038D01* +X131425428Y-120952900D01* +X131674006Y-120952900D01* +X131717796Y-120952900D01* +X131725485Y-120953293D01* +X131769054Y-120957773D01* +X131769054Y-120957772D01* +X131769056Y-120957773D01* +X131790971Y-120953994D01* +X131803750Y-120952900D01* +X131984287Y-120952900D01* +X132032625Y-120970493D01* +X132037461Y-120974926D01* +X132065290Y-121002755D01* +X132182532Y-121062492D01* +X132182534Y-121062493D01* +X132279808Y-121077900D01* +X132279809Y-121077900D01* +X132282726Y-121078362D01* +X132282483Y-121079894D01* +X132324562Y-121099027D01* +X132346747Y-121145436D01* +X132347100Y-121152709D01* +X132347100Y-121312374D01* +X132346174Y-121324138D01* +X132342078Y-121349999D01* +X132342078Y-121350000D01* +X132362043Y-121476056D01* +X132415068Y-121580123D01* +X132419984Y-121589771D01* +X132510229Y-121680016D01* +X132623943Y-121737956D01* +X132623945Y-121737957D01* +X132750000Y-121757922D01* +X132876055Y-121737957D01* +X132989771Y-121680016D01* +X133080016Y-121589771D01* +X133137957Y-121476055D01* +X133157922Y-121350000D01* +X133156828Y-121343095D01* +X133153826Y-121324138D01* +X133152900Y-121312374D01* +X133152900Y-121152709D01* +X133170493Y-121104371D01* +X133215042Y-121078651D01* +X133217274Y-121078368D01* +X133217274Y-121078362D01* +X133220191Y-121077900D01* +X133220192Y-121077900D01* +X133317466Y-121062493D01* +X133434710Y-121002755D01* +X133462539Y-120974926D01* +X133509159Y-120953186D01* +X133515713Y-120952900D01* +X133958829Y-120952900D01* +X133968644Y-120953543D01* +X134000000Y-120957671D01* +X134032217Y-120953429D01* +X134036889Y-120952963D01* +X134037796Y-120952900D01* +X134037818Y-120952900D01* +X134073659Y-120947973D01* +X134144336Y-120938669D01* +X134144339Y-120938667D01* +X134145696Y-120938489D01* +X134149255Y-120937770D01* +X134150571Y-120937402D01* +X134150573Y-120937402D01* +X134215416Y-120909236D01* +X134216443Y-120908800D01* +X134278836Y-120882957D01* +X134278842Y-120882951D01* +X134283107Y-120880491D01* +X134283363Y-120880935D01* +X134285630Y-120879597D01* +X134285585Y-120879522D01* +X134289974Y-120876851D01* +X134289979Y-120876850D01* +X134292768Y-120874580D01* +X134298686Y-120869767D01* +X134346144Y-120852900D01* +X134547293Y-120852900D01* +X134579073Y-120859945D01* +X134604609Y-120871853D01* +X134650540Y-120877900D01* +X134671900Y-120877900D01* +X134720238Y-120895493D01* +X134745958Y-120940042D01* +X134747100Y-120953100D01* +X134747100Y-121112228D01* +X134746335Y-121122929D01* +X134742443Y-121150000D01* +X134746493Y-121178172D01* +X134747048Y-121183251D01* +X134747100Y-121183948D01* +X134751890Y-121215726D01* +X134751964Y-121216230D01* +X134761227Y-121280646D01* +X134761830Y-121283374D01* +X134762334Y-121285008D01* +X134789639Y-121341709D01* +X134790290Y-121343095D01* +X134815079Y-121397374D01* +X134817989Y-121401901D01* +X134817399Y-121402279D01* +X134818197Y-121403480D01* +X134818390Y-121403349D01* +X134821563Y-121408003D01* +X134821568Y-121408008D01* +X134821569Y-121408010D01* +X134861940Y-121451519D01* +X134863591Y-121453360D01* +X134889560Y-121483330D01* +X134900366Y-121495801D01* +X134904428Y-121499321D01* +X134904351Y-121499409D01* +X134913423Y-121507004D01* +X134914432Y-121508091D01* +X134937251Y-121521265D01* +X134962671Y-121535941D01* +X134965728Y-121537805D01* +X134969186Y-121540027D01* +X135009924Y-121566208D01* +X135011818Y-121566764D01* +X135028224Y-121573789D01* +X135032668Y-121576355D01* +X135032670Y-121576355D01* +X135032671Y-121576356D01* +X135049177Y-121580123D01* +X135083478Y-121587951D01* +X135087910Y-121589107D01* +X135134883Y-121602900D01* +X135140495Y-121602900D01* +X135157229Y-121604786D01* +X135165766Y-121606734D01* +X135165770Y-121606735D01* +X135165771Y-121606734D01* +X135165772Y-121606735D01* +X135214148Y-121603110D01* +X135219767Y-121602900D01* +X135265114Y-121602900D01* +X135265117Y-121602900D01* +X135273988Y-121600294D01* +X135289552Y-121597458D01* +X135301918Y-121596533D01* +X135343753Y-121580112D01* +X135350017Y-121577970D01* +X135390071Y-121566210D01* +X135390072Y-121566209D01* +X135390076Y-121566208D01* +X135400912Y-121559243D01* +X135414083Y-121552510D01* +X135429008Y-121546653D01* +X135461318Y-121520886D01* +X135467534Y-121516429D01* +X135475692Y-121511186D01* +X135499636Y-121495799D01* +X135510444Y-121483324D01* +X135520391Y-121473777D01* +X135535749Y-121461530D01* +X135539985Y-121455317D01* +X135556993Y-121430370D01* +X135562291Y-121423490D01* +X135567859Y-121417065D01* +X135580671Y-121402279D01* +X135584918Y-121397378D01* +X135584918Y-121397377D01* +X135584921Y-121397374D01* +X135593279Y-121379069D01* +X135599543Y-121367960D01* +X135612658Y-121348726D01* +X135622713Y-121316124D01* +X135626157Y-121307080D01* +X135639023Y-121278909D01* +X135642401Y-121255407D01* +X135644973Y-121243960D01* +X135652900Y-121218264D01* +X135652900Y-121187771D01* +X135653665Y-121177070D01* +X135654960Y-121168059D01* +X135657557Y-121150000D01* +X135653665Y-121122929D01* +X135652900Y-121112228D01* +X135652900Y-120953100D01* +X135670493Y-120904762D01* +X135715042Y-120879042D01* +X135728100Y-120877900D01* +X135749458Y-120877900D01* +X135749460Y-120877900D01* +X135795391Y-120871853D01* +X135896197Y-120824847D01* +X135974847Y-120746197D01* +X136021853Y-120645391D01* +X136027900Y-120599460D01* +X136472100Y-120599460D01* +X136472549Y-120602869D01* +X136478147Y-120645391D01* +X136525151Y-120746194D01* +X136525156Y-120746201D01* +X136603798Y-120824843D01* +X136603801Y-120824845D01* +X136603803Y-120824847D01* +X136704609Y-120871853D01* +X136750540Y-120877900D01* +X136771900Y-120877900D01* +X136820238Y-120895493D01* +X136845958Y-120940042D01* +X136847100Y-120953100D01* +X136847100Y-121112228D01* +X136846335Y-121122929D01* +X136842443Y-121150000D01* +X136846493Y-121178172D01* +X136847048Y-121183251D01* +X136847100Y-121183948D01* +X136851890Y-121215726D01* +X136851964Y-121216230D01* +X136861227Y-121280646D01* +X136861830Y-121283374D01* +X136862334Y-121285008D01* +X136889639Y-121341709D01* +X136890290Y-121343095D01* +X136915079Y-121397374D01* +X136917989Y-121401901D01* +X136917399Y-121402279D01* +X136918197Y-121403480D01* +X136918390Y-121403349D01* +X136921563Y-121408003D01* +X136921568Y-121408008D01* +X136921569Y-121408010D01* +X136961940Y-121451519D01* +X136963591Y-121453360D01* +X136989560Y-121483330D01* +X137000366Y-121495801D01* +X137004428Y-121499321D01* +X137004351Y-121499409D01* +X137013423Y-121507004D01* +X137014432Y-121508091D01* +X137037251Y-121521265D01* +X137062671Y-121535941D01* +X137065728Y-121537805D01* +X137069186Y-121540027D01* +X137109924Y-121566208D01* +X137111818Y-121566764D01* +X137128224Y-121573789D01* +X137132668Y-121576355D01* +X137132670Y-121576355D01* +X137132671Y-121576356D01* +X137149177Y-121580123D01* +X137183478Y-121587951D01* +X137187910Y-121589107D01* +X137234883Y-121602900D01* +X137240495Y-121602900D01* +X137257229Y-121604786D01* +X137265766Y-121606734D01* +X137265770Y-121606735D01* +X137265771Y-121606734D01* +X137265772Y-121606735D01* +X137314148Y-121603110D01* +X137319767Y-121602900D01* +X137365114Y-121602900D01* +X137365117Y-121602900D01* +X137373988Y-121600294D01* +X137389552Y-121597458D01* +X137401918Y-121596533D01* +X137443753Y-121580112D01* +X137450017Y-121577970D01* +X137490071Y-121566210D01* +X137490072Y-121566209D01* +X137490076Y-121566208D01* +X137500912Y-121559243D01* +X137514083Y-121552510D01* +X137529008Y-121546653D01* +X137561318Y-121520886D01* +X137567534Y-121516429D01* +X137575692Y-121511186D01* +X137599636Y-121495799D01* +X137610444Y-121483324D01* +X137620391Y-121473777D01* +X137635749Y-121461530D01* +X137639985Y-121455317D01* +X137656993Y-121430370D01* +X137662291Y-121423490D01* +X137667859Y-121417065D01* +X137680671Y-121402279D01* +X137684918Y-121397378D01* +X137684918Y-121397377D01* +X137684921Y-121397374D01* +X137693279Y-121379069D01* +X137699543Y-121367960D01* +X137712658Y-121348726D01* +X137722713Y-121316124D01* +X137726157Y-121307080D01* +X137739023Y-121278909D01* +X137742401Y-121255407D01* +X137744973Y-121243960D01* +X137752900Y-121218264D01* +X137752900Y-121187771D01* +X137753665Y-121177070D01* +X137754960Y-121168059D01* +X137757557Y-121150000D01* +X137753665Y-121122929D01* +X137752900Y-121112228D01* +X137752900Y-120953100D01* +X137770493Y-120904762D01* +X137815042Y-120879042D01* +X137828100Y-120877900D01* +X137849458Y-120877900D01* +X137849460Y-120877900D01* +X137895391Y-120871853D01* +X137920926Y-120859945D01* +X137952707Y-120852900D01* +X138059572Y-120852900D01* +X138098645Y-120863848D01* +X138172527Y-120908777D01* +X138177655Y-120911895D01* +X138324004Y-120952900D01* +X138324006Y-120952900D01* +X139334287Y-120952900D01* +X139382625Y-120970493D01* +X139387461Y-120974926D01* +X139415290Y-121002755D01* +X139532532Y-121062492D01* +X139532534Y-121062493D01* +X139629808Y-121077900D01* +X139629812Y-121077900D01* +X140570188Y-121077900D01* +X140570192Y-121077900D01* +X140667466Y-121062493D01* +X140784710Y-121002755D01* +X140812539Y-120974926D01* +X140859159Y-120953186D01* +X140865713Y-120952900D01* +X141089285Y-120952900D01* +X141091851Y-120952943D01* +X141102098Y-120953294D01* +X141157070Y-120955172D01* +X141157072Y-120955172D01* +X141157072Y-120955171D01* +X141157073Y-120955172D01* +X141199627Y-120944801D01* +X141207178Y-120943366D01* +X141250573Y-120937402D01* +X141270976Y-120928538D01* +X141283115Y-120924456D01* +X141304741Y-120919187D01* +X141342927Y-120897714D01* +X141349807Y-120894297D01* +X141389979Y-120876850D01* +X141407241Y-120862805D01* +X141417833Y-120855596D01* +X141437223Y-120844695D01* +X141468195Y-120813722D01* +X141473905Y-120808570D01* +X141507874Y-120780936D01* +X141507875Y-120780934D01* +X141507878Y-120780932D01* +X141520713Y-120762746D01* +X141528965Y-120752951D01* +X141711848Y-120570068D01* +X141719226Y-120563597D01* +X141744333Y-120544333D01* +X141764125Y-120518537D01* +X141767117Y-120514885D01* +X141767690Y-120514227D01* +X141767700Y-120514218D01* +X141789539Y-120485418D01* +X141832957Y-120428836D01* +X141832959Y-120428830D01* +X141833790Y-120427748D01* +X141835807Y-120424711D01* +X141836470Y-120423532D01* +X141836472Y-120423530D01* +X141862445Y-120357663D01* +X141862810Y-120356762D01* +X141888669Y-120294336D01* +X141888670Y-120294331D01* +X141889946Y-120289572D01* +X141890432Y-120289702D01* +X141891090Y-120287158D01* +X141891012Y-120287139D01* +X141892229Y-120282142D01* +X141892229Y-120282140D01* +X141892230Y-120282138D01* +X141899093Y-120215372D01* +X141899336Y-120213306D01* +X141907671Y-120150003D01* +X141907671Y-120145069D01* +X141907698Y-120145069D01* +X141907530Y-120133308D01* +X141907773Y-120130947D01* +X141907772Y-120130945D01* +X141907773Y-120130942D01* +X141896987Y-120068387D01* +X141896536Y-120065425D01* +X141888669Y-120005664D01* +X141887598Y-120003078D01* +X141882967Y-119987077D01* +X141882934Y-119986887D01* +X141881948Y-119981167D01* +X141865440Y-119946457D01* +X141856223Y-119927075D01* +X141854658Y-119923556D01* +X141851960Y-119917043D01* +X141832957Y-119871165D01* +X141832955Y-119871162D01* +X141829052Y-119866075D01* +X141820800Y-119852592D01* +X141820084Y-119851087D01* +X141816671Y-119843910D01* +X141788962Y-119812132D01* +X141779656Y-119801459D01* +X141776675Y-119797816D01* +X141752303Y-119766054D01* +X141744333Y-119755667D01* +X141744332Y-119755666D01* +X141736375Y-119749560D01* +X141725474Y-119739320D01* +X141716786Y-119729355D01* +X141716782Y-119729352D01* +X141672683Y-119700428D01* +X141668148Y-119697208D01* +X141628837Y-119667044D01* +X141628836Y-119667043D01* +X141625372Y-119665608D01* +X141616231Y-119661821D01* +X141603772Y-119655230D01* +X141595825Y-119650018D01* +X141589693Y-119645996D01* +X141542828Y-119631121D01* +X141536820Y-119628928D01* +X141494334Y-119611330D01* +X141477236Y-119609079D01* +X141464305Y-119606200D01* +X141446694Y-119600611D01* +X141444826Y-119600018D01* +X141444270Y-119599999D01* +X141399278Y-119598461D01* +X141392034Y-119597862D01* +X141350000Y-119592329D01* +X141349998Y-119592329D01* +X141329330Y-119595049D01* +X141316951Y-119595648D01* +X141292929Y-119594827D01* +X141292920Y-119594828D01* +X141252120Y-119604770D01* +X141244137Y-119606264D01* +X141205667Y-119611330D01* +X141205663Y-119611331D01* +X141183078Y-119620685D01* +X141172115Y-119624267D01* +X141147963Y-119630154D01* +X141145259Y-119630813D01* +X141111764Y-119649644D01* +X141103693Y-119653567D01* +X141071163Y-119667043D01* +X141048927Y-119684104D01* +X141040014Y-119689987D01* +X141012779Y-119705303D01* +X141012768Y-119705311D01* +X140988152Y-119729927D01* +X140980760Y-119736410D01* +X140955668Y-119755665D01* +X140936413Y-119780757D01* +X140929931Y-119788148D01* +X140905948Y-119812132D01* +X140859328Y-119833873D01* +X140809640Y-119820560D01* +X140799598Y-119812133D01* +X140784710Y-119797245D01* +X140667466Y-119737507D01* +X140667468Y-119737507D01* +X140570194Y-119722100D01* +X140570192Y-119722100D01* +X139629808Y-119722100D01* +X139629805Y-119722100D01* +X139532532Y-119737507D01* +X139415289Y-119797245D01* +X139387461Y-119825074D01* +X139340841Y-119846814D01* +X139334287Y-119847100D01* +X138362182Y-119847100D01* +X138290359Y-119856972D01* +X138249424Y-119862598D01* +X138172934Y-119895822D01* +X138121589Y-119898942D01* +X138080250Y-119868328D01* +X138068262Y-119818305D01* +X138074821Y-119795065D01* +X138121362Y-119695260D01* +X138121363Y-119695257D01* +X138127400Y-119649400D01* +X138127400Y-119600000D01* +X136472600Y-119600000D01* +X136472600Y-119649400D01* +X136478636Y-119695257D01* +X136478638Y-119695263D01* +X136525567Y-119795902D01* +X136525573Y-119795910D01* +X136601135Y-119871472D01* +X136622875Y-119918092D01* +X136609561Y-119967779D01* +X136601135Y-119977820D01* +X136525156Y-120053798D01* +X136525151Y-120053805D01* +X136478147Y-120154608D01* +X136478147Y-120154609D01* +X136472100Y-120200540D01* +X136472100Y-120599460D01* +X136027900Y-120599460D01* +X136027900Y-120200540D01* +X136021853Y-120154609D01* +X135974847Y-120053803D01* +X135974845Y-120053801D01* +X135974843Y-120053798D01* +X135896201Y-119975156D01* +X135896194Y-119975151D01* +X135795391Y-119928147D01* +X135787248Y-119927075D01* +X135749460Y-119922100D01* +X134650540Y-119922100D01* +X134642565Y-119923150D01* +X134604610Y-119928146D01* +X134604607Y-119928147D01* +X134586001Y-119936823D01* +X134579073Y-119940054D01* +X134547293Y-119947100D01* +X134343534Y-119947100D01* +X134297756Y-119931561D01* +X134293307Y-119928147D01* +X134278836Y-119917043D01* +X134278834Y-119917042D01* +X134278829Y-119917039D01* +X134256257Y-119907689D01* +X134245968Y-119902469D01* +X134222345Y-119888105D01* +X134222342Y-119888103D01* +X134185361Y-119877742D01* +X134176874Y-119874808D01* +X134144334Y-119861330D01* +X134116538Y-119857670D01* +X134106071Y-119855526D01* +X134075994Y-119847100D01* +X134041171Y-119847100D01* +X134031356Y-119846457D01* +X134000000Y-119842329D01* +X133968644Y-119846457D01* +X133958829Y-119847100D01* +X133515713Y-119847100D01* +X133467375Y-119829507D01* +X133462539Y-119825074D01* +X133434710Y-119797245D01* +X133317466Y-119737507D01* +X133317468Y-119737507D01* +X133220194Y-119722100D01* +X133220192Y-119722100D01* +X132279808Y-119722100D01* +X132279805Y-119722100D01* +X132182532Y-119737507D01* +X132065290Y-119797244D01* +X132053773Y-119808762D01* +X132007152Y-119830500D01* +X131957465Y-119817185D01* +X131947426Y-119808760D01* +X131944336Y-119805670D01* +X131944334Y-119805668D01* +X131944333Y-119805667D01* +X131936372Y-119799558D01* +X131925477Y-119789324D01* +X131916784Y-119779354D01* +X131880671Y-119755667D01* +X131872669Y-119750418D01* +X131868137Y-119747199D01* +X131844880Y-119729354D01* +X131828836Y-119717043D01* +X131828834Y-119717042D01* +X131827785Y-119716237D01* +X131824691Y-119714182D01* +X131823531Y-119713530D01* +X131823529Y-119713528D01* +X131812902Y-119709337D01* +X131799250Y-119702262D01* +X131789699Y-119695998D01* +X131789696Y-119695996D01* +X131789694Y-119695995D01* +X131789693Y-119695994D01* +X131789690Y-119695993D01* +X131742831Y-119681121D01* +X131736807Y-119678923D01* +X131694336Y-119661331D01* +X131694334Y-119661330D01* +X131689582Y-119660057D01* +X131689710Y-119659578D01* +X131687150Y-119658914D01* +X131687133Y-119658987D01* +X131682140Y-119657770D01* +X131670768Y-119656601D01* +X131655713Y-119653472D01* +X131644827Y-119650017D01* +X131633454Y-119649628D01* +X131599272Y-119648460D01* +X131592027Y-119647861D01* +X131550001Y-119642329D01* +X131545076Y-119642329D01* +X131545076Y-119642304D01* +X131533323Y-119642471D01* +X131530944Y-119642226D01* +X131519682Y-119644168D01* +X131504343Y-119645217D01* +X131492931Y-119644827D01* +X131492922Y-119644828D01* +X131452123Y-119654770D01* +X131444139Y-119656264D01* +X131405667Y-119661330D01* +X131405657Y-119661333D01* +X131403069Y-119662405D01* +X131387087Y-119667030D01* +X131381171Y-119668050D01* +X131381165Y-119668052D01* +X131370844Y-119672960D01* +X131356365Y-119678106D01* +X131347976Y-119680151D01* +X131345256Y-119680814D01* +X131311771Y-119699642D01* +X131303695Y-119703567D01* +X131299502Y-119705305D01* +X131271160Y-119717045D01* +X131271158Y-119717046D01* +X131266065Y-119720954D01* +X131252600Y-119729195D01* +X131243910Y-119733328D01* +X131243908Y-119733329D01* +X131235296Y-119740839D01* +X131222734Y-119749706D01* +X131212780Y-119755303D01* +X131212770Y-119755310D01* +X131188156Y-119779924D01* +X131180764Y-119786408D01* +X131155662Y-119805670D01* +X131152573Y-119808760D01* +X131105953Y-119830500D01* +X131056266Y-119817186D01* +X131046225Y-119808760D01* +X131034710Y-119797245D01* +X130917466Y-119737507D01* +X130917468Y-119737507D01* +X130820194Y-119722100D01* +X130820192Y-119722100D01* +X129879808Y-119722100D01* +X129879805Y-119722100D01* +X129782532Y-119737507D01* +X129665290Y-119797244D01* +X129653773Y-119808762D01* +X129607152Y-119830500D01* +X129557465Y-119817185D01* +X129547426Y-119808760D01* +X129544336Y-119805670D01* +X129544334Y-119805668D01* +X129544333Y-119805667D01* +X129536372Y-119799558D01* +X129525477Y-119789324D01* +X129516784Y-119779354D01* +X129516783Y-119779353D01* +X129472674Y-119750422D01* +X129468140Y-119747201D01* +X129427794Y-119716243D01* +X129424691Y-119714182D01* +X129423526Y-119713526D01* +X129412900Y-119709336D01* +X129399247Y-119702261D01* +X129389699Y-119695999D01* +X129389692Y-119695995D01* +X129342838Y-119681124D01* +X129336811Y-119678924D01* +X129294338Y-119661331D01* +X129289582Y-119660057D01* +X129289710Y-119659578D01* +X129287150Y-119658914D01* +X129287133Y-119658987D01* +X129282140Y-119657770D01* +X129270768Y-119656601D01* +X129255713Y-119653472D01* +X129244827Y-119650017D01* +X129233454Y-119649628D01* +X129199272Y-119648460D01* +X129192027Y-119647861D01* +X129150001Y-119642329D01* +X129145076Y-119642329D01* +X129145076Y-119642304D01* +X129133323Y-119642471D01* +X129130944Y-119642226D01* +X129119682Y-119644168D01* +X129104343Y-119645217D01* +X129092931Y-119644827D01* +X129092921Y-119644828D01* +X129052122Y-119654770D01* +X129044138Y-119656264D01* +X129005668Y-119661330D01* +X129005660Y-119661332D01* +X129003073Y-119662404D01* +X128987086Y-119667031D01* +X128981166Y-119668052D01* +X128970851Y-119672957D01* +X128956367Y-119678105D01* +X128945261Y-119680812D01* +X128945260Y-119680812D01* +X128911764Y-119699644D01* +X128903693Y-119703567D01* +X128871161Y-119717044D01* +X128871157Y-119717046D01* +X128866064Y-119720954D01* +X128852600Y-119729194D01* +X128843915Y-119733325D01* +X128843907Y-119733330D01* +X128835295Y-119740839D01* +X128822741Y-119749701D01* +X128812779Y-119755303D01* +X128812768Y-119755311D01* +X128788152Y-119779927D01* +X128780760Y-119786410D01* +X128755667Y-119805665D01* +X128749559Y-119813625D01* +X128739330Y-119824516D01* +X128729352Y-119833217D01* +X128729350Y-119833219D01* +X128723084Y-119842772D01* +X128713382Y-119854697D01* +X128643007Y-119925074D01* +X128596387Y-119946814D01* +X128589832Y-119947100D01* +X128490570Y-119947100D01* +X128457542Y-119939459D01* +X128443203Y-119932449D01* +X128372182Y-119922100D01* +X128372174Y-119922100D01* +X127327826Y-119922100D01* +X127327817Y-119922100D01* +X127256797Y-119932449D01* +X127243383Y-119939007D01* +X127242458Y-119939459D01* +X127209430Y-119947100D01* +X126641171Y-119947100D01* +X126631356Y-119946457D01* +X126629053Y-119946153D01* +X126600000Y-119942329D01* +X126599999Y-119942329D01* +X126599998Y-119942329D01* +X126567792Y-119946568D01* +X126563137Y-119947034D01* +X126562190Y-119947099D01* +X126562183Y-119947100D01* +X126562182Y-119947100D01* +X126559491Y-119947469D01* +X126526564Y-119951994D01* +X126526145Y-119952050D01* +X126454352Y-119961503D01* +X126450720Y-119962236D01* +X126449429Y-119962597D01* +X126449427Y-119962598D01* +X126449424Y-119962598D01* +X126449421Y-119962600D01* +X126384610Y-119990749D01* +X126383433Y-119991249D01* +X126321163Y-120017043D01* +X126316898Y-120019506D01* +X126316652Y-120019079D01* +X126314380Y-120020414D01* +X126314418Y-120020476D01* +X126310020Y-120023150D01* +X126258011Y-120065461D01* +X126256335Y-120066785D01* +X126205666Y-120105666D01* +X126202187Y-120109146D01* +X126202170Y-120109129D01* +X126193985Y-120117551D01* +X126192125Y-120119064D01* +X126192120Y-120119070D01* +X126155508Y-120170936D01* +X126153734Y-120173345D01* +X126117046Y-120221159D01* +X126117042Y-120221167D01* +X126115969Y-120223757D01* +X126107938Y-120238329D01* +X126104474Y-120243237D01* +X126104472Y-120243240D01* +X126084409Y-120299688D01* +X126083028Y-120303280D01* +X126061330Y-120355664D01* +X126060493Y-120362024D01* +X126056797Y-120377385D01* +X126053574Y-120386452D01* +X126049730Y-120442649D01* +X126049262Y-120447330D01* +X126042329Y-120500000D01* +X126042329Y-120500002D01* +X121801452Y-120500002D01* +X121763578Y-120422530D01* +X121677469Y-120336421D01* +X121568058Y-120282934D01* +X121568059Y-120282934D01* +X121497141Y-120272600D01* +X121350000Y-120272600D01* +X121050000Y-120272600D01* +X120902870Y-120272600D01* +X120902857Y-120272601D01* +X120831940Y-120282934D01* +X120722530Y-120336421D01* +X120636421Y-120422530D01* +X120582934Y-120531941D01* +X120572600Y-120602858D01* +X120572600Y-120700000D01* +X120002900Y-120700000D01* +X120002899Y-120075090D01* +X119988227Y-120001323D01* +X119954514Y-119950868D01* +X119942289Y-119900905D01* +X119963868Y-119855918D01* +X119980016Y-119839771D01* +X120037957Y-119726055D01* +X120057922Y-119600000D01* +X120057583Y-119597862D01* +X120051649Y-119560396D01* +X120037957Y-119473945D01* +X119986253Y-119372471D01* +X119979985Y-119321416D01* +X120008001Y-119278275D01* +X120010689Y-119276662D01* +X120010587Y-119276517D01* +X120015971Y-119272745D01* +X120015977Y-119272743D01* +X120097743Y-119190977D01* +X120103842Y-119177899D01* +X120129222Y-119123471D01* +X120146613Y-119086176D01* +X120152900Y-119038420D01* +X120152900Y-118600002D01* X126042329Y-118600002D01* X126043637Y-118609943D01* X126044105Y-118624882D01* @@ -21055,7 +20885,7 @@ X126290382Y-119059337D01* X126321160Y-119082955D01* X126321162Y-119082956D01* X126321164Y-119082957D01* -X126327422Y-119085549D01* +X126328935Y-119086176D01* X126343745Y-119092311D01* X126354032Y-119097530D01* X126377653Y-119111894D01* @@ -21616,7 +21446,114 @@ X126049730Y-118542649D01* X126049262Y-118547330D01* X126042329Y-118600000D01* X126042329Y-118600002D01* -X111927899Y-118600002D01* +X120152900Y-118600002D01* +X120152900Y-118361580D01* +X120146613Y-118313824D01* +X120146611Y-118313819D01* +X120097745Y-118209026D01* +X120097739Y-118209018D01* +X120015981Y-118127260D01* +X120015973Y-118127254D01* +X119911180Y-118078388D01* +X119911174Y-118078386D01* +X119875992Y-118073755D01* +X119863420Y-118072100D01* +X119436580Y-118072100D01* +X119425470Y-118073562D01* +X119388825Y-118078386D01* +X119388819Y-118078388D01* +X119284026Y-118127254D01* +X119284018Y-118127260D01* +X119202260Y-118209018D01* +X119202254Y-118209026D01* +X119153388Y-118313819D01* +X119153386Y-118313825D01* +X119147100Y-118361581D01* +X119147100Y-119038418D01* +X118552900Y-119038418D01* +X118552900Y-118361580D01* +X118546613Y-118313824D01* +X118546611Y-118313819D01* +X118497745Y-118209026D01* +X118497739Y-118209018D01* +X118415981Y-118127260D01* +X118415973Y-118127254D01* +X118311180Y-118078388D01* +X118311174Y-118078386D01* +X118275992Y-118073755D01* +X118263420Y-118072100D01* +X117836580Y-118072100D01* +X117825470Y-118073562D01* +X117788825Y-118078386D01* +X117788819Y-118078388D01* +X117684026Y-118127254D01* +X117684018Y-118127260D01* +X117602260Y-118209018D01* +X117602254Y-118209026D01* +X117553388Y-118313819D01* +X117553386Y-118313825D01* +X117551032Y-118331714D01* +X117527280Y-118377342D01* +X117479757Y-118397028D01* +X117476475Y-118397100D01* +X117377740Y-118397100D01* +X117357162Y-118394229D01* +X117355549Y-118393770D01* +X117353430Y-118393167D01* +X117314460Y-118396779D01* +X117307522Y-118397100D01* +X117173525Y-118397100D01* +X117125187Y-118379507D01* +X117099467Y-118334958D01* +X117098968Y-118331714D01* +X117097920Y-118323757D01* +X117096613Y-118313824D01* +X117096611Y-118313819D01* +X117047745Y-118209026D01* +X117047739Y-118209018D01* +X116965981Y-118127260D01* +X116965973Y-118127254D01* +X116861180Y-118078388D01* +X116861174Y-118078386D01* +X116825992Y-118073755D01* +X116813420Y-118072100D01* +X116386580Y-118072100D01* +X116375470Y-118073562D01* +X116338825Y-118078386D01* +X116338819Y-118078388D01* +X116234026Y-118127254D01* +X116234018Y-118127260D01* +X116152260Y-118209018D01* +X116152254Y-118209026D01* +X116103388Y-118313819D01* +X116103386Y-118313825D01* +X116097100Y-118361581D01* +X116097100Y-119038418D01* +X115502900Y-119038418D01* +X115502900Y-118361580D01* +X115496613Y-118313824D01* +X115496611Y-118313819D01* +X115447745Y-118209026D01* +X115447739Y-118209018D01* +X115365981Y-118127260D01* +X115365973Y-118127254D01* +X115261180Y-118078388D01* +X115261174Y-118078386D01* +X115225992Y-118073755D01* +X115213420Y-118072100D01* +X114786580Y-118072100D01* +X114775470Y-118073562D01* +X114738825Y-118078386D01* +X114738819Y-118078388D01* +X114634026Y-118127254D01* +X114634018Y-118127260D01* +X114552260Y-118209018D01* +X114552254Y-118209026D01* +X114503388Y-118313819D01* +X114503386Y-118313825D01* +X114497100Y-118361581D01* +X114497100Y-119038418D01* +X111927899Y-119038418D01* X111927899Y-118411364D01* X111912501Y-118333946D01* X111853842Y-118246158D01* @@ -22684,7 +22621,7 @@ X126888771Y-110869340D01* X126888173Y-110866638D01* X126887668Y-110865002D01* X126887668Y-110864997D01* -X126885108Y-110859681D01* +X126887665Y-110864990D01* X126860342Y-110808254D01* X126859727Y-110806945D01* X126839222Y-110762043D01* @@ -22834,7 +22771,7 @@ X122162043Y-110023943D01* X122142078Y-110149999D01* X122142078Y-110150000D01* X122162043Y-110276056D01* -X122206370Y-110363052D01* +X122212995Y-110376055D01* X122219984Y-110389771D01* X122310229Y-110480016D01* X122423943Y-110537956D01* @@ -22858,7 +22795,7 @@ X123494519Y-110819096D01* X123473476Y-110822100D01* X122811978Y-110822100D01* X122777838Y-110813904D01* -X122769002Y-110809402D01* +X122766749Y-110808254D01* X122676055Y-110762043D01* X122676057Y-110762043D01* X122550000Y-110742078D01* @@ -23181,6 +23118,7 @@ X98783508Y-110246355D01* X98792018Y-110265628D01* X98797500Y-110284238D01* X98812043Y-110376056D01* +X98869777Y-110489365D01* X98869984Y-110489771D01* X98960229Y-110580016D01* X98991084Y-110595737D01* @@ -23367,6 +23305,7 @@ X110446174Y-110224138D01* X110442078Y-110249999D01* X110442078Y-110250000D01* X110462043Y-110376056D01* +X110519777Y-110489365D01* X110519984Y-110489771D01* X110610229Y-110580016D01* X110723943Y-110637956D01* @@ -23408,7 +23347,7 @@ X112007613Y-110031168D01* X111992100Y-110109160D01* X111992100Y-110390839D01* X111992101Y-110390840D01* -X111997206Y-110416506D01* +X111999273Y-110426896D01* X112007614Y-110468834D01* X112066715Y-110557285D01* X112111894Y-110587473D01* @@ -23466,7 +23405,7 @@ X114876057Y-110762043D01* X114750000Y-110742078D01* X114623943Y-110762043D01* X114546938Y-110801280D01* -X114530998Y-110809402D01* +X114533251Y-110808254D01* X114522162Y-110813904D01* X114488022Y-110822100D01* X113826524Y-110822100D01* @@ -25139,214 +25078,6 @@ X103681941Y-103717065D01* X103681940Y-103717065D01* X103752863Y-103727399D01* X103900000Y-103727399D01* -X104200000Y-103727399D01* -X104347130Y-103727399D01* -X104347142Y-103727398D01* -X104419553Y-103716848D01* -X104469922Y-103727288D01* -X104501796Y-103767663D01* -X104504669Y-103779498D01* -X104512043Y-103826056D01* -X104567532Y-103934958D01* -X104569984Y-103939771D01* -X104660229Y-104030016D01* -X104773943Y-104087956D01* -X104773945Y-104087957D01* -X104900000Y-104107922D01* -X105026055Y-104087957D01* -X105139771Y-104030016D01* -X105184222Y-103985565D01* -X105230842Y-103963825D01* -X105280529Y-103977139D01* -X105282051Y-103978233D01* -X105376131Y-104047668D01* -X105385982Y-104054938D01* -X105385985Y-104054940D01* -X105411098Y-104063727D01* -X105514850Y-104100031D01* -X105545435Y-104102899D01* -X105545437Y-104102900D01* -X105545444Y-104102900D01* -X106454563Y-104102900D01* -X106454563Y-104102899D01* -X106485150Y-104100031D01* -X106614017Y-104054939D01* -X106723866Y-103973866D01* -X106804939Y-103864017D01* -X106850031Y-103735150D01* -X106852899Y-103704563D01* -X106852900Y-103704563D01* -X106852900Y-103500000D01* -X107347601Y-103500000D01* -X107347601Y-103704492D01* -X107350465Y-103735056D01* -X107395506Y-103863776D01* -X107476492Y-103973507D01* -X107586223Y-104054493D01* -X107586222Y-104054493D01* -X107714941Y-104099532D01* -X107714950Y-104099534D01* -X107745499Y-104102399D01* -X108050000Y-104102399D01* -X108050000Y-103500000D01* -X108350000Y-103500000D01* -X108350000Y-104102399D01* -X108654493Y-104102399D01* -X108685056Y-104099534D01* -X108813776Y-104054493D01* -X108923507Y-103973507D01* -X109004493Y-103863776D01* -X109049532Y-103735058D01* -X109049534Y-103735049D01* -X109052399Y-103704501D01* -X109052400Y-103704500D01* -X109052400Y-103500000D01* -X108350000Y-103500000D01* -X108050000Y-103500000D01* -X107347601Y-103500000D01* -X106852900Y-103500000D01* -X106852900Y-103450000D01* -X110172601Y-103450000D01* -X110172601Y-103547142D01* -X110182934Y-103618059D01* -X110236421Y-103727469D01* -X110322530Y-103813578D01* -X110431941Y-103867065D01* -X110431940Y-103867065D01* -X110502863Y-103877399D01* -X110650000Y-103877399D01* -X110650000Y-103450000D01* -X110950000Y-103450000D01* -X110950000Y-103877399D01* -X111097130Y-103877399D01* -X111097142Y-103877398D01* -X111168059Y-103867065D01* -X111277469Y-103813578D01* -X111363578Y-103727469D01* -X111417065Y-103618058D01* -X111427399Y-103547141D01* -X111427400Y-103547131D01* -X111427400Y-103450000D01* -X110950000Y-103450000D01* -X110650000Y-103450000D01* -X110172601Y-103450000D01* -X106852900Y-103450000D01* -X106852900Y-103200000D01* -X107347600Y-103200000D01* -X108050000Y-103200000D01* -X108050000Y-102597600D01* -X108350000Y-102597600D01* -X108350000Y-103200000D01* -X109052399Y-103200000D01* -X109052399Y-103150000D01* -X110172600Y-103150000D01* -X110650000Y-103150000D01* -X110650000Y-102722600D01* -X110950000Y-102722600D01* -X110950000Y-103150000D01* -X111427399Y-103150000D01* -X111427399Y-103052869D01* -X111427398Y-103052857D01* -X111417065Y-102981940D01* -X111363578Y-102872530D01* -X111277469Y-102786421D01* -X111168058Y-102732934D01* -X111168059Y-102732934D01* -X111097141Y-102722600D01* -X110950000Y-102722600D01* -X110650000Y-102722600D01* -X110502870Y-102722600D01* -X110502857Y-102722601D01* -X110431940Y-102732934D01* -X110322530Y-102786421D01* -X110236421Y-102872530D01* -X110182934Y-102981941D01* -X110172600Y-103052858D01* -X110172600Y-103150000D01* -X109052399Y-103150000D01* -X109052399Y-102995507D01* -X109049534Y-102964943D01* -X109004493Y-102836223D01* -X108923507Y-102726492D01* -X108813776Y-102645506D01* -X108813777Y-102645506D01* -X108685058Y-102600467D01* -X108685049Y-102600465D01* -X108654501Y-102597600D01* -X108350000Y-102597600D01* -X108050000Y-102597600D01* -X107745507Y-102597600D01* -X107714943Y-102600465D01* -X107586223Y-102645506D01* -X107476492Y-102726492D01* -X107395506Y-102836223D01* -X107350467Y-102964941D01* -X107350465Y-102964950D01* -X107347600Y-102995498D01* -X107347600Y-103200000D01* -X106852900Y-103200000D01* -X106852900Y-102995436D01* -X106852899Y-102995435D01* -X106850031Y-102964850D01* -X106808514Y-102846199D01* -X106804940Y-102835985D01* -X106804938Y-102835982D01* -X106785931Y-102810229D01* -X106742016Y-102750726D01* -X106723866Y-102726133D01* -X106614017Y-102645061D01* -X106614014Y-102645059D01* -X106508730Y-102608220D01* -X106485150Y-102599969D01* -X106485145Y-102599968D01* -X106485143Y-102599968D01* -X106454564Y-102597100D01* -X106454556Y-102597100D01* -X105545444Y-102597100D01* -X105545436Y-102597100D01* -X105514856Y-102599968D01* -X105514852Y-102599968D01* -X105514850Y-102599969D01* -X105514847Y-102599969D01* -X105514847Y-102599970D01* -X105385985Y-102645059D01* -X105385982Y-102645061D01* -X105276133Y-102726133D01* -X105195061Y-102835982D01* -X105195059Y-102835985D01* -X105149970Y-102964847D01* -X105149968Y-102964856D01* -X105147100Y-102995435D01* -X105147100Y-103045010D01* -X105129507Y-103093348D01* -X105084958Y-103119068D01* -X105067969Y-103120107D01* -X105063557Y-103119876D01* -X105063553Y-103119876D01* -X105040588Y-103128691D01* -X105029279Y-103132040D01* -X105005236Y-103137151D01* -X105005234Y-103137151D01* -X104998327Y-103142170D01* -X104981083Y-103151532D01* -X104973104Y-103154595D01* -X104955710Y-103171989D01* -X104946740Y-103179650D01* -X104926854Y-103194098D01* -X104926851Y-103194102D01* -X104922582Y-103201495D01* -X104910635Y-103217063D01* -X104840783Y-103286915D01* -X104799374Y-103308015D01* -X104773946Y-103312042D01* -X104773936Y-103312045D01* -X104766643Y-103315762D01* -X104715586Y-103322029D01* -X104679332Y-103301931D01* -X104677401Y-103300000D01* -X104200000Y-103300000D01* -X104200000Y-103727399D01* -X103900000Y-103727399D01* X103900000Y-103300000D01* X103422601Y-103300000D01* X102602391Y-103300000D01* @@ -25501,40 +25232,184 @@ X105151160Y-102070493D01* X105173802Y-102103263D01* X105195059Y-102164014D01* X105195061Y-102164017D01* -X105276133Y-102273866D01* -X105385982Y-102354938D01* -X105385985Y-102354940D01* -X105416919Y-102365763D01* -X105514850Y-102400031D01* -X105545435Y-102402899D01* -X105545437Y-102402900D01* -X105545444Y-102402900D01* -X106454563Y-102402900D01* -X106454563Y-102402899D01* -X106485150Y-102400031D01* -X106614017Y-102354939D01* -X106723866Y-102273866D01* -X106804939Y-102164017D01* -X106850031Y-102035150D01* -X106852899Y-102004564D01* -X107347100Y-102004564D01* -X107349968Y-102035143D01* -X107349969Y-102035150D01* -X107356180Y-102052900D01* -X107395059Y-102164014D01* -X107395061Y-102164017D01* -X107476133Y-102273866D01* -X107585982Y-102354938D01* -X107585985Y-102354940D01* -X107616919Y-102365763D01* -X107714850Y-102400031D01* -X107745435Y-102402899D01* -X107745437Y-102402900D01* -X107745444Y-102402900D01* -X108654563Y-102402900D01* -X108654563Y-102402899D01* -X108685150Y-102400031D01* -X108814017Y-102354939D01* +X105274830Y-102272100D01* +X105276134Y-102273866D01* +X105369455Y-102342741D01* +X105397900Y-102385600D01* +X105400000Y-102403246D01* +X105400000Y-102596753D01* +X105382407Y-102645091D01* +X105369456Y-102657258D01* +X105276134Y-102726133D01* +X105195061Y-102835982D01* +X105195059Y-102835985D01* +X105149970Y-102964847D01* +X105149968Y-102964856D01* +X105147100Y-102995435D01* +X105147100Y-103045010D01* +X105129507Y-103093348D01* +X105084958Y-103119068D01* +X105067969Y-103120107D01* +X105063557Y-103119876D01* +X105063553Y-103119876D01* +X105040588Y-103128691D01* +X105029279Y-103132040D01* +X105005236Y-103137151D01* +X105005234Y-103137151D01* +X104998327Y-103142170D01* +X104981083Y-103151532D01* +X104973104Y-103154595D01* +X104955710Y-103171989D01* +X104946740Y-103179650D01* +X104926854Y-103194098D01* +X104926851Y-103194102D01* +X104922582Y-103201495D01* +X104910635Y-103217063D01* +X104840783Y-103286915D01* +X104799374Y-103308015D01* +X104773946Y-103312042D01* +X104773936Y-103312045D01* +X104766643Y-103315762D01* +X104715586Y-103322029D01* +X104679332Y-103301931D01* +X104677401Y-103300000D01* +X104200000Y-103300000D01* +X104200000Y-103727399D01* +X104347130Y-103727399D01* +X104347142Y-103727398D01* +X104419553Y-103716848D01* +X104469922Y-103727288D01* +X104501796Y-103767663D01* +X104504669Y-103779498D01* +X104512043Y-103826056D01* +X104562560Y-103925200D01* +X104569984Y-103939771D01* +X104660229Y-104030016D01* +X104773943Y-104087956D01* +X104773945Y-104087957D01* +X104900000Y-104107922D01* +X105026055Y-104087957D01* +X105139771Y-104030016D01* +X105184222Y-103985565D01* +X105230842Y-103963825D01* +X105280529Y-103977139D01* +X105282051Y-103978233D01* +X105376131Y-104047668D01* +X105385982Y-104054938D01* +X105385985Y-104054940D01* +X105411098Y-104063727D01* +X105514850Y-104100031D01* +X105545435Y-104102899D01* +X105545437Y-104102900D01* +X105545444Y-104102900D01* +X106454563Y-104102900D01* +X106454563Y-104102899D01* +X106485150Y-104100031D01* +X106614017Y-104054939D01* +X106723866Y-103973866D01* +X106792741Y-103880544D01* +X106835600Y-103852100D01* +X106853246Y-103850000D01* +X107347376Y-103850000D01* +X107395714Y-103867593D01* +X107407882Y-103880545D01* +X107476490Y-103973506D01* +X107586223Y-104054493D01* +X107586222Y-104054493D01* +X107714941Y-104099532D01* +X107714950Y-104099534D01* +X107745499Y-104102399D01* +X108050000Y-104102399D01* +X108050000Y-103925200D01* +X108067593Y-103876862D01* +X108112142Y-103851142D01* +X108125200Y-103850000D01* +X108274800Y-103850000D01* +X108323138Y-103867593D01* +X108348858Y-103912142D01* +X108350000Y-103925200D01* +X108350000Y-104102399D01* +X108654493Y-104102399D01* +X108685056Y-104099534D01* +X108813776Y-104054493D01* +X108923507Y-103973507D01* +X109004493Y-103863776D01* +X109049532Y-103735058D01* +X109049534Y-103735049D01* +X109052399Y-103704501D01* +X109052400Y-103704500D01* +X109052400Y-103500000D01* +X108875200Y-103500000D01* +X108826862Y-103482407D01* +X108808152Y-103450000D01* +X110172601Y-103450000D01* +X110172601Y-103547142D01* +X110182934Y-103618059D01* +X110236421Y-103727469D01* +X110322530Y-103813578D01* +X110431941Y-103867065D01* +X110431940Y-103867065D01* +X110502863Y-103877399D01* +X110650000Y-103877399D01* +X110650000Y-103450000D01* +X110950000Y-103450000D01* +X110950000Y-103877399D01* +X111097130Y-103877399D01* +X111097142Y-103877398D01* +X111168059Y-103867065D01* +X111277469Y-103813578D01* +X111363578Y-103727469D01* +X111417065Y-103618058D01* +X111427399Y-103547141D01* +X111427400Y-103547131D01* +X111427400Y-103450000D01* +X110950000Y-103450000D01* +X110650000Y-103450000D01* +X110172601Y-103450000D01* +X108808152Y-103450000D01* +X108801142Y-103437858D01* +X108800000Y-103424800D01* +X108800000Y-103275200D01* +X108817593Y-103226862D01* +X108862142Y-103201142D01* +X108875200Y-103200000D01* +X109052399Y-103200000D01* +X109052399Y-103150000D01* +X110172600Y-103150000D01* +X110650000Y-103150000D01* +X110650000Y-102722600D01* +X110950000Y-102722600D01* +X110950000Y-103150000D01* +X111427399Y-103150000D01* +X111427399Y-103052869D01* +X111427398Y-103052857D01* +X111417065Y-102981940D01* +X111363578Y-102872530D01* +X111277469Y-102786421D01* +X111168058Y-102732934D01* +X111168059Y-102732934D01* +X111097141Y-102722600D01* +X110950000Y-102722600D01* +X110650000Y-102722600D01* +X110502870Y-102722600D01* +X110502857Y-102722601D01* +X110431940Y-102732934D01* +X110322530Y-102786421D01* +X110236421Y-102872530D01* +X110182934Y-102981941D01* +X110172600Y-103052858D01* +X110172600Y-103150000D01* +X109052399Y-103150000D01* +X109052399Y-102995507D01* +X109049534Y-102964943D01* +X109004493Y-102836223D01* +X108923505Y-102726490D01* +X108830544Y-102657880D01* +X108802100Y-102615021D01* +X108800000Y-102597375D01* +X108800000Y-102403246D01* +X108817593Y-102354908D01* +X108830541Y-102342743D01* X108923866Y-102273866D01* X109004939Y-102164017D01* X109050031Y-102035150D01* @@ -25617,25 +25492,16 @@ X107714847Y-100899969D01* X107714847Y-100899970D01* X107585985Y-100945059D01* X107585982Y-100945061D01* -X107476133Y-101026133D01* -X107395061Y-101135982D01* -X107395059Y-101135985D01* -X107349970Y-101264847D01* -X107349968Y-101264856D01* -X107347100Y-101295435D01* -X107347100Y-102004564D01* -X106852899Y-102004564D01* -X106852899Y-102004563D01* -X106852900Y-102004563D01* -X106852900Y-101295436D01* -X106852899Y-101295435D01* -X106850031Y-101264850D01* -X106806102Y-101139307D01* -X106804940Y-101135985D01* -X106804938Y-101135982D01* -X106795279Y-101122895D01* -X106773175Y-101092945D01* -X106723866Y-101026133D01* +X107476134Y-101026133D01* +X107442989Y-101071043D01* +X107407258Y-101119455D01* +X107364400Y-101147900D01* +X107346754Y-101150000D01* +X106853246Y-101150000D01* +X106804908Y-101132407D01* +X106792743Y-101119458D01* +X106723866Y-101026134D01* +X106719840Y-101023163D01* X106614017Y-100945061D01* X106614014Y-100945059D01* X106516086Y-100910793D01* @@ -26682,7 +26548,7 @@ X122862043Y-102923943D01* X122842078Y-103049999D01* X122842078Y-103050000D01* X122862043Y-103176056D01* -X122888144Y-103227282D01* +X122887930Y-103226862D01* X122919984Y-103289771D01* X123010229Y-103380016D01* X123123943Y-103437956D01* @@ -26785,7 +26651,7 @@ X125292340Y-103428061D01* X125297258Y-103427900D01* X125377341Y-103427900D01* X125411481Y-103436096D01* -X125415132Y-103437956D01* +X125414940Y-103437858D01* X125422692Y-103441808D01* X125422694Y-103441809D01* X125517976Y-103456900D01* @@ -27683,7 +27549,7 @@ X126887668Y-91664997D01* X126880445Y-91649998D01* X126860342Y-91608254D01* X126859727Y-91606945D01* -X126839997Y-91563740D01* +X126839541Y-91562742D01* X126834920Y-91552623D01* X126832015Y-91548104D01* X126832549Y-91547760D01* @@ -29062,6 +28928,7 @@ X97379429Y-94611372D01* X97386268Y-94614204D01* X97400000Y-94616935D01* X97400000Y-92858062D01* +X97399999Y-92858062D01* X97386274Y-92860793D01* X97386271Y-92860794D01* X97342228Y-92890223D01* @@ -29081,7 +28948,7 @@ X96777900Y-92763846D01* X96786098Y-92729703D01* X96787957Y-92726055D01* X96807922Y-92600000D01* -X96806338Y-92590002D01* +X96807671Y-92598418D01* X96802379Y-92565003D01* X96787957Y-92473945D01* X96743522Y-92386737D01* @@ -29135,7 +29002,7 @@ X95777900Y-92763846D01* X95786098Y-92729703D01* X95787957Y-92726055D01* X95807922Y-92600000D01* -X95806338Y-92590002D01* +X95807671Y-92598418D01* X95802379Y-92565003D01* X95787957Y-92473945D01* X95730016Y-92360229D01* @@ -30097,10 +29964,10 @@ X62532481Y-92454760D01* X62532473Y-92454754D01* X62427680Y-92405888D01* X62427674Y-92405886D01* -X62387736Y-92400629D01* +X62392492Y-92401255D01* X62379920Y-92399600D01* X61953080Y-92399600D01* -X61945264Y-92400629D01* +X61941970Y-92401062D01* X61905325Y-92405886D01* X61905319Y-92405888D01* X61800526Y-92454754D01* @@ -30338,7 +30205,7 @@ X56235802Y-91226500D01* X56206302Y-91142192D01* X56204340Y-91136585D01* X56204338Y-91136582D01* -X56190667Y-91118059D01* +X56191698Y-91119456D01* X56174071Y-91095572D01* X56123266Y-91026733D01* X56013417Y-90945661D01* @@ -30408,205 +30275,59 @@ X54914247Y-90900569D01* X54914247Y-90900570D01* X54785385Y-90945659D01* X54785382Y-90945661D01* -X54675533Y-91026733D01* -X54594461Y-91136582D01* -X54594459Y-91136585D01* -X54549370Y-91265447D01* -X54549368Y-91265456D01* -X54546500Y-91296035D01* -X54546500Y-92005164D01* -X54549360Y-92035656D01* -X54549369Y-92035750D01* -X54558569Y-92062043D01* -X54594459Y-92164614D01* -X54594461Y-92164617D01* -X54675533Y-92274466D01* -X54723992Y-92310230D01* -X54768496Y-92343076D01* -X54785382Y-92355538D01* -X54785385Y-92355540D01* -X54798786Y-92360229D01* -X54914250Y-92400631D01* -X54944835Y-92403499D01* -X54944837Y-92403500D01* -X54944844Y-92403500D01* -X55853963Y-92403500D01* -X55853963Y-92403499D01* -X55884550Y-92400631D01* -X56013417Y-92355539D01* -X56123266Y-92274466D01* -X56204339Y-92164617D01* -X56249431Y-92035750D01* -X56252299Y-92005163D01* -X56252300Y-92005163D01* -X56252300Y-91953700D01* -X56269893Y-91905362D01* -X56314442Y-91879642D01* -X56327500Y-91878500D01* -X56425053Y-91878500D01* -X56473391Y-91896093D01* -X56478227Y-91900526D01* -X56500074Y-91922373D01* -X56521814Y-91968993D01* -X56522100Y-91975547D01* -X56522100Y-93874452D01* -X56504507Y-93922790D01* -X56500074Y-93927626D01* -X55827026Y-94600674D01* -X55780406Y-94622414D01* -X55773852Y-94622700D01* -X54699829Y-94622700D01* -X54695892Y-94622597D01* -X54655451Y-94620476D01* -X54632497Y-94629288D01* -X54621186Y-94632639D01* -X54597135Y-94637751D01* -X54597132Y-94637753D01* -X54590224Y-94642772D01* -X54572983Y-94652133D01* -X54565007Y-94655195D01* -X54565001Y-94655199D01* -X54547609Y-94672589D01* -X54538645Y-94680245D01* -X54518754Y-94694698D01* -X54518751Y-94694701D01* -X54514483Y-94702094D01* -X54502535Y-94717664D01* -X54327448Y-94892750D01* -X54324593Y-94895459D01* -X54294507Y-94922548D01* -X54294505Y-94922550D01* -X54284506Y-94945010D01* -X54278878Y-94955376D01* -X54265484Y-94976001D01* -X54265483Y-94976006D01* -X54264148Y-94984435D01* -X54258574Y-95003254D01* -X54255100Y-95011057D01* -X54255100Y-95035652D01* -X54254174Y-95047416D01* -X54250600Y-95069984D01* -X54250329Y-95071694D01* -X54252501Y-95079802D01* -X54252538Y-95079938D01* -X54255100Y-95099400D01* -X54255100Y-95287283D01* -X54237507Y-95335621D01* -X54210275Y-95356075D01* -X54158858Y-95378777D01* -X54158851Y-95378782D01* -X54078782Y-95458851D01* -X54078780Y-95458854D01* -X54033037Y-95562451D01* -X54030100Y-95587776D01* -X54030100Y-97328223D01* -X53665900Y-97328223D01* -X53665900Y-95587774D01* -X53662962Y-95562450D01* -X53617220Y-95458855D01* -X53617219Y-95458854D01* -X53617217Y-95458851D01* -X53537148Y-95378782D01* -X53537141Y-95378777D01* -X53485725Y-95356075D01* -X53448613Y-95320457D01* -X53440900Y-95287283D01* -X53440900Y-94178700D01* -X53458493Y-94130362D01* -X53503042Y-94104642D01* -X53516100Y-94103500D01* -X53653963Y-94103500D01* -X53653963Y-94103499D01* -X53684550Y-94100631D01* -X53813417Y-94055539D01* -X53923266Y-93974466D01* -X54004339Y-93864617D01* -X54049431Y-93735750D01* -X54052299Y-93705163D01* -X54052300Y-93705163D01* -X54052300Y-93500600D01* -X54547001Y-93500600D01* -X54547001Y-93705092D01* -X54549865Y-93735656D01* -X54594906Y-93864376D01* -X54675892Y-93974107D01* -X54785623Y-94055093D01* -X54785622Y-94055093D01* -X54914341Y-94100132D01* -X54914350Y-94100134D01* -X54944899Y-94102999D01* -X55249400Y-94102999D01* -X55249400Y-93500600D01* -X55549400Y-93500600D01* -X55549400Y-94102999D01* -X55853893Y-94102999D01* -X55884456Y-94100134D01* -X56013176Y-94055093D01* -X56122907Y-93974107D01* -X56203893Y-93864376D01* -X56248932Y-93735658D01* -X56248934Y-93735649D01* -X56251799Y-93705101D01* -X56251800Y-93705100D01* -X56251800Y-93500600D01* -X55549400Y-93500600D01* -X55249400Y-93500600D01* -X54547001Y-93500600D01* -X54052300Y-93500600D01* -X54052300Y-93200600D01* -X54547000Y-93200600D01* -X55249400Y-93200600D01* -X55249400Y-92598200D01* -X55549400Y-92598200D01* -X55549400Y-93200600D01* -X56251799Y-93200600D01* -X56251799Y-92996107D01* -X56248934Y-92965543D01* -X56203893Y-92836823D01* -X56122907Y-92727092D01* -X56013176Y-92646106D01* -X56013177Y-92646106D01* -X55884458Y-92601067D01* -X55884449Y-92601065D01* -X55853901Y-92598200D01* -X55549400Y-92598200D01* -X55249400Y-92598200D01* -X54944907Y-92598200D01* -X54914343Y-92601065D01* -X54785623Y-92646106D01* -X54675892Y-92727092D01* -X54594906Y-92836823D01* -X54549867Y-92965541D01* -X54549865Y-92965550D01* -X54547000Y-92996098D01* -X54547000Y-93200600D01* -X54052300Y-93200600D01* -X54052300Y-92996036D01* -X54052299Y-92996035D01* -X54051468Y-92987171D01* -X54049431Y-92965450D01* -X54009710Y-92851932D01* -X54004340Y-92836585D01* -X54004338Y-92836582D01* -X53923266Y-92726733D01* -X53813417Y-92645661D01* -X53813414Y-92645659D01* -X53699971Y-92605965D01* -X53684550Y-92600569D01* -X53684545Y-92600568D01* -X53684543Y-92600568D01* -X53653964Y-92597700D01* -X53653956Y-92597700D01* -X52744844Y-92597700D01* -X52744836Y-92597700D01* -X52714256Y-92600568D01* -X52714252Y-92600568D01* -X52714250Y-92600569D01* -X52714247Y-92600569D01* -X52714247Y-92600570D01* -X52585385Y-92645659D01* -X52585382Y-92645661D01* -X52475533Y-92726733D01* +X54675535Y-91026732D01* +X54649180Y-91062442D01* +X54607100Y-91119456D01* +X54564242Y-91147900D01* +X54546596Y-91150000D01* +X54051582Y-91150000D01* +X54003244Y-91132407D01* +X53991077Y-91119456D01* +X53922909Y-91027094D01* +X53813176Y-90946106D01* +X53813177Y-90946106D01* +X53684458Y-90901067D01* +X53684449Y-90901065D01* +X53653901Y-90898200D01* +X53349400Y-90898200D01* +X53349400Y-91074800D01* +X53331807Y-91123138D01* +X53287258Y-91148858D01* +X53274200Y-91150000D01* +X53124600Y-91150000D01* +X53076262Y-91132407D01* +X53050542Y-91087858D01* +X53049400Y-91074800D01* +X53049400Y-90898200D01* +X52744907Y-90898200D01* +X52714343Y-90901065D01* +X52585623Y-90946106D01* +X52475892Y-91027092D01* +X52394906Y-91136823D01* +X52349867Y-91265541D01* +X52349865Y-91265550D01* +X52347000Y-91296098D01* +X52347000Y-91500600D01* +X52524800Y-91500600D01* +X52573138Y-91518193D01* +X52598858Y-91562742D01* +X52600000Y-91575800D01* +X52600000Y-91725400D01* +X52582407Y-91773738D01* +X52537858Y-91799458D01* +X52524800Y-91800600D01* +X52347001Y-91800600D01* +X52347001Y-92005092D01* +X52349865Y-92035656D01* +X52394906Y-92164376D01* +X52475894Y-92274109D01* +X52569456Y-92343163D01* +X52597900Y-92386022D01* +X52600000Y-92403668D01* +X52600000Y-92596910D01* +X52582407Y-92645248D01* +X52569456Y-92657415D01* +X52475534Y-92726733D01* X52394461Y-92836582D01* X52394459Y-92836585D01* X52349370Y-92965447D01* @@ -30653,7 +30374,7 @@ X49140171Y-93208820D01* X49108332Y-93144879D01* X49051328Y-93030399D01* X49049562Y-93028061D01* -X49008639Y-92973870D01* +X49002351Y-92965543D01* X48931212Y-92871339D01* X48931209Y-92871336D01* X48783912Y-92737056D01* @@ -30711,35 +30432,6 @@ X51349400Y-92000600D01* X51049400Y-92000600D01* X50572001Y-92000600D01* X46937400Y-92000600D01* -X47137400Y-91800600D01* -X52347001Y-91800600D01* -X52347001Y-92005092D01* -X52349865Y-92035656D01* -X52394906Y-92164376D01* -X52475892Y-92274107D01* -X52585623Y-92355093D01* -X52585622Y-92355093D01* -X52714341Y-92400132D01* -X52714350Y-92400134D01* -X52744899Y-92402999D01* -X53049400Y-92402999D01* -X53049400Y-91800600D01* -X53349400Y-91800600D01* -X53349400Y-92402999D01* -X53653893Y-92402999D01* -X53684456Y-92400134D01* -X53813176Y-92355093D01* -X53922907Y-92274107D01* -X54003893Y-92164376D01* -X54048932Y-92035658D01* -X54048934Y-92035649D01* -X54051799Y-92005101D01* -X54051800Y-92005100D01* -X54051800Y-91800600D01* -X53349400Y-91800600D01* -X53049400Y-91800600D01* -X52347001Y-91800600D01* -X47137400Y-91800600D01* X47237400Y-91700600D01* X50572000Y-91700600D01* X51049400Y-91700600D01* @@ -30750,34 +30442,6 @@ X51826799Y-91700600D01* X51826799Y-91603469D01* X51826798Y-91603457D01* X51816465Y-91532540D01* -X51800851Y-91500600D01* -X52347000Y-91500600D01* -X53049400Y-91500600D01* -X53049400Y-90898200D01* -X53349400Y-90898200D01* -X53349400Y-91500600D01* -X54051799Y-91500600D01* -X54051799Y-91296107D01* -X54048934Y-91265543D01* -X54003893Y-91136823D01* -X53922907Y-91027092D01* -X53813176Y-90946106D01* -X53813177Y-90946106D01* -X53684458Y-90901067D01* -X53684449Y-90901065D01* -X53653901Y-90898200D01* -X53349400Y-90898200D01* -X53049400Y-90898200D01* -X52744907Y-90898200D01* -X52714343Y-90901065D01* -X52585623Y-90946106D01* -X52475892Y-91027092D01* -X52394906Y-91136823D01* -X52349867Y-91265541D01* -X52349865Y-91265550D01* -X52347000Y-91296098D01* -X52347000Y-91500600D01* -X51800851Y-91500600D01* X51762978Y-91423130D01* X51676869Y-91337021D01* X51567458Y-91283534D01* diff --git a/Hardware/LCMXO2/gerber/GR8RAM-F_Mask.gts b/Hardware/LCMXO2/gerber/GR8RAM-F_Mask.gts index f71dbae..7cca2c8 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM-F_Mask.gts +++ b/Hardware/LCMXO2/gerber/GR8RAM-F_Mask.gts @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-18T20:49:12-04:00* +G04 #@! TF.CreationDate,2024-03-29T07:49:19-04:00* G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Soldermask,Top* G04 #@! TF.FilePolarity,Negative* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-18 20:49:12* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 07:49:19* %MOMM*% %LPD*% G01* @@ -1690,150 +1690,6 @@ X143002000Y-126276000D01* X143163105Y-126294152D01* G37* G36* -X114180139Y-125276022D02* -G01* -X114224192Y-125281133D01* -X114239243Y-125287778D01* -X114261104Y-125292127D01* -X114284506Y-125307763D01* -X114301531Y-125315281D01* -X114313159Y-125326909D01* -X114334099Y-125340901D01* -X114348090Y-125361840D01* -X114359718Y-125373468D01* -X114367234Y-125390491D01* -X114382873Y-125413896D01* -X114387221Y-125435759D01* -X114393866Y-125450807D01* -X114398975Y-125494850D01* -X114400000Y-125500000D01* -X114400000Y-126100000D01* -X114398975Y-126105151D01* -X114393866Y-126149192D01* -X114387222Y-126164239D01* -X114382873Y-126186104D01* -X114367233Y-126209510D01* -X114359718Y-126226531D01* -X114348092Y-126238156D01* -X114334099Y-126259099D01* -X114313156Y-126273092D01* -X114301531Y-126284718D01* -X114284510Y-126292233D01* -X114261104Y-126307873D01* -X114239239Y-126312222D01* -X114224192Y-126318866D01* -X114180151Y-126323975D01* -X114175000Y-126325000D01* -X113825000Y-126325000D01* -X113819850Y-126323975D01* -X113775807Y-126318866D01* -X113760759Y-126312221D01* -X113738896Y-126307873D01* -X113715491Y-126292234D01* -X113698468Y-126284718D01* -X113686840Y-126273090D01* -X113665901Y-126259099D01* -X113651909Y-126238159D01* -X113640281Y-126226531D01* -X113632763Y-126209506D01* -X113617127Y-126186104D01* -X113612778Y-126164243D01* -X113606133Y-126149192D01* -X113601022Y-126105139D01* -X113600000Y-126100000D01* -X113600000Y-125500000D01* -X113601021Y-125494862D01* -X113606133Y-125450807D01* -X113612779Y-125435754D01* -X113617127Y-125413896D01* -X113632762Y-125390495D01* -X113640281Y-125373468D01* -X113651911Y-125361837D01* -X113665901Y-125340901D01* -X113686837Y-125326911D01* -X113698468Y-125315281D01* -X113715495Y-125307762D01* -X113738896Y-125292127D01* -X113760754Y-125287779D01* -X113775807Y-125281133D01* -X113819862Y-125276021D01* -X113825000Y-125275000D01* -X114175000Y-125275000D01* -X114180139Y-125276022D01* -G37* -G36* -X115780139Y-125276022D02* -G01* -X115824192Y-125281133D01* -X115839243Y-125287778D01* -X115861104Y-125292127D01* -X115884506Y-125307763D01* -X115901531Y-125315281D01* -X115913159Y-125326909D01* -X115934099Y-125340901D01* -X115948090Y-125361840D01* -X115959718Y-125373468D01* -X115967234Y-125390491D01* -X115982873Y-125413896D01* -X115987221Y-125435759D01* -X115993866Y-125450807D01* -X115998975Y-125494850D01* -X116000000Y-125500000D01* -X116000000Y-126100000D01* -X115998975Y-126105151D01* -X115993866Y-126149192D01* -X115987222Y-126164239D01* -X115982873Y-126186104D01* -X115967233Y-126209510D01* -X115959718Y-126226531D01* -X115948092Y-126238156D01* -X115934099Y-126259099D01* -X115913156Y-126273092D01* -X115901531Y-126284718D01* -X115884510Y-126292233D01* -X115861104Y-126307873D01* -X115839239Y-126312222D01* -X115824192Y-126318866D01* -X115780151Y-126323975D01* -X115775000Y-126325000D01* -X115425000Y-126325000D01* -X115419850Y-126323975D01* -X115375807Y-126318866D01* -X115360759Y-126312221D01* -X115338896Y-126307873D01* -X115315491Y-126292234D01* -X115298468Y-126284718D01* -X115286840Y-126273090D01* -X115265901Y-126259099D01* -X115251909Y-126238159D01* -X115240281Y-126226531D01* -X115232763Y-126209506D01* -X115217127Y-126186104D01* -X115212778Y-126164243D01* -X115206133Y-126149192D01* -X115201022Y-126105139D01* -X115200000Y-126100000D01* -X115200000Y-125500000D01* -X115201021Y-125494862D01* -X115206133Y-125450807D01* -X115212779Y-125435754D01* -X115217127Y-125413896D01* -X115232762Y-125390495D01* -X115240281Y-125373468D01* -X115251911Y-125361837D01* -X115265901Y-125340901D01* -X115286837Y-125326911D01* -X115298468Y-125315281D01* -X115315495Y-125307762D01* -X115338896Y-125292127D01* -X115360754Y-125287779D01* -X115375807Y-125281133D01* -X115419862Y-125276021D01* -X115425000Y-125275000D01* -X115775000Y-125275000D01* -X115780139Y-125276022D01* -G37* -G36* X78264736Y-124211390D02* G01* X78309020Y-124240980D01* @@ -3294,294 +3150,6 @@ X70040500Y-124142500D01* X70075669Y-124147130D01* G37* G36* -X114180139Y-123826022D02* -G01* -X114224192Y-123831133D01* -X114239243Y-123837778D01* -X114261104Y-123842127D01* -X114284506Y-123857763D01* -X114301531Y-123865281D01* -X114313159Y-123876909D01* -X114334099Y-123890901D01* -X114348090Y-123911840D01* -X114359718Y-123923468D01* -X114367234Y-123940491D01* -X114382873Y-123963896D01* -X114387221Y-123985759D01* -X114393866Y-124000807D01* -X114398975Y-124044850D01* -X114400000Y-124050000D01* -X114400000Y-124650000D01* -X114398975Y-124655151D01* -X114393866Y-124699192D01* -X114387222Y-124714239D01* -X114382873Y-124736104D01* -X114367233Y-124759510D01* -X114359718Y-124776531D01* -X114348092Y-124788156D01* -X114334099Y-124809099D01* -X114313156Y-124823092D01* -X114301531Y-124834718D01* -X114284510Y-124842233D01* -X114261104Y-124857873D01* -X114239239Y-124862222D01* -X114224192Y-124868866D01* -X114180151Y-124873975D01* -X114175000Y-124875000D01* -X113825000Y-124875000D01* -X113819850Y-124873975D01* -X113775807Y-124868866D01* -X113760759Y-124862221D01* -X113738896Y-124857873D01* -X113715491Y-124842234D01* -X113698468Y-124834718D01* -X113686840Y-124823090D01* -X113665901Y-124809099D01* -X113651909Y-124788159D01* -X113640281Y-124776531D01* -X113632763Y-124759506D01* -X113617127Y-124736104D01* -X113612778Y-124714243D01* -X113606133Y-124699192D01* -X113601022Y-124655139D01* -X113600000Y-124650000D01* -X113600000Y-124050000D01* -X113601021Y-124044862D01* -X113606133Y-124000807D01* -X113612779Y-123985754D01* -X113617127Y-123963896D01* -X113632762Y-123940495D01* -X113640281Y-123923468D01* -X113651911Y-123911837D01* -X113665901Y-123890901D01* -X113686837Y-123876911D01* -X113698468Y-123865281D01* -X113715495Y-123857762D01* -X113738896Y-123842127D01* -X113760754Y-123837779D01* -X113775807Y-123831133D01* -X113819862Y-123826021D01* -X113825000Y-123825000D01* -X114175000Y-123825000D01* -X114180139Y-123826022D01* -G37* -G36* -X115780139Y-123826022D02* -G01* -X115824192Y-123831133D01* -X115839243Y-123837778D01* -X115861104Y-123842127D01* -X115884506Y-123857763D01* -X115901531Y-123865281D01* -X115913159Y-123876909D01* -X115934099Y-123890901D01* -X115948090Y-123911840D01* -X115959718Y-123923468D01* -X115967234Y-123940491D01* -X115982873Y-123963896D01* -X115987221Y-123985759D01* -X115993866Y-124000807D01* -X115998975Y-124044850D01* -X116000000Y-124050000D01* -X116000000Y-124650000D01* -X115998975Y-124655151D01* -X115993866Y-124699192D01* -X115987222Y-124714239D01* -X115982873Y-124736104D01* -X115967233Y-124759510D01* -X115959718Y-124776531D01* -X115948092Y-124788156D01* -X115934099Y-124809099D01* -X115913156Y-124823092D01* -X115901531Y-124834718D01* -X115884510Y-124842233D01* -X115861104Y-124857873D01* -X115839239Y-124862222D01* -X115824192Y-124868866D01* -X115780151Y-124873975D01* -X115775000Y-124875000D01* -X115425000Y-124875000D01* -X115419850Y-124873975D01* -X115375807Y-124868866D01* -X115360759Y-124862221D01* -X115338896Y-124857873D01* -X115315491Y-124842234D01* -X115298468Y-124834718D01* -X115286840Y-124823090D01* -X115265901Y-124809099D01* -X115251909Y-124788159D01* -X115240281Y-124776531D01* -X115232763Y-124759506D01* -X115217127Y-124736104D01* -X115212778Y-124714243D01* -X115206133Y-124699192D01* -X115201022Y-124655139D01* -X115200000Y-124650000D01* -X115200000Y-124050000D01* -X115201021Y-124044862D01* -X115206133Y-124000807D01* -X115212779Y-123985754D01* -X115217127Y-123963896D01* -X115232762Y-123940495D01* -X115240281Y-123923468D01* -X115251911Y-123911837D01* -X115265901Y-123890901D01* -X115286837Y-123876911D01* -X115298468Y-123865281D01* -X115315495Y-123857762D01* -X115338896Y-123842127D01* -X115360754Y-123837779D01* -X115375807Y-123831133D01* -X115419862Y-123826021D01* -X115425000Y-123825000D01* -X115775000Y-123825000D01* -X115780139Y-123826022D01* -G37* -G36* -X118830139Y-123826022D02* -G01* -X118874192Y-123831133D01* -X118889243Y-123837778D01* -X118911104Y-123842127D01* -X118934506Y-123857763D01* -X118951531Y-123865281D01* -X118963159Y-123876909D01* -X118984099Y-123890901D01* -X118998090Y-123911840D01* -X119009718Y-123923468D01* -X119017234Y-123940491D01* -X119032873Y-123963896D01* -X119037221Y-123985759D01* -X119043866Y-124000807D01* -X119048975Y-124044850D01* -X119050000Y-124050000D01* -X119050000Y-124650000D01* -X119048975Y-124655151D01* -X119043866Y-124699192D01* -X119037222Y-124714239D01* -X119032873Y-124736104D01* -X119017233Y-124759510D01* -X119009718Y-124776531D01* -X118998092Y-124788156D01* -X118984099Y-124809099D01* -X118963156Y-124823092D01* -X118951531Y-124834718D01* -X118934510Y-124842233D01* -X118911104Y-124857873D01* -X118889239Y-124862222D01* -X118874192Y-124868866D01* -X118830151Y-124873975D01* -X118825000Y-124875000D01* -X118475000Y-124875000D01* -X118469850Y-124873975D01* -X118425807Y-124868866D01* -X118410759Y-124862221D01* -X118388896Y-124857873D01* -X118365491Y-124842234D01* -X118348468Y-124834718D01* -X118336840Y-124823090D01* -X118315901Y-124809099D01* -X118301909Y-124788159D01* -X118290281Y-124776531D01* -X118282763Y-124759506D01* -X118267127Y-124736104D01* -X118262778Y-124714243D01* -X118256133Y-124699192D01* -X118251022Y-124655139D01* -X118250000Y-124650000D01* -X118250000Y-124050000D01* -X118251021Y-124044862D01* -X118256133Y-124000807D01* -X118262779Y-123985754D01* -X118267127Y-123963896D01* -X118282762Y-123940495D01* -X118290281Y-123923468D01* -X118301911Y-123911837D01* -X118315901Y-123890901D01* -X118336837Y-123876911D01* -X118348468Y-123865281D01* -X118365495Y-123857762D01* -X118388896Y-123842127D01* -X118410754Y-123837779D01* -X118425807Y-123831133D01* -X118469862Y-123826021D01* -X118475000Y-123825000D01* -X118825000Y-123825000D01* -X118830139Y-123826022D01* -G37* -G36* -X120430139Y-123826022D02* -G01* -X120474192Y-123831133D01* -X120489243Y-123837778D01* -X120511104Y-123842127D01* -X120534506Y-123857763D01* -X120551531Y-123865281D01* -X120563159Y-123876909D01* -X120584099Y-123890901D01* -X120598090Y-123911840D01* -X120609718Y-123923468D01* -X120617234Y-123940491D01* -X120632873Y-123963896D01* -X120637221Y-123985759D01* -X120643866Y-124000807D01* -X120648975Y-124044850D01* -X120650000Y-124050000D01* -X120650000Y-124650000D01* -X120648975Y-124655151D01* -X120643866Y-124699192D01* -X120637222Y-124714239D01* -X120632873Y-124736104D01* -X120617233Y-124759510D01* -X120609718Y-124776531D01* -X120598092Y-124788156D01* -X120584099Y-124809099D01* -X120563156Y-124823092D01* -X120551531Y-124834718D01* -X120534510Y-124842233D01* -X120511104Y-124857873D01* -X120489239Y-124862222D01* -X120474192Y-124868866D01* -X120430151Y-124873975D01* -X120425000Y-124875000D01* -X120075000Y-124875000D01* -X120069850Y-124873975D01* -X120025807Y-124868866D01* -X120010759Y-124862221D01* -X119988896Y-124857873D01* -X119965491Y-124842234D01* -X119948468Y-124834718D01* -X119936840Y-124823090D01* -X119915901Y-124809099D01* -X119901909Y-124788159D01* -X119890281Y-124776531D01* -X119882763Y-124759506D01* -X119867127Y-124736104D01* -X119862778Y-124714243D01* -X119856133Y-124699192D01* -X119851022Y-124655139D01* -X119850000Y-124650000D01* -X119850000Y-124050000D01* -X119851021Y-124044862D01* -X119856133Y-124000807D01* -X119862779Y-123985754D01* -X119867127Y-123963896D01* -X119882762Y-123940495D01* -X119890281Y-123923468D01* -X119901911Y-123911837D01* -X119915901Y-123890901D01* -X119936837Y-123876911D01* -X119948468Y-123865281D01* -X119965495Y-123857762D01* -X119988896Y-123842127D01* -X120010754Y-123837779D01* -X120025807Y-123831133D01* -X120069862Y-123826021D01* -X120075000Y-123825000D01* -X120425000Y-123825000D01* -X120430139Y-123826022D01* -G37* -G36* X137783148Y-123751620D02* G01* X137838164Y-123758863D01* @@ -7434,6 +7002,294 @@ X140537500Y-118125000D01* X140618381Y-118135648D01* G37* G36* +X115180139Y-118176022D02* +G01* +X115224192Y-118181133D01* +X115239243Y-118187778D01* +X115261104Y-118192127D01* +X115284506Y-118207763D01* +X115301531Y-118215281D01* +X115313159Y-118226909D01* +X115334099Y-118240901D01* +X115348090Y-118261840D01* +X115359718Y-118273468D01* +X115367234Y-118290491D01* +X115382873Y-118313896D01* +X115387221Y-118335759D01* +X115393866Y-118350807D01* +X115398975Y-118394850D01* +X115400000Y-118400000D01* +X115400000Y-119000000D01* +X115398975Y-119005151D01* +X115393866Y-119049192D01* +X115387222Y-119064239D01* +X115382873Y-119086104D01* +X115367233Y-119109510D01* +X115359718Y-119126531D01* +X115348092Y-119138156D01* +X115334099Y-119159099D01* +X115313156Y-119173092D01* +X115301531Y-119184718D01* +X115284510Y-119192233D01* +X115261104Y-119207873D01* +X115239239Y-119212222D01* +X115224192Y-119218866D01* +X115180151Y-119223975D01* +X115175000Y-119225000D01* +X114825000Y-119225000D01* +X114819850Y-119223975D01* +X114775807Y-119218866D01* +X114760759Y-119212221D01* +X114738896Y-119207873D01* +X114715491Y-119192234D01* +X114698468Y-119184718D01* +X114686840Y-119173090D01* +X114665901Y-119159099D01* +X114651909Y-119138159D01* +X114640281Y-119126531D01* +X114632763Y-119109506D01* +X114617127Y-119086104D01* +X114612778Y-119064243D01* +X114606133Y-119049192D01* +X114601022Y-119005139D01* +X114600000Y-119000000D01* +X114600000Y-118400000D01* +X114601021Y-118394862D01* +X114606133Y-118350807D01* +X114612779Y-118335754D01* +X114617127Y-118313896D01* +X114632762Y-118290495D01* +X114640281Y-118273468D01* +X114651911Y-118261837D01* +X114665901Y-118240901D01* +X114686837Y-118226911D01* +X114698468Y-118215281D01* +X114715495Y-118207762D01* +X114738896Y-118192127D01* +X114760754Y-118187779D01* +X114775807Y-118181133D01* +X114819862Y-118176021D01* +X114825000Y-118175000D01* +X115175000Y-118175000D01* +X115180139Y-118176022D01* +G37* +G36* +X116780139Y-118176022D02* +G01* +X116824192Y-118181133D01* +X116839243Y-118187778D01* +X116861104Y-118192127D01* +X116884506Y-118207763D01* +X116901531Y-118215281D01* +X116913159Y-118226909D01* +X116934099Y-118240901D01* +X116948090Y-118261840D01* +X116959718Y-118273468D01* +X116967234Y-118290491D01* +X116982873Y-118313896D01* +X116987221Y-118335759D01* +X116993866Y-118350807D01* +X116998975Y-118394850D01* +X117000000Y-118400000D01* +X117000000Y-119000000D01* +X116998975Y-119005151D01* +X116993866Y-119049192D01* +X116987222Y-119064239D01* +X116982873Y-119086104D01* +X116967233Y-119109510D01* +X116959718Y-119126531D01* +X116948092Y-119138156D01* +X116934099Y-119159099D01* +X116913156Y-119173092D01* +X116901531Y-119184718D01* +X116884510Y-119192233D01* +X116861104Y-119207873D01* +X116839239Y-119212222D01* +X116824192Y-119218866D01* +X116780151Y-119223975D01* +X116775000Y-119225000D01* +X116425000Y-119225000D01* +X116419850Y-119223975D01* +X116375807Y-119218866D01* +X116360759Y-119212221D01* +X116338896Y-119207873D01* +X116315491Y-119192234D01* +X116298468Y-119184718D01* +X116286840Y-119173090D01* +X116265901Y-119159099D01* +X116251909Y-119138159D01* +X116240281Y-119126531D01* +X116232763Y-119109506D01* +X116217127Y-119086104D01* +X116212778Y-119064243D01* +X116206133Y-119049192D01* +X116201022Y-119005139D01* +X116200000Y-119000000D01* +X116200000Y-118400000D01* +X116201021Y-118394862D01* +X116206133Y-118350807D01* +X116212779Y-118335754D01* +X116217127Y-118313896D01* +X116232762Y-118290495D01* +X116240281Y-118273468D01* +X116251911Y-118261837D01* +X116265901Y-118240901D01* +X116286837Y-118226911D01* +X116298468Y-118215281D01* +X116315495Y-118207762D01* +X116338896Y-118192127D01* +X116360754Y-118187779D01* +X116375807Y-118181133D01* +X116419862Y-118176021D01* +X116425000Y-118175000D01* +X116775000Y-118175000D01* +X116780139Y-118176022D01* +G37* +G36* +X118230139Y-118176022D02* +G01* +X118274192Y-118181133D01* +X118289243Y-118187778D01* +X118311104Y-118192127D01* +X118334506Y-118207763D01* +X118351531Y-118215281D01* +X118363159Y-118226909D01* +X118384099Y-118240901D01* +X118398090Y-118261840D01* +X118409718Y-118273468D01* +X118417234Y-118290491D01* +X118432873Y-118313896D01* +X118437221Y-118335759D01* +X118443866Y-118350807D01* +X118448975Y-118394850D01* +X118450000Y-118400000D01* +X118450000Y-119000000D01* +X118448975Y-119005151D01* +X118443866Y-119049192D01* +X118437222Y-119064239D01* +X118432873Y-119086104D01* +X118417233Y-119109510D01* +X118409718Y-119126531D01* +X118398092Y-119138156D01* +X118384099Y-119159099D01* +X118363156Y-119173092D01* +X118351531Y-119184718D01* +X118334510Y-119192233D01* +X118311104Y-119207873D01* +X118289239Y-119212222D01* +X118274192Y-119218866D01* +X118230151Y-119223975D01* +X118225000Y-119225000D01* +X117875000Y-119225000D01* +X117869850Y-119223975D01* +X117825807Y-119218866D01* +X117810759Y-119212221D01* +X117788896Y-119207873D01* +X117765491Y-119192234D01* +X117748468Y-119184718D01* +X117736840Y-119173090D01* +X117715901Y-119159099D01* +X117701909Y-119138159D01* +X117690281Y-119126531D01* +X117682763Y-119109506D01* +X117667127Y-119086104D01* +X117662778Y-119064243D01* +X117656133Y-119049192D01* +X117651022Y-119005139D01* +X117650000Y-119000000D01* +X117650000Y-118400000D01* +X117651021Y-118394862D01* +X117656133Y-118350807D01* +X117662779Y-118335754D01* +X117667127Y-118313896D01* +X117682762Y-118290495D01* +X117690281Y-118273468D01* +X117701911Y-118261837D01* +X117715901Y-118240901D01* +X117736837Y-118226911D01* +X117748468Y-118215281D01* +X117765495Y-118207762D01* +X117788896Y-118192127D01* +X117810754Y-118187779D01* +X117825807Y-118181133D01* +X117869862Y-118176021D01* +X117875000Y-118175000D01* +X118225000Y-118175000D01* +X118230139Y-118176022D01* +G37* +G36* +X119830139Y-118176022D02* +G01* +X119874192Y-118181133D01* +X119889243Y-118187778D01* +X119911104Y-118192127D01* +X119934506Y-118207763D01* +X119951531Y-118215281D01* +X119963159Y-118226909D01* +X119984099Y-118240901D01* +X119998090Y-118261840D01* +X120009718Y-118273468D01* +X120017234Y-118290491D01* +X120032873Y-118313896D01* +X120037221Y-118335759D01* +X120043866Y-118350807D01* +X120048975Y-118394850D01* +X120050000Y-118400000D01* +X120050000Y-119000000D01* +X120048975Y-119005151D01* +X120043866Y-119049192D01* +X120037222Y-119064239D01* +X120032873Y-119086104D01* +X120017233Y-119109510D01* +X120009718Y-119126531D01* +X119998092Y-119138156D01* +X119984099Y-119159099D01* +X119963156Y-119173092D01* +X119951531Y-119184718D01* +X119934510Y-119192233D01* +X119911104Y-119207873D01* +X119889239Y-119212222D01* +X119874192Y-119218866D01* +X119830151Y-119223975D01* +X119825000Y-119225000D01* +X119475000Y-119225000D01* +X119469850Y-119223975D01* +X119425807Y-119218866D01* +X119410759Y-119212221D01* +X119388896Y-119207873D01* +X119365491Y-119192234D01* +X119348468Y-119184718D01* +X119336840Y-119173090D01* +X119315901Y-119159099D01* +X119301909Y-119138159D01* +X119290281Y-119126531D01* +X119282763Y-119109506D01* +X119267127Y-119086104D01* +X119262778Y-119064243D01* +X119256133Y-119049192D01* +X119251022Y-119005139D01* +X119250000Y-119000000D01* +X119250000Y-118400000D01* +X119251021Y-118394862D01* +X119256133Y-118350807D01* +X119262779Y-118335754D01* +X119267127Y-118313896D01* +X119282762Y-118290495D01* +X119290281Y-118273468D01* +X119301911Y-118261837D01* +X119315901Y-118240901D01* +X119336837Y-118226911D01* +X119348468Y-118215281D01* +X119365495Y-118207762D01* +X119388896Y-118192127D01* +X119410754Y-118187779D01* +X119425807Y-118181133D01* +X119469862Y-118176021D01* +X119475000Y-118175000D01* +X119825000Y-118175000D01* +X119830139Y-118176022D01* +G37* +G36* X128345648Y-118126620D02* G01* X128403896Y-118134289D01* diff --git a/Hardware/LCMXO2/gerber/GR8RAM-F_Paste.gtp b/Hardware/LCMXO2/gerber/GR8RAM-F_Paste.gtp index 92c5e1b..1b7e42c 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM-F_Paste.gtp +++ b/Hardware/LCMXO2/gerber/GR8RAM-F_Paste.gtp @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-18T20:49:12-04:00* +G04 #@! TF.CreationDate,2024-03-29T07:49:19-04:00* G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Paste,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-18 20:49:12* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 07:49:19* %MOMM*% %LPD*% G01* @@ -280,9 +280,6 @@ X86387500Y-105900000D03* X86387500Y-106400000D03* X86387500Y-106900000D03* X86387500Y-107400000D03* -D22* -X115600000Y-125800000D03* -X114000000Y-125800000D03* D20* X110850000Y-109450000D03* X110850000Y-107950000D03* @@ -491,6 +488,8 @@ X81026000Y-104361000D03* D17* X106600000Y-100050000D03* X108200000Y-100050000D03* +X118050000Y-118700000D03* +X119650000Y-118700000D03* D29* X55400000Y-90000600D03* X53900000Y-90000600D03* @@ -509,14 +508,11 @@ D30* X63817500Y-95656500D03* X63817500Y-97256500D03* D22* -X120250000Y-124350000D03* -X118650000Y-124350000D03* +X116600000Y-118700000D03* +X115000000Y-118700000D03* D29* X98550000Y-91650000D03* X97050000Y-91650000D03* -D22* -X115600000Y-124350000D03* -X114000000Y-124350000D03* D38* X115394000Y-128270000D03* X117094000Y-128270000D03* diff --git a/Hardware/LCMXO2/gerber/GR8RAM-F_Silkscreen.gto b/Hardware/LCMXO2/gerber/GR8RAM-F_Silkscreen.gto index 0b85f38..6eb9f4f 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM-F_Silkscreen.gto +++ b/Hardware/LCMXO2/gerber/GR8RAM-F_Silkscreen.gto @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-18T20:49:12-04:00* +G04 #@! TF.CreationDate,2024-03-29T07:49:19-04:00* G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Legend,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-18 20:49:12* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 07:49:19* %MOMM*% %LPD*% G01* @@ -524,6 +524,138 @@ X139615968Y-105177863D02* X139570611Y-105223221D01* X139570611Y-105223221D02* X139479897Y-105268578D01* +X92352649Y-104109332D02* +X92933221Y-104109332D01* +X92933221Y-104109332D02* +X93049335Y-104148037D01* +X93049335Y-104148037D02* +X93126745Y-104225446D01* +X93126745Y-104225446D02* +X93165449Y-104341561D01* +X93165449Y-104341561D02* +X93165449Y-104418970D01* +X93165449Y-103335237D02* +X93165449Y-103722285D01* +X93165449Y-103722285D02* +X92352649Y-103722285D01* +X93088040Y-102599847D02* +X93126745Y-102638551D01* +X93126745Y-102638551D02* +X93165449Y-102754666D01* +X93165449Y-102754666D02* +X93165449Y-102832075D01* +X93165449Y-102832075D02* +X93126745Y-102948189D01* +X93126745Y-102948189D02* +X93049335Y-103025599D01* +X93049335Y-103025599D02* +X92971925Y-103064304D01* +X92971925Y-103064304D02* +X92817106Y-103103008D01* +X92817106Y-103103008D02* +X92700992Y-103103008D01* +X92700992Y-103103008D02* +X92546173Y-103064304D01* +X92546173Y-103064304D02* +X92468764Y-103025599D01* +X92468764Y-103025599D02* +X92391354Y-102948189D01* +X92391354Y-102948189D02* +X92352649Y-102832075D01* +X92352649Y-102832075D02* +X92352649Y-102754666D01* +X92352649Y-102754666D02* +X92391354Y-102638551D01* +X92391354Y-102638551D02* +X92430059Y-102599847D01* +X92352649Y-102019275D02* +X92933221Y-102019275D01* +X92933221Y-102019275D02* +X93049335Y-102057980D01* +X93049335Y-102057980D02* +X93126745Y-102135389D01* +X93126745Y-102135389D02* +X93165449Y-102251504D01* +X93165449Y-102251504D02* +X93165449Y-102328913D01* +X93165449Y-101245180D02* +X93165449Y-101632228D01* +X93165449Y-101632228D02* +X92352649Y-101632228D01* +X93088040Y-100509790D02* +X93126745Y-100548494D01* +X93126745Y-100548494D02* +X93165449Y-100664609D01* +X93165449Y-100664609D02* +X93165449Y-100742018D01* +X93165449Y-100742018D02* +X93126745Y-100858132D01* +X93126745Y-100858132D02* +X93049335Y-100935542D01* +X93049335Y-100935542D02* +X92971925Y-100974247D01* +X92971925Y-100974247D02* +X92817106Y-101012951D01* +X92817106Y-101012951D02* +X92700992Y-101012951D01* +X92700992Y-101012951D02* +X92546173Y-100974247D01* +X92546173Y-100974247D02* +X92468764Y-100935542D01* +X92468764Y-100935542D02* +X92391354Y-100858132D01* +X92391354Y-100858132D02* +X92352649Y-100742018D01* +X92352649Y-100742018D02* +X92352649Y-100664609D01* +X92352649Y-100664609D02* +X92391354Y-100548494D01* +X92391354Y-100548494D02* +X92430059Y-100509790D01* +X92352649Y-99929218D02* +X92933221Y-99929218D01* +X92933221Y-99929218D02* +X93049335Y-99967923D01* +X93049335Y-99967923D02* +X93126745Y-100045332D01* +X93126745Y-100045332D02* +X93165449Y-100161447D01* +X93165449Y-100161447D02* +X93165449Y-100238856D01* +X93165449Y-99155123D02* +X93165449Y-99542171D01* +X93165449Y-99542171D02* +X92352649Y-99542171D01* +X93088040Y-98419733D02* +X93126745Y-98458437D01* +X93126745Y-98458437D02* +X93165449Y-98574552D01* +X93165449Y-98574552D02* +X93165449Y-98651961D01* +X93165449Y-98651961D02* +X93126745Y-98768075D01* +X93126745Y-98768075D02* +X93049335Y-98845485D01* +X93049335Y-98845485D02* +X92971925Y-98884190D01* +X92971925Y-98884190D02* +X92817106Y-98922894D01* +X92817106Y-98922894D02* +X92700992Y-98922894D01* +X92700992Y-98922894D02* +X92546173Y-98884190D01* +X92546173Y-98884190D02* +X92468764Y-98845485D01* +X92468764Y-98845485D02* +X92391354Y-98768075D01* +X92391354Y-98768075D02* +X92352649Y-98651961D01* +X92352649Y-98651961D02* +X92352649Y-98574552D01* +X92352649Y-98574552D02* +X92391354Y-98458437D01* +X92391354Y-98458437D02* +X92430059Y-98419733D01* X131794541Y-109486792D02* X131708826Y-109441435D01* X131708826Y-109441435D02* @@ -1468,10 +1600,6 @@ X101160000Y-107810000D02* X101160000Y-108510000D01* X101160000Y-108510000D02* X100460000Y-108510000D01* -X114962779Y-126310000D02* -X114637221Y-126310000D01* -X114962779Y-125290000D02* -X114637221Y-125290000D01* X110340000Y-108862779D02* X110340000Y-108537221D01* X111360000Y-108862779D02* @@ -1661,6 +1789,10 @@ X107237221Y-99540000D02* X107562779Y-99540000D01* X107237221Y-100560000D02* X107562779Y-100560000D01* +X118687221Y-118190000D02* +X119012779Y-118190000D01* +X118687221Y-119210000D02* +X119012779Y-119210000D01* X67754500Y-117729000D02* X68389500Y-117729000D01* X67754500Y-118364000D02* @@ -1691,18 +1823,14 @@ X64327500Y-96293721D02* X64327500Y-96619279D01* X63307500Y-96293721D02* X63307500Y-96619279D01* -X119612779Y-124860000D02* -X119287221Y-124860000D01* -X119612779Y-123840000D02* -X119287221Y-123840000D01* +X115962779Y-119210000D02* +X115637221Y-119210000D01* +X115962779Y-118190000D02* +X115637221Y-118190000D01* X97962779Y-92160000D02* X97637221Y-92160000D01* X97962779Y-91140000D02* X97637221Y-91140000D01* -X114962779Y-124860000D02* -X114637221Y-124860000D01* -X114962779Y-123840000D02* -X114637221Y-123840000D01* D13* X115837600Y-127470000D02* X116650400Y-127470000D01* @@ -8606,9 +8734,6 @@ X86387500Y-105900000D03* X86387500Y-106400000D03* X86387500Y-106900000D03* X86387500Y-107400000D03* -D28* -X115600000Y-125800000D03* -X114000000Y-125800000D03* D26* X110850000Y-109450000D03* X110850000Y-107950000D03* @@ -8852,6 +8977,9 @@ X106600000Y-100050000D03* X108200000Y-100050000D03* D39* X143002000Y-127000000D03* +D22* +X118050000Y-118700000D03* +X119650000Y-118700000D03* D47* X71564500Y-117094000D03* D48* @@ -8895,14 +9023,11 @@ D38* X63817500Y-95656500D03* X63817500Y-97256500D03* D28* -X120250000Y-124350000D03* -X118650000Y-124350000D03* +X116600000Y-118700000D03* +X115000000Y-118700000D03* D35* X98550000Y-91650000D03* X97050000Y-91650000D03* -D28* -X115600000Y-124350000D03* -X114000000Y-124350000D03* D39* X48133000Y-127000000D03* D50* diff --git a/Hardware/LCMXO2/gerber/GR8RAM-In1_Cu.g2 b/Hardware/LCMXO2/gerber/GR8RAM-In1_Cu.g2 index e1d3f2b..e81c76a 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM-In1_Cu.g2 +++ b/Hardware/LCMXO2/gerber/GR8RAM-In1_Cu.g2 @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-18T20:49:12-04:00* +G04 #@! TF.CreationDate,2024-03-29T07:49:19-04:00* G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L2,Inr* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-18 20:49:12* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 07:49:19* %MOMM*% %LPD*% G01* @@ -15,13 +15,13 @@ G04 #@! TA.AperFunction,ComponentPad* %ADD10C,2.000000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD11C,1.524000*% +%ADD11C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD12C,0.800000*% +%ADD12C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD13C,0.500000*% +%ADD13C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD14C,0.600000*% @@ -30,11 +30,22 @@ G04 APERTURE END LIST* D10* X140462000Y-129540000D03* D11* -X137160000Y-129794000D03* +X122050000Y-122200000D03* +X120250000Y-122200000D03* +X119650000Y-123100000D03* D12* -X138303000Y-128270000D03* -X137160000Y-127000000D03* +X137160000Y-129794000D03* D13* +X138303000Y-128270000D03* +D11* +X115000000Y-123100000D03* +X121200000Y-123150000D03* +X115550000Y-122200000D03* +D13* +X137160000Y-127000000D03* +D11* +X116500000Y-123150000D03* +X117350000Y-122200000D03* X94500000Y-129900000D03* X135763000Y-93345000D03* X107823000Y-86931500D03* @@ -47,13 +58,13 @@ X118110000Y-131318000D03* X98400000Y-110250000D03* D14* X127450000Y-104300000D03* -D13* +D11* X121200000Y-120050000D03* X123063000Y-85725000D03* X51435000Y-109601000D03* -D12* -X120777000Y-129540000D03* D13* +X120777000Y-129540000D03* +D11* X64262000Y-115697000D03* X82550000Y-131318000D03* X140843000Y-93345000D03* @@ -64,9 +75,9 @@ X97790000Y-131318000D03* X138303000Y-90805000D03* X64643000Y-93345000D03* X93150000Y-123800000D03* -D12* -X121856500Y-128270000D03* D13* +X121856500Y-128270000D03* +D11* X64643000Y-83185000D03* X56515000Y-89916000D03* X97663000Y-80645000D03* @@ -75,7 +86,7 @@ X104950000Y-102600000D03* X72263000Y-80645000D03* D14* X136200000Y-119450000D03* -D13* +D11* X100550000Y-103900000D03* X51435000Y-114681000D03* X69088000Y-128016000D03* @@ -92,21 +103,22 @@ X115443000Y-88265000D03* X102900000Y-108050000D03* D14* X49550000Y-104400000D03* -D13* +D11* X52500000Y-97000000D03* X82800000Y-102100000D03* X48895000Y-117221000D03* X89400000Y-129850000D03* -D12* -X129150000Y-118700000D03* D13* +X129150000Y-118700000D03* +D11* +X143383000Y-121285000D03* X138430000Y-131318000D03* X90900000Y-126300000D03* X104650000Y-108250000D03* D14* X113050000Y-116250000D03* X123050000Y-114450000D03* -D13* +D11* X56515000Y-104584500D03* X135763000Y-88265000D03* X56515000Y-109664500D03* @@ -116,7 +128,7 @@ X74803000Y-88265000D03* X91950000Y-129850000D03* D14* X123050000Y-89850000D03* -D13* +D11* X117983000Y-116205000D03* X53900000Y-89100600D03* X87503000Y-86931500D03* @@ -134,9 +146,9 @@ X62928500Y-100076000D03* X108050000Y-104350000D03* X46355000Y-129540000D03* X136398000Y-100838000D03* -D12* -X134000000Y-123500000D03* D13* +X134000000Y-123500000D03* +D11* X100650000Y-115750000D03* X53975000Y-85725000D03* X62103000Y-80645000D03* @@ -145,29 +157,29 @@ X63754000Y-92138500D03* X88050000Y-129650000D03* D14* X84900000Y-120400000D03* -D13* +D11* X67183000Y-111379000D03* X113700000Y-119600000D03* X48133000Y-131318000D03* X100330000Y-131318000D03* -D12* +D13* X114300000Y-128270000D03* -D13* +D11* X102870000Y-131318000D03* -X143383000Y-116205000D03* -D12* -X140100000Y-122300000D03* D13* +X140100000Y-122300000D03* +D11* X103000000Y-124950000D03* X70485000Y-119380000D03* X118650000Y-110650000D03* X130683000Y-93345000D03* X98200000Y-112000000D03* +X111500000Y-98100000D03* X85200000Y-103250000D03* X64262000Y-118491000D03* -D12* -X126450000Y-95100000D03* D13* +X126450000Y-95100000D03* +D11* X51435000Y-104584500D03* X144780000Y-123825000D03* X59055000Y-117284500D03* @@ -179,7 +191,7 @@ X118650000Y-109450000D03* X93450000Y-110300000D03* D14* X103300000Y-120400000D03* -D13* +D11* X85150000Y-108750000D03* X106500000Y-117950000D03* X98400000Y-107850000D03* @@ -199,12 +211,12 @@ X144780000Y-103505000D03* X97400000Y-94950000D03* D14* X85800000Y-121400000D03* -D13* +D11* X105410000Y-131318000D03* X83950000Y-126100000D03* -D12* -X115443000Y-129540000D03* D13* +X115443000Y-129540000D03* +D11* X135763000Y-83185000D03* X102500000Y-117600000D03* X83950000Y-123800000D03* @@ -212,16 +224,17 @@ X56515000Y-131318000D03* X133223000Y-95885000D03* D14* X122050000Y-90750000D03* -D13* +D11* X91950000Y-126750000D03* X128143000Y-111125000D03* X111550000Y-123800000D03* +X82450000Y-109100000D03* X128143000Y-80645000D03* X143383000Y-90805000D03* X130350000Y-122400000D03* +X75350000Y-112600000D03* X102850000Y-98400000D03* X64706500Y-100076000D03* -X143383000Y-121285000D03* X114050000Y-111850000D03* X90900000Y-112000000D03* X97450000Y-126900000D03* @@ -237,7 +250,7 @@ X110363000Y-88265000D03* X50673000Y-94615000D03* D14* X110800000Y-102450000D03* -D13* +D11* X128270000Y-131318000D03* X66900000Y-100450000D03* X102743000Y-85725000D03* @@ -246,7 +259,7 @@ X135763000Y-98425000D03* X69723000Y-83185000D03* D14* X112050000Y-115350000D03* -D13* +D11* X77343000Y-80645000D03* X93150000Y-126100000D03* X105283000Y-88265000D03* @@ -263,6 +276,7 @@ X106900000Y-126800000D03* X118650000Y-105850000D03* X51435000Y-131318000D03* X120350000Y-121000000D03* +X84000000Y-110600000D03* X82423000Y-80645000D03* X125603000Y-118745000D03* X61531500Y-114744500D03* @@ -272,7 +286,6 @@ X111550000Y-126100000D03* X59055000Y-107124500D03* X117983000Y-86931500D03* X59055000Y-122364500D03* -X130683000Y-128905000D03* X84600000Y-124950000D03* X56515000Y-83185000D03* X125603000Y-123825000D03* @@ -284,21 +297,21 @@ X95850000Y-120650000D03* X118650000Y-111850000D03* D14* X47000000Y-104950000D03* -D13* +D11* X63563500Y-114998500D03* D14* X123050000Y-116250000D03* -D13* +D11* X80750000Y-129900000D03* X86900000Y-126200000D03* X53975000Y-122364500D03* X119850000Y-103050000D03* -D12* +D13* X127450000Y-93900000D03* D14* X76600000Y-121400000D03* X104200000Y-121400000D03* -D13* +D11* X110100000Y-128450000D03* X120523000Y-83185000D03* X65786000Y-111379000D03* @@ -306,37 +319,39 @@ X130683000Y-98425000D03* X62103000Y-95059500D03* X57912000Y-86360000D03* X86850000Y-129950000D03* +X73750000Y-111400000D03* X56388000Y-95059500D03* X64643000Y-98425000D03* X118350000Y-119600000D03* X90170000Y-131318000D03* X99550000Y-128550000D03* -D12* -X74549000Y-129540000D03* D13* +X74549000Y-129540000D03* +D11* X108900000Y-113400000D03* X102350000Y-123800000D03* -X143383000Y-111125000D03* X77470000Y-131318000D03* -D12* -X135509000Y-127000000D03* D13* +X135509000Y-127000000D03* +D11* X128143000Y-90805000D03* X46355000Y-119761000D03* X69532500Y-111823500D03* X144780000Y-118745000D03* X53975000Y-112204500D03* X118650000Y-113450000D03* -D12* -X138900000Y-123450000D03* D13* +X138900000Y-123450000D03* +D11* X53848000Y-128016000D03* X78000000Y-107600000D03* X88100000Y-117950000D03* +X141200000Y-118550000D03* +X111750000Y-92650000D03* X52006500Y-90297000D03* D14* X138400000Y-119450000D03* -D13* +D11* X55435500Y-88773000D03* X113030000Y-131318000D03* X109950000Y-107850000D03* @@ -350,12 +365,12 @@ X139000000Y-118550000D03* X48895000Y-107061000D03* X106500000Y-120150000D03* X114050000Y-107050000D03* -D12* +D13* X132750000Y-117650000D03* D14* X112050000Y-90750000D03* X75700000Y-120400000D03* -D13* +D11* X90300000Y-129350000D03* X51435000Y-88265000D03* X88950000Y-103250000D03* @@ -370,24 +385,24 @@ X109537500Y-126809500D03* X63944500Y-119570500D03* X144780000Y-88265000D03* X118650000Y-108250000D03* -D12* -X135509000Y-129540000D03* D13* +X135509000Y-129540000D03* +D11* X118650000Y-107050000D03* X102100000Y-129700000D03* -D12* -X131550000Y-118900000D03* D13* +X131550000Y-118900000D03* +D11* X79883000Y-88265000D03* X112200000Y-124950000D03* -D12* +D13* X135200000Y-122300000D03* X141300000Y-123550000D03* D14* X126450000Y-109500000D03* -D12* -X134000000Y-118950000D03* D13* +X134000000Y-118950000D03* +D11* X133223000Y-111125000D03* X61531500Y-109664500D03* X111750000Y-103050000D03* @@ -399,16 +414,16 @@ X144780000Y-113665000D03* X46355000Y-109601000D03* X53848000Y-95123000D03* X58928000Y-128016000D03* -D12* -X131550000Y-123550000D03* D13* +X131550000Y-123550000D03* +D11* X46355000Y-124841000D03* X57404000Y-92900500D03* X53200000Y-90650600D03* X73787000Y-115379500D03* -D12* -X129150000Y-123350000D03* D13* +X129150000Y-123350000D03* +D11* X138303000Y-85725000D03* X79950000Y-128350000D03* X143002000Y-131318000D03* @@ -417,7 +432,7 @@ X133223000Y-80645000D03* X105050000Y-120650000D03* X62103000Y-85725000D03* X77216000Y-98298000D03* -X110750000Y-96450000D03* +X110550000Y-96450000D03* X114050000Y-92650000D03* X76454000Y-97091500D03* X104700000Y-98150000D03* @@ -438,12 +453,13 @@ X118650000Y-104650000D03* X130683000Y-113665000D03* D14* X112900000Y-91950000D03* -D13* +D11* X143002000Y-80645000D03* X135128000Y-100838000D03* +X83000000Y-111600000D03* D14* X94100000Y-120400000D03* -D13* +D11* X95123000Y-88265000D03* X52324000Y-94551500D03* X62103000Y-90805000D03* @@ -458,7 +474,7 @@ X60833000Y-100012500D03* X138303000Y-95885000D03* D14* X110850000Y-107000000D03* -D13* +D11* X56515000Y-119824500D03* X117983000Y-90805000D03* X55400000Y-94350600D03* @@ -466,37 +482,36 @@ X144780000Y-93345000D03* X128143000Y-126365000D03* D14* X127350000Y-113200000D03* -D13* -X143383000Y-106045000D03* +D11* X97663000Y-86931500D03* X105283000Y-83185000D03* D14* X47000000Y-94650000D03* -D13* +D11* X49974500Y-93345000D03* X135890000Y-131318000D03* -X87800000Y-114450000D03* +X87600000Y-114600000D03* D14* X109450000Y-103350000D03* -D13* +D11* X95250000Y-131318000D03* X72263000Y-90805000D03* X77450000Y-120650000D03* D14* X49550000Y-95200000D03* -D13* +D11* X123190000Y-131318000D03* X87630000Y-131318000D03* X59309000Y-90487500D03* -X111750000Y-92500000D03* X130810000Y-131318000D03* X72263000Y-85725000D03* X94450000Y-111300000D03* X50750000Y-102900000D03* X67183000Y-85725000D03* -D12* -X126450000Y-114300000D03* D13* +X126450000Y-114300000D03* +D11* +X89150000Y-113450000D03* X101000000Y-111550000D03* X120523000Y-88265000D03* X123063000Y-126365000D03* @@ -504,8 +519,9 @@ X89700000Y-94950000D03* X85090000Y-131318000D03* D14* X127450000Y-108300000D03* -D13* +D11* X53975000Y-107124500D03* +X86200000Y-114600000D03* X92550000Y-127950000D03* X125603000Y-83185000D03* X113100000Y-120500000D03* @@ -518,7 +534,7 @@ X120650000Y-131318000D03* X115570000Y-131318000D03* D14* X123050000Y-91650000D03* -D13* +D11* X133223000Y-100965000D03* X87503000Y-80645000D03* X128143000Y-116205000D03* @@ -528,11 +544,11 @@ X67183000Y-90805000D03* X92583000Y-80645000D03* D14* X122050000Y-115350000D03* -D12* +D13* X73406000Y-128524000D03* D14* X95000000Y-121400000D03* -D13* +D11* X93800000Y-124950000D03* X64008000Y-128016000D03* X140843000Y-88265000D03* @@ -543,25 +559,22 @@ X107823000Y-80645000D03* X48895000Y-122301000D03* D14* X126450000Y-105400000D03* -D13* +D11* X48895000Y-112141000D03* X107823000Y-90805000D03* X102743000Y-80645000D03* -D12* -X130350000Y-117650000D03* D13* +X130350000Y-117650000D03* +D11* X90700000Y-107850000D03* X100550000Y-98400000D03* D14* X113050000Y-89850000D03* -D13* +D11* X144780000Y-108585000D03* X125730000Y-131318000D03* X86650000Y-120650000D03* X78900000Y-117950000D03* -D12* -X141350000Y-118950000D03* -D13* X88400000Y-128050000D03* X128143000Y-85725000D03* X46355000Y-93472000D03* @@ -581,19 +594,19 @@ X78750000Y-126100000D03* X80050000Y-126100000D03* X80700000Y-126850000D03* X81350000Y-126100000D03* -D12* +D13* X76200000Y-129540000D03* X77343000Y-128270000D03* X119126000Y-129540000D03* X117094000Y-129540000D03* -D13* +D11* X85200000Y-127381000D03* X106350000Y-123050000D03* X113150000Y-127950000D03* X108300000Y-123800000D03* -X121000000Y-124350000D03* -X108950000Y-123050000D03* -X116350000Y-125800000D03* +X118350000Y-123500000D03* +X109050000Y-123100000D03* +X113700000Y-123100000D03* X107650000Y-123050000D03* X107000000Y-123800000D03* X132080000Y-131191000D03* @@ -626,60 +639,56 @@ X100550000Y-107400000D03* X102850000Y-97400000D03* D14* X125250000Y-115350000D03* -D12* -X127850000Y-117650000D03* D13* -X122050000Y-122200000D03* +X127850000Y-117650000D03* +D11* X87600000Y-104050000D03* X100550000Y-97400000D03* D14* X126450000Y-111000000D03* -D13* +D11* X89700000Y-112000000D03* X88900000Y-94950000D03* D14* X138400000Y-120400000D03* X114250000Y-89850000D03* -D13* +D11* X125550000Y-107050000D03* D14* X115250000Y-115350000D03* -D13* +D11* +X117325000Y-119600000D03* X111750000Y-104650000D03* X62103000Y-102806500D03* X81026000Y-105600500D03* D14* X126450000Y-91800000D03* -D13* +D11* X124400000Y-91950000D03* X102900000Y-107250000D03* X104900000Y-103700000D03* X59563000Y-95059500D03* D14* X114250000Y-91650000D03* -D12* +D13* X131550000Y-124850000D03* D14* X124250000Y-89850000D03* X114250000Y-116250000D03* -D13* +D11* X105075000Y-119050000D03* -X120250000Y-122200000D03* X87300000Y-117950000D03* X86675000Y-119050000D03* X95875000Y-119050000D03* X81950000Y-104400000D03* -D12* +D13* X130350000Y-126100000D03* -D13* -X119650000Y-123100000D03* -D12* X129150000Y-124850000D03* -D13* +D11* X86000000Y-112050000D03* -D12* -X95000000Y-118100000D03* D13* +X95000000Y-118100000D03* +D11* X63436500Y-122809000D03* X66900000Y-97450000D03* X98400000Y-90800000D03* @@ -691,77 +700,74 @@ X84950000Y-119150000D03* D14* X124250000Y-116250000D03* X75700000Y-119200000D03* -D13* +D11* X104900000Y-101650000D03* X105700000Y-120150000D03* X96500000Y-117950000D03* -D12* -X104200000Y-118100000D03* D13* +X104200000Y-118100000D03* +D11* X104650000Y-97050000D03* X59563000Y-97853500D03* X62103000Y-100012500D03* X104650000Y-107050000D03* D14* X137300000Y-117750000D03* -D13* +D11* X62801500Y-101409500D03* D14* X126450000Y-102100000D03* -D12* -X127450000Y-92900000D03* D13* +X127450000Y-92900000D03* +D11* X78100000Y-120150000D03* X63817500Y-94869000D03* -D12* -X135200000Y-126050000D03* D13* +X135200000Y-126050000D03* +D11* X83450000Y-97750000D03* X96500000Y-120150000D03* -D12* -X141350000Y-120150000D03* D13* +X141350000Y-120150000D03* +D11* X59182000Y-94043500D03* -X121200000Y-123150000D03* X87300000Y-120150000D03* X114050000Y-109450000D03* D14* X126450000Y-106200000D03* -D13* +D11* X123250000Y-92650000D03* X124400000Y-114050000D03* D14* X110850000Y-105650000D03* -D13* +D11* X125550000Y-103050000D03* X66900000Y-98950000D03* D14* X127450000Y-107300000D03* -D13* +D11* X125550000Y-111850000D03* -X115550000Y-122200000D03* X64706500Y-101219000D03* D14* X114250000Y-114450000D03* -D13* +D11* X84250000Y-105200000D03* X54483000Y-102806500D03* X98200000Y-92550000D03* X82650000Y-105200000D03* X99400000Y-112000000D03* -X114800000Y-123100000D03* D14* X76600000Y-118200000D03* X127450000Y-103300000D03* -D13* +D11* X114050000Y-104650000D03* X78100000Y-117950000D03* -D12* +D13* X85800000Y-118100000D03* D14* X115250000Y-90750000D03* X127350000Y-112000000D03* -D13* +D11* X89900000Y-107850000D03* X123250000Y-113450000D03* X123250000Y-107050000D03* @@ -773,22 +779,20 @@ X125550000Y-92650000D03* X88900000Y-92550000D03* X66294000Y-119634000D03* X89900000Y-110250000D03* -X116500000Y-123150000D03* -D12* +D13* X132750000Y-126100000D03* -D13* +D11* X110850000Y-110250000D03* -D12* -X134000000Y-124900000D03* D13* +X134000000Y-124900000D03* +D11* X88700000Y-90800000D03* X96500000Y-123800000D03* -X117350000Y-122200000D03* X85200000Y-97550000D03* X77475000Y-119050000D03* -D12* -X126600000Y-118600000D03* D13* +X126600000Y-118600000D03* +D11* X123250000Y-111850000D03* X58293000Y-95059500D03* X94150000Y-119150000D03* @@ -797,7 +801,7 @@ X58293000Y-97853500D03* D14* X125250000Y-90750000D03* X137300000Y-121150000D03* -D13* +D11* X63817500Y-102235000D03* X105700000Y-117950000D03* X97350000Y-107800000D03* @@ -886,6 +890,7 @@ X70040500Y-104394000D03* X79756000Y-103124000D03* X80050000Y-107650000D03* X71183500Y-109029500D03* +X68350000Y-113750000D03* X114750000Y-105350000D03* X122550000Y-105350000D03* X100550000Y-105400000D03* @@ -893,7 +898,6 @@ X135128000Y-90932000D03* X93900000Y-92450000D03* X92950000Y-92000000D03* X136398000Y-90932000D03* -X68350000Y-113750000D03* X119650000Y-119600000D03* X93550000Y-107900000D03* X86400000Y-94850000D03* @@ -904,18 +908,18 @@ X88750000Y-98250000D03* X70000000Y-97450000D03* X70000000Y-100450000D03* X87900000Y-99000000D03* -D12* +D13* X134000000Y-120400000D03* D14* X135200000Y-121150000D03* -D13* +D11* X132750000Y-121350000D03* -D12* +D13* X131550000Y-120200000D03* X126600000Y-120500000D03* -D13* +D11* X130350000Y-121350000D03* -D12* +D13* X95300000Y-106800000D03* X129150000Y-120200000D03* G04 #@! TA.AperFunction,Conductor* @@ -4754,7 +4758,7 @@ X46101000Y-126954990D01* X46101000Y-125984000D01* X68235578Y-125984000D01* X68255543Y-126110056D01* -X68307561Y-126212146D01* +X68287870Y-126173500D01* X68313484Y-126223771D01* X68403729Y-126314016D01* X68517443Y-126371956D01* @@ -4817,20 +4821,6 @@ X82889771Y-126430016D01* X82980016Y-126339771D01* X83037957Y-126226055D01* X83057922Y-126100000D01* -X83037957Y-125973945D01* -X82980016Y-125860229D01* -X82919787Y-125800000D01* -X115942078Y-125800000D01* -X115962043Y-125926056D01* -X115977128Y-125955662D01* -X116019984Y-126039771D01* -X116110229Y-126130016D01* -X116223943Y-126187956D01* -X116223945Y-126187957D01* -X116350000Y-126207922D01* -X116476055Y-126187957D01* -X116589771Y-126130016D01* -X116619787Y-126100000D01* X129792329Y-126100000D01* X129811331Y-126244337D01* X129829264Y-126287631D01* @@ -4939,23 +4929,9 @@ X129867044Y-125821162D01* X129867044Y-125821163D01* X129811331Y-125955662D01* X129792329Y-126100000D01* -X116619787Y-126100000D01* -X116680016Y-126039771D01* -X116737957Y-125926055D01* -X116757922Y-125800000D01* -X116737957Y-125673945D01* -X116680016Y-125560229D01* -X116589771Y-125469984D01* -X116476055Y-125412043D01* -X116476057Y-125412043D01* -X116350000Y-125392078D01* -X116223943Y-125412043D01* -X116110228Y-125469984D01* -X116019984Y-125560228D01* -X115962043Y-125673943D01* -X115942078Y-125799999D01* -X115942078Y-125800000D01* -X82919787Y-125800000D01* +X83057922Y-126100000D01* +X83037957Y-125973945D01* +X82980016Y-125860229D01* X82889771Y-125769984D01* X82776055Y-125712043D01* X82776057Y-125712043D01* @@ -5008,7 +4984,7 @@ X78342078Y-126100000D01* X69033050Y-126100000D01* X69051422Y-125984000D01* X69049829Y-125973945D01* -X69046934Y-125955662D01* +X69039015Y-125905664D01* X69031457Y-125857945D01* X68973516Y-125744229D01* X68883271Y-125653984D01* @@ -5182,38 +5158,9 @@ X70778289Y-124850000D01* X70779969Y-124844388D01* X70790107Y-124670340D01* X70759832Y-124498646D01* -X70750087Y-124476055D01* -X70695713Y-124350000D01* -X120592078Y-124350000D01* -X120612043Y-124476056D01* -X120627131Y-124505667D01* -X120669984Y-124589771D01* -X120760229Y-124680016D01* -X120873943Y-124737956D01* -X120873945Y-124737957D01* -X121000000Y-124757922D01* -X121126055Y-124737957D01* -X121239771Y-124680016D01* -X121330016Y-124589771D01* -X121387957Y-124476055D01* -X121407922Y-124350000D01* -X121387957Y-124223945D01* -X121330016Y-124110229D01* -X121239771Y-124019984D01* -X121126055Y-123962043D01* -X121126057Y-123962043D01* -X121000000Y-123942078D01* -X120873943Y-123962043D01* -X120760228Y-124019984D01* -X120669984Y-124110228D01* -X120612043Y-124223943D01* -X120592078Y-124349999D01* -X120592078Y-124350000D01* -X70695713Y-124350000D01* +X70741292Y-124455666D01* X70690779Y-124338562D01* -X70611594Y-124232198D01* -X70586668Y-124198717D01* -X70556852Y-124173698D01* +X70586667Y-124198716D01* X70453117Y-124086653D01* X70453116Y-124086652D01* X70297315Y-124008406D01* @@ -5235,11 +5182,9 @@ X69290893Y-124757660D01* X68753021Y-124757660D01* X68758107Y-124670340D01* X68727832Y-124498646D01* -X68718087Y-124476055D01* +X68709292Y-124455666D01* X68658779Y-124338562D01* -X68579594Y-124232198D01* -X68554668Y-124198717D01* -X68524852Y-124173698D01* +X68554667Y-124198716D01* X68421117Y-124086653D01* X68421116Y-124086652D01* X68265315Y-124008406D01* @@ -5276,11 +5221,11 @@ X65046550Y-122928155D01* X65085772Y-123163198D01* X65085773Y-123163202D01* X65085774Y-123163206D01* -X65124515Y-123276055D01* +X65119082Y-123260228D01* X65163152Y-123388600D01* X65163153Y-123388602D01* X65276569Y-123598177D01* -X65335542Y-123673945D01* +X65386776Y-123739771D01* X65422940Y-123786235D01* X65598266Y-123947633D01* X65744224Y-124042992D01* @@ -5306,11 +5251,11 @@ X70126550Y-122928155D01* X70165772Y-123163198D01* X70165773Y-123163202D01* X70165774Y-123163206D01* -X70204515Y-123276055D01* +X70199082Y-123260228D01* X70243152Y-123388600D01* X70243153Y-123388602D01* X70356569Y-123598177D01* -X70415542Y-123673945D01* +X70466776Y-123739771D01* X70502940Y-123786235D01* X70678266Y-123947633D01* X70824224Y-124042992D01* @@ -5491,7 +5436,7 @@ X72963226Y-123163206D01* X72982117Y-123050000D01* X105942078Y-123050000D01* X105962043Y-123176056D01* -X106012995Y-123276055D01* +X106004931Y-123260228D01* X106019984Y-123289771D01* X106110229Y-123380016D01* X106223943Y-123437956D01* @@ -5504,7 +5449,7 @@ X106737957Y-123176055D01* X106757922Y-123050000D01* X107242078Y-123050000D01* X107262043Y-123176056D01* -X107312995Y-123276055D01* +X107304931Y-123260228D01* X107319984Y-123289771D01* X107410229Y-123380016D01* X107523943Y-123437956D01* @@ -5514,33 +5459,46 @@ X107776055Y-123437957D01* X107889771Y-123380016D01* X107980016Y-123289771D01* X108037957Y-123176055D01* -X108057922Y-123050000D01* -X108542078Y-123050000D01* -X108562043Y-123176056D01* -X108612995Y-123276055D01* -X108619984Y-123289771D01* -X108710229Y-123380016D01* -X108823943Y-123437956D01* -X108823945Y-123437957D01* -X108950000Y-123457922D01* -X109076055Y-123437957D01* -X109189771Y-123380016D01* -X109280016Y-123289771D01* -X109337957Y-123176055D01* -X109350003Y-123100000D01* -X114392078Y-123100000D01* -X114412043Y-123226056D01* -X114444508Y-123289771D01* -X114469984Y-123339771D01* -X114560229Y-123430016D01* -X114673943Y-123487956D01* -X114673945Y-123487957D01* -X114800000Y-123507922D01* -X114926055Y-123487957D01* -X115039771Y-123430016D01* -X115130016Y-123339771D01* -X115187957Y-123226055D01* -X115200003Y-123150000D01* +X108050003Y-123100000D01* +X108642078Y-123100000D01* +X108662043Y-123226056D01* +X108694508Y-123289771D01* +X108719984Y-123339771D01* +X108810229Y-123430016D01* +X108923943Y-123487956D01* +X108923945Y-123487957D01* +X109050000Y-123507922D01* +X109176055Y-123487957D01* +X109289771Y-123430016D01* +X109380016Y-123339771D01* +X109437957Y-123226055D01* +X109457922Y-123100000D01* +X113292078Y-123100000D01* +X113312043Y-123226056D01* +X113344508Y-123289771D01* +X113369984Y-123339771D01* +X113460229Y-123430016D01* +X113573943Y-123487956D01* +X113573945Y-123487957D01* +X113700000Y-123507922D01* +X113826055Y-123487957D01* +X113939771Y-123430016D01* +X114030016Y-123339771D01* +X114087957Y-123226055D01* +X114107922Y-123100000D01* +X114592078Y-123100000D01* +X114612043Y-123226056D01* +X114644508Y-123289771D01* +X114669984Y-123339771D01* +X114760229Y-123430016D01* +X114873943Y-123487956D01* +X114873945Y-123487957D01* +X115000000Y-123507922D01* +X115126055Y-123487957D01* +X115239771Y-123430016D01* +X115330016Y-123339771D01* +X115387957Y-123226055D01* +X115400003Y-123150000D01* X116092078Y-123150000D01* X116112043Y-123276056D01* X116144508Y-123339771D01* @@ -5550,11 +5508,28 @@ X116373943Y-123537956D01* X116373945Y-123537957D01* X116500000Y-123557922D01* X116626055Y-123537957D01* -X116739771Y-123480016D01* -X116830016Y-123389771D01* -X116887957Y-123276055D01* -X116907922Y-123150000D01* -X116900003Y-123100000D01* +X116700550Y-123500000D01* +X117942078Y-123500000D01* +X117962043Y-123626056D01* +X117986443Y-123673943D01* +X118019984Y-123739771D01* +X118110229Y-123830016D01* +X118223943Y-123887956D01* +X118223945Y-123887957D01* +X118350000Y-123907922D01* +X118476055Y-123887957D01* +X118589771Y-123830016D01* +X118680016Y-123739771D01* +X118737957Y-123626055D01* +X118757922Y-123500000D01* +X118756014Y-123487956D01* +X118753168Y-123469984D01* +X118737957Y-123373945D01* +X118680016Y-123260229D01* +X118589771Y-123169984D01* +X118476055Y-123112043D01* +X118476057Y-123112043D01* +X118400019Y-123100000D01* X119242078Y-123100000D01* X119262043Y-123226056D01* X119294508Y-123289771D01* @@ -5607,7 +5582,19 @@ X119319984Y-122860228D01* X119262043Y-122973943D01* X119242078Y-123099999D01* X119242078Y-123100000D01* -X116900003Y-123100000D01* +X118400019Y-123100000D01* +X118350000Y-123092078D01* +X118223943Y-123112043D01* +X118110228Y-123169984D01* +X118019984Y-123260228D01* +X117962043Y-123373943D01* +X117942078Y-123499999D01* +X117942078Y-123500000D01* +X116700550Y-123500000D01* +X116739771Y-123480016D01* +X116830016Y-123389771D01* +X116887957Y-123276055D01* +X116907922Y-123150000D01* X116887957Y-123023945D01* X116830016Y-122910229D01* X116739771Y-122819984D01* @@ -5620,34 +5607,47 @@ X116169984Y-122910228D01* X116112043Y-123023943D01* X116092078Y-123149999D01* X116092078Y-123150000D01* -X115200003Y-123150000D01* -X115207922Y-123100000D01* -X115187957Y-122973945D01* -X115130016Y-122860229D01* -X115039771Y-122769984D01* -X114926055Y-122712043D01* -X114926057Y-122712043D01* -X114800000Y-122692078D01* -X114673943Y-122712043D01* -X114560228Y-122769984D01* -X114469984Y-122860228D01* -X114412043Y-122973943D01* -X114392078Y-123099999D01* -X114392078Y-123100000D01* -X109350003Y-123100000D01* -X109357922Y-123050000D01* -X109337957Y-122923945D01* -X109280016Y-122810229D01* -X109189771Y-122719984D01* -X109076055Y-122662043D01* -X109076057Y-122662043D01* -X108950000Y-122642078D01* -X108823943Y-122662043D01* -X108710228Y-122719984D01* -X108619984Y-122810228D01* -X108562043Y-122923943D01* -X108542078Y-123049999D01* -X108542078Y-123050000D01* +X115400003Y-123150000D01* +X115407922Y-123100000D01* +X115387957Y-122973945D01* +X115330016Y-122860229D01* +X115239771Y-122769984D01* +X115126055Y-122712043D01* +X115126057Y-122712043D01* +X115000000Y-122692078D01* +X114873943Y-122712043D01* +X114760228Y-122769984D01* +X114669984Y-122860228D01* +X114612043Y-122973943D01* +X114592078Y-123099999D01* +X114592078Y-123100000D01* +X114107922Y-123100000D01* +X114087957Y-122973945D01* +X114030016Y-122860229D01* +X113939771Y-122769984D01* +X113826055Y-122712043D01* +X113826057Y-122712043D01* +X113700000Y-122692078D01* +X113573943Y-122712043D01* +X113460228Y-122769984D01* +X113369984Y-122860228D01* +X113312043Y-122973943D01* +X113292078Y-123099999D01* +X113292078Y-123100000D01* +X109457922Y-123100000D01* +X109437957Y-122973945D01* +X109380016Y-122860229D01* +X109289771Y-122769984D01* +X109176055Y-122712043D01* +X109176057Y-122712043D01* +X109050000Y-122692078D01* +X108923943Y-122712043D01* +X108810228Y-122769984D01* +X108719984Y-122860228D01* +X108662043Y-122973943D01* +X108642078Y-123099999D01* +X108642078Y-123100000D01* +X108050003Y-123100000D01* X108057922Y-123050000D01* X108037957Y-122923945D01* X107980016Y-122810229D01* @@ -6354,6 +6354,19 @@ X115239771Y-119930016D01* X115330016Y-119839771D01* X115387957Y-119726055D01* X115407922Y-119600000D01* +X116917078Y-119600000D01* +X116937043Y-119726056D01* +X116977607Y-119805666D01* +X116994984Y-119839771D01* +X117085229Y-119930016D01* +X117198943Y-119987956D01* +X117198945Y-119987957D01* +X117325000Y-120007922D01* +X117451055Y-119987957D01* +X117564771Y-119930016D01* +X117655016Y-119839771D01* +X117712957Y-119726055D01* +X117732922Y-119600000D01* X119242078Y-119600000D01* X119262043Y-119726056D01* X119302607Y-119805666D01* @@ -6379,6 +6392,19 @@ X119319984Y-119360228D01* X119262043Y-119473943D01* X119242078Y-119599999D01* X119242078Y-119600000D01* +X117732922Y-119600000D01* +X117712957Y-119473945D01* +X117655016Y-119360229D01* +X117564771Y-119269984D01* +X117451055Y-119212043D01* +X117451057Y-119212043D01* +X117325000Y-119192078D01* +X117198943Y-119212043D01* +X117085228Y-119269984D01* +X116994984Y-119360228D01* +X116937043Y-119473943D01* +X116917078Y-119599999D01* +X116917078Y-119600000D01* X115407922Y-119600000D01* X115387957Y-119473945D01* X115330016Y-119360229D01* diff --git a/Hardware/LCMXO2/gerber/GR8RAM-In2_Cu.g3 b/Hardware/LCMXO2/gerber/GR8RAM-In2_Cu.g3 index 0cdc79f..c0a5d8f 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM-In2_Cu.g3 +++ b/Hardware/LCMXO2/gerber/GR8RAM-In2_Cu.g3 @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-18T20:49:12-04:00* +G04 #@! TF.CreationDate,2024-03-29T07:49:19-04:00* G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L3,Inr* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-18 20:49:12* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 07:49:19* %MOMM*% %LPD*% G01* @@ -15,13 +15,13 @@ G04 #@! TA.AperFunction,ComponentPad* %ADD10C,2.000000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD11C,1.524000*% +%ADD11C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD12C,0.800000*% +%ADD12C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD13C,0.500000*% +%ADD13C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD14C,0.600000*% @@ -30,11 +30,22 @@ G04 APERTURE END LIST* D10* X140462000Y-129540000D03* D11* -X137160000Y-129794000D03* +X122050000Y-122200000D03* +X120250000Y-122200000D03* +X119650000Y-123100000D03* D12* -X138303000Y-128270000D03* -X137160000Y-127000000D03* +X137160000Y-129794000D03* D13* +X138303000Y-128270000D03* +D11* +X115000000Y-123100000D03* +X121200000Y-123150000D03* +X115550000Y-122200000D03* +D13* +X137160000Y-127000000D03* +D11* +X116500000Y-123150000D03* +X117350000Y-122200000D03* X94500000Y-129900000D03* X135763000Y-93345000D03* X107823000Y-86931500D03* @@ -47,13 +58,13 @@ X118110000Y-131318000D03* X98400000Y-110250000D03* D14* X127450000Y-104300000D03* -D13* +D11* X121200000Y-120050000D03* X123063000Y-85725000D03* X51435000Y-109601000D03* -D12* -X120777000Y-129540000D03* D13* +X120777000Y-129540000D03* +D11* X64262000Y-115697000D03* X82550000Y-131318000D03* X140843000Y-93345000D03* @@ -64,9 +75,9 @@ X97790000Y-131318000D03* X138303000Y-90805000D03* X64643000Y-93345000D03* X93150000Y-123800000D03* -D12* -X121856500Y-128270000D03* D13* +X121856500Y-128270000D03* +D11* X64643000Y-83185000D03* X56515000Y-89916000D03* X97663000Y-80645000D03* @@ -75,7 +86,7 @@ X104950000Y-102600000D03* X72263000Y-80645000D03* D14* X136200000Y-119450000D03* -D13* +D11* X100550000Y-103900000D03* X51435000Y-114681000D03* X69088000Y-128016000D03* @@ -92,21 +103,22 @@ X115443000Y-88265000D03* X102900000Y-108050000D03* D14* X49550000Y-104400000D03* -D13* +D11* X52500000Y-97000000D03* X82800000Y-102100000D03* X48895000Y-117221000D03* X89400000Y-129850000D03* -D12* -X129150000Y-118700000D03* D13* +X129150000Y-118700000D03* +D11* +X143383000Y-121285000D03* X138430000Y-131318000D03* X90900000Y-126300000D03* X104650000Y-108250000D03* D14* X113050000Y-116250000D03* X123050000Y-114450000D03* -D13* +D11* X56515000Y-104584500D03* X135763000Y-88265000D03* X56515000Y-109664500D03* @@ -116,7 +128,7 @@ X74803000Y-88265000D03* X91950000Y-129850000D03* D14* X123050000Y-89850000D03* -D13* +D11* X117983000Y-116205000D03* X53900000Y-89100600D03* X87503000Y-86931500D03* @@ -134,9 +146,9 @@ X62928500Y-100076000D03* X108050000Y-104350000D03* X46355000Y-129540000D03* X136398000Y-100838000D03* -D12* -X134000000Y-123500000D03* D13* +X134000000Y-123500000D03* +D11* X100650000Y-115750000D03* X53975000Y-85725000D03* X62103000Y-80645000D03* @@ -145,29 +157,29 @@ X63754000Y-92138500D03* X88050000Y-129650000D03* D14* X84900000Y-120400000D03* -D13* +D11* X67183000Y-111379000D03* X113700000Y-119600000D03* X48133000Y-131318000D03* X100330000Y-131318000D03* -D12* +D13* X114300000Y-128270000D03* -D13* +D11* X102870000Y-131318000D03* -X143383000Y-116205000D03* -D12* -X140100000Y-122300000D03* D13* +X140100000Y-122300000D03* +D11* X103000000Y-124950000D03* X70485000Y-119380000D03* X118650000Y-110650000D03* X130683000Y-93345000D03* X98200000Y-112000000D03* +X111500000Y-98100000D03* X85200000Y-103250000D03* X64262000Y-118491000D03* -D12* -X126450000Y-95100000D03* D13* +X126450000Y-95100000D03* +D11* X51435000Y-104584500D03* X144780000Y-123825000D03* X59055000Y-117284500D03* @@ -179,7 +191,7 @@ X118650000Y-109450000D03* X93450000Y-110300000D03* D14* X103300000Y-120400000D03* -D13* +D11* X85150000Y-108750000D03* X106500000Y-117950000D03* X98400000Y-107850000D03* @@ -199,12 +211,12 @@ X144780000Y-103505000D03* X97400000Y-94950000D03* D14* X85800000Y-121400000D03* -D13* +D11* X105410000Y-131318000D03* X83950000Y-126100000D03* -D12* -X115443000Y-129540000D03* D13* +X115443000Y-129540000D03* +D11* X135763000Y-83185000D03* X102500000Y-117600000D03* X83950000Y-123800000D03* @@ -212,16 +224,17 @@ X56515000Y-131318000D03* X133223000Y-95885000D03* D14* X122050000Y-90750000D03* -D13* +D11* X91950000Y-126750000D03* X128143000Y-111125000D03* X111550000Y-123800000D03* +X82450000Y-109100000D03* X128143000Y-80645000D03* X143383000Y-90805000D03* X130350000Y-122400000D03* +X75350000Y-112600000D03* X102850000Y-98400000D03* X64706500Y-100076000D03* -X143383000Y-121285000D03* X114050000Y-111850000D03* X90900000Y-112000000D03* X97450000Y-126900000D03* @@ -237,7 +250,7 @@ X110363000Y-88265000D03* X50673000Y-94615000D03* D14* X110800000Y-102450000D03* -D13* +D11* X128270000Y-131318000D03* X66900000Y-100450000D03* X102743000Y-85725000D03* @@ -246,7 +259,7 @@ X135763000Y-98425000D03* X69723000Y-83185000D03* D14* X112050000Y-115350000D03* -D13* +D11* X77343000Y-80645000D03* X93150000Y-126100000D03* X105283000Y-88265000D03* @@ -263,6 +276,7 @@ X106900000Y-126800000D03* X118650000Y-105850000D03* X51435000Y-131318000D03* X120350000Y-121000000D03* +X84000000Y-110600000D03* X82423000Y-80645000D03* X125603000Y-118745000D03* X61531500Y-114744500D03* @@ -272,7 +286,6 @@ X111550000Y-126100000D03* X59055000Y-107124500D03* X117983000Y-86931500D03* X59055000Y-122364500D03* -X130683000Y-128905000D03* X84600000Y-124950000D03* X56515000Y-83185000D03* X125603000Y-123825000D03* @@ -284,21 +297,21 @@ X95850000Y-120650000D03* X118650000Y-111850000D03* D14* X47000000Y-104950000D03* -D13* +D11* X63563500Y-114998500D03* D14* X123050000Y-116250000D03* -D13* +D11* X80750000Y-129900000D03* X86900000Y-126200000D03* X53975000Y-122364500D03* X119850000Y-103050000D03* -D12* +D13* X127450000Y-93900000D03* D14* X76600000Y-121400000D03* X104200000Y-121400000D03* -D13* +D11* X110100000Y-128450000D03* X120523000Y-83185000D03* X65786000Y-111379000D03* @@ -306,37 +319,39 @@ X130683000Y-98425000D03* X62103000Y-95059500D03* X57912000Y-86360000D03* X86850000Y-129950000D03* +X73750000Y-111400000D03* X56388000Y-95059500D03* X64643000Y-98425000D03* X118350000Y-119600000D03* X90170000Y-131318000D03* X99550000Y-128550000D03* -D12* -X74549000Y-129540000D03* D13* +X74549000Y-129540000D03* +D11* X108900000Y-113400000D03* X102350000Y-123800000D03* -X143383000Y-111125000D03* X77470000Y-131318000D03* -D12* -X135509000Y-127000000D03* D13* +X135509000Y-127000000D03* +D11* X128143000Y-90805000D03* X46355000Y-119761000D03* X69532500Y-111823500D03* X144780000Y-118745000D03* X53975000Y-112204500D03* X118650000Y-113450000D03* -D12* -X138900000Y-123450000D03* D13* +X138900000Y-123450000D03* +D11* X53848000Y-128016000D03* X78000000Y-107600000D03* X88100000Y-117950000D03* +X141200000Y-118550000D03* +X111750000Y-92650000D03* X52006500Y-90297000D03* D14* X138400000Y-119450000D03* -D13* +D11* X55435500Y-88773000D03* X113030000Y-131318000D03* X109950000Y-107850000D03* @@ -350,12 +365,12 @@ X139000000Y-118550000D03* X48895000Y-107061000D03* X106500000Y-120150000D03* X114050000Y-107050000D03* -D12* +D13* X132750000Y-117650000D03* D14* X112050000Y-90750000D03* X75700000Y-120400000D03* -D13* +D11* X90300000Y-129350000D03* X51435000Y-88265000D03* X88950000Y-103250000D03* @@ -370,24 +385,24 @@ X109537500Y-126809500D03* X63944500Y-119570500D03* X144780000Y-88265000D03* X118650000Y-108250000D03* -D12* -X135509000Y-129540000D03* D13* +X135509000Y-129540000D03* +D11* X118650000Y-107050000D03* X102100000Y-129700000D03* -D12* -X131550000Y-118900000D03* D13* +X131550000Y-118900000D03* +D11* X79883000Y-88265000D03* X112200000Y-124950000D03* -D12* +D13* X135200000Y-122300000D03* X141300000Y-123550000D03* D14* X126450000Y-109500000D03* -D12* -X134000000Y-118950000D03* D13* +X134000000Y-118950000D03* +D11* X133223000Y-111125000D03* X61531500Y-109664500D03* X111750000Y-103050000D03* @@ -399,16 +414,16 @@ X144780000Y-113665000D03* X46355000Y-109601000D03* X53848000Y-95123000D03* X58928000Y-128016000D03* -D12* -X131550000Y-123550000D03* D13* +X131550000Y-123550000D03* +D11* X46355000Y-124841000D03* X57404000Y-92900500D03* X53200000Y-90650600D03* X73787000Y-115379500D03* -D12* -X129150000Y-123350000D03* D13* +X129150000Y-123350000D03* +D11* X138303000Y-85725000D03* X79950000Y-128350000D03* X143002000Y-131318000D03* @@ -417,7 +432,7 @@ X133223000Y-80645000D03* X105050000Y-120650000D03* X62103000Y-85725000D03* X77216000Y-98298000D03* -X110750000Y-96450000D03* +X110550000Y-96450000D03* X114050000Y-92650000D03* X76454000Y-97091500D03* X104700000Y-98150000D03* @@ -438,12 +453,13 @@ X118650000Y-104650000D03* X130683000Y-113665000D03* D14* X112900000Y-91950000D03* -D13* +D11* X143002000Y-80645000D03* X135128000Y-100838000D03* +X83000000Y-111600000D03* D14* X94100000Y-120400000D03* -D13* +D11* X95123000Y-88265000D03* X52324000Y-94551500D03* X62103000Y-90805000D03* @@ -458,7 +474,7 @@ X60833000Y-100012500D03* X138303000Y-95885000D03* D14* X110850000Y-107000000D03* -D13* +D11* X56515000Y-119824500D03* X117983000Y-90805000D03* X55400000Y-94350600D03* @@ -466,37 +482,36 @@ X144780000Y-93345000D03* X128143000Y-126365000D03* D14* X127350000Y-113200000D03* -D13* -X143383000Y-106045000D03* +D11* X97663000Y-86931500D03* X105283000Y-83185000D03* D14* X47000000Y-94650000D03* -D13* +D11* X49974500Y-93345000D03* X135890000Y-131318000D03* -X87800000Y-114450000D03* +X87600000Y-114600000D03* D14* X109450000Y-103350000D03* -D13* +D11* X95250000Y-131318000D03* X72263000Y-90805000D03* X77450000Y-120650000D03* D14* X49550000Y-95200000D03* -D13* +D11* X123190000Y-131318000D03* X87630000Y-131318000D03* X59309000Y-90487500D03* -X111750000Y-92500000D03* X130810000Y-131318000D03* X72263000Y-85725000D03* X94450000Y-111300000D03* X50750000Y-102900000D03* X67183000Y-85725000D03* -D12* -X126450000Y-114300000D03* D13* +X126450000Y-114300000D03* +D11* +X89150000Y-113450000D03* X101000000Y-111550000D03* X120523000Y-88265000D03* X123063000Y-126365000D03* @@ -504,8 +519,9 @@ X89700000Y-94950000D03* X85090000Y-131318000D03* D14* X127450000Y-108300000D03* -D13* +D11* X53975000Y-107124500D03* +X86200000Y-114600000D03* X92550000Y-127950000D03* X125603000Y-83185000D03* X113100000Y-120500000D03* @@ -518,7 +534,7 @@ X120650000Y-131318000D03* X115570000Y-131318000D03* D14* X123050000Y-91650000D03* -D13* +D11* X133223000Y-100965000D03* X87503000Y-80645000D03* X128143000Y-116205000D03* @@ -528,11 +544,11 @@ X67183000Y-90805000D03* X92583000Y-80645000D03* D14* X122050000Y-115350000D03* -D12* +D13* X73406000Y-128524000D03* D14* X95000000Y-121400000D03* -D13* +D11* X93800000Y-124950000D03* X64008000Y-128016000D03* X140843000Y-88265000D03* @@ -543,25 +559,22 @@ X107823000Y-80645000D03* X48895000Y-122301000D03* D14* X126450000Y-105400000D03* -D13* +D11* X48895000Y-112141000D03* X107823000Y-90805000D03* X102743000Y-80645000D03* -D12* -X130350000Y-117650000D03* D13* +X130350000Y-117650000D03* +D11* X90700000Y-107850000D03* X100550000Y-98400000D03* D14* X113050000Y-89850000D03* -D13* +D11* X144780000Y-108585000D03* X125730000Y-131318000D03* X86650000Y-120650000D03* X78900000Y-117950000D03* -D12* -X141350000Y-118950000D03* -D13* X88400000Y-128050000D03* X128143000Y-85725000D03* X46355000Y-93472000D03* @@ -581,19 +594,19 @@ X78750000Y-126100000D03* X80050000Y-126100000D03* X80700000Y-126850000D03* X81350000Y-126100000D03* -D12* +D13* X76200000Y-129540000D03* X77343000Y-128270000D03* X119126000Y-129540000D03* X117094000Y-129540000D03* -D13* +D11* X85200000Y-127381000D03* X106350000Y-123050000D03* X113150000Y-127950000D03* X108300000Y-123800000D03* -X121000000Y-124350000D03* -X108950000Y-123050000D03* -X116350000Y-125800000D03* +X118350000Y-123500000D03* +X109050000Y-123100000D03* +X113700000Y-123100000D03* X107650000Y-123050000D03* X107000000Y-123800000D03* X132080000Y-131191000D03* @@ -626,60 +639,56 @@ X100550000Y-107400000D03* X102850000Y-97400000D03* D14* X125250000Y-115350000D03* -D12* -X127850000Y-117650000D03* D13* -X122050000Y-122200000D03* +X127850000Y-117650000D03* +D11* X87600000Y-104050000D03* X100550000Y-97400000D03* D14* X126450000Y-111000000D03* -D13* +D11* X89700000Y-112000000D03* X88900000Y-94950000D03* D14* X138400000Y-120400000D03* X114250000Y-89850000D03* -D13* +D11* X125550000Y-107050000D03* D14* X115250000Y-115350000D03* -D13* +D11* +X117325000Y-119600000D03* X111750000Y-104650000D03* X62103000Y-102806500D03* X81026000Y-105600500D03* D14* X126450000Y-91800000D03* -D13* +D11* X124400000Y-91950000D03* X102900000Y-107250000D03* X104900000Y-103700000D03* X59563000Y-95059500D03* D14* X114250000Y-91650000D03* -D12* +D13* X131550000Y-124850000D03* D14* X124250000Y-89850000D03* X114250000Y-116250000D03* -D13* +D11* X105075000Y-119050000D03* -X120250000Y-122200000D03* X87300000Y-117950000D03* X86675000Y-119050000D03* X95875000Y-119050000D03* X81950000Y-104400000D03* -D12* +D13* X130350000Y-126100000D03* -D13* -X119650000Y-123100000D03* -D12* X129150000Y-124850000D03* -D13* +D11* X86000000Y-112050000D03* -D12* -X95000000Y-118100000D03* D13* +X95000000Y-118100000D03* +D11* X63436500Y-122809000D03* X66900000Y-97450000D03* X98400000Y-90800000D03* @@ -691,77 +700,74 @@ X84950000Y-119150000D03* D14* X124250000Y-116250000D03* X75700000Y-119200000D03* -D13* +D11* X104900000Y-101650000D03* X105700000Y-120150000D03* X96500000Y-117950000D03* -D12* -X104200000Y-118100000D03* D13* +X104200000Y-118100000D03* +D11* X104650000Y-97050000D03* X59563000Y-97853500D03* X62103000Y-100012500D03* X104650000Y-107050000D03* D14* X137300000Y-117750000D03* -D13* +D11* X62801500Y-101409500D03* D14* X126450000Y-102100000D03* -D12* -X127450000Y-92900000D03* D13* +X127450000Y-92900000D03* +D11* X78100000Y-120150000D03* X63817500Y-94869000D03* -D12* -X135200000Y-126050000D03* D13* +X135200000Y-126050000D03* +D11* X83450000Y-97750000D03* X96500000Y-120150000D03* -D12* -X141350000Y-120150000D03* D13* +X141350000Y-120150000D03* +D11* X59182000Y-94043500D03* -X121200000Y-123150000D03* X87300000Y-120150000D03* X114050000Y-109450000D03* D14* X126450000Y-106200000D03* -D13* +D11* X123250000Y-92650000D03* X124400000Y-114050000D03* D14* X110850000Y-105650000D03* -D13* +D11* X125550000Y-103050000D03* X66900000Y-98950000D03* D14* X127450000Y-107300000D03* -D13* +D11* X125550000Y-111850000D03* -X115550000Y-122200000D03* X64706500Y-101219000D03* D14* X114250000Y-114450000D03* -D13* +D11* X84250000Y-105200000D03* X54483000Y-102806500D03* X98200000Y-92550000D03* X82650000Y-105200000D03* X99400000Y-112000000D03* -X114800000Y-123100000D03* D14* X76600000Y-118200000D03* X127450000Y-103300000D03* -D13* +D11* X114050000Y-104650000D03* X78100000Y-117950000D03* -D12* +D13* X85800000Y-118100000D03* D14* X115250000Y-90750000D03* X127350000Y-112000000D03* -D13* +D11* X89900000Y-107850000D03* X123250000Y-113450000D03* X123250000Y-107050000D03* @@ -773,22 +779,20 @@ X125550000Y-92650000D03* X88900000Y-92550000D03* X66294000Y-119634000D03* X89900000Y-110250000D03* -X116500000Y-123150000D03* -D12* +D13* X132750000Y-126100000D03* -D13* +D11* X110850000Y-110250000D03* -D12* -X134000000Y-124900000D03* D13* +X134000000Y-124900000D03* +D11* X88700000Y-90800000D03* X96500000Y-123800000D03* -X117350000Y-122200000D03* X85200000Y-97550000D03* X77475000Y-119050000D03* -D12* -X126600000Y-118600000D03* D13* +X126600000Y-118600000D03* +D11* X123250000Y-111850000D03* X58293000Y-95059500D03* X94150000Y-119150000D03* @@ -797,7 +801,7 @@ X58293000Y-97853500D03* D14* X125250000Y-90750000D03* X137300000Y-121150000D03* -D13* +D11* X63817500Y-102235000D03* X105700000Y-117950000D03* X97350000Y-107800000D03* @@ -886,6 +890,7 @@ X70040500Y-104394000D03* X79756000Y-103124000D03* X80050000Y-107650000D03* X71183500Y-109029500D03* +X68350000Y-113750000D03* X114750000Y-105350000D03* X122550000Y-105350000D03* X100550000Y-105400000D03* @@ -893,7 +898,6 @@ X135128000Y-90932000D03* X93900000Y-92450000D03* X92950000Y-92000000D03* X136398000Y-90932000D03* -X68350000Y-113750000D03* X119650000Y-119600000D03* X93550000Y-107900000D03* X86400000Y-94850000D03* @@ -904,18 +908,18 @@ X88750000Y-98250000D03* X70000000Y-97450000D03* X70000000Y-100450000D03* X87900000Y-99000000D03* -D12* +D13* X134000000Y-120400000D03* D14* X135200000Y-121150000D03* -D13* +D11* X132750000Y-121350000D03* -D12* +D13* X131550000Y-120200000D03* X126600000Y-120500000D03* -D13* +D11* X130350000Y-121350000D03* -D12* +D13* X95300000Y-106800000D03* X129150000Y-120200000D03* G04 #@! TA.AperFunction,Conductor* @@ -5912,32 +5916,6 @@ X125842771Y-129235016D01* X125933016Y-129144771D01* X125990957Y-129031055D01* X126010922Y-128905000D01* -X130275078Y-128905000D01* -X130295043Y-129031056D01* -X130335384Y-129110229D01* -X130352984Y-129144771D01* -X130443229Y-129235016D01* -X130556943Y-129292956D01* -X130556945Y-129292957D01* -X130683000Y-129312922D01* -X130809055Y-129292957D01* -X130922771Y-129235016D01* -X131013016Y-129144771D01* -X131070957Y-129031055D01* -X131090922Y-128905000D01* -X131070957Y-128778945D01* -X131013016Y-128665229D01* -X130922771Y-128574984D01* -X130809055Y-128517043D01* -X130809057Y-128517043D01* -X130683000Y-128497078D01* -X130556943Y-128517043D01* -X130443228Y-128574984D01* -X130352984Y-128665228D01* -X130295043Y-128778943D01* -X130275078Y-128904999D01* -X130275078Y-128905000D01* -X126010922Y-128905000D01* X125990957Y-128778945D01* X125933016Y-128665229D01* X125842771Y-128574984D01* @@ -7331,33 +7309,6 @@ X111937957Y-126226055D01* X111957922Y-126100000D01* X111937957Y-125973945D01* X111880016Y-125860229D01* -X111819787Y-125800000D01* -X115942078Y-125800000D01* -X115962043Y-125926056D01* -X116017545Y-126034984D01* -X116019984Y-126039771D01* -X116110229Y-126130016D01* -X116223943Y-126187956D01* -X116223945Y-126187957D01* -X116350000Y-126207922D01* -X116476055Y-126187957D01* -X116589771Y-126130016D01* -X116680016Y-126039771D01* -X116737957Y-125926055D01* -X116757922Y-125800000D01* -X116737957Y-125673945D01* -X116680016Y-125560229D01* -X116589771Y-125469984D01* -X116476055Y-125412043D01* -X116476057Y-125412043D01* -X116350000Y-125392078D01* -X116223943Y-125412043D01* -X116110228Y-125469984D01* -X116019984Y-125560228D01* -X115962043Y-125673943D01* -X115942078Y-125799999D01* -X115942078Y-125800000D01* -X111819787Y-125800000D01* X111789771Y-125769984D01* X111676055Y-125712043D01* X111676057Y-125712043D01* @@ -7421,8 +7372,6 @@ X90492078Y-126299999D01* X90492078Y-126300000D01* X87292084Y-126300000D01* X87307922Y-126200000D01* -X87306014Y-126187956D01* -X87303725Y-126173500D01* X87287957Y-126073945D01* X87230016Y-125960229D01* X87139771Y-125869984D01* @@ -7702,36 +7651,9 @@ X70748351Y-124950000D01* X70779969Y-124844388D01* X70790107Y-124670340D01* X70759832Y-124498646D01* -X70750087Y-124476055D01* -X70695713Y-124350000D01* -X120592078Y-124350000D01* -X120612043Y-124476056D01* -X120636698Y-124524443D01* -X120669984Y-124589771D01* -X120760229Y-124680016D01* -X120873943Y-124737956D01* -X120873945Y-124737957D01* -X121000000Y-124757922D01* -X121126055Y-124737957D01* -X121239771Y-124680016D01* -X121330016Y-124589771D01* -X121387957Y-124476055D01* -X121407922Y-124350000D01* -X121387957Y-124223945D01* -X121330016Y-124110229D01* -X121239771Y-124019984D01* -X121126055Y-123962043D01* -X121126057Y-123962043D01* -X121000000Y-123942078D01* -X120873943Y-123962043D01* -X120760228Y-124019984D01* -X120669984Y-124110228D01* -X120612043Y-124223943D01* -X120592078Y-124349999D01* -X120592078Y-124350000D01* -X70695713Y-124350000D01* +X70746953Y-124468790D01* X70690779Y-124338562D01* -X70606401Y-124225223D01* +X70597269Y-124212957D01* X70586668Y-124198717D01* X70556852Y-124173698D01* X70453117Y-124086653D01* @@ -7755,9 +7677,9 @@ X69290893Y-124757660D01* X68753021Y-124757660D01* X68758107Y-124670340D01* X68727832Y-124498646D01* -X68718087Y-124476055D01* +X68714953Y-124468790D01* X68658779Y-124338562D01* -X68574401Y-124225223D01* +X68565269Y-124212957D01* X68554668Y-124198717D01* X68524852Y-124173698D01* X68421117Y-124086653D01* @@ -7806,7 +7728,7 @@ X56107078Y-124904500D01* X51832865Y-124904500D01* X51842922Y-124841000D01* X51840220Y-124823943D01* -X51832715Y-124776555D01* +X51829722Y-124757660D01* X51822957Y-124714945D01* X51765016Y-124601229D01* X51674771Y-124510984D01* @@ -7821,7 +7743,7 @@ X51027078Y-124840999D01* X51027078Y-124841000D01* X46762922Y-124841000D01* X46760220Y-124823943D01* -X46752715Y-124776555D01* +X46749722Y-124757660D01* X46742957Y-124714945D01* X46685016Y-124601229D01* X46594771Y-124510984D01* @@ -7839,13 +7761,13 @@ X65046550Y-122928155D01* X65085772Y-123163198D01* X65085773Y-123163202D01* X65085774Y-123163206D01* -X65129224Y-123289771D01* +X65124515Y-123276055D01* X65163152Y-123388600D01* X65163153Y-123388602D01* X65276569Y-123598177D01* X65422938Y-123786233D01* X65422942Y-123786237D01* -X65473691Y-123832954D01* +X65470498Y-123830015D01* X65598266Y-123947633D01* X65744224Y-124042992D01* X65797768Y-124077974D01* @@ -7870,13 +7792,13 @@ X70126550Y-122928155D01* X70165772Y-123163198D01* X70165773Y-123163202D01* X70165774Y-123163206D01* -X70209224Y-123289771D01* +X70204515Y-123276055D01* X70243152Y-123388600D01* X70243153Y-123388602D01* X70356569Y-123598177D01* X70502938Y-123786233D01* X70502942Y-123786237D01* -X70553691Y-123832954D01* +X70550498Y-123830015D01* X70678266Y-123947633D01* X70824224Y-124042992D01* X70877768Y-124077974D01* @@ -7976,33 +7898,6 @@ X111676055Y-124187957D01* X111789771Y-124130016D01* X111880016Y-124039771D01* X111937957Y-123926055D01* -X111953962Y-123825000D01* -X125195078Y-123825000D01* -X125215043Y-123951056D01* -X125261887Y-124042992D01* -X125272984Y-124064771D01* -X125363229Y-124155016D01* -X125476943Y-124212956D01* -X125476945Y-124212957D01* -X125603000Y-124232922D01* -X125729055Y-124212957D01* -X125842771Y-124155016D01* -X125933016Y-124064771D01* -X125990957Y-123951055D01* -X126010922Y-123825000D01* -X125990957Y-123698945D01* -X125933016Y-123585229D01* -X125842771Y-123494984D01* -X125729055Y-123437043D01* -X125729057Y-123437043D01* -X125603000Y-123417078D01* -X125476943Y-123437043D01* -X125363228Y-123494984D01* -X125272984Y-123585228D01* -X125215043Y-123698943D01* -X125195078Y-123824999D01* -X125195078Y-123825000D01* -X111953962Y-123825000D01* X111957922Y-123800000D01* X111937957Y-123673945D01* X111880016Y-123560229D01* @@ -8102,7 +7997,7 @@ X72963226Y-123163206D01* X72982117Y-123050000D01* X105942078Y-123050000D01* X105962043Y-123176056D01* -X106010502Y-123271162D01* +X106004931Y-123260228D01* X106019984Y-123289771D01* X106110229Y-123380016D01* X106223943Y-123437956D01* @@ -8115,7 +8010,7 @@ X106737957Y-123176055D01* X106757922Y-123050000D01* X107242078Y-123050000D01* X107262043Y-123176056D01* -X107310502Y-123271162D01* +X107304931Y-123260228D01* X107319984Y-123289771D01* X107410229Y-123380016D01* X107523943Y-123437956D01* @@ -8125,21 +8020,132 @@ X107776055Y-123437957D01* X107889771Y-123380016D01* X107980016Y-123289771D01* X108037957Y-123176055D01* -X108057922Y-123050000D01* -X108542078Y-123050000D01* -X108562043Y-123176056D01* -X108610502Y-123271162D01* -X108619984Y-123289771D01* -X108710229Y-123380016D01* -X108823943Y-123437956D01* -X108823945Y-123437957D01* -X108950000Y-123457922D01* -X109076055Y-123437957D01* -X109189771Y-123380016D01* -X109219787Y-123350000D01* +X108050003Y-123100000D01* +X108642078Y-123100000D01* +X108662043Y-123226056D01* +X108694508Y-123289771D01* +X108719984Y-123339771D01* +X108810229Y-123430016D01* +X108923943Y-123487956D01* +X108923945Y-123487957D01* +X109050000Y-123507922D01* +X109176055Y-123487957D01* +X109289771Y-123430016D01* +X109380016Y-123339771D01* +X109437957Y-123226055D01* +X109457922Y-123100000D01* +X113292078Y-123100000D01* +X113312043Y-123226056D01* +X113344508Y-123289771D01* +X113369984Y-123339771D01* +X113460229Y-123430016D01* +X113573943Y-123487956D01* +X113573945Y-123487957D01* +X113700000Y-123507922D01* +X113826055Y-123487957D01* +X113939771Y-123430016D01* +X114030016Y-123339771D01* +X114087957Y-123226055D01* +X114107922Y-123100000D01* +X114592078Y-123100000D01* +X114612043Y-123226056D01* +X114644508Y-123289771D01* +X114669984Y-123339771D01* +X114760229Y-123430016D01* +X114873943Y-123487956D01* +X114873945Y-123487957D01* +X115000000Y-123507922D01* +X115126055Y-123487957D01* +X115239771Y-123430016D01* +X115330016Y-123339771D01* +X115387957Y-123226055D01* +X115400003Y-123150000D01* +X116092078Y-123150000D01* +X116112043Y-123276056D01* +X116127128Y-123305662D01* +X116169984Y-123389771D01* +X116260229Y-123480016D01* +X116373943Y-123537956D01* +X116373945Y-123537957D01* +X116500000Y-123557922D01* +X116626055Y-123537957D01* +X116700550Y-123500000D01* +X117942078Y-123500000D01* +X117962043Y-123626056D01* +X117971358Y-123644337D01* +X118019984Y-123739771D01* +X118110229Y-123830016D01* +X118223943Y-123887956D01* +X118223945Y-123887957D01* +X118350000Y-123907922D01* +X118476055Y-123887957D01* +X118589771Y-123830016D01* +X118594787Y-123825000D01* +X125195078Y-123825000D01* +X125215043Y-123951056D01* +X125261887Y-124042992D01* +X125272984Y-124064771D01* +X125363229Y-124155016D01* +X125476943Y-124212956D01* +X125476945Y-124212957D01* +X125603000Y-124232922D01* +X125729055Y-124212957D01* +X125842771Y-124155016D01* +X125933016Y-124064771D01* +X125990957Y-123951055D01* +X126010922Y-123825000D01* +X125990957Y-123698945D01* +X125933016Y-123585229D01* +X125842771Y-123494984D01* +X125729055Y-123437043D01* +X125729057Y-123437043D01* +X125603000Y-123417078D01* +X125476943Y-123437043D01* +X125363228Y-123494984D01* +X125272984Y-123585228D01* +X125215043Y-123698943D01* +X125195078Y-123824999D01* +X125195078Y-123825000D01* +X118594787Y-123825000D01* +X118680016Y-123739771D01* +X118737957Y-123626055D01* +X118757922Y-123500000D01* +X118756014Y-123487956D01* +X118753168Y-123469984D01* +X118737957Y-123373945D01* +X118680016Y-123260229D01* +X118589771Y-123169984D01* +X118476055Y-123112043D01* +X118476057Y-123112043D01* +X118400019Y-123100000D01* +X119242078Y-123100000D01* +X119262043Y-123226056D01* +X119294508Y-123289771D01* +X119319984Y-123339771D01* +X119410229Y-123430016D01* +X119523943Y-123487956D01* +X119523945Y-123487957D01* +X119650000Y-123507922D01* +X119776055Y-123487957D01* +X119889771Y-123430016D01* +X119980016Y-123339771D01* +X120037957Y-123226055D01* +X120050003Y-123150000D01* +X120792078Y-123150000D01* +X120812043Y-123276056D01* +X120827128Y-123305662D01* +X120869984Y-123389771D01* +X120960229Y-123480016D01* +X121073943Y-123537956D01* +X121073945Y-123537957D01* +X121200000Y-123557922D01* +X121326055Y-123537957D01* +X121439771Y-123480016D01* +X121530016Y-123389771D01* +X121550280Y-123350000D01* X128592329Y-123350000D01* -X128603908Y-123437956D01* -X128611331Y-123494336D01* +X128611331Y-123494337D01* +X128629399Y-123537956D01* X128667043Y-123628836D01* X128667044Y-123628838D01* X128667045Y-123628839D01* @@ -8160,7 +8166,7 @@ X131067043Y-123828836D01* X131067044Y-123828838D01* X131067045Y-123828839D01* X131155666Y-123944333D01* -X131254257Y-124019984D01* +X131271160Y-124032954D01* X131271164Y-124032957D01* X131405664Y-124088669D01* X131550000Y-124107671D01* @@ -8178,7 +8184,7 @@ X133517043Y-123778836D01* X133517044Y-123778838D01* X133517045Y-123778839D01* X133605666Y-123894333D01* -X133693908Y-123962043D01* +X133701932Y-123968200D01* X133721164Y-123982957D01* X133855664Y-124038669D01* X134000000Y-124057671D01* @@ -8191,7 +8197,7 @@ X134557671Y-123500000D01* X134551088Y-123450000D01* X138342329Y-123450000D01* X138361331Y-123594337D01* -X138375621Y-123628836D01* +X138374470Y-123626056D01* X138417043Y-123728836D01* X138417044Y-123728838D01* X138417045Y-123728839D01* @@ -8213,7 +8219,7 @@ X140817043Y-123828836D01* X140817044Y-123828838D01* X140817045Y-123828839D01* X140905666Y-123944333D01* -X141004257Y-124019984D01* +X141021160Y-124032954D01* X141021164Y-124032957D01* X141155664Y-124088669D01* X141300000Y-124107671D01* @@ -8225,7 +8231,7 @@ X141838669Y-123694336D01* X141857671Y-123550000D01* X141838669Y-123405664D01* X141782957Y-123271165D01* -X141744591Y-123221165D01* +X141748344Y-123226056D01* X141694333Y-123155666D01* X141578839Y-123067045D01* X141578838Y-123067044D01* @@ -8239,13 +8245,16 @@ X141021162Y-123067044D01* X140905666Y-123155666D01* X140817044Y-123271162D01* X140817044Y-123271163D01* +X140817043Y-123271164D01* +X140817043Y-123271165D01* +X140815017Y-123276056D01* X140761331Y-123405662D01* X140742329Y-123550000D01* X139444506Y-123550000D01* X139457671Y-123450000D01* X139438669Y-123305664D01* X139382957Y-123171165D01* -X139382955Y-123171162D01* +X139382051Y-123169984D01* X139294333Y-123055666D01* X139178839Y-122967045D01* X139178838Y-122967044D01* @@ -8281,12 +8290,15 @@ X133721162Y-123017044D01* X133605666Y-123105666D01* X133517044Y-123221162D01* X133517044Y-123221163D01* +X133517043Y-123221164D01* +X133517043Y-123221165D01* +X133515017Y-123226056D01* X133461331Y-123355662D01* X133442329Y-123500000D01* X132101088Y-123500000D01* X132088669Y-123405664D01* X132032957Y-123271165D01* -X131994591Y-123221165D01* +X131998344Y-123226056D01* X131944333Y-123155666D01* X131828839Y-123067045D01* X131828838Y-123067044D01* @@ -8300,6 +8312,9 @@ X131271162Y-123067044D01* X131155666Y-123155666D01* X131067044Y-123271162D01* X131067044Y-123271163D01* +X131067043Y-123271164D01* +X131067043Y-123271165D01* +X131065017Y-123276056D01* X131011331Y-123405662D01* X130992329Y-123550000D01* X129665612Y-123550000D01* @@ -8323,22 +8338,101 @@ X128667044Y-123071162D01* X128667044Y-123071163D01* X128611331Y-123205662D01* X128592329Y-123350000D01* -X109219787Y-123350000D01* -X109280016Y-123289771D01* -X109337957Y-123176055D01* -X109357922Y-123050000D01* -X109337957Y-122923945D01* -X109280016Y-122810229D01* -X109189771Y-122719984D01* -X109076055Y-122662043D01* -X109076057Y-122662043D01* -X108950000Y-122642078D01* -X108823943Y-122662043D01* -X108710228Y-122719984D01* -X108619984Y-122810228D01* -X108562043Y-122923943D01* -X108542078Y-123049999D01* -X108542078Y-123050000D01* +X121550280Y-123350000D01* +X121587957Y-123276055D01* +X121607922Y-123150000D01* +X121587957Y-123023945D01* +X121530016Y-122910229D01* +X121439771Y-122819984D01* +X121326055Y-122762043D01* +X121326057Y-122762043D01* +X121200000Y-122742078D01* +X121073943Y-122762043D01* +X120960228Y-122819984D01* +X120869984Y-122910228D01* +X120812043Y-123023943D01* +X120792078Y-123149999D01* +X120792078Y-123150000D01* +X120050003Y-123150000D01* +X120057922Y-123100000D01* +X120037957Y-122973945D01* +X119980016Y-122860229D01* +X119889771Y-122769984D01* +X119776055Y-122712043D01* +X119776057Y-122712043D01* +X119650000Y-122692078D01* +X119523943Y-122712043D01* +X119410228Y-122769984D01* +X119319984Y-122860228D01* +X119262043Y-122973943D01* +X119242078Y-123099999D01* +X119242078Y-123100000D01* +X118400019Y-123100000D01* +X118350000Y-123092078D01* +X118223943Y-123112043D01* +X118110228Y-123169984D01* +X118019984Y-123260228D01* +X117962043Y-123373943D01* +X117942078Y-123499999D01* +X117942078Y-123500000D01* +X116700550Y-123500000D01* +X116739771Y-123480016D01* +X116830016Y-123389771D01* +X116887957Y-123276055D01* +X116907922Y-123150000D01* +X116887957Y-123023945D01* +X116830016Y-122910229D01* +X116739771Y-122819984D01* +X116626055Y-122762043D01* +X116626057Y-122762043D01* +X116500000Y-122742078D01* +X116373943Y-122762043D01* +X116260228Y-122819984D01* +X116169984Y-122910228D01* +X116112043Y-123023943D01* +X116092078Y-123149999D01* +X116092078Y-123150000D01* +X115400003Y-123150000D01* +X115407922Y-123100000D01* +X115387957Y-122973945D01* +X115330016Y-122860229D01* +X115239771Y-122769984D01* +X115126055Y-122712043D01* +X115126057Y-122712043D01* +X115000000Y-122692078D01* +X114873943Y-122712043D01* +X114760228Y-122769984D01* +X114669984Y-122860228D01* +X114612043Y-122973943D01* +X114592078Y-123099999D01* +X114592078Y-123100000D01* +X114107922Y-123100000D01* +X114087957Y-122973945D01* +X114030016Y-122860229D01* +X113939771Y-122769984D01* +X113826055Y-122712043D01* +X113826057Y-122712043D01* +X113700000Y-122692078D01* +X113573943Y-122712043D01* +X113460228Y-122769984D01* +X113369984Y-122860228D01* +X113312043Y-122973943D01* +X113292078Y-123099999D01* +X113292078Y-123100000D01* +X109457922Y-123100000D01* +X109437957Y-122973945D01* +X109380016Y-122860229D01* +X109289771Y-122769984D01* +X109176055Y-122712043D01* +X109176057Y-122712043D01* +X109050000Y-122692078D01* +X108923943Y-122712043D01* +X108810228Y-122769984D01* +X108719984Y-122860228D01* +X108662043Y-122973943D01* +X108642078Y-123099999D01* +X108642078Y-123100000D01* +X108050003Y-123100000D01* X108057922Y-123050000D01* X108037957Y-122923945D01* X107980016Y-122810229D01* @@ -8369,7 +8463,59 @@ X72982117Y-123050000D01* X73002450Y-122928152D01* X73002450Y-122689848D01* X72963226Y-122454794D01* -X72944415Y-122400000D01* +X72885849Y-122229403D01* +X72869937Y-122200000D01* +X115142078Y-122200000D01* +X115162043Y-122326056D01* +X115199719Y-122399999D01* +X115219984Y-122439771D01* +X115310229Y-122530016D01* +X115423943Y-122587956D01* +X115423945Y-122587957D01* +X115550000Y-122607922D01* +X115676055Y-122587957D01* +X115789771Y-122530016D01* +X115880016Y-122439771D01* +X115937957Y-122326055D01* +X115957922Y-122200000D01* +X116942078Y-122200000D01* +X116962043Y-122326056D01* +X116999719Y-122399999D01* +X117019984Y-122439771D01* +X117110229Y-122530016D01* +X117223943Y-122587956D01* +X117223945Y-122587957D01* +X117350000Y-122607922D01* +X117476055Y-122587957D01* +X117589771Y-122530016D01* +X117680016Y-122439771D01* +X117737957Y-122326055D01* +X117757922Y-122200000D01* +X119842078Y-122200000D01* +X119862043Y-122326056D01* +X119899719Y-122399999D01* +X119919984Y-122439771D01* +X120010229Y-122530016D01* +X120123943Y-122587956D01* +X120123945Y-122587957D01* +X120250000Y-122607922D01* +X120376055Y-122587957D01* +X120489771Y-122530016D01* +X120580016Y-122439771D01* +X120637957Y-122326055D01* +X120657922Y-122200000D01* +X121642078Y-122200000D01* +X121662043Y-122326056D01* +X121699719Y-122399999D01* +X121719984Y-122439771D01* +X121810229Y-122530016D01* +X121923943Y-122587956D01* +X121923945Y-122587957D01* +X122050000Y-122607922D01* +X122176055Y-122587957D01* +X122289771Y-122530016D01* +X122380016Y-122439771D01* +X122400280Y-122400000D01* X129942078Y-122400000D01* X129962043Y-122526056D01* X130001896Y-122604271D01* @@ -8571,9 +8717,61 @@ X130019984Y-122160228D01* X129962043Y-122273943D01* X129942078Y-122399999D01* X129942078Y-122400000D01* -X72944415Y-122400000D01* -X72885849Y-122229403D01* -X72848414Y-122160229D01* +X122400280Y-122400000D01* +X122437957Y-122326055D01* +X122457922Y-122200000D01* +X122437957Y-122073945D01* +X122380016Y-121960229D01* +X122289771Y-121869984D01* +X122176055Y-121812043D01* +X122176057Y-121812043D01* +X122050000Y-121792078D01* +X121923943Y-121812043D01* +X121810228Y-121869984D01* +X121719984Y-121960228D01* +X121662043Y-122073943D01* +X121642078Y-122199999D01* +X121642078Y-122200000D01* +X120657922Y-122200000D01* +X120637957Y-122073945D01* +X120580016Y-121960229D01* +X120489771Y-121869984D01* +X120376055Y-121812043D01* +X120376057Y-121812043D01* +X120250000Y-121792078D01* +X120123943Y-121812043D01* +X120010228Y-121869984D01* +X119919984Y-121960228D01* +X119862043Y-122073943D01* +X119842078Y-122199999D01* +X119842078Y-122200000D01* +X117757922Y-122200000D01* +X117737957Y-122073945D01* +X117680016Y-121960229D01* +X117589771Y-121869984D01* +X117476055Y-121812043D01* +X117476057Y-121812043D01* +X117350000Y-121792078D01* +X117223943Y-121812043D01* +X117110228Y-121869984D01* +X117019984Y-121960228D01* +X116962043Y-122073943D01* +X116942078Y-122199999D01* +X116942078Y-122200000D01* +X115957922Y-122200000D01* +X115937957Y-122073945D01* +X115880016Y-121960229D01* +X115789771Y-121869984D01* +X115676055Y-121812043D01* +X115676057Y-121812043D01* +X115550000Y-121792078D01* +X115423943Y-121812043D01* +X115310228Y-121869984D01* +X115219984Y-121960228D01* +X115162043Y-122073943D01* +X115142078Y-122199999D01* +X115142078Y-122200000D01* +X72869937Y-122200000D01* X72772430Y-122019822D01* X72626061Y-121831766D01* X72626057Y-121831762D01* @@ -8750,7 +8948,7 @@ X67922450Y-122928152D01* X67922450Y-122689848D01* X67883226Y-122454794D01* X67805849Y-122229403D01* -X67768414Y-122160229D01* +X67789937Y-122200000D01* X67692430Y-122019822D01* X67546061Y-121831766D01* X67546057Y-121831762D01* @@ -8798,7 +8996,7 @@ X49282957Y-122427055D01* X49292865Y-122364500D01* X53567078Y-122364500D01* X53587043Y-122490556D01* -X53612629Y-122540771D01* +X53607149Y-122530016D01* X53644984Y-122604271D01* X53735229Y-122694516D01* X53848943Y-122752456D01* @@ -8811,7 +9009,7 @@ X54362957Y-122490555D01* X54382922Y-122364500D01* X58647078Y-122364500D01* X58667043Y-122490556D01* -X58692629Y-122540771D01* +X58687149Y-122530016D01* X58724984Y-122604271D01* X58815229Y-122694516D01* X58928943Y-122752456D01* @@ -10016,51 +10214,37 @@ X138760229Y-118880016D01* X138873943Y-118937956D01* X138873945Y-118937957D01* X139000000Y-118957922D01* -X139050018Y-118950000D01* -X140792329Y-118950000D01* -X140811330Y-119094333D01* -X140811331Y-119094336D01* -X140867043Y-119228836D01* -X140867044Y-119228838D01* -X140867045Y-119228839D01* -X140955666Y-119344333D01* -X141013604Y-119388790D01* -X141071164Y-119432957D01* -X141205664Y-119488669D01* -X141350000Y-119507671D01* -X141494336Y-119488669D01* -X141628836Y-119432957D01* -X141744333Y-119344333D01* -X141832957Y-119228836D01* -X141888669Y-119094336D01* -X141907671Y-118950000D01* -X141888669Y-118805664D01* -X141832957Y-118671165D01* -X141794591Y-118621165D01* -X141744333Y-118555666D01* -X141628839Y-118467045D01* -X141628838Y-118467044D01* -X141628836Y-118467043D01* -X141524784Y-118423943D01* -X141494337Y-118411331D01* -X141350000Y-118392329D01* -X141205662Y-118411331D01* -X141071163Y-118467044D01* -X141071162Y-118467044D01* -X140955666Y-118555666D01* -X140867044Y-118671162D01* -X140867044Y-118671163D01* -X140867043Y-118671164D01* -X140867043Y-118671165D01* -X140865017Y-118676056D01* -X140811331Y-118805662D01* -X140792329Y-118950000D01* -X139050018Y-118950000D01* X139126055Y-118937957D01* X139239771Y-118880016D01* X139330016Y-118789771D01* X139387957Y-118676055D01* X139407922Y-118550000D01* +X140792078Y-118550000D01* +X140812043Y-118676056D01* +X140847172Y-118745000D01* +X140869984Y-118789771D01* +X140960229Y-118880016D01* +X141073943Y-118937956D01* +X141073945Y-118937957D01* +X141200000Y-118957922D01* +X141326055Y-118937957D01* +X141439771Y-118880016D01* +X141530016Y-118789771D01* +X141587957Y-118676055D01* +X141607922Y-118550000D01* +X141587957Y-118423945D01* +X141530016Y-118310229D01* +X141439771Y-118219984D01* +X141326055Y-118162043D01* +X141326057Y-118162043D01* +X141200000Y-118142078D01* +X141073943Y-118162043D01* +X140960228Y-118219984D01* +X140869984Y-118310228D01* +X140812043Y-118423943D01* +X140792078Y-118549999D01* +X140792078Y-118550000D01* +X139407922Y-118550000D01* X139387957Y-118423945D01* X139330016Y-118310229D01* X139239771Y-118219984D01* @@ -10596,32 +10780,6 @@ X138542771Y-116535016D01* X138633016Y-116444771D01* X138690957Y-116331055D01* X138710922Y-116205000D01* -X142975078Y-116205000D01* -X142995043Y-116331056D01* -X143041887Y-116422992D01* -X143052984Y-116444771D01* -X143143229Y-116535016D01* -X143256943Y-116592956D01* -X143256945Y-116592957D01* -X143383000Y-116612922D01* -X143509055Y-116592957D01* -X143622771Y-116535016D01* -X143713016Y-116444771D01* -X143770957Y-116331055D01* -X143790922Y-116205000D01* -X143770957Y-116078945D01* -X143713016Y-115965229D01* -X143622771Y-115874984D01* -X143509055Y-115817043D01* -X143509057Y-115817043D01* -X143383000Y-115797078D01* -X143256943Y-115817043D01* -X143143228Y-115874984D01* -X143052984Y-115965228D01* -X142995043Y-116078943D01* -X142975078Y-116204999D01* -X142975078Y-116205000D01* -X138710922Y-116205000D01* X138690957Y-116078945D01* X138633016Y-115965229D01* X138542771Y-115874984D01* @@ -11060,6 +11218,57 @@ X63893516Y-114758729D01* X63803271Y-114668484D01* X63689555Y-114610543D01* X63689557Y-114610543D01* +X63622990Y-114600000D01* +X85792078Y-114600000D01* +X85812043Y-114726056D01* +X85869984Y-114839771D01* +X85960229Y-114930016D01* +X86073943Y-114987956D01* +X86073945Y-114987957D01* +X86200000Y-115007922D01* +X86326055Y-114987957D01* +X86439771Y-114930016D01* +X86530016Y-114839771D01* +X86587957Y-114726055D01* +X86607922Y-114600000D01* +X87192078Y-114600000D01* +X87212043Y-114726056D01* +X87269984Y-114839771D01* +X87360229Y-114930016D01* +X87473943Y-114987956D01* +X87473945Y-114987957D01* +X87600000Y-115007922D01* +X87726055Y-114987957D01* +X87839771Y-114930016D01* +X87930016Y-114839771D01* +X87987957Y-114726055D01* +X88007922Y-114600000D01* +X87987957Y-114473945D01* +X87930016Y-114360229D01* +X87839771Y-114269984D01* +X87726055Y-114212043D01* +X87726057Y-114212043D01* +X87600000Y-114192078D01* +X87473943Y-114212043D01* +X87360228Y-114269984D01* +X87269984Y-114360228D01* +X87212043Y-114473943D01* +X87192078Y-114599999D01* +X87192078Y-114600000D01* +X86607922Y-114600000D01* +X86587957Y-114473945D01* +X86530016Y-114360229D01* +X86439771Y-114269984D01* +X86326055Y-114212043D01* +X86326057Y-114212043D01* +X86200000Y-114192078D01* +X86073943Y-114212043D01* +X85960228Y-114269984D01* +X85869984Y-114360228D01* +X85812043Y-114473943D01* +X85792078Y-114599999D01* +X85792078Y-114600000D01* +X63622990Y-114600000D01* X63563500Y-114590578D01* X63437443Y-114610543D01* X63323728Y-114668484D01* @@ -11113,28 +11322,68 @@ X62865000Y-114707922D01* X62991055Y-114687957D01* X63104771Y-114630016D01* X63195016Y-114539771D01* -X63240756Y-114450000D01* -X87392078Y-114450000D01* -X87412043Y-114576056D01* -X87439537Y-114630015D01* -X87469984Y-114689771D01* -X87560229Y-114780016D01* -X87673943Y-114837956D01* -X87673945Y-114837957D01* -X87800000Y-114857922D01* -X87926055Y-114837957D01* -X88039771Y-114780016D01* -X88130016Y-114689771D01* -X88187957Y-114576055D01* -X88207922Y-114450000D01* -X88206014Y-114437956D01* -X88202297Y-114414484D01* -X88187957Y-114323945D01* -X88130016Y-114210229D01* -X88039771Y-114119984D01* -X87926055Y-114062043D01* -X87926057Y-114062043D01* -X87850019Y-114050000D01* +X63252957Y-114426055D01* +X63272922Y-114300000D01* +X63252957Y-114173945D01* +X63195016Y-114060229D01* +X63104771Y-113969984D01* +X62991055Y-113912043D01* +X62991057Y-113912043D01* +X62865000Y-113892078D01* +X62738943Y-113912043D01* +X62625228Y-113969984D01* +X62534984Y-114060228D01* +X62477043Y-114173943D01* +X62457078Y-114299999D01* +X62457078Y-114300000D01* +X51574709Y-114300000D01* +X51561055Y-114293043D01* +X51561057Y-114293043D01* +X51435000Y-114273078D01* +X51308943Y-114293043D01* +X51195228Y-114350984D01* +X51104984Y-114441228D01* +X51047043Y-114554943D01* +X51027078Y-114680999D01* +X51027078Y-114681000D01* +X46762922Y-114681000D01* +X46742957Y-114554945D01* +X46685016Y-114441229D01* +X46594771Y-114350984D01* +X46481055Y-114293043D01* +X46481057Y-114293043D01* +X46355000Y-114273078D01* +X46228942Y-114293043D01* +X46228940Y-114293044D01* +X46210339Y-114302522D01* +X46159283Y-114308790D01* +X46116142Y-114280774D01* +X46101000Y-114235518D01* +X46101000Y-113750000D01* +X67942078Y-113750000D01* +X67962043Y-113876056D01* +X67986443Y-113923943D01* +X68019984Y-113989771D01* +X68110229Y-114080016D01* +X68223943Y-114137956D01* +X68223945Y-114137957D01* +X68350000Y-114157922D01* +X68476055Y-114137957D01* +X68589771Y-114080016D01* +X68680016Y-113989771D01* +X68737957Y-113876055D01* +X68757922Y-113750000D01* +X69542078Y-113750000D01* +X69562043Y-113876056D01* +X69586443Y-113923943D01* +X69619984Y-113989771D01* +X69710229Y-114080016D01* +X69823943Y-114137956D01* +X69823945Y-114137957D01* +X69950000Y-114157922D01* +X70076055Y-114137957D01* +X70189771Y-114080016D01* +X70219787Y-114050000D01* X112492078Y-114050000D01* X112512043Y-114176056D01* X112565400Y-114280774D01* @@ -11207,7 +11456,7 @@ X125892329Y-114300000D01* X123479391Y-114300000D01* X123434921Y-114202626D01* X123434919Y-114202623D01* -X123363312Y-114119984D01* +X123378885Y-114137956D01* X123349636Y-114104201D01* X123349635Y-114104200D01* X123349633Y-114104198D01* @@ -11238,83 +11487,27 @@ X112569984Y-113810228D01* X112512043Y-113923943D01* X112492078Y-114049999D01* X112492078Y-114050000D01* -X87850019Y-114050000D01* -X87800000Y-114042078D01* -X87673943Y-114062043D01* -X87560228Y-114119984D01* -X87469984Y-114210228D01* -X87412043Y-114323943D01* -X87392078Y-114449999D01* -X87392078Y-114450000D01* -X63240756Y-114450000D01* -X63252957Y-114426055D01* -X63272922Y-114300000D01* -X63252957Y-114173945D01* -X63195016Y-114060229D01* -X63104771Y-113969984D01* -X62991055Y-113912043D01* -X62991057Y-113912043D01* -X62865000Y-113892078D01* -X62738943Y-113912043D01* -X62625228Y-113969984D01* -X62534984Y-114060228D01* -X62477043Y-114173943D01* -X62457078Y-114299999D01* -X62457078Y-114300000D01* -X51574709Y-114300000D01* -X51561055Y-114293043D01* -X51561057Y-114293043D01* -X51435000Y-114273078D01* -X51308943Y-114293043D01* -X51195228Y-114350984D01* -X51104984Y-114441228D01* -X51047043Y-114554943D01* -X51027078Y-114680999D01* -X51027078Y-114681000D01* -X46762922Y-114681000D01* -X46742957Y-114554945D01* -X46685016Y-114441229D01* -X46594771Y-114350984D01* -X46481055Y-114293043D01* -X46481057Y-114293043D01* -X46355000Y-114273078D01* -X46228942Y-114293043D01* -X46228940Y-114293044D01* -X46210339Y-114302522D01* -X46159283Y-114308790D01* -X46116142Y-114280774D01* -X46101000Y-114235518D01* -X46101000Y-113750000D01* -X67942078Y-113750000D01* -X67962043Y-113876056D01* -X67986443Y-113923943D01* -X68019984Y-113989771D01* -X68110229Y-114080016D01* -X68223943Y-114137956D01* -X68223945Y-114137957D01* -X68350000Y-114157922D01* -X68476055Y-114137957D01* -X68589771Y-114080016D01* -X68680016Y-113989771D01* -X68737957Y-113876055D01* -X68757922Y-113750000D01* -X69542078Y-113750000D01* -X69562043Y-113876056D01* -X69586443Y-113923943D01* -X69619984Y-113989771D01* -X69710229Y-114080016D01* -X69823943Y-114137956D01* -X69823945Y-114137957D01* -X69950000Y-114157922D01* -X70076055Y-114137957D01* -X70189771Y-114080016D01* +X70219787Y-114050000D01* X70280016Y-113989771D01* X70337957Y-113876055D01* X70357922Y-113750000D01* X70337957Y-113623945D01* X70280016Y-113510229D01* -X70189771Y-113419984D01* -X70150550Y-113400000D01* +X70219787Y-113450000D01* +X88742078Y-113450000D01* +X88762043Y-113576056D01* +X88819984Y-113689771D01* +X88910229Y-113780016D01* +X89023943Y-113837956D01* +X89023945Y-113837957D01* +X89150000Y-113857922D01* +X89276055Y-113837957D01* +X89389771Y-113780016D01* +X89480016Y-113689771D01* +X89537957Y-113576055D01* +X89557922Y-113450000D01* +X89557506Y-113447376D01* +X89550003Y-113400000D01* X108492078Y-113400000D01* X108512043Y-113526056D01* X108561919Y-113623943D01* @@ -11501,7 +11694,22 @@ X108569984Y-113160228D01* X108512043Y-113273943D01* X108492078Y-113399999D01* X108492078Y-113400000D01* -X70150550Y-113400000D01* +X89550003Y-113400000D01* +X89550003Y-113399999D01* +X89537957Y-113323945D01* +X89480016Y-113210229D01* +X89389771Y-113119984D01* +X89276055Y-113062043D01* +X89276057Y-113062043D01* +X89150000Y-113042078D01* +X89023943Y-113062043D01* +X88910228Y-113119984D01* +X88819984Y-113210228D01* +X88762043Y-113323943D01* +X88742078Y-113449999D01* +X88742078Y-113450000D01* +X70219787Y-113450000D01* +X70189771Y-113419984D01* X70076055Y-113362043D01* X70076057Y-113362043D01* X69950000Y-113342078D01* @@ -11559,9 +11767,21 @@ X71577016Y-113079271D01* X71634957Y-112965555D01* X71654922Y-112839500D01* X71634957Y-112713445D01* -X71577016Y-112599729D01* -X71486771Y-112509484D01* -X71468158Y-112500000D01* +X71577154Y-112600000D01* +X74942078Y-112600000D01* +X74962043Y-112726056D01* +X75015013Y-112830015D01* +X75019984Y-112839771D01* +X75110229Y-112930016D01* +X75223943Y-112987956D01* +X75223945Y-112987957D01* +X75350000Y-113007922D01* +X75476055Y-112987957D01* +X75589771Y-112930016D01* +X75680016Y-112839771D01* +X75737957Y-112726055D01* +X75757922Y-112600000D01* +X75742084Y-112500000D01* X96642078Y-112500000D01* X96662043Y-112626056D01* X96706569Y-112713443D01* @@ -11643,7 +11863,7 @@ X125925756Y-113200000D01* X125937957Y-113176055D01* X125957922Y-113050000D01* X125956014Y-113037956D01* -X125948748Y-112992078D01* +X125951910Y-113012043D01* X125937957Y-112923945D01* X125880016Y-112810229D01* X125789771Y-112719984D01* @@ -11702,7 +11922,22 @@ X96719984Y-112260228D01* X96662043Y-112373943D01* X96642078Y-112499999D01* X96642078Y-112500000D01* -X71468158Y-112500000D01* +X75742084Y-112500000D01* +X75737957Y-112473945D01* +X75680016Y-112360229D01* +X75589771Y-112269984D01* +X75476055Y-112212043D01* +X75476057Y-112212043D01* +X75350000Y-112192078D01* +X75223943Y-112212043D01* +X75110228Y-112269984D01* +X75019984Y-112360228D01* +X74962043Y-112473943D01* +X74942078Y-112599999D01* +X74942078Y-112600000D01* +X71577154Y-112600000D01* +X71577016Y-112599729D01* +X71486771Y-112509484D01* X71373055Y-112451543D01* X71373057Y-112451543D01* X71247000Y-112431578D01* @@ -11806,7 +12041,7 @@ X46101000Y-112141000D01* X46101000Y-111569500D01* X63028578Y-111569500D01* X63048543Y-111695556D01* -X63084924Y-111766957D01* +X63095624Y-111787957D01* X63106484Y-111809271D01* X63196729Y-111899516D01* X63310443Y-111957456D01* @@ -11817,7 +12052,7 @@ X63676271Y-111899516D01* X63752287Y-111823500D01* X69124578Y-111823500D01* X69144543Y-111949556D01* -X69158742Y-111977422D01* +X69170245Y-111999999D01* X69202484Y-112063271D01* X69292729Y-112153516D01* X69406443Y-112211456D01* @@ -11826,59 +12061,6 @@ X69532500Y-112231422D01* X69658555Y-112211457D01* X69772271Y-112153516D01* X69862516Y-112063271D01* -X69894754Y-112000000D01* -X90492078Y-112000000D01* -X90512043Y-112126056D01* -X90555557Y-112211456D01* -X90569984Y-112239771D01* -X90660229Y-112330016D01* -X90773943Y-112387956D01* -X90773945Y-112387957D01* -X90900000Y-112407922D01* -X91026055Y-112387957D01* -X91139771Y-112330016D01* -X91230016Y-112239771D01* -X91287957Y-112126055D01* -X91307922Y-112000000D01* -X97792078Y-112000000D01* -X97812043Y-112126056D01* -X97855557Y-112211456D01* -X97869984Y-112239771D01* -X97960229Y-112330016D01* -X98073943Y-112387956D01* -X98073945Y-112387957D01* -X98200000Y-112407922D01* -X98326055Y-112387957D01* -X98439771Y-112330016D01* -X98530016Y-112239771D01* -X98587957Y-112126055D01* -X98607922Y-112000000D01* -X98587957Y-111873945D01* -X98530016Y-111760229D01* -X98439771Y-111669984D01* -X98326055Y-111612043D01* -X98326057Y-111612043D01* -X98200000Y-111592078D01* -X98073943Y-111612043D01* -X97960228Y-111669984D01* -X97869984Y-111760228D01* -X97812043Y-111873943D01* -X97792078Y-111999999D01* -X97792078Y-112000000D01* -X91307922Y-112000000D01* -X91287957Y-111873945D01* -X91230016Y-111760229D01* -X91139771Y-111669984D01* -X91026055Y-111612043D01* -X91026057Y-111612043D01* -X90900000Y-111592078D01* -X90773943Y-111612043D01* -X90660228Y-111669984D01* -X90569984Y-111760228D01* -X90512043Y-111873943D01* -X90492078Y-111999999D01* -X90492078Y-112000000D01* -X69894754Y-112000000D01* X69920457Y-111949555D01* X69940422Y-111823500D01* X69920457Y-111697445D01* @@ -11937,8 +12119,91 @@ X68706055Y-111766957D01* X68819771Y-111709016D01* X68910016Y-111618771D01* X68967957Y-111505055D01* -X68987922Y-111379000D01* -X68975410Y-111300000D01* +X68984596Y-111400000D01* +X73342078Y-111400000D01* +X73362043Y-111526056D01* +X73384179Y-111569500D01* +X73419984Y-111639771D01* +X73510229Y-111730016D01* +X73623943Y-111787956D01* +X73623945Y-111787957D01* +X73750000Y-111807922D01* +X73876055Y-111787957D01* +X73989771Y-111730016D01* +X74080016Y-111639771D01* +X74100280Y-111600000D01* +X82592078Y-111600000D01* +X82612043Y-111726056D01* +X82669984Y-111839771D01* +X82760229Y-111930016D01* +X82873943Y-111987956D01* +X82873945Y-111987957D01* +X83000000Y-112007922D01* +X83050018Y-112000000D01* +X90492078Y-112000000D01* +X90512043Y-112126056D01* +X90555557Y-112211456D01* +X90569984Y-112239771D01* +X90660229Y-112330016D01* +X90773943Y-112387956D01* +X90773945Y-112387957D01* +X90900000Y-112407922D01* +X91026055Y-112387957D01* +X91139771Y-112330016D01* +X91230016Y-112239771D01* +X91287957Y-112126055D01* +X91307922Y-112000000D01* +X97792078Y-112000000D01* +X97812043Y-112126056D01* +X97855557Y-112211456D01* +X97869984Y-112239771D01* +X97960229Y-112330016D01* +X98073943Y-112387956D01* +X98073945Y-112387957D01* +X98200000Y-112407922D01* +X98326055Y-112387957D01* +X98439771Y-112330016D01* +X98530016Y-112239771D01* +X98587957Y-112126055D01* +X98607922Y-112000000D01* +X98606014Y-111987956D01* +X98602336Y-111964729D01* +X98587957Y-111873945D01* +X98530016Y-111760229D01* +X98439771Y-111669984D01* +X98326055Y-111612043D01* +X98326057Y-111612043D01* +X98200000Y-111592078D01* +X98073943Y-111612043D01* +X97960228Y-111669984D01* +X97869984Y-111760228D01* +X97812043Y-111873943D01* +X97792078Y-111999999D01* +X97792078Y-112000000D01* +X91307922Y-112000000D01* +X91306014Y-111987956D01* +X91302336Y-111964729D01* +X91287957Y-111873945D01* +X91230016Y-111760229D01* +X91139771Y-111669984D01* +X91026055Y-111612043D01* +X91026057Y-111612043D01* +X90900000Y-111592078D01* +X90773943Y-111612043D01* +X90660228Y-111669984D01* +X90569984Y-111760228D01* +X90512043Y-111873943D01* +X90492078Y-111999999D01* +X90492078Y-112000000D01* +X83050018Y-112000000D01* +X83126055Y-111987957D01* +X83239771Y-111930016D01* +X83330016Y-111839771D01* +X83387957Y-111726055D01* +X83407922Y-111600000D01* +X83387957Y-111473945D01* +X83330016Y-111360229D01* +X83269787Y-111300000D01* X94042078Y-111300000D01* X94062043Y-111426056D01* X94102295Y-111505055D01* @@ -11963,7 +12228,7 @@ X101239771Y-111880016D01* X101269787Y-111850000D01* X111342078Y-111850000D01* X111362043Y-111976056D01* -X111381857Y-112014943D01* +X111378280Y-112007922D01* X111419984Y-112089771D01* X111510229Y-112180016D01* X111623943Y-112237956D01* @@ -11976,7 +12241,7 @@ X112137957Y-111976055D01* X112157922Y-111850000D01* X113642078Y-111850000D01* X113662043Y-111976056D01* -X113681857Y-112014943D01* +X113678280Y-112007922D01* X113719984Y-112089771D01* X113810229Y-112180016D01* X113923943Y-112237956D01* @@ -11989,7 +12254,7 @@ X114437957Y-111976055D01* X114457922Y-111850000D01* X118242078Y-111850000D01* X118262043Y-111976056D01* -X118281857Y-112014943D01* +X118278280Y-112007922D01* X118319984Y-112089771D01* X118410229Y-112180016D01* X118523943Y-112237956D01* @@ -12116,32 +12381,6 @@ X138542771Y-111455016D01* X138633016Y-111364771D01* X138690957Y-111251055D01* X138710922Y-111125000D01* -X142975078Y-111125000D01* -X142995043Y-111251056D01* -X143035129Y-111329728D01* -X143052984Y-111364771D01* -X143143229Y-111455016D01* -X143256943Y-111512956D01* -X143256945Y-111512957D01* -X143383000Y-111532922D01* -X143509055Y-111512957D01* -X143622771Y-111455016D01* -X143713016Y-111364771D01* -X143770957Y-111251055D01* -X143790922Y-111125000D01* -X143770957Y-110998945D01* -X143713016Y-110885229D01* -X143622771Y-110794984D01* -X143509055Y-110737043D01* -X143509057Y-110737043D01* -X143383000Y-110717078D01* -X143256943Y-110737043D01* -X143143228Y-110794984D01* -X143052984Y-110885228D01* -X142995043Y-110998943D01* -X142975078Y-111124999D01* -X142975078Y-111125000D01* -X138710922Y-111125000D01* X138690957Y-110998945D01* X138633016Y-110885229D01* X138542771Y-110794984D01* @@ -12230,7 +12469,34 @@ X94119984Y-111060228D01* X94062043Y-111173943D01* X94042078Y-111299999D01* X94042078Y-111300000D01* -X68975410Y-111300000D01* +X83269787Y-111300000D01* +X83239771Y-111269984D01* +X83126055Y-111212043D01* +X83126057Y-111212043D01* +X83000000Y-111192078D01* +X82873943Y-111212043D01* +X82760228Y-111269984D01* +X82669984Y-111360228D01* +X82612043Y-111473943D01* +X82592078Y-111599999D01* +X82592078Y-111600000D01* +X74100280Y-111600000D01* +X74137957Y-111526055D01* +X74157922Y-111400000D01* +X74137957Y-111273945D01* +X74080016Y-111160229D01* +X73989771Y-111069984D01* +X73876055Y-111012043D01* +X73876057Y-111012043D01* +X73750000Y-110992078D01* +X73623943Y-111012043D01* +X73510228Y-111069984D01* +X73419984Y-111160228D01* +X73362043Y-111273943D01* +X73342078Y-111399999D01* +X73342078Y-111400000D01* +X68984596Y-111400000D01* +X68987922Y-111379000D01* X68967957Y-111252945D01* X68910016Y-111139229D01* X68819771Y-111048984D01* @@ -12296,7 +12562,7 @@ X62787271Y-110820016D01* X62799787Y-110807500D01* X63917578Y-110807500D01* X63937543Y-110933556D01* -X63956104Y-110969984D01* +X63965262Y-110987957D01* X63995484Y-111047271D01* X64085729Y-111137516D01* X64199443Y-111195456D01* @@ -12308,24 +12574,28 @@ X64655516Y-111047271D01* X64713457Y-110933555D01* X64733422Y-110807500D01* X64713457Y-110681445D01* -X64655516Y-110567729D01* -X64565271Y-110477484D01* -X64451555Y-110419543D01* -X64451557Y-110419543D01* -X64325500Y-110399578D01* -X64199443Y-110419543D01* -X64085728Y-110477484D01* -X63995484Y-110567728D01* -X63937543Y-110681443D01* -X63917578Y-110807499D01* -X63917578Y-110807500D01* -X62799787Y-110807500D01* -X62877516Y-110729771D01* -X62935457Y-110616055D01* -X62955422Y-110490000D01* -X62935457Y-110363945D01* -X62877516Y-110250229D01* -X62827287Y-110200000D01* +X64671959Y-110600000D01* +X83592078Y-110600000D01* +X83612043Y-110726056D01* +X83647164Y-110794984D01* +X83669984Y-110839771D01* +X83760229Y-110930016D01* +X83873943Y-110987956D01* +X83873945Y-110987957D01* +X84000000Y-111007922D01* +X84126055Y-110987957D01* +X84239771Y-110930016D01* +X84330016Y-110839771D01* +X84387957Y-110726055D01* +X84407922Y-110600000D01* +X84406014Y-110587956D01* +X84402811Y-110567728D01* +X84387957Y-110473945D01* +X84330016Y-110360229D01* +X84239771Y-110269984D01* +X84126055Y-110212043D01* +X84126057Y-110212043D01* +X84050019Y-110200000D01* X87642078Y-110200000D01* X87662043Y-110326056D01* X87694508Y-110389771D01* @@ -12354,7 +12624,7 @@ X91087957Y-110376055D01* X91100003Y-110300000D01* X93042078Y-110300000D01* X93062043Y-110426056D01* -X93088247Y-110477484D01* +X93086443Y-110473943D01* X93119984Y-110539771D01* X93210229Y-110630016D01* X93323943Y-110687956D01* @@ -12411,7 +12681,7 @@ X98807922Y-110250000D01* X98792084Y-110150000D01* X114342078Y-110150000D01* X114362043Y-110276056D01* -X114406824Y-110363943D01* +X114404931Y-110360228D01* X114419984Y-110389771D01* X114510229Y-110480016D01* X114623943Y-110537956D01* @@ -12424,7 +12694,7 @@ X115137957Y-110276055D01* X115157922Y-110150000D01* X122142078Y-110150000D01* X122162043Y-110276056D01* -X122206824Y-110363943D01* +X122204931Y-110360228D01* X122219984Y-110389771D01* X122310229Y-110480016D01* X122423943Y-110537956D01* @@ -12516,7 +12786,32 @@ X87719984Y-109960228D01* X87662043Y-110073943D01* X87642078Y-110199999D01* X87642078Y-110200000D01* -X62827287Y-110200000D01* +X84050019Y-110200000D01* +X84000000Y-110192078D01* +X83873943Y-110212043D01* +X83760228Y-110269984D01* +X83669984Y-110360228D01* +X83612043Y-110473943D01* +X83592078Y-110599999D01* +X83592078Y-110600000D01* +X64671959Y-110600000D01* +X64655516Y-110567729D01* +X64565271Y-110477484D01* +X64451555Y-110419543D01* +X64451557Y-110419543D01* +X64325500Y-110399578D01* +X64199443Y-110419543D01* +X64085728Y-110477484D01* +X63995484Y-110567728D01* +X63937543Y-110681443D01* +X63917578Y-110807499D01* +X63917578Y-110807500D01* +X62799787Y-110807500D01* +X62877516Y-110729771D01* +X62935457Y-110616055D01* +X62955422Y-110490000D01* +X62935457Y-110363945D01* +X62877516Y-110250229D01* X62787271Y-110159984D01* X62673555Y-110102043D01* X62673557Y-110102043D01* @@ -12671,7 +12966,21 @@ X71057443Y-109417456D01* X71057445Y-109417457D01* X71183500Y-109437422D01* X71309555Y-109417457D01* -X71343816Y-109400000D01* +X71423271Y-109359516D01* +X71513516Y-109269271D01* +X71571457Y-109155555D01* +X71580256Y-109100000D01* +X82042078Y-109100000D01* +X82062043Y-109226056D01* +X82084935Y-109270984D01* +X82119984Y-109339771D01* +X82210229Y-109430016D01* +X82323943Y-109487956D01* +X82323945Y-109487957D01* +X82450000Y-109507922D01* +X82576055Y-109487957D01* +X82689771Y-109430016D01* +X82719787Y-109400000D01* X100592078Y-109400000D01* X100612043Y-109526056D01* X100650229Y-109600999D01* @@ -12783,14 +13092,14 @@ X100669984Y-109160228D01* X100612043Y-109273943D01* X100592078Y-109399999D01* X100592078Y-109400000D01* -X71343816Y-109400000D01* -X71423271Y-109359516D01* -X71513516Y-109269271D01* -X71571457Y-109155555D01* -X71591422Y-109029500D01* -X71571457Y-108903445D01* -X71513516Y-108789729D01* -X71473787Y-108750000D01* +X82719787Y-109400000D01* +X82780016Y-109339771D01* +X82837957Y-109226055D01* +X82857922Y-109100000D01* +X82837957Y-108973945D01* +X82780016Y-108860229D01* +X82689771Y-108769984D01* +X82650550Y-108750000D01* X83042078Y-108750000D01* X83062043Y-108876056D01* X83103392Y-108957208D01* @@ -12923,7 +13232,20 @@ X83119984Y-108510228D01* X83062043Y-108623943D01* X83042078Y-108749999D01* X83042078Y-108750000D01* -X71473787Y-108750000D01* +X82650550Y-108750000D01* +X82576055Y-108712043D01* +X82576057Y-108712043D01* +X82450000Y-108692078D01* +X82323943Y-108712043D01* +X82210228Y-108769984D01* +X82119984Y-108860228D01* +X82062043Y-108973943D01* +X82042078Y-109099999D01* +X82042078Y-109100000D01* +X71580256Y-109100000D01* +X71591422Y-109029500D01* +X71571457Y-108903445D01* +X71513516Y-108789729D01* X71423271Y-108699484D01* X71309555Y-108641543D01* X71309557Y-108641543D01* @@ -13155,7 +13477,7 @@ X127010977Y-108428911D01* X127065078Y-108547373D01* X127065080Y-108547376D01* X127150366Y-108645801D01* -X127228668Y-108696121D01* +X127222376Y-108692078D01* X127251907Y-108711056D01* X127259926Y-108716209D01* X127384883Y-108752900D01* @@ -13677,33 +13999,6 @@ X122956014Y-106337956D01* X122952702Y-106317043D01* X122937957Y-106223945D01* X122880016Y-106110229D01* -X122814787Y-106045000D01* -X142975078Y-106045000D01* -X142995043Y-106171056D01* -X143029131Y-106237957D01* -X143052984Y-106284771D01* -X143143229Y-106375016D01* -X143256943Y-106432956D01* -X143256945Y-106432957D01* -X143383000Y-106452922D01* -X143509055Y-106432957D01* -X143622771Y-106375016D01* -X143713016Y-106284771D01* -X143770957Y-106171055D01* -X143790922Y-106045000D01* -X143770957Y-105918945D01* -X143713016Y-105805229D01* -X143622771Y-105714984D01* -X143509055Y-105657043D01* -X143509057Y-105657043D01* -X143383000Y-105637078D01* -X143256943Y-105657043D01* -X143143228Y-105714984D01* -X143052984Y-105805228D01* -X142995043Y-105918943D01* -X142975078Y-106044999D01* -X142975078Y-106045000D01* -X122814787Y-106045000D01* X122789771Y-106019984D01* X122676055Y-105962043D01* X122676057Y-105962043D01* @@ -13856,7 +14151,7 @@ X70370516Y-105903771D01* X70428457Y-105790055D01* X70448422Y-105664000D01* X70446204Y-105649999D01* -X70444158Y-105637078D01* +X70444585Y-105639771D01* X70428457Y-105537945D01* X70370516Y-105424229D01* X70346287Y-105400000D01* @@ -16341,12 +16636,12 @@ X64973016Y-98664771D01* X65030957Y-98551055D01* X65050922Y-98425000D01* X65050772Y-98424056D01* -X65043170Y-98376055D01* +X65037423Y-98339771D01* X65030957Y-98298945D01* X65030476Y-98298000D01* X76808078Y-98298000D01* X76828043Y-98424056D01* -X76828524Y-98425000D01* +X76861527Y-98489771D01* X76885984Y-98537771D01* X76976229Y-98628016D01* X77089943Y-98685956D01* @@ -16360,7 +16655,7 @@ X77623922Y-98298000D01* X77616320Y-98250000D01* X88342078Y-98250000D01* X88362043Y-98376056D01* -X88409902Y-98469984D01* +X88389537Y-98430015D01* X88419984Y-98489771D01* X88510229Y-98580016D01* X88623943Y-98637956D01* @@ -16411,10 +16706,23 @@ X104826055Y-98537957D01* X104939771Y-98480016D01* X105030016Y-98389771D01* X105087957Y-98276055D01* -X105092084Y-98250000D01* +X105107922Y-98150000D01* +X105100003Y-98100000D01* +X111092078Y-98100000D01* +X111112043Y-98226056D01* +X111149181Y-98298943D01* +X111169984Y-98339771D01* +X111260229Y-98430016D01* +X111373943Y-98487956D01* +X111373945Y-98487957D01* +X111500000Y-98507922D01* +X111626055Y-98487957D01* +X111739771Y-98430016D01* +X111830016Y-98339771D01* +X111875756Y-98250000D01* X114292078Y-98250000D01* X114312043Y-98376056D01* -X114359902Y-98469984D01* +X114339537Y-98430015D01* X114369984Y-98489771D01* X114460229Y-98580016D01* X114573943Y-98637956D01* @@ -16427,7 +16735,7 @@ X115087957Y-98376055D01* X115107922Y-98250000D01* X122842078Y-98250000D01* X122862043Y-98376056D01* -X122909902Y-98469984D01* +X122889537Y-98430015D01* X122919984Y-98489771D01* X123010229Y-98580016D01* X123123943Y-98637956D01* @@ -16477,7 +16785,7 @@ X141173016Y-98664771D01* X141230957Y-98551055D01* X141250922Y-98425000D01* X141250772Y-98424056D01* -X141243170Y-98376055D01* +X141237423Y-98339771D01* X141230957Y-98298945D01* X141173016Y-98185229D01* X141082771Y-98094984D01* @@ -16492,7 +16800,7 @@ X140435078Y-98424999D01* X140435078Y-98425000D01* X136170922Y-98425000D01* X136170772Y-98424056D01* -X136163170Y-98376055D01* +X136157423Y-98339771D01* X136150957Y-98298945D01* X136093016Y-98185229D01* X136002771Y-98094984D01* @@ -16507,7 +16815,7 @@ X135355078Y-98424999D01* X135355078Y-98425000D01* X131090922Y-98425000D01* X131090772Y-98424056D01* -X131083170Y-98376055D01* +X131077423Y-98339771D01* X131070957Y-98298945D01* X131013016Y-98185229D01* X130922771Y-98094984D01* @@ -16548,8 +16856,22 @@ X114369984Y-98010228D01* X114312043Y-98123943D01* X114292078Y-98249999D01* X114292078Y-98250000D01* -X105092084Y-98250000D01* -X105107922Y-98150000D01* +X111875756Y-98250000D01* +X111887957Y-98226055D01* +X111907922Y-98100000D01* +X111887957Y-97973945D01* +X111830016Y-97860229D01* +X111739771Y-97769984D01* +X111626055Y-97712043D01* +X111626057Y-97712043D01* +X111500000Y-97692078D01* +X111373943Y-97712043D01* +X111260228Y-97769984D01* +X111169984Y-97860228D01* +X111112043Y-97973943D01* +X111092078Y-98099999D01* +X111092078Y-98100000D01* +X105100003Y-98100000D01* X105087957Y-98023945D01* X105030016Y-97910229D01* X104939771Y-97819984D01* @@ -16964,17 +17286,17 @@ X102823945Y-96487957D01* X102950000Y-96507922D01* X103076055Y-96487957D01* X103150550Y-96450000D01* -X110342078Y-96450000D01* -X110362043Y-96576056D01* -X110419984Y-96689771D01* -X110510229Y-96780016D01* -X110623943Y-96837956D01* -X110623945Y-96837957D01* -X110750000Y-96857922D01* -X110876055Y-96837957D01* -X110989771Y-96780016D01* -X111080016Y-96689771D01* -X111100280Y-96650000D01* +X110142078Y-96450000D01* +X110162043Y-96576056D01* +X110219984Y-96689771D01* +X110310229Y-96780016D01* +X110423943Y-96837956D01* +X110423945Y-96837957D01* +X110550000Y-96857922D01* +X110676055Y-96837957D01* +X110789771Y-96780016D01* +X110880016Y-96689771D01* +X110900280Y-96650000D01* X114292078Y-96650000D01* X114312043Y-96776056D01* X114346895Y-96844456D01* @@ -17026,21 +17348,21 @@ X114369984Y-96410228D01* X114312043Y-96523943D01* X114292078Y-96649999D01* X114292078Y-96650000D01* -X111100280Y-96650000D01* -X111137957Y-96576055D01* -X111157922Y-96450000D01* -X111137957Y-96323945D01* -X111080016Y-96210229D01* -X110989771Y-96119984D01* -X110876055Y-96062043D01* -X110876057Y-96062043D01* -X110750000Y-96042078D01* -X110623943Y-96062043D01* -X110510228Y-96119984D01* -X110419984Y-96210228D01* -X110362043Y-96323943D01* -X110342078Y-96449999D01* -X110342078Y-96450000D01* +X110900280Y-96650000D01* +X110937957Y-96576055D01* +X110957922Y-96450000D01* +X110937957Y-96323945D01* +X110880016Y-96210229D01* +X110789771Y-96119984D01* +X110676055Y-96062043D01* +X110676057Y-96062043D01* +X110550000Y-96042078D01* +X110423943Y-96062043D01* +X110310228Y-96119984D01* +X110219984Y-96210228D01* +X110162043Y-96323943D01* +X110142078Y-96449999D01* +X110142078Y-96450000D01* X103150550Y-96450000D01* X103189771Y-96430016D01* X103280016Y-96339771D01* @@ -18529,7 +18851,7 @@ X52430016Y-91890371D01* X52433007Y-91884500D01* X57885078Y-91884500D01* X57905043Y-92010556D01* -X57960800Y-92119984D01* +X57933400Y-92066209D01* X57962984Y-92124271D01* X58053229Y-92214516D01* X58166943Y-92272456D01* @@ -18579,7 +18901,7 @@ X86539771Y-92630016D01* X86619787Y-92550000D01* X89292078Y-92550000D01* X89312043Y-92676056D01* -X89344508Y-92739771D01* +X89362174Y-92774443D01* X89369984Y-92789771D01* X89460229Y-92880016D01* X89573943Y-92937956D01* @@ -18632,7 +18954,7 @@ X96807922Y-92600000D01* X96800003Y-92550000D01* X96992078Y-92550000D01* X97012043Y-92676056D01* -X97044508Y-92739771D01* +X97062174Y-92774443D01* X97069984Y-92789771D01* X97160229Y-92880016D01* X97273943Y-92937956D01* @@ -18655,20 +18977,20 @@ X99676055Y-92987957D01* X99789771Y-92930016D01* X99880016Y-92839771D01* X99937957Y-92726055D01* -X99957922Y-92600000D01* -X99942084Y-92500000D01* -X111342078Y-92500000D01* -X111362043Y-92626056D01* -X111394508Y-92689771D01* -X111419984Y-92739771D01* -X111510229Y-92830016D01* -X111623943Y-92887956D01* -X111623945Y-92887957D01* -X111750000Y-92907922D01* -X111876055Y-92887957D01* -X111989771Y-92830016D01* -X112080016Y-92739771D01* -X112125756Y-92650000D01* +X99950003Y-92650000D01* +X111342078Y-92650000D01* +X111362043Y-92776056D01* +X111394508Y-92839771D01* +X111419984Y-92889771D01* +X111510229Y-92980016D01* +X111623943Y-93037956D01* +X111623945Y-93037957D01* +X111750000Y-93057922D01* +X111876055Y-93037957D01* +X111989771Y-92980016D01* +X112080016Y-92889771D01* +X112137957Y-92776055D01* +X112157922Y-92650000D01* X113642078Y-92650000D01* X113662043Y-92776056D01* X113694508Y-92839771D01* @@ -18694,24 +19016,21 @@ X113719984Y-92410228D01* X113662043Y-92523943D01* X113642078Y-92649999D01* X113642078Y-92650000D01* -X112125756Y-92650000D01* -X112137957Y-92626055D01* -X112157922Y-92500000D01* -X112156746Y-92492578D01* -X112149586Y-92447370D01* -X112137957Y-92373945D01* -X112080016Y-92260229D01* -X111989771Y-92169984D01* -X111876055Y-92112043D01* -X111876057Y-92112043D01* -X111750000Y-92092078D01* -X111623943Y-92112043D01* -X111510228Y-92169984D01* -X111419984Y-92260228D01* -X111362043Y-92373943D01* -X111342078Y-92499999D01* -X111342078Y-92500000D01* -X99942084Y-92500000D01* +X112157922Y-92650000D01* +X112137957Y-92523945D01* +X112080016Y-92410229D01* +X111989771Y-92319984D01* +X111876055Y-92262043D01* +X111876057Y-92262043D01* +X111750000Y-92242078D01* +X111623943Y-92262043D01* +X111510228Y-92319984D01* +X111419984Y-92410228D01* +X111362043Y-92523943D01* +X111342078Y-92649999D01* +X111342078Y-92650000D01* +X99950003Y-92650000D01* +X99957922Y-92600000D01* X99937957Y-92473945D01* X99880016Y-92360229D01* X99789771Y-92269984D01* diff --git a/Hardware/LCMXO2/gerber/GR8RAM-NET.xml b/Hardware/LCMXO2/gerber/GR8RAM-NET.xml index 4458df9..56c0b59 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM-NET.xml +++ b/Hardware/LCMXO2/gerber/GR8RAM-NET.xml @@ -2,14 +2,14 @@ /Users/zane/Library/Mobile Documents/com~apple~CloudDocs/Repos/GR8RAM/Hardware/LCMXO2/GR8RAM.kicad_sch - Monday, March 18, 2024 at 08:49:13 PM + Friday, March 29, 2024 at 07:49:20 AM Eeschema 7.0.10 - GR8RAM + GR8RAM (GW4205B) - LCMXO2-640 / LCMXO2-1200 Garrett's Workshop - 1.9 - 2021-04-20 + 1.0 + 2024-03-26 GR8RAM.kicad_sch @@ -809,17 +809,6 @@ 00000000-0000-0000-0000-00005cfc517d - JTAG - Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical - - - - - - - 00000000-0000-0000-0000-00005e4199b1 - - microUSB stdpads:USB_Micro-B_Amphenol_10118192-0001 @@ -834,7 +823,7 @@ ef9de558-c2d0-40a8-a30e-1e763a5812b8 - + Flash Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical @@ -845,6 +834,17 @@ 00000000-0000-0000-0000-0000607c0f47 + + JTAG + Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical + + + + + + + 00000000-0000-0000-0000-00005e4199b1 + 0 stdpads:R_0805 @@ -981,33 +981,6 @@ 4c965377-ef93-41aa-a4f7-6f336ae07d77 - - 22 - stdpads:R_0603 - - C23345 - - - - - - - - - 676cc247-875b-4936-9ac3-4936c933ca37 - - - DNP - stdpads:R_0603 - - - - - - - - 7f02bd1d-3ceb-4b8d-a3ef-2a0349fbbc6b - 47 stdpads:R_0603 @@ -1023,26 +996,29 @@ a2e84b69-057c-42aa-8c36-49bd71c2b60f - - 47 + + 2k2 stdpads:R_0603 - C23345 + C4190 - + - b1655eaa-2a10-4ed9-badb-1b7a4eeb6c42 + ff089d63-d700-47a3-a9f1-a609d4fabdfa 2k2 stdpads:R_0603 + + C4190 + - + @@ -1050,6 +1026,21 @@ 79789dd3-0216-49f4-a141-1263f1baf603 + + 2k2 + stdpads:R_0603 + + C4190 + + + + + + + + + 853c73f1-37c2-41ec-92a6-da4e30ef355a + 10k stdpads:R_0603 @@ -1098,8 +1089,11 @@ 2k2 stdpads:R_0603 + + C4190 + - + @@ -1255,13 +1249,13 @@ 4878f9d5-72d8-4fbc-937f-0c70c5c8c93a - 74LVC1G125GW + 74AHCT1G125GW stdpads:SOT-353 - C12519 + C12495 - + @@ -1281,13 +1275,13 @@ 00000000-0000-0000-0000-00005f710408 - 74LVC1G125GW + 74AHCT1G125GW stdpads:SOT-353 - C12519 + C12495 - + @@ -1900,16 +1894,16 @@ - - - - + + + + @@ -1926,10 +1920,8 @@ - - @@ -1954,8 +1946,12 @@ + + + + @@ -2095,12 +2091,12 @@ - + - + @@ -2113,7 +2109,7 @@ - + @@ -2122,16 +2118,17 @@ + - + - + @@ -2250,6 +2247,7 @@ + @@ -2417,24 +2415,24 @@ - + - + - + - + @@ -2470,7 +2468,7 @@ - + @@ -2488,8 +2486,7 @@ - - + @@ -2539,11 +2536,11 @@ - - - - - + + + + + @@ -2587,22 +2584,22 @@ - - - - - - + + - - + + - - + + + + + + @@ -2613,44 +2610,35 @@ - - - - - + - - - - + + - - + + - - + + - - + + - - + + - - + + - - - - + - + - + diff --git a/Hardware/LCMXO2/gerber/GR8RAM-job.gbrjob b/Hardware/LCMXO2/gerber/GR8RAM-job.gbrjob index 2294e83..c05911c 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM-job.gbrjob +++ b/Hardware/LCMXO2/gerber/GR8RAM-job.gbrjob @@ -5,7 +5,7 @@ "Application": "Pcbnew", "Version": "7.0.10" }, - "CreationDate": "2024-03-18T20:49:12-04:00" + "CreationDate": "2024-03-29T07:49:19-04:00" }, "GeneralSpecs": { "ProjectId": { diff --git a/Hardware/LCMXO2/gerber/GR8RAM-top-pos.JUMPER.csv b/Hardware/LCMXO2/gerber/GR8RAM-top-pos.JUMPER.csv index 9b26df2..ade439f 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM-top-pos.JUMPER.csv +++ b/Hardware/LCMXO2/gerber/GR8RAM-top-pos.JUMPER.csv @@ -48,7 +48,7 @@ Ref,Val,Package,MidX,MidY,Rotation,Side "FID3","Fiducial","Fiducial",58.801000,-82.931000,90.000000,top "FID4","Fiducial","Fiducial",143.002000,-129.540000,0.000000,top "FID5","Fiducial","Fiducial",48.133000,-129.540000,0.000000,top -"J3","microUSB","USB_Micro-B_Amphenol_10118192-0001",47.000000,-99.800000,-90.000000,top +"J2","microUSB","USB_Micro-B_Amphenol_10118192-0001",47.550000,-99.800000,-90.000000,top "R1","0","R_0805",127.850000,-119.550000,-90.000000,top "R2","47","R_0603",107.400000,-98.550000,180.000000,top "R3","47","R_0603",107.400000,-100.050000,0.000000,top @@ -58,11 +58,10 @@ Ref,Val,Package,MidX,MidY,Rotation,Side "R7","10k","R_0603",63.817500,-96.456500,-90.000000,top "R8","10k","R_0603",62.966500,-93.027500,0.000000,top "R9","47","R_0603",80.950000,-108.500000,90.000000,top -"R10","22","R_0603",114.800000,-125.800000,180.000000,top -"R11","DNP","R_0603",114.800000,-124.350000,180.000000,top "R12","47","R_0603",69.150000,-114.650000,0.000000,top -"R13","47","R_0603",119.450000,-124.350000,180.000000,top +"R14","2k2","R_0603",115.800000,-118.700000,180.000000,top "R15","2k2","R_0603",86.800000,-111.150000,0.000000,top +"R16","2k2","R_0603",118.850000,-118.700000,0.000000,top "R17","10k","R_0603",68.450000,-97.450000,0.000000,top "R18","10k","R_0603",68.450000,-98.950000,0.000000,top "R19","10k","R_0603",68.450000,-95.950000,0.000000,top @@ -77,7 +76,7 @@ Ref,Val,Package,MidX,MidY,Rotation,Side "U7","CH340G","SOIC-16_3.9mm",57.658000,-98.933000,180.000000,top "U8","XC6206P332MR","SOT-23",136.250000,-124.200000,180.000000,top "U9","74AHC245PW","TSSOP-20_4.4x6.5mm_P0.65mm",99.425000,-122.000000,0.000000,top -"U11","74LVC1G125GW","SOT-353",119.000000,-121.350000,180.000000,top +"U11","74AHCT1G125GW","SOT-353",119.000000,-121.350000,180.000000,top "U13","25M","Crystal_SMD_3225-4Pin_3.2x2.5mm",107.100000,-102.500000,0.000000,top -"U14","74LVC1G125GW","SOT-353",114.350000,-121.350000,180.000000,top +"U14","74AHCT1G125GW","SOT-353",114.350000,-121.350000,180.000000,top "Y1","12M","Crystal_SMD_3225-4Pin_3.2x2.5mm",54.299400,-92.500600,180.000000,top diff --git a/Hardware/LCMXO2/gerber/GR8RAM-top-pos.VCORE.csv b/Hardware/LCMXO2/gerber/GR8RAM-top-pos.VCORE.csv index f394be8..0c4cb67 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM-top-pos.VCORE.csv +++ b/Hardware/LCMXO2/gerber/GR8RAM-top-pos.VCORE.csv @@ -48,7 +48,7 @@ Ref,Val,Package,MidX,MidY,Rotation,Side "FID3","Fiducial","Fiducial",58.801000,-82.931000,90.000000,top "FID4","Fiducial","Fiducial",143.002000,-129.540000,0.000000,top "FID5","Fiducial","Fiducial",48.133000,-129.540000,0.000000,top -"J3","microUSB","USB_Micro-B_Amphenol_10118192-0001",47.000000,-99.800000,-90.000000,top +"J2","microUSB","USB_Micro-B_Amphenol_10118192-0001",47.550000,-99.800000,-90.000000,top "R2","47","R_0603",107.400000,-98.550000,180.000000,top "R3","47","R_0603",107.400000,-100.050000,0.000000,top "R4","10k","R_0603",63.436500,-124.358500,90.000000,top @@ -57,11 +57,10 @@ Ref,Val,Package,MidX,MidY,Rotation,Side "R7","10k","R_0603",63.817500,-96.456500,-90.000000,top "R8","10k","R_0603",62.966500,-93.027500,0.000000,top "R9","47","R_0603",80.950000,-108.500000,90.000000,top -"R10","22","R_0603",114.800000,-125.800000,180.000000,top -"R11","DNP","R_0603",114.800000,-124.350000,180.000000,top "R12","47","R_0603",69.150000,-114.650000,0.000000,top -"R13","47","R_0603",119.450000,-124.350000,180.000000,top +"R14","2k2","R_0603",115.800000,-118.700000,180.000000,top "R15","2k2","R_0603",86.800000,-111.150000,0.000000,top +"R16","2k2","R_0603",118.850000,-118.700000,0.000000,top "R17","10k","R_0603",68.450000,-97.450000,0.000000,top "R18","10k","R_0603",68.450000,-98.950000,0.000000,top "R19","10k","R_0603",68.450000,-95.950000,0.000000,top @@ -77,7 +76,7 @@ Ref,Val,Package,MidX,MidY,Rotation,Side "U8","XC6206P332MR","SOT-23",136.250000,-124.200000,180.000000,top "U9","74AHC245PW","TSSOP-20_4.4x6.5mm_P0.65mm",99.425000,-122.000000,0.000000,top "U10","AP2127K-1.2TRG1","SOT-23-5",136.250000,-119.450000,90.000000,top -"U11","74LVC1G125GW","SOT-353",119.000000,-121.350000,180.000000,top +"U11","74AHCT1G125GW","SOT-353",119.000000,-121.350000,180.000000,top "U13","25M","Crystal_SMD_3225-4Pin_3.2x2.5mm",107.100000,-102.500000,0.000000,top -"U14","74LVC1G125GW","SOT-353",114.350000,-121.350000,180.000000,top +"U14","74AHCT1G125GW","SOT-353",114.350000,-121.350000,180.000000,top "Y1","12M","Crystal_SMD_3225-4Pin_3.2x2.5mm",54.299400,-92.500600,180.000000,top diff --git a/Hardware/LCMXO2/gerber/GR8RAM-top-pos.csv b/Hardware/LCMXO2/gerber/GR8RAM-top-pos.csv index e35d884..d6222a7 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM-top-pos.csv +++ b/Hardware/LCMXO2/gerber/GR8RAM-top-pos.csv @@ -48,7 +48,7 @@ Ref,Val,Package,MidX,MidY,Rotation,Side "FID3","Fiducial","Fiducial",58.801000,-82.931000,90.000000,top "FID4","Fiducial","Fiducial",143.002000,-129.540000,0.000000,top "FID5","Fiducial","Fiducial",48.133000,-129.540000,0.000000,top -"J3","microUSB","USB_Micro-B_Amphenol_10118192-0001",47.000000,-99.800000,-90.000000,top +"J2","microUSB","USB_Micro-B_Amphenol_10118192-0001",47.550000,-99.800000,-90.000000,top "R1","0","R_0805",127.850000,-119.550000,-90.000000,top "R2","47","R_0603",107.400000,-98.550000,180.000000,top "R3","47","R_0603",107.400000,-100.050000,0.000000,top @@ -58,11 +58,10 @@ Ref,Val,Package,MidX,MidY,Rotation,Side "R7","10k","R_0603",63.817500,-96.456500,-90.000000,top "R8","10k","R_0603",62.966500,-93.027500,0.000000,top "R9","47","R_0603",80.950000,-108.500000,90.000000,top -"R10","22","R_0603",114.800000,-125.800000,180.000000,top -"R11","DNP","R_0603",114.800000,-124.350000,180.000000,top "R12","47","R_0603",69.150000,-114.650000,0.000000,top -"R13","47","R_0603",119.450000,-124.350000,180.000000,top +"R14","2k2","R_0603",115.800000,-118.700000,180.000000,top "R15","2k2","R_0603",86.800000,-111.150000,0.000000,top +"R16","2k2","R_0603",118.850000,-118.700000,0.000000,top "R17","10k","R_0603",68.450000,-97.450000,0.000000,top "R18","10k","R_0603",68.450000,-98.950000,0.000000,top "R19","10k","R_0603",68.450000,-95.950000,0.000000,top @@ -78,7 +77,7 @@ Ref,Val,Package,MidX,MidY,Rotation,Side "U8","XC6206P332MR","SOT-23",136.250000,-124.200000,180.000000,top "U9","74AHC245PW","TSSOP-20_4.4x6.5mm_P0.65mm",99.425000,-122.000000,0.000000,top "U10","AP2127K-1.2TRG1","SOT-23-5",136.250000,-119.450000,90.000000,top -"U11","74LVC1G125GW","SOT-353",119.000000,-121.350000,180.000000,top +"U11","74AHCT1G125GW","SOT-353",119.000000,-121.350000,180.000000,top "U13","25M","Crystal_SMD_3225-4Pin_3.2x2.5mm",107.100000,-102.500000,0.000000,top -"U14","74LVC1G125GW","SOT-353",114.350000,-121.350000,180.000000,top +"U14","74AHCT1G125GW","SOT-353",114.350000,-121.350000,180.000000,top "Y1","12M","Crystal_SMD_3225-4Pin_3.2x2.5mm",54.299400,-92.500600,180.000000,top diff --git a/Hardware/LCMXO2/gerber/GR8RAM.4205B.LCMXO2-gerber.zip b/Hardware/LCMXO2/gerber/GR8RAM.4205B.LCMXO2-gerber.zip index 40639d480a18d2f0e425bb44be8797f5c0d7cee1..bc64abffdb69e54df4527e0a53f5db4011c3c37a 100644 GIT binary patch delta 609273 zcma&N1z1&Gw>G>93F(mTknTnV1*8!H0qJfL1nF2P-6AQVNC`?ww=~i%-BQxs@y!j- z`=0ll^MBX>d0m@5*PLUHxW_%l+I#M0#q#~F-TPS26p@hc!r=ed#J!`jICvYsqgcRS z&Tbem1mr^m7z~*Ztit8s?`SYqaqkzDlWelANHEwhEEtSAfz}^^HaY?ast4QPum7>N zPE*%@f}7B1yD)gKRQ~<_r)XIAcVvPzNf1ZulD@Js1bQGpa8$}ISc7JZ2+%4Vw8stT zPN#l4{WMLDNx)DZk}#2I(JQp(a(K6o^)>PQ9k-~F>F%Oa?eN zv+<&o9~(3q@paMbar%>GdfB`MNd=!g4|nMy?O_vA@&L^CZGoRZ8yk6*n-6NueYa_H z-2zuMNA>J4ExT*>$K{-xJ2IV@_Z?0iy<=$&VIStz>#FT6<&c!$qv89@8|vhkBuq;% zkt+M5`r=tzR|?_#*!9#E#D@5g!wZM@IV4sqRdapuMIY|zVP@mE1yZXVX(w#xtx|6d zA!-#hy3yEgZ=`Azen%=y#9q}XxR{5fT%OV~^^p9O&`{JtPI}FO%tUYGEvxJCbAhEM zYiY#W^p%oyEHNW}=`#O|+9H%N({9BIP3!gr=Mt(gucs{~9--{C@5D^JnF7dj>}*){ z-jEQ*O~j^R5wW~D=g#w%8LLL5q--ow{|@oJzCS$T-KT;axZKv-fJD^zLNn0vrTx0k zqjYP0hj5m;5|ayEvA3#_%<380!7BHG2>SL(2jQAB_AbZf(dbkw!L@&q`rN?l22VC| z^b;xJMu&T=2yUAw6+xutJSkNo-^-LFqQBmkyQ{@>5 z)$R*t%T_wkW6c@K#|-9QvWR5;KfGLt)~sNAX=6+6zc&^3{%LsAs*5?BSC>`y@voQo z6pe;hOpjId%s!q<>b#M?v;AN)MZQImt~dWn{XUegNXo$JbFCB_ZX+BE|Ff$d4MIvq zG`jJ3nKVaB?M^S5qxl=%D4I_XX>+S{s{!25<2NJyq>*omN=iRKVOF~B`(je zFA}aV3(qCcuNeaC&$zByFFPd8gX;6BFHY^Rce$WXlB^KH-fZUSw&b;a=Y{>kMe?(& z%Ppo>U&svF}j<&ntd@@f2jhUT0+;y*E_D)^Abb3*Q51U+hBCTbr5x}dO09*jRPFG zzJSjAt_h-c3a>Y3uYc8_cS&4i*I$A#pvtLy#K8tC%)de-ww z^)VN8Xb6J4n1!yopficsBZ>GUt?Q+;b7(gKIyr_;gCs8ZuMeUi*6Ym6!_=!K!>f3d zo%)Nv*T-P}T;lQ)I>Wg>iGogR>aT_*fRXjotCjj}t;;{DSGm`l64yb{#SnC+a((DC zi$7|3wWV@>b$v4QVFN5fmwi`-*V7QdcL*Zdgf6q|=}%O&A|a0@Iloh_>&yBxE&lN9 zJ;SSYiNb-F%Xa9j5aefn`-=K{x&Csf{-XYJ60*D+g3f0zPcQqbE`LM25(gtXpPa6u zAOfd6#$&2$ib2E8AfAc8^_i%f89fs94J6J#8^Y`A9&wou|9ng3#DCU4<3Y=%l6sTW}e`A$?@DRyJO3N%_8k*SPp`!WqTT1vE^m_-+TOK4PVR*pS0micy+ap4ax$+8=50 z{UFsArXPyi+i1zLhHhGauJJd7gcBOUs5>is+~Gng&c$9KwUUAFo(G8BtX^tpV0!D)sU-=7bZ~91C#q~A%>HTM%}VyT@!s7Cg1o^w$DgjkGyDt^i{W! zWf!hzw#dY|R5-F!d%tha!|G~Bt~igd78SbY++_>?SUI#2dea2p*o!?nU@;v`)ay*- z*G%BG=oL5b*7NG5X~+(jk6%=4V{gq4*REC}&BRLOwNMr}$DLD0`B%4^7O(v;18xz5*vmf}p$3j1lS{3`)SA|qWI+fS4{U&Ug`{i#~ z=!53fWg-W%F?p3zd3iggfqk#ec9zrFX!TSzU&5AUj+XJ4I5nYH@S^i3dOhuu^J!%;I;jp)P|nl#NN2rb3#B1t8vG z$smxgjD-gN?A&-Q%E5gzD+Np9_2?8g?_d6%jX7gi^B->Syqdg$@QcQldRs8S6~IZt z145Z}NaYnynNw?%8wVEBRY+}DmQ+zTUxVeUvsfO50~SBAIu+8ze(z3_b+0Y%W+jk6 zqEbatzH?|^1@U5M<;H6UAwb*1=2Xm+Se{(!D~3P&&8f&I^L>r++iGnueNJK!!20pc zoC=Cp=a=8}|DrPrhc+mO9G?@X!I19%q%%z7qQe((?&QV{6JR#(T#$t2Dv)#(Zp^?$ zgf4cNlt*wN-Z?cC1YiPe5NSTRwSg^D6U$?|)11nO4QAz2Z#ScZiI`?|D)Vkauou1u zF!nyRnHZ=;9LSMyGz)V=^X$LbV@lN$>ojTr;DH^$2f*bvrEwgnm*Nt-QK62Q#LNHw zcZOHz+~Zp=v%gH>bp(C@EFKR5jxzxdL;%Dxy|+$wfW*F0+h?ICmbj4jrM}9{KAZ2W zqFPjyDFCs>Zv;uWTY~DpbOn6ey>Xb+ygvr8`!_sRbs6h_)IiiqU~(D@ zKL+(XX{yd+d4g_m3m3WAf#5*H8*&Or2xi*(u8PbBEJu>i_65Uwc2#X-Fg3D%a2G}R ziSaYZKx$O|U`49%ljvtM7tfgKeb{5)|3#G|-OWhDc+J94?CL7wk0(K+Aa_8Y9)3cr zDsz#@OxuAIiqCtQ!)zDnM@?kj5*5a~fGUF?;$s$zC&Ax22{}m!R^ui-BiYT!@HYef zBbb`nyaney?}Drx@xtI;+SaIOutT1Bffs|`C-Ds}X9cr#G#F;`2c}#+W1$_7ieqj0 z5hhdYLuN#*hGRr539DJ$kX0hxmHLW-u<@aUnx8TAL{(kp;s*=8&s6ODHPolXh+_d? zk;VeD|CB%&m-I#nQx~gX@g(;B)-M%PBUFs{%d*daf}HRZp64 zcoKQvaho~GI?k+p_1AW!a)EDZLDYH>fTREjV-!-Q>bIZ4Y8+acEE9+>aM|d6!r^*# zb~5r(G**MONq7I`MO(tgvKS=>fde*Kt>hOk*tl#Z#=w;waHm4%=^pBaWid;U6aqriH42U5BMK7|iJ{rOX9q_O2 zYR3jz6V-7Ni~Z)}@RQftG8YZ(0Mc#% zDP#fQc?raXYj7JlolfSpCBIUqf@u1I3M=}qZcAbrFBjStoI!AHO}tQoL0#ePV%%a$ z9Af1%?^oBy`BF^0=Dpj6QNAR94GADEa3q-ehkkG$r~e79F5uv%q?e0v$=-N?J@Lr~ zzR22qaaYp!F3tnUXVHZg60hmMJ}uHk{6Jj`QZWNuvIj_4051A=A??stvQ_^n!JzfQ zOu&-}R+Kx+s05{;CvzdZ1+<2IJBbG4XPlrE5?uLxxPed-JdST?yI|gT3vjVN7y%B~ z6PiGMH6+#PM2z4ynJe+75;!}o7}lX|1edfrlv~;&rdO|*&H;12`i^&0^(7xY7jhhK zwoI6M^gIC<_qRDn+!oDuMYQ0xHnH0&Mr3Jc)6ZaTG7w=bK%LFYC9MS2h}d9Hyv6~( zlNYFnM^CQ~2dpFjqFA@v@qs0O-yBYQUr7Ii83efb2?qBvOXkK3+_!$ZI~!_Dz!K4; z=UQ}u`urabhd?w(WIy2US+#(~Z#G#HWAmSF3?jnC9{=Mk7$mSGN;{JxYz*QDufa_f zndAP3dy0;rs$WnFxU-Bt7Er?N zvlu`?x;y@aE(w&Isy?SPkc7ZG8(t453TO=CH4NB=l^acfx|uAvzm?a;mWnXw!K}C} zn0-R#>C2%1sf;C1*{cgklryOo{&az0TaX#W|#a8oK;{yy|2F924IDKv*k?>23ccq1-dB? zcg7jPV2KfRj1lLS2-Y7#ez`!qaEERatr$in?QAWDaO1Go4OfBj=@vLGY+ztOZR!ng zTo}8^MhRqqVE_Y0d?Z!t#NEf`u3pf~A z3U?N|^|lmj%2RFNggYD1j{ifk>xOR$n*UUu>)o+{qiJ9L;AU7&DTplO01#|n5HR@8 zErD-5^|+%tJxqS1-u!oh^LQ2r8_s*}U0?lG63npx&>9X18aTagN>Bd`rm7DCe9>Bd zV2tA#B@6&#DT2Xk3je;JaZ16PkFUNADuBS9{HF1mzrp>TRpguVY9S}6kJD_?SHBH} z2h@avIuD6K@^<-%a0>>!mNGHYtF51cs%|~MAqJeTA2X;pYx+Ad;Zo2IGdtFk-u>c) zcLX?HrBMEZB$5>ORf@lFS5EebINBmjwlc1d0T{05aQCx_)P) z2_Elz1%#T+yEiV2*JJ|VZ@ZTMNL!~lv16aF{vaU7;T>?7aQu676O-&h{Gph1+bld_ zMhX0nZ@QNiInLM(3GdSQ>LZ(sJ)zf@z=C&E=%%eqd_Z!UuLT`q52WN@PTX)INK`?p!3Z6M&@3cq)Q4)>PCh1L$-6I>BptVyMv8UO9;EhCAGnHxA$D&|Yz z429RLMdZ@yMiabFbU?L|f!hlpcNQq>C4DZVgppf7;Utvr1{-RGfOj|BK@&~Zs;-B+ z{^30AhvN1vY_E_(+j0%q9S=ykZ$!*1-oQEK0&XSZ|5P2kiGkJ*S|2b3zpYi`g!ggk zxiQt&KW_gX!1Z2uC`{?T$yfBB3~Ow(efl<4IvFFLfGbpl;1GY_;fdxX!L@ZTLUnk5`RWD*75$_ zmi1}vQkfQ=b7C&%g;J2HH7eQ4i;?*I6(Y>X)`wV~U+a3`9VhqtQ`Q|TVXH)Lj|sEA z?OO9%iIK8N>AZ+TIQ~2rGm|Re*!9-uG21eY?A%B4q4#sxYUEKiv=MwJeM(4nAJ3q2 z^e|~r`KUc_ozJ>L%9X_iVg2VKwB~ z5$F~b>-jdzY?ph?jDcs$r^&c%%C6ep@S^a2a@h&ndd>4ZA2&-yrp}Y!e^Fl(eKtr5 z2(t?yJxc8tWYjDY#F)j%V%lPn_UNI9T6imIjb}@PvytCzt!lO!an%TkF=?PV(emGG7*${UfRkm!6Sg+R3V|(s<@t+5*k^2K9#}9C@ zsgF-YX?UC>zkTQvsTVHpEX7FQKSziRuCmbog7CB9qb#-!RW0S0zdq1ol&2V9A!xRd zBTg=JR`u|M6~^cK{nd}Q{K9$RcF7t2XBmjqA9a zF{-hs|8k#5bMd?4kgm3Wzxaf%4n==btFErU^iTHX4qwByd`=n7rmytt$cOY$hBNDI z#^zjP&qVL1sIm$+B-;$FS0e?5d563)X9MfqhSDBsMXrl9?APd&D3#scHjEUZRZLwP ze@E@L;}&UbhrIn$#8t1?FwyD+RA#-&>pO0q8ha>KNECfO4;-{&FC%5huRV??7fn{C zq83nCvUTaRP2!GVQ+JCnzGPp(ysQrpOPN0#zQ>4K>2J~yEZ-3Qn=`{Va!1DS`of#= z)BVb?t;b7#QCpVFCY8wjz1NYUP$sz`cl+L!^>U~g=BtsIddbCdLi6XeN2S|qanSw1y*^R^vJD0@HWMb+@TeaDHt zXz@?;TX~(9?@E^*z_h4xF4^#BxJOpeqA`NR_$-TJ%BXy8(~k?&NoaEGzC(4}?Nhb~ zwwT>31*d3_$Wz>61qUwo93!fIf^riYlZ?nL=gnjpJiejRuC;2r3~p%mT6^6yM>G1S z`Ap`Ntl5~%R=kdNzY4_?7F3E^IN-j5T8Ou{Pev)=ZD4zEN5|H8R0p-TO%^}l=H0i; z7Wt&M?W1wQNAySJU)^FMLGxY59$S-2kE(uTZvz6l0Yx=5RW1{vnl4*O)gYns zf$!@~tepv;s%D_Stxl-HR+7O2V*sI$qsX)NK4BKRQSJydFJO$BH0pn9b%uWv1ApP` z7PZcj(ALsOzZhx*{7yK@qF5R%XvQ_*wV_dv?c!YsfRXU+lWHswKlK zotyi?|1F|?pIXjoECXHf;#aor(sF9H;&_f~Use1W`u-Bo9upsjI5<3fm4H^26r$q) z<~f@<9`6DHE$sl4WP$ybZrr1A#?Wli^=bMYxUsws0_q890dJsg8$x^mHaF`=M#(gh zWTgo|f)QMBjql+CJ=+abp&UKh|Cjl*M znLkTx!X+4bmK5@pv*5)a0^_^)aOc18|G}p{9^1Wvs_IUWia(3lBe;HsZwPcsUJphY zn*u6tywI~nd+}c}IRrn8yoo6aE$hv{L!y`bb`ugdo&v^zG;_Yf(5KSdklqvA-Tf7H zr^XPHAPL!8!I3FGzw}#gML9-7qo2M+#CQ6$Y)plR|6$6WhWs^f=9mqQemp{fO*%Qx zD@o8v1X0=Fao9s-S83XwRzcKbTp?sF>u2d4e%f%#*I3y}V32QMI8NmE@2m#}0vkRA z#thZePly(L?rCcUO!d*NFGQc~`$w<(kU;Yj9j%wVO>%D}B6hNd{`!!Q10=&M4P{A4 z|D(3vN&$Noad{s-q?xl!H8bAl=r`uw0l_Y_tFzlZkY?tPCP*t~RdyZ3=U8~3!YJ9R zeg4?Lj*e~-8}PvKs7}RSuelj80bz}R)}7;#f9Vq94U7=Lc7qZx?NN9KUTNM4B0Q{R10a(?i54P`aBgX|!~NgGG}cBB5Z1 z|2y@Fm32Jm_Hb;OBd(*B)iSe)dqoT^r%B+w$H*9*7drjbVCxW%Gqdh zO(Qj{BiIb}O&u_Webm}?17&)@_33$ELAFc&ga!AH1e~5`zG^OUk`&fz<~fLqpMI!l z$snLYx+bImtvys&vU%C5Fzgj;%tFen`@BhgId?EDuGLAw5&d(A6B!?e+OK8wZvt*I zeewfS9*eez{$@W>4+PHROs2(ZEHiNoM;3%+Bo-dZWShKf!*1~k-$WxN@g#6mUxo_J z@;7FMUe&>br*u3FP*gSl{-IH)lEto78Mm7MsSf$P71QzZRUPuQOY+@wl~7VG|~nC;=H5kPE>?>nlx{*`9D1(EQvg*!nJv)4#F`YZp7&&NxdHo8In{f5@J- z?ajmdo+Nwn(yo0wKcW(QaxdeiGAy-HFynb>Ck2yXI98PjK3?7i2BH)C84`+7|MJHS#*dyb{sKL*IvtBE*IYtoYeG zyO%fCIFAaV?YK)J$}qIT#psXL_5)MC@VuSh56pElCD(I8o0Q4(6{?gDvL%1hZ~%bO zd9$FZGq$j#^U$PQC#~qDmd9kz-PFM%(3U)R8w$20pL+GX3@dW4Q9#L9be)mI4F=w$}d3@(>YISHdeuo-ABvC1Bf zid$?EKuaood`t$lw$VCY{29zX6VZ+SUIY0z*bJ^Oo;*S=t--4n1GpwK0-#;2m3*>& ziP%1m?dr4L;$1htF3Rfv~E}L}2v4hX}Up!D<`LJ-pz-Iil7^KbXTpAc0>1Rd#P0$W7i& zAp6T<&N0u7$y|4kQ|`*h)(+s=ziIiu4x#@g;T8(u^4V*9RLg@4zW0(N?f%E`e@Xv` zY9RZ0A>Z!6u$Bjq?dsL$WE-rO7Iwoqx2mEDkQef~>igVx=OR>L_TiXrre+P~&=lcl zsu4;pEtR={B7%MJyv-yo0ssAe1p6C5y0~T%@9ea(gXDte&(IL820~=5jl&Xb4}*DZ z1A2iDP$Yb?nM8!0HcVe33%Ei04=^{W+Nt1&AZ-N0_i3a}V6wXtf|^%ErC4OO|D$T4 zf0vCpBMgpY)GhOgjnJW~7aej{mp3EJNIHxI65VCyc)9DCPsL-A|7`2&N)k*9|H#f1aYl|{M<&TvXb|}( z2?V!EKz9R4NcP2r_b&2DD+%`u+8L{0A+m*tK)3(rA(qN+o8Xzs%xbWZD6|j}>6Sr% zGybpP|F=F-xWEk{r(r@euv#7fa9qhEy5zrGT$|wixd?Ft`vVj=Kiel&<&7(rqk25@TtcN%nfngkKR?_$F6s)eRi=20wv+9^3m1i%`| z38qw{LDE0Z`GiuGXs#k3t1rX;>opR9J}cM&T@s>`OoHh}&_R69SsXzJxnG&Oz&bP0 zR(Q_h4{jxMKAkB*1#&oieQ&8vu;G%>1Ai_8eJi`44QBrz#(eS6D^uwVU$tOwWw(PS z3DoMf+D?9vxN?3RVB{bLj$=hhcB`al6@1pL6&7uf6%-+xRfVGP6J$xW%5P12SxB$SfhrE)8V;MUBkT>EM6)D$jhTkWx+F4~F%4M6GPunQ4!@o}>mWV?7_3{7j5c;=78y|`-_vL7r=)1-`Q4ql!hmxnX zGU1d{`RerCYin~Zl=Q*IWR-@$&`c6hUXAg*Bl-IFfeReiSL5H{z#ebFfqmmJ)C&jp zqT2Qsw@D)Qt4Sa!Lo;I35b!_%5g^-1NjujIUW4E@LJ0w-qLr?2rP!}#zGZ8NysL4w z8E!KYt@O^$G>w+1g^2$)9K{%;RLVC4A%v|#knq>Oll<_6BTZ!kIJ;p&HULh(hEHU` zZ%l_=8Cp%zIfDqYx4#i9wKFVix=nm*1b*_o@cUT*YLq*i#Nyq#KsZg{$GN}=$Ri2w z64~|TaDPN7Q>A$`V+|hA&=&|&jx<$zqukYQcqp&YcT&)17)0Ss&PW+{F&{yGmTai? zsxs-mNg_JuH1|88)K+NC8c4K~3%mhwu3^#6ZDE1%?pJ!lJxAY~Wo}VGooY~}|DgkO zbr@F4*Y?$ggn#mo_=U`mKBNdlXvRe(3B+yS#vd?=RT)Z8pzmM%AT`Pwi7@qRK9t(m zSlDWf9FrEjTWphK+BOQ2guM9cYb#=dtcfva5}EW(5Q7Eo+cbq}`(<@XbDTP?c?S=d z1hCeL(4>W=5sOj)F{1!&Yn2<=Id*P0Y7l@ZeXxv3Bw@{Y1gzX74jQr#d&n>=^D<=Y zuu$|yRR>RLplwyk=U{O2u%tG1#4kud;>ycvy{iKu8W`)Zk^!i=Usz}4J%;!#UV4|>~ zS8?@Mq=AF#N!=y4t|#kaLQ+P~i>EIWQ@@{O1<-y^*{AdHz>Xa$Srx&pD%SFRFY-jd zS>|)-byg6K2R1HmDkp+JziOGcNSJK6=W9{9=>(IM?BCsgMF&V9FQp8yA@Qu~ocLvs z=yIv_z169FwFNn3O09G=vo2zUd@#mV)krPdIiSd4Gf1N7iT)_7S_j#B)&}RCaCQ49 z1g_$L5l#-+S71{9n3ogX824%A*xEny?0}-5-Y8QnZv8N4Xd^}Dn7vm-n)v&;lMm~n z?cdCf9=jx>efO*+MZq<{MoUOihy4z=8QILry%-7Dh=KFFJPPva8qKDs&TO zOGBj}yYQ^&Vlzg%LM+z^(qbbG%GJ_6zTF(731qV@4U|jy4=V9HLvb_``YhGSdo-|06Mc>q+H;+$CFOG+g80=sH(gn__K3fDkL^aS$ z^4LiE+kWN5yZe`f4xDC5SHe$X`cr6|ZcZ!$z@%o@-={k1PbfZ=JdiAoqKJBFs>mAu zfvut%vM=;SRX*%PosZYT!Ix*Qm^5NyJUpS%yZA#F(>?F5U7&H0K~WS{$~03IkoxH4 zY;ymzLbA)ib!pp7g|DY2lVh}|A*+G%L+OgATOLIaU+|MN%1M%v*nC1V`8fT@QlGpr z+=f345wd_xMwG>kTXH{=LNCDF&DnN=0YBt;c5&V}NdJq89S#vf%s z!xJ<9iZ7)kT-p6GgYt3|bJHcfS0iP#DHQXjoHVRFgDUTeJ(^FCBE7*0e;v@Jm|`Nxt*>(_jJgm zDIysi(v! z-pr{hI&YroGMe%}$G9jz!2M(2P>OL^{^lD}7f~XU7a#M#2Y7uH6OOkUgLLg(!~%b~ z{V^Y5{l-;1J!EMfSD+dHB7%BxeWO(B<;N74uKjy$_;b5K5xI-ymRd8`63MA=%f%$! zpo)_^C+Z#~22t0CTe(AlKd60?NhUH_Udg%m#&>8^`Hl%teW9L?Y^UDA=5{YUCsAOT zzW^V19uAxqiS|>{w3fPh*779U=@}j9Q9Z~~p7wsuQYG=VhY@k&PWzW*2TKN7XPGB{ zotv-UR4qDKJpHP7R9@8G8&J)KJNv17@fzBK30Pv)Mt%2+E^XWHV*B=`F8PmT<@R_0 zQPaiK_hWvkxzc;EsgpiDx?^g_Pb@|Cr9`@RhEI41MnvLlCKW#)Vjq)9s|i1@DL$9^B&>Hq zCXn1ssc}FY2|G}mj0&}UU4GzQWzg$c3GL%QI(_;~y^Tn|nnAr@a=5g#h3XG&@l<{2 z;BdwB^OWmEcGC+ozqC0`&X$K7gIQQG;JOVoqQ%3P0sJv6UU*+*GT^w69M_JfEP z_QQI0BZ$9vca|_%XS8tc!7~3=LXC&RGoLdeYC6AK4#m(B+k3rbOka7x<$Ab*+HNft z#9i*|>LmMUh3VxNC%buK=4cEz4w@j5w$nQgX@1UDb-bFuLl+`f)*YI9b~ZhR*MGv+ zi-NNGyf35OqVta&#-@8z482k83T8Kyv1$2Ez|mp_slT%-s&h);9l_b#PxKMSp|;Uf zrSmX`!%!+#|Iy1>42P^-)YTQYC$RkHBIT^;#e&}TO4W~Ux;^6cB$lIN>HCq5d211& zF4bl{y<#Zi8hMV(QF5#G25ND|bnc6}6O7YA;WG6YN^7Q1w^lg>Dm8Si>xS2$)!IOf zF=g$Juf}JyPU0~x8m5`%v6+nGy|jZ$1*dwGjK8F1D6`5cl&7L`(DrM@SCJoBXtJ&C zo|hu!92Vr1ps?}jigFp|x}1}y7wK#{im#{ix^Yl6O?L0prqm!(5Y*|Z4b7#DB2nBe zZV2U)cm)1$!Rh*X$sg5v8UZIfh!+Pf#paFdA$G+OVnOpqRM#prXyocxn}8Ft01S5=I^{Vb(Y_BUr3 ziX~O3>uC47TVj$6S#xyU!V$-`SKVXBgS3lZgLC`XN5WbgS}PN>MRDDhQ7s0W1yQzI z6F8iTUT=PiSzb>R9FQcG~?ear9mf{VfT zB{=J)PoieT^PHlT?ZIZOuJNElXVUTUAiM^Z^R4F9`rgIOW|DRwQMf+WE1nngSIeHd zP1*CqFWWw@pXc0%*d3p2o;y;1U8X&C@0%JAV$qhT-jO@5!BIbZ?_*)xKOQ9iiOuln zMTaZ({Y{0_F32vVS6peLV6S6x;*)ox9(CvT_PwQz=0&pSo?({1eU6PCKjmI_HDk_t zCobZb$$lU-LvZu~-hQ%jJ~qxQUEOM~j8Cq?q4#^bCH^u}bIO}!_UQGov6fruv-wb6^cl^2Tzl_t?v-s`DS?!cFrV&B9y*&~y-<-BVX;L3zwA@$mZBU`>9= z>DXBB#q4y@>r^sV>b4?Pu>8@l&U=i#t`aQ&Vs)i1hrss52gBkoqdLcfI^1)-$C9cl zaAJzp&VO*|TTWMqB&4jnJU!GZ>YDdIxCvQAz zO6b7${;aa>&Tx7f`FZF5gT>#ka}5?DucSURy9GYuRHkv-D9#v4xdHpTkCs2P9y>7* z?|BcR@Qx1FcVN?}JV)xS!ApJE;Wm4Kd=<~|ff7xG`tQ3lIi_fn=onGecSLu8w4)7!+m{d^%@z+h|B?mb;OS z%B#$2sI_>CzR<=;2cON#HFuOjpxn6z;U0WXTr6zH%oUkx!vP%r(pX`39Nk@BpO-Op zU)g1M{TM33ZSI7J)NHXg_afc++OBSVm!xSSB0)Ph^Jg#sjLnu2I@iAp{(bWJXYbPo zEhEA!7M|MJrw%4?1 zcuca&)EAAFVL6ml?aV0xq4bLGPtO_=DdyWE@KxtL2<-%|%2I@>?C}4Te`#Svc(u5F zMK|;}Z}G%icKPXgi5Dar=Z=+i_DS}Yp02x(WiKnbkhG`y6QaKJMhPfW5hFK_z?)cM zeyVqGi+t>BSIr^%Cv1srWb*6%lEZ=eFY2Dyo9B@l?3E8P==SC>F1N*4wV#c9K7Z&m z@n`4t0b6d;eN^n9gZbS&QO2y^{nd*!lUjZY#5yYrloJXqIi>ngh`W^{A>U{@n^{)A zzMNJePFhq+ULFelC$?A1VHLQCI$123wVDt5T6J?T?hNbaep*_}|NYs>fT|4(v z%vx<(XPMDd*#r{gO6WLZlZ_NrqbU-(QlQ~zI<22O71N@hOR?-Y%8JmapS$-T?*4CY zamqBaP=4z;6Px(U`-@yD&@Fl=Cxb@~?tpKBFo_Uu+4s_NzNylQ1afqlmmh8i-RKN> z%g7(loDk(9|2J#LF=$RGV6z`XQ_5p}+K5IKx^7Aayg{}L21#-JYI*-RKlWnK+;i9N z;|=CsGDr@71Zq%$KI(IMfA@C`l4L=t(sJrR27M<6P5z}1{(Lq5A4a^rI%1)a9i&=$ z|7BI7+0NRF`*>UPqVoP0?}S1e!dm3%h9wChd4JuFr}$}_v2t`%-^8U#OpBN1(vFe1r|29RU(zNCs?7`i$KL9*v`E`tkOYn@8(t1&JmH8 zdOs|C6|Wti!ebaJcDvg{NT@O}7`^nkRN8!(aO9n#*c02QL@MJGN1@qP7}1Psr5Y>@ zUlv#g@5OiW?sANCe0k04Zevo3S;7hF0cfmJ^6+s<)03T1=C8ZinZdVF-w^QKa1rA zUu!!*!R6F2@f5(1`d&Ixt?FQlDmfh?eDJUDxVPHC`M~YK0=_w~{|!@@-U|i0HjijwiMx7Sg zDyyy0I6_^|03H-e=HPSZti4m6G@OP6WWkJ{d)Mx7JMWdR(7Oyy)YU$ph~ZBb;aM|i z|CS-v@wGH4?cr$^)^MfjakE88%ouiqyzb;iCBbQ(dE4xAY7|P%W)H%B2qJY?vJLlK zk80lRJG(#KL!hwyYzx68lqtd?i$lDztWxbU&Lc^5l`(%!)4J`%6rmcJV_(LMYqw}> zZElP4HQ&@@=rHfJW&XDlj!mqCWJff6mL5&~3MEA;`YEFI2BE}WSc;Yh?877h{>t+Y z1a}yHM;cKSqAQk8h#wRtL#|DKk;4}sSTU(?E&UYuegQj}T@&D=v(i|BkFz&Yz7b8l?LYIEn=nbABz1d5KSGgE^SF(i{H-h z-yDp2)wxuD^vizWL*?jd%h{JW%`J_G2CCLkp>oo}iv^9{3Gv(aD#{=m>T4;b&r#sR z?n+|akE16zjR?a2*PPn+a$^3c?h4ZA0JL+sJJz!?XoQO z>-Ym{=CVAxii~!x>IHEJceSGkag!8*@{Goq3ehIvBJKSB2KSOfosK)KD_z1a_fB%x zgyT=X5(#>|3K=Sz7O#SAhbl*W3eh7jgazuvg|SxU%XQh-q_Z9*A4s*^U^!knd%NJ+ z{+>~d{^cV+^4)nRB(14rh0Y>)ACaQZwbJZ5y?%pF^7TyGi9#f+)9m2iZmHJ`pWVgS zb-vQGwbrOrhkZ-V!0Fjk^jq@qTVC}#jY`YQq>gg>nJ!;5C~l(-nN%C(Gfy5~%oQIk zyFD!uFD=xcC7s!Sd(i8OMF#!Uv~zWVxqeE1*lZHGh!CWR#=MDNTq44Ao>1lZzW>U6 z@9D^7y#s4i4U|^Dcgz(Nqf~c^VYhg3iSclBw%);}04#9S)r{(??&^$+B z1(b&6<#^16{2X$<9<0Kts(_3ert1%W+l-IbAN)9b>2=J7S$R&3GIfcO9eO+Hx(X

@qj9Et}= zT!Sug^;08T8-|N#&&1vHneDIXM!p=Kd;S(Zhlq=M4`)n<{7RttJZ<2jE{GzfV{Xgv zenRH{5m%`{2&Ha(wq9vw!2O)Kcggjbt7YZt%AEHbF!fXT@0Q_e;?}mImHGL3LdWd0 z+Di=i&e{5%B{J7*N#Azep<8Ky@)F}fGJcf@6Kldt>|2ycCAgOrF5AkfI@alK&obiuH3p^QS}Otm(CU*U40 zEG2l2xR4Qh|<7L>4egNID1`9qfd)+RF0-`2sLyjYn9xG;q>ts|EYbVW60KH*|D4 z@bkvVN~nfQYNJr$A$Y zs8E1Sk*<+$#To%j-h5UL(5fBz5youL6~0JZD8POns9WCWw;Y4i2n(k%;d}Gg!Q6eE zNJg?F;#S*;U${i}wc*SLo>Sm7FDva|r+ML0;d((Ur(*=a)JoUFM^UI3T!=32iq$uT z=1yq4zw+xZewZFb;r!`=MD%;d`Y?RSnCY-Ub;>_ArgV!Ib1fZzKQ7U|Janh`vQ0D0 z3|~=z9t6E?!>zYw$y$-To1E&VlFc{v^w`ic=K=N8POlVZp~i01`I7nle9k)s!vn~Y z4+>G|yRY6vzc}xWc{(+JNy10_D}Yh-L8|_RWF%e2+D9h5X-gIHe8+6RjfgKCj2;=U z@>-UAN;$yct(XcuWBH;Szw>Fp?m*K_=bOK7S6-g=fDdTW!o(uj?#9upU0(@ zm~G^Z)#4v&RezZ$&M5uiU1s}~TlIs;mucV!QP1ypCp|h=pO>3-QnV&d>Hc2YyyReD z#}|e)ods)(dY^z3TKpz6r+ilfGrU;S-O?^k{V^TJU$nf4n(@*HHnA#y?s-w9lf5-K zbR6y`bVPRjnxtR;rqei6jUq&cNLME@;j}nIq$^%=@||(EDQ$e5R!y(t$69bYJ1$WW z;z{*Kr}mK78vR98c31f*L^5Mc-j5$Q&xkuJ#%f^jFz1!|>9qQi5Zodd8dtpcbzKl{u`^DynKLic-X5${Jh5;k zd7BUm1)rM;=LA2R6FS3>CY(iP*q2qWBNEXc!;#coz=`e($LJPC=6%Z|E=9Z7I)%Cb9)#TgKfhsQgFY z#p=8JB&TNf=(;Sn^;1=Tw~5fY8OO<5VhAb8TZgZHo*~Mvy4ayJTYXoOX0Vv;>!{u2 zspQw)bA@Gk_(w8SBk;29_HuX~AK!4O=Gr8108azg`lRx$125AagUv+`4C$s$L%iJ1 zGz=Abp2Xy+))9YPXG|KH{^LG;KzD0#QR(|o6D~r^S3(9gaq+v+r*%@+G2wcICI{G9@+ULM%p2Cp0%D?HlHD?B)g)^evvM1bDlhN?F%N|^`4 zTPj7s#Db4X^-L{F^($1FHshkMJ@&&}BzYmglwf%ya7>w9$ep4R&+e&$fEg18lTNyL zpbB1==-t8c^igb}Tomu{a_X)NRwN22DA^iE`QAFn|jed*{g3 zk7bcDH@i2{ZR*R*X5X+%3aRiYLXWO{f4~R?hxdLflN1y*|% z_SElkkbFd3#uPf=9^OKv3JWV)E>rESVo?@H;ETfW){T|!3Xe+UcOypR&3;LTJcW9V zGcsn@j?Yi(fY3pPr;kGY*cn-djYJJ;HW~s>4vuYIYE<9lAEDu(4d7Z`;8C{r-iNtOiJkfdo>?U@b5mS;oc0bL3A;{_|MnUtDxR z6Df)3p?4_BHHe<8PQ?%YP_GWxwVEijp&F}}$*W_}i>V#8ruV=usbgo#s2Q~unrJDq z>HT3}I1wXJuQPSm<-&VawK}}P;HY4tGy0{@RJ@Ap)Q_s@k1_hrIv264>eY%ORL5s` zP;vC?VK=)VfkR^hcEy#fwqv zgEk@`eapMChKXZKK{jn(P_ zsM3E!wVMCBcj1K1kZZvNr2uG@&GtO#97XmNeBY9+ke@njKVIQ9Gf?lN3{?9+4*AUv zvxA}3t5ddE>(VK}@L>}mWIv_t*u+3C-u_!%*=a@R<#|Uqx8$6t#o98=!HT@vC0) zgH^0qQ{el(Etb1ikS&&#l-msPKIL`gGq6Yx^4^BpV)fwy)B73bbx_NJ_6K`$pwV}a{plIOicZ_EAZW=#7N~y{8cngq>Li#3 z2gm7yr=FYV@rDh1dVZa0GqzZ!*X+h>zw?5|A)ae$lc2%7WV1Ri!A}fs>2tXq_6L#r z?c4{R9o1;;Fe27bo*Og76{PTc>g$T0@`Gn&M0=xEuqKAp^H2Z|I}iO8pMJ>6<5Kio zSGpcN%sRV9g=3%@;t`OX9o3GzOr6}g)oqIf-to;0cLt)7c}0}8BxbC@9bY;$MNY$oV!$UyC(BGFQ=Qkw+(v?LO_QBeU;2< zY)E5%rRM!=0)pV-_6EuTPdCs$@GOw>l;>(DhZS%D{k3h-UX2DGgUBKc zlG_GQdp;=K2U3?e%7)I!V0u8}6qH96@E-sVOQgD|8l5s=2tZrFJiGs*nSuPX+gRXE zC9w04kD_LVM4A@871Pgl%?z69r)Olgj>NyQ)!8sl%sO61DgXU?OqZ`oh+Uv54!yo| z14p(h-3Vn3OO=gw^koQoMrH`QZt-}DCLs$DIK*Kg^$)mwwfnG;B3?f)+Q41<0?ihU zm**kq6{HV3UUsb7bfA{uKL%s~ecip+H*jj)5)4XxJTdY$TeN7rJ6d|h8`@zZ)eN2vLD#|2??5fA z)hRUuPi0L*_C<9zP4y*pHm>+PTNk$L2P~xIp=A6RUs>!~NfZCt$>9Pn)HtOZ6WfY3 z4ZlgLvT25af*;sG{`2KMpt`Ez2F~4&?l&MDHrAOC^f#XxL(t>TZ97ocxr;k=I2~C@ zsgL%xv|w2w=!i`mVUn>4f;545wr$rldP30M-FH)KNQ2*Nrqg6LL>5bNvXYvEn~hdC zdPC61VLfLDir15>Wzhq(#$UY?83J8( zOxpr0IGX?|uB`Z@c3x!Jy2w;y+0n+M%d%TzOrWd?+%0lOGqdKbgeLPnnIW702t+=J zul$vPi&dW1ls_cTBJhrkp?V{ErAv@QZh#&fQGX8bECnN)CD%_;bsb@1EZF{{rOF0M zYG*!$luKJ);6B~y>4Gz915u>t@ zdPbLkeVoiI1Ep46OZva>O0pmI)FkQGj`fAh-sZs@@@?%VGIzdVucuvD6U6#;oK5a! zP)(0@s!ItpLDY_hn>%T>?2?PhJw&9$OMHW#3(^+Ms={zIv+SRjTk%7DgD5xm-ZuWH`i63KA(S0LjQgCRUYJC-euc>q^PHi#)()I zrV{^{q=neAc=Qx~S`F$&} zyzGaJIKML66GG@?P{?f;G<5E;d`}}YZ9-%tNIEPtXaj0_&l9BrgP!4z8w#(OH(h&Y zm=)02PsN8jFDTOzPJv;My76ms5f3EHZ_i5OW(ASE3~;&;xG7UBV%6-l-I;%dHfi_t z`ZYuSbS7osL`AThn~{ihLv;G_Q{q6#4dYQZSFkJ$Mc{EFyxYfzim8w8 z(KpvGT`MnGQAw~@>EbhPj!H#6ZuATa3Z~p5gh}wX!sdA*Wm9E>uVfIA9lwasnE zhwv7ip$qDx&Wo2p=Q}y!_&!u5Baw0fxAP5BZQzdy1g3n_Qw@c8TG(UCN9OSk&0(D+ zc#}rW%{4HFoP1IxHoL;Rw`MVlW4S9{(D^BZMx4$ z8$k7UEf2jArY#7TtoL@Z;LaUG2&)hVVLWU93M=uc{lg+oYOMSm##=~>vudl+uIJ?279Otm#&X|r*IQmV=CQC#Zc7LjZc?DEbRn^#5NuN5 zpk69ld{W+W>!j?HVpBS2R{6W_Uctht0~648C~WVZo`E#9arUPn2*bx1>@V$9`LNzg zZih@Gx)ZksM*+p*VS&s;gIs-%ghtBZeG|)^EE~uvu9F1w9uQaY$Z?{@4L{u z*rv=O&%uxLE1EOZQ|XEb@5{pNe)63u3i_t|&)Qwh{rP6HxaaFDYFMb<#KMVY-f_=+ z@JoAEcalYUHdhgjl@`g%7n=b|YaDyB6zN6EQKi?@ijm?xzBeoPZQXNYZ1vYXMN{JQ zjVm>93~uG|Gu7@vqlaU?7C6zk=bCW~E3phL_kU_{j}0@2YD_O{u|$*rZ7$h|YaSxf zo~|<8KhJ6Cn?62kKY9^%%n=#2b#_nMGp{RX`v6#ZlfG#NNPBvo^dEWR*z4V*Z`uae z-Z&^=E!dsEC-33!JehQjM(WCaA9t{N=y2qf9-j}HmQH$c=JV%$=W(4Ou*CWDzTth( zj(-m^-r36BnS=@WSX*54kgP0=9aAaX@%xn_so*z3&daI$6>DmtGupBwQMr|uTDlh2NYytDTZ`}BPIKIGz{dN_(bo1ZL7Na zNGqy)bTaX!`Yr3ggnLrOO%+i|#-=A_1!FMCG^vo2Jvy>nz|Tu(Tk=HBv{61ZP0_Tc zBxfj9;U!1;df;&Ymzs;+XLgvsr^C&G;h@xUc>;Gd(ePtIIPZ@~Z|3cm>CNOOzegbI zY<8?WUnh)%d!&G#xZ`->v9Xz>R#ZDM#&$EXrs6Hy`ht_rjrs9IV%=kQ3a2Jd*sc?o~TZ{Nhp|V)GhF$+O=6*p!)-p;r(PWaP z%l9GXz-YOh+XY(Bt>&Dcg4S9-Dqs3qW%zAPE68-oL@iQMS3&=t{K!W0`y*%mo@cV5 zWBR5=F=Gh5oEq`tmIb5c(Iw>&FFyC{;0V2!^u(24O<2cZ4*ku<>cQv%4{^3LpJqom zKlFwj6zR)$p`MJ-K=MZ95|;bzq1#d!-h~ZRoZ+0VxNM zwp&nCZ*3$8(Ub4emNjxu{n?IB@V@AzTqD8}uN+gAeT}0nT9lyU1dsITg~D_xx|N4@ zWc`hDU*#UNnU9l3mN>o8pjO&ZU{=oMaG+GPgiR3>?a!7hC)%A9bfv{rb%hS1aqK2z z(HmzS33y#G<1#}V@WBmGKmH{a9;IsF{+Qm-KSlF*gzy)K+%K<=MtJhXdB*h4A`wE%`fme(Y)O?t}NId`)2Q{*JlM2l*52?i>ayHTwdL&Nkxv zNW6yQ=|g`uy);a%JuyTOLXWuep(I?&mq7XV+w3U%a}o2!&@^TxZ&?Ogyrd84kA;I zfkon{%gKTqh)w!j<$5)Lg(BqnyqBXJCkAl=2U|pf@Oh+nL(h@@Nt; z<;g!WNl26_`Qsos4QZHI@0w1S;Ysh^lX)D0C&1rw#1dc{yZ~;1yH{Oygbhibc(sg+ zq)$9fgn~1QiCHiS7Qc(XpnV;HLNqXrg0Md7{&&z@zcGUBI8I)`1s$)!1(R5Hk>ML4 z^kM+_y&MdnEr$7swur#a4w<50yZ#Y|HdY#We~rv|%mh+hx`yO(WbDRVC850k7znGt zlK(}E|H*MDXO$xQB(;s|CP(U$O#U=zC#_>$r3&@d>*TCxeI*~R8-+( z56)!{?QY0r2K$DFW2NHthp$VPfT|gd^DII#eopY2ylw(U)C7Z+2U(s4_K9_~MF`k9 z)bsoY?~KSTCBihnFTYNt-~vB-dLcujjy=U-T(Ph`T~6kg4Y}er<8n{l5C^MPMn@}U z=}pU$5z(*e%CFHGtvW&aw^pbqU`M)Bqb@Nd+JtSzGai(Ai8=G(h72{02c0ANCZumu z-@z-K7Kba~OPCd;i}?lzUSEWUu~ z7w1nIRyT%;uVD-e^CW(aZmkHw4M;)ZN>q<-m4(a8h$+geMu*18ui?mEFk#5Gx^po`jS-Fv+@w{k2#ShQ&(K6st2#ySZz-$sWK zwGLeRtW}wW@LsECCqe1>qny3uBXD^YNHm>nRhiJapb*WD;GPGutLWV)*QE8HSF6}hIQ)KuK)M|AHRCw&OUS*QWYws|@ zW3P7w=u1Ec!aHO3&Fm`aSIe74GjCySW<>Ha+%om^4JJoeYoqt?9@IqMZBJf}fGqPK$mi$$;T^P{`??(fe*-uuXjc#D!dpsbc(HOf%AWwUispD;L( z^1iT6!c71MgnBhpDFl=(<_FCo5+t@dxln)RBOS(%$c-96maRIiN+D9a5x=%$Ia8(Zl^_)y98(XTdToN*8~3#QzNUd9F4ye7)=u%JR4Lq=T_VN+ zb^Rdo)?u{$?J!Z9s4-ooV7gz;5SFwJT727SVt_meWJ%*xSB67hCP3aHXSG*EX*g)b zhJj7!O|blAodlMpDo}>*a!_7dI}bJI&mN05@6A5q%zPWIUzo}M8Z5cTKTIZo>o6jv z`Bs9e6x@GjPQ%<3D@?#57C*tKw@9%&S`WR|hvLr2atPM00&%d3L0H3k8T2?}hX&M> z5R52DrxU^qF^}_rx}NLgdX^#UD&tSyJwqsA?mo1#w1tiHXdxE0zQlj}B~f9;Exvce zS;i2S+GUL}tZXwZ9t;}7ME2KTF7rUEMo&OLf%Zv7Ru+OW1nr}uEr)8u{rWDJswjD& zvxLi5i_n8yyeLGj0?(hB2y1J>FkVPCNp2b}=7Xim0gwO_8ngoJwI*ZM1DiKaAO9hb z*ru`cN5jTt-hy5S{m-Ly{{=Aov)k9egGw+v4hGEdv?1?tp6@g*S}TytXS;D8FP|UV z1`YiW{ZZ-#KmH>6kR@Y$->}+v4r60pRcH>|;tRnyBA#;}niAgohF!1re)+uZH@4vV zz8%oCF>F)Q7cm>~Z6n`Sb6`M~PFr}_LOas|O&iByq~x@TSB)$+)`@MGAH$mK?cK$k z75?t%TFtr!tkG*L1l!ksLawDJk1`ysI!JFBj_emxqpELCqhHrrmA|5r%ik^YB_iP3 z-pc1*CMZP2gP+@Uck|c6Ro#b#>{E@DZ`*~h@DN;_-65t?Iy&2Z1jXj@PKv2p&u^ol zr%Tb@%z1T3zqo@Vz@x&1N!BRT^(BH{f`}^*YkvMr-H^)h%0waw^sN&e@Kt0eCTakt z!@leJ^JjcBcfqey>z@M?m3ZU6r&ZjR`_|9ivz$$%aT>xMZkhPM01@VZ&SovCFqqhK z;}Qj62nAaEx$+iU_kl=yz%}?M;K5gHJssB1Xg8&gKvX<02x%w!*%^kwgTRR)L`XhW zDbt_q47QG-#H-fC#|z!zjz}oBvD9wi51ntHCtSFrsGig0zbZS+!&~{e?@f?r95=vF=ws%AY%P(;ft%zb(B7YJAJqU; zX1@Mb6%p%f_-JKcIi5}QD)!$Hf=kXywQCHwZz51Q8QoGD9yh-BT^it3?8eY%?jqXl zH66t%U=%3^*>?W}W@T~>e?nT$N8kx+L#wjYf5Aq4#a=45Y{J5Aq2hBlq@wvLz2PHZ zbPY*opt+j{x)p zr6C%77O!b(PU!>ayGR}hHXw$?zx+j6nXOv>cGm&DAsS#5I2vdFrLDDBrlT|(aj(4a zd&XL9y?EutKQmVT9byIgSH?<>`fCEG7OL8-)7_wvTgYMk10(YV%$9%Xu5+e;hgSLj zWTnh8Pk-w?{)8l}K>tcv`8U)SKxBClQeE{0+UW$H3GgZi(#qdG3#Y-9~b7j5tBx0x}U0Oq~z6?%NH81WZvHT7`7M8|PoSBfM9UZxvi5 zScUuQY&gXgTZAs;95*6B=&HoRL{g5b@Fk(g@-R^bElo`4_%QP398k#HyGr;p`sxe-T^RmT%HW zZjw!th|{xx^m=z%a9qC`e(*UFoql*qI>Uw8u zdeRoEQegT&jX0!k-X~_;NA5X2+ox{`&`05)_$2_Q30Y_7KBCSbQSd%w%2yN*YDa~- zsXX~9C#10fC`O^$ifXcW^oIXG@|+UGtrb8uSEqyUeo8+FZ;-1EL{v!l#}J@?0F0FR zx%|BQ5=x&#O!m5vAY1Rf*=Q>U6O-<@L%Mh z&b!M1gyQ(}@`VOiLjZ>28B2Nycq)s4-o;dt#&hlOR~d)GaTUr|9+Luyr-04*MD{*Xe{6b0dx>T0DSm?LW8d_c`7&`z{ehtM@3#r0oWFz&RQZ7 zppnU3;mV|v{f!c9zq5sN+KBG4MZI;y_B?!P&#lKnF=_6}#I=;ZLot+fPuS?id`xpUG8+enYDnS54)B7mA1zc;vm}%+TE`s4k7AYufh@tOQOE*@-EVQGL>Pv`F#@L12m*5A zBZ45OP|T`_BW6(}C2|&(OZSf?c3g3_@_hCIgBO}AeoD^WhjwIzfU>mWQ62EnpJHu& z%Lm))lpj8f?&ItzJ2W5>Qg9;#Kf4;@LO7OL9=xcmoq%L*=7SV!(WPs zfF34747;@qa@cDmF>7i+l7@nN^@w}HvxoR#kkEi#96ynEvED<1h!}3pkXN+YsgDjf z^GEd1EM+(D->n_|--FN?mHGPYCJ_?~=uA*v{Fa_5|5ShQzOvACa-=jCyi83?B(?iwK{4OkEjs zO}jR`C45HKu+(=gC}S(N9?jVOS$zxnIf|tSdf$ori_Ood^N>*7Z;P&|z2Z(0>Eyhc z7c)A+=b;%r<@$z$SO_O;MSL@7n%mlj$Yhe1*`~;YCQ)H4WjeQ;Qo>eitnFHv z)T6{_`j)SpNt;5FP0q8PY?hKm0fu;Gc$=bL5sxkURS=E zo{@)dDZI{?VfCu+#XEU^PFkLI+z;0`@3F8C+v%sqa|?Y`?ERGjVda{9(70{(uES(L zf^b3my2i#4nH7cC4JnRDvS~GIF>&`g5vphA_Uv2F#B8&cr`r&Sfyxh z$0O+iYOLK3kazfl;dP$0CmgVZl2!_AC9698HG{0XHgufPEZat81K&*gn*Vh1(dro) zbUHeDQRKa>`^z^(S-;)8Y5*KWdLx&9A@w&;kPf%9((z8=rZAMTzg$Q@^)0v48rv|tp`rOLhVbZpUv7P((#CJ@tH?%ogti8 zoit3qZtna6P8G~?w${+ky|0E>c z`&aLMar82{tC9AiD`7|WawwOrrEN+7uX{)fH(Oz&@A%DYgmVx2%exo-hOp))@apAo z&!6!Dw_0b=_9$?xQNpH0Bwyjh-HHkfbIP&4! z*S0Yn7O-n&a{S`-fe9=iE9#Ee)Lxg}TtGt_NCFT9@Tf*(s z7)RI!?9xL0*55-`<7#74T(0W8lyUdy=J4O!H}J2bzc$B{9BDOo7G-8nJfuC-pVrkj zFl_#zc7yRVz1r#TGpU-PPu`R?8xxzf6t!<3c@T~}gmVy;C54aJ#kFA?eD;p|I)4un zD&B8OWif_&r0CN+JTi(ZO>=oCJTPtSK<`MG7ag5Qs!tL$$zs^#v7(JOq$Ihst??h*xe4(r7=%c0YIy}@* zP?Dc)*=La8N0pZIq)abV&ih{L(y^Dy)2+!7+!Y*(J<2=!l&tU|B9-X($0?)Bc%fCM`N5zZ(i?=J*Gh~tZu{NKB!VLC$6 z@I+oZiCrEaOveXs+51N&?z=&h0q6TCI#rY@b`Zdm6J|u^!z8}G1nhOk0L)RCuBaDv}NkfKYwRsC2i{2%kPeK~k#zMpH!*8Y6jIsix3-*T@!_ zgpg&q+*bwBzama&bC7UrT$Sg4!>uJ<%ao%YP{;7XHIFfFGD>VQ0gToUz6Ii^bE?t_ zm^d@l4#81TYa~yx=ud!`=rGt0#({)n&FOF#BYi^ujPCYA5Xqr`MUo?k{m< zD#l8b{?pqebJ@J%NX+>n=XEin0UqE1Vz?EFxweQNWXGd%2_y%7mO=qMcb9!Od5Kvk zoJ)Qu4;b~ANH2~{h3Op6rjW+INWQBEE?Jduc~xl>_?`;N4`oX1Fo z{p})se|hGLF)o=^R0>DV2G*OeJ-=Nnd=dd)8yu3=)5bXyy-NQQxSCMN4fNC_>DZC_e4r-Rom29uyoK1Xc8$J_HR`u;7cc4dxMP3f1I z))|&|sp`U;-y~h7AFCVXkUKZXiV@j}s$7_g|7ac(5@xr?*S-SkZ>~D(Z5e8#ab6Hk z`tn5=_9Gi=9w(KBX@^C;x^BAdQp7Tu6hm@*-i|H0nPPuM{+f<0RLg7axydg=YEbjy zx7ALCTp2l)wpLEYXiXaFXKb5K2q2Zm$R3ZB{Uz%`Pr4ui?8jqgZ-0@<=_=zGz@AWb zOXCwRRn{}`c&k<$?Ops-3W9hh+QTIjars*P2vV-R>}s)KiC;2pO1!J{&kF&MTypN)}L$vWYy4l37* z_>gr%9U1)Sb{vRkk+Al8{x+eGG=8bcBB9XCch?}#!+jbf`EFR1KQ*1k(Gd;ZEdj5< z8nNzL{89!WvJFJoVS(R>9fH_fA}~RRQzc6BI%{u@C{&7g>Wj2T?{WrqcWHp&FK5)i?rM6kK-sD* z)N}$@4`}AQ@dV+*=cs|QF4)v`zuR1cvf#6XGM`#t4!1voi|fe9tY`t83*zfRT2=EF zov;uha`$gpht!#g?=mvjX+FcSgDLAKw0}}(0*?J?ku}J`;ofh2-{TAcqr%^4eq9%a zgDsRaMLF}r?a@&a6El0B*(ibEgzhZ~w~Nu)1-)gpsKt*~r?3lZBm-mcxdnE3(^qnF zBDYC$qr%)P@SDXE2m+f1L0~CpATlla4k)rtSOZ4vLm8S;b>6x~swANN6?*?MT;MYj zIb4wDbBk7I#CU;;q=y)<54%+?z&?4tjpm{;$Dm7INV{{8kqJdLllsE76Je2c!jMyp~7hy!6O4ICWcJ1^FZnLA;`c-X8Eb%TI&j&vC$XnlDOV?uLcLnM_Xmx2k^rP7#NspBxUMIHzd35^_rp6J34me zjFCAA_eVu>huHV2{pO=4EEs>&k>V55r19W0gT^Dpnpe+AyD_)DNOs8t=u@J9O$&T_ zFe&g*cjmCsHs*ErJu~BmBSqOqM@kM|z}~%`ot|gFURvJ#ACshJZkJ2gzK>#7&QP_x5S(YG5(OV>Ri-!S#2J&7!rZ z&lpcFymG#nnxVbDv({*vDbufa>tj-6ip2_FaMuCExt1N;zCSJSg?O`2LbG1Grg_e> z*U{>MRk2L&FYijkmZ3MR8SL3zlWCiu%L?9fPK*^Z3&qRIdf3{qt-mG9I3ISLkkq*c z+nFXLI#MM6bGO$Hp!re#H{qi9f-OlWv|mIL%r^xOrIRkn+-S!X;1EEbAx{TbK(?U32;BKZ-4);FAtxB%_zr&rLzJN)0ex)e2uFTLz> zyqe;Cli;!TcUbD9d#`7*9xjM@iQW@mrrO~g6uhzTcI~ZekDH7JRXbmREfXfyno z$X*XDA2j*h<+BwQaP_a-*1UCm%Wzp_vQ>a)hF&XI}swmD8hvsed!xW;pxO)vPNxdDV zg##$@9PB&aA(!YXHfvYAr2d<6mG?(ch4b`0$FBAB$9o!gu(F8eu}|}eSlRu2^ez>v zSWRT+Ez>48f!$Xj18vf;O{*}$D>U->JIg8HpC}YXMLf?!;crTz(etDpzn81<3S@2zIM88mjXwq#8XI zI^P5zwoi^yZg{$$LcyW$)jVY4*1~t{2Z9H65*JJY1UU&$+?NyN4>_k>sF+Iyw;y{l z$i(g9c^A0dc{Irz87S53WSsLtL(Y}k*>OLk*3069uI(W8Th8Cyv2ptg_C|Iaa%cG_ z!E5f7na`1!f;S67+oxPj@fxb~5w1;EgU5T7mi6UVYt|x~_`*UWzNJfzvWcomrk4u@$7gXf5Cf4A`;0G;ekOC$>c2MUHc^T1B?> z%DtwmEJvK{M~?6EcE=Lj2T)SJ*Gp*xA5MtUM!iU7L+1#V=JZ0D50k-R-@(|q0WW#$ z`BfV-^ehpcetosk#AaK@<$;`N{oJEeSLk@|^hxF0aA(d6rm2^hDmF*kobC~|2x{1h zh)cPrjMV*Q)`}Uo{ZTX+6*2|O0E z-bOzrAI#kgeQZHdy)D9W6uLH$Z@I7?yCVWc$~k$v1Uf9ot=^8mh*ML19n=8j=$vH! zy4X)YP*%bIIGld`>TB(bz$#*H7HbWoo8!bCeU+QoS{7DhL!;}Gs|#$bg%8Gm2YT|a zvcVhGMc9o1E-X*U%_>enb4z=Z+10hkg^|m)i1>kqYti9IVZFM=6uN5>anxib3zPvp zmu!;MdQBZyc3+6Vq|{-#r!(jMNI}$)+PW-@RMEYQ*!AMkn;JJ4U5gxUh=RlZX8;`2 ztpi%56kLmT@%zCg;CWib`79Qzp}wqFU*fD7=n$!h3sSbIC*B*3zhhc#tF`>is1xM4e~SsIOqVzrIW z;S*Qak84RMC+IYt?H7DsxGm-LhZuKlb{~rE_uzdM=BQpwu(}2gR{> zPh5FBYehU>zP+n5|Kj7lQs{wk41O1J(NBS6yxTl=ybqdQHz$aqOr9@XsW|bt&d;c! zC?amw&+b#D`*d&zF@_toTXisf3W-ImD7?a{oVPvWZ zO{U+-thzNP^VpBo1~M_y>n03ue&FOORF&u*w7nC2s68)6>a?Y8-@h_$QxYB~EN3-i z<}!{x^xf8b?Alw0&OS>iQCLmqljfDwa*W!sFV~qE^ec7z!pSzjbm^@?#>4EBe(gp9 z=MKf6or=yK9_BqA{&I39@FiQ6zCL~W*RxmQeOxQY=jcMl_-X@nNrWStu7e)qXP+vRxp#)a&UUhBHy5G>;-szKQ;M5RcLYK!b{S>fBMk};tb@B2CL?($A5*;1pX7;tFK{9JyTHohg} zmWdHra>#kwYq!+%qe;?n{-##qt%)>%E?rZ_CvbWN~t z-cEBaK4N%7@xzsgz6QSSg?5&x_+^Pzj8?yC_pa8LN&6n|MuzI1<7_9A$(gW&C3mGV zn&Hg}NWw=!{r0MTe@nHU2#oGsq-&xr4oVXxtxe3H3*7 z61fUb7L$E#aeR49y)**1z-Kqk`9~E;q zn5Tw_Er>$kD_!mrenE@o7CX+}{y!R3tE`G88J{{TZ;IS?E~J~f*G4b|8nkTjE~h%Z z4x)HqIcE(slQ^mg1+Rw`W!-SrspJ)M8aj@v4+cUPt9_bFk5z|s_MxH}9<)CJE;zv8 zaPbm%p&y_JmK5;npRdJ!l!bBxiTT;8D!DlKOc1LX)m7*pA9W#dBrHojZuV?nKKw45 z9vi(A31dSdNi5a3*2>FLv6Tlbf6*G4nb8jG5JKhm8}pz`^?SdyTIy2X24bzDfoTNXJ+nfH35DEOW=AXM1bFa@CC-I z19$L4w835`2Nrne^qjm}gU%s{fi6()X5ZZxbO;lwCrA*>7xhJH-7xHattwn_!YO@E4HhgAFdHDlHtn7i zM4hRr)wvlAC^i>^u-qA@b~L3RtW-jhdoacq5(+>46KAowTS<&w{)ZdT|GvooX$k1x z8C*9oAl&}{yJ`O;JE8wsH~o)v{V!D24R9oj{5VjJfLN#()l7)7MEMu615Lr-?`kVW z!%=Ob+tuoRy;IOIdbs;_i#b~JMaLxP`sPS8>#uhzx+|jS#JwzWZhNGU;u_m>zPFus zFh^=WX;>l}V_3+GH<**NSM)US4y7l(*t%zXx8ohT>{+W$W0-F>AKgsf)_mv5J9*7x z60e$D)k+Yvo7T~oys*2&S!-#Brx`QyFia{-?NGXkIM45+Ck21 zTo7J_j!S6a+XGdJ_sSJR@zQ#=*uNEN^7HVG!p|Xfj>YWYkKtuMwW+FuU!gxDg74+O zU};NA=u$zoCpgkK7IR+dL;J|=QzPZTNB+oJgudOb=axMDOQG$#0r@LSA`cWnFy>l}!a4Sr!6$;F_+-&jP6dT`B)#TFgmsN67RJbN z8v|6<>_{#-@GpK)+YF^4owcbfffMzU*jLQvu4`#XffzbZnz%!gw{{!7n3vAJ^@g0C zzoIh#A+is8r0DvWHxLp2h>XQNExTFP+;W|ZAH@D0IMi;W%>j38N_u9z%x&O>eU-nu zPILx5wo=R?o~lU4=yg2XOUJ0x!NjFw^wLq=DNyN^z%TOCr2MMEU2wXsJ(JLn;rab} zOTx|#tg(cC2*ZA3ep8IQ#8F3T32chCib!HzvT3W=3)a)fO zJ%0G)wQo-)@!eoE;o8v0ZFLW4Ze{m~GZ@_QZu1v%q9~&kZOZ8Aee1woLGq6M7kM{7pI{nTnwBBqb?^Iq2tL{J>rX>}s4uX04296-oZ>Ko)S} zn6ypRm0Q_3GyDcu6&nY}8uhf&M9rgPkk%yqiKfxb2Lanv2UXXiVfUZz=)ThP#~OR` zo$swoOh$*xx~M4)r3ECAvisms`jFUWfb|BZP5My8X+YqHf^E8k{EFpPK*oDGNLoGk zwd`LXw)_K6)y8@yH048bX_WibxZVPr^s?!nZ%f#4r+QfW^`1_*(5$5 zkw-xA5P^^Xx)cT!aY(atMCU(+N`ay`m+Ulw$F<16w$M7kU=est)&*9}XT)<3$j87s zXRU9~LaG=m>zdLPBR~TyDGxm?yQ)~XL1VqF)0LZnqw$`+e)7?y$)maJBcI#-moi4- zp$``|59|~y7-Y~0z6+Q%=6zXyr@E6W@>0!yqe%w0u}dA^<_@vXMVTe@bliK}Mn)Q3 zE{Z>WkNwNxRxN?KbkuyE>PDFGD=bqMB6b?Ez@-cpxX^`uXn4&eb*hC;ypDK4{p^Ft zopIdXT;yUYCm654o-2GdtXT}>Bz|>=yC9GG3-~8$=XV*bH-=A9FkS~?d-*-AMe%#6 zFjCx_J-R>0n;6Rqago0=U+d1Z2<{;=XYW>K^;70mGtJ0;M%YE}&85(DpTcyC$B81~ zf{aU{An56;I68R%Rn9T4q!TWAfcY&hh2)^8Yz|`uZpoT6rf4ro`ts2u6O-h!=>oXH zTHBqVrx9Qeem{_zC;vzgV2>+ZY%8kz3CrC*My5jc`VbNH(HvL_BJ#C69Plj4qt*xL z@W{h-|9f2(t@%l&?OcEj)=C-nZRID%MOQ}ZBb?dCw|Ip|91km@d;_6RTN$Yz^Di<| zzfuT}biKqsC{33Xg&rRf9F%5Cdc9wLe2Z0hWUsXE{)nTF;qS*#Gv!HL;OX_j3^8=4 zd?g~9A4zq;B)vjbA2*+6y9J)c4rZiYkWv2-@*M;vVp5^}yg*+`FS*snX*|LsB&hfY z%vqA6c~{N?-HYF^-}-UCTWDk=FPQ$3bfCM4rG0Dp9o#AcODl$UVA1rzP zwaPVLfRqmZpcg8blx!@8+uxi1x=!;Wyd(74u{Oh2#`JqO-^9^7sa5J9Jn{t)1V`>y zAh7|8ghqoBPr2>$XZluXez5CIOP-r*Za=owI=T5n;I&7fd+){3V_VVA$`|VGF%MMAW*Z3IoMz9 z)DDHiNNj4aPC8t>)G!w>(#G!Uk5r9fUE8m~F!UvULRK}b#r#1SBJK*h47?KTOvf`- zll2y>jPBwVf>nHaxV^GB*xn_Ey8DJiqNN`Tmfo1QTKjPQB)z-RbvlL6X35n-LVAH< zM+DF3F5giW1IkW67M9|oKVj62s^4IHpz?sP2lzMN5U)dhv=M=~FsGfJIG@91e}4`K z2~2X^9{B3~RnDun&?DJZIwpUglUx&jm>3=JPeuoS7|=YiX(B)?rYcWMiaserWalWc z*n9H-SbOWZDx0l;m`+hTMWvB$BqTQt!loPP21)4)0g)Dv?(UEV1q1{n1f(StNdX1v zG~U_ZxzByy=bYy`&-?lPG3=RHF>7XT_P%Cjt@S-WJ@xx}<@m1rd1*0qQ#=80T-S>ttr8-@h&ByelCqsCc}cn$TvRLdS|YdRhdVb7GcNqCP_)N@ZH zEo8)6iY+R~7Mv6)+XOGe&VObZ$lSw1UQ`);C#%wtPE-peNw(*s;L0KSly1rO)ZH;S z1Aa)K@6LN;=lC&|+%}J-H;OMcp|R7~H+#B^ueWU?W4mck_Cbgy@&Xp7Xxi~NEKJdk zW8P}~&+nIM2SjJUn?f+bgbp8f?xf|1x-n)E+gw(hhNc zAv&oQd&HTK`uN-68Ctu8Af1~Z#ph|@;I(gm=_b{TTL$<63GI0YT269-7x=ARTJ@Rv zwg}Q3D644A1_3pg>2Hx&}n2c1?ux?3 z*z6f-NwWb7%Y)s6L$3EalEbkn2jn}Fv1usv6~T)P#ikN?G3Po+d&Efua#xF@nlMJq zHix75rRv_9wG1z`dL({e3C-X6j(v4Ed!3Ue_;g!Y$uO2Kr*%QHd7&@d4)4a9-)GjU z#mc?>5gq*ajUPBXeew$(B({s8b6E1<1Y_TI<2j%VP|062G6V_I+e{?-1h&cWIj)?C zevu|4BWvmX3Q*O*ehc{ZiRkA!Jdo`OgPFqX6yd1DVAZTt77#^E_u?;~dzh!H-Ypk+OSPwi zLAG|PsMbAXhthlc{8n^YU_iSJu}gIt^20@W33mQd;G<~&v)z#08WNh9L0Ve14vOHp z5tks%Q|R~D#>Td|%Y=5&1iXa?Wh53tuX^vV^Y{c>Pm{me25} z_94spjA2mKiTr$#vZU#Qi~r30`L}A$<&QE|7dcc6i9TLtCIOG;D%-hVK?05r#^-14 z%3_MA;EA}7A2>ojBjTG|SUU$Uf+|WQHJ^b;U>@J0O`&zD-0p*CDk(eO43@XgB0i4OgK z0yryyzVT|rV1%XOZ0LgLi7>Y!>fktvL7x(yK|NJfD_Q%vl8gibLIa@ChK`zPs2E|UD1AQj)6A>Q^#NE@^283*NI&$vJHPL}S2 zr?50T4~Q{)YDLC|KZv9HaT)xfH-Y|8{ot44r|wzB{gLk!^XN8f+Q@QW;}BxQY@GVO z)IV)J_6=>ts${e1vwt~PHaj&ROO{*6Fy^ArSRGl+NkCV-n)%#I>}QyO_wE4$j-L%d znqW(2wfAO z65-r4mTn}yx##QQT^&Ei$hm^{J9D5(Za#5Kd%+J|;T(e*hcmA#!I^YBY2ZgG4xfnVFtp<}i+^ zrYG62OIrHfb!XY$?Kj%z6AcYCHeMfQsymWxcqTMH;PG*0RRDa%%_=K?-LNNjgD~uz_K=d0+hAU7!Kyd z_o?!c=E%|C_x5!N$YL}i0=%v`AfVyfkSW ziMrc+(F=GH5q#fQqQkw+X+Nff2{JDI_f=5@F80v)HE8bEL=)a@^l77HpfeSrTHdp# z>VH7eXCnW_n7^8c>?|Lr`{}9UGMO`egahgh#!ak1M-T}6l&2BI;l|9o2ce;a4bD`& zNFQ%?G~o%ioiuj7p_~?=zYhbEo*=9d1np+zK-|b3R8=4rv^%?%fWR5nO+~OP3;Smk ztrf(5;-SO>&7U4%Kga8!BIH8o2ceiizmTLO3c)UbcDJVz_ok!$7#1c-yA&Pf^WLrV}?rg8>$G$K@o~7t0FFLyaz6#LeYohm_3F0h82YKb+`p680 z{j>f*>I2L>#LkY(OwPpJROq|1lC5z3|GtU{IhOs=PermTE7J-maMtYpU4<^{|C2s~ zi;&~pXM!wC(Ggzxv>!{t{`)F0*&+YON_41KKFvp)Fu}jZfC6>Uf6@n-MZteJ2$RO! zm6dKC#dqfG{_m?^5rQB}dhb7kVE;)S^r!ko)%}lu>lm-gxYa*}u>P-A(H^R~lT=P& zc>6l+G>>RC@?OF}8m@2kbD2%t^ZZ7`_hgs`$TBY)&|g}=8y+MVZ*a_zdEQdu6v^;>B8HfI z33r6yOY5+|H53^^M$sXd5h*lR@iGy$OF73?BBe~awd5MU6$WTmAli7ES8t(dUd4)h z(mH@#ar>2P2PVP89gvg0&0i$QcX}b_Yzp%^Z=yU9r~tWi2rI7dKxN-V#<5s2VY)&R zqIlX@Pbj0Bo18;gw$IWx!q1Ro>2XSPTmuQ(C?!!7QKKRzKb%};!Ssv8xFS10*F@L+ z@W)n(vpA@wwkquRkJc z^KwYV%y`I7;JN<$>-V9CChx!is*-Mok|9sGhJK+AyWF}*lSFGs4~+Ka#1ThrK$SztUEc_+AS%y=kjo)K7>Ym z`#Lqp$CNaQW@~TSAp4?&JCnIuSU$%cFGDVI@_E0vw-I~R!~>{_U}}**z|(!r5atqTo8Bg~L5DNxmKN<4RLSfTD@aKh z_BbkQ!ldek{R7j6ts8G(s@K?1%>3Bllpf6G*kgJar!g$2xN<0VzmIZUL7vV18{4gD zY8lvwypamY7MnU@X-P@%%|&5J=Q{ATvn0q)=URnI68A+Io5_9}?w$OyBz2RJ=u|32{*%=F=w|3tx z`}#=h%s?%1fT#2&@rwxKXX0mr%%<>YwgWSiZc)Jx?K{r!Ul*YN3?J9{;0+-uFH> zjo9gg;@8!g`tTdNQgGh>DMf<@Xaqy;sDrSVtIlDe-rvKgF)9x;iK{u)GP1-mZwncw zaoco)cThmno?VnL!*aPy-5EKH?RITQQ}Y_8d~tU)KzNk7_n7K%PxQ;}2l=6c;o*yK zrIooxyawhhW>6!&4kkF@QY&JU&VjcEZ|HhYXwb;qW_jwTI7dH&%KFrO6MD{U-ba_! zC7^KBG2owN$2sr`s~O$Q;#viNv4(~$Ie+v2WV13FV?@rSNr@$3vo;jF79Ivs0c;`!}b2s26dPJUn8V_mQh z7cR58x>vbCE4ZjJ8vV&&l)X=1#Mbtwi?!s`X9dUk2q(#@1DX|0cPhBHJKf4K&A_Z= z_!sn79P9%TP9YgD?$ZrW%9IXij5@;fMx$djAP1Np?roR&jxSU373;mp;V~vkwQGcQ zZ)zCyM%i~y&kh|Fpeawo*mFDllHWS`qd_~zfJ%}Y<) zi1nzy0RVic^77K-*Q0zKiu2}D0AXxC!K3qrqxd!>Q5KbWXjJWb!gjHplD!2UNG@!S-@|nPj_if}HuA3PY zU>kB0Ps93xz;&~fBC>iP%*jaG-5jlgEqr~FIXlTxMvxz+ZF9Bc8JO7x5>4@Sa0LZD zE3vu&SLgIi<8`38C(|_ae7p_-mm(}sHomS@|MjM`jNtM`R&F9^nWkH;FZvVtrF2-8 zGGf^FJUj^?96dec6OBIRbqy@%sUNB|_14JQQhnb$it%}@c5a7ET{$7{dK~&)mBu_z zm4>wCCdu3J?k8BhD7(8|QTmYI4j1@Ekja*c0>212XT+`($CW?$ZlGGXCNVHD z`_?^&sCjvHwaggB_S}LTVQKeVcYQs*J}ij710IIPu{ z=Dwu@tXlT8o>}Ui&jsF*9D)1T-}btH+3Hf`a)VT_Wzhey*WD}=ZMh7W`kG`wtiQc# zt@FrOO$V8fa-Bs2Yn|mC>n4lDORRTVIXV-6ErJtTf$558M(94$M(BMefmJAN`BfZgj^x3Zxt$$lQKeY4lh{H3VeDTpz|mWu7fOG9$bY&2P878KR+b- zr0M#q9O+Hsk1CY>oGO$Mpsn5j9q3h)&_O0apK2IkcQsR6v#ss*ku*YoTVlz69P|Rm zVZ+M^JqjkH^QaQNx;$_+W1Z!B!xmRYvQKOV z;sbo8e%VlX9w6*Aa*H}ywchEX(nJ;;GlwhxW(&PwnP>Fn zM)OMMcXvY`;@uWw6rKmUH~1xlIjE~Z*Pc;;Fo#}!c8n5}x;S<6wHha3j?c0$Hk#cv zkH%f(kT#m>pg?y+;iu=I1}b86K-qy6U_}zAeh7<=v48X|ru-%YFBz)*n-8x&##|23 zWQ4a#!$I$3<}sJ1_nGd7mCSK50NJHr+0Gn)qj}J;)!oqX0WI~z)RN?w@@V~n5v;HV8Yt~*G0I>co;Ac1p+m||EFNtDc)twg`Yj#eO|@_P>aNX#=bG7HIy#Fd=VnArict_X^Xs zmI<~U56#}>nu+X}v#m4yZLj1b7h70gT}^7LF=YcEmId2#W=Nl=m*=TTqIq24i%$BR zTKP69^d`oq%zhtfdJWSZP$;T2s*UPh%8VQaiQo15elrp;YdrgyFwI%4GU(f6d@VWQ z-8{CUL^w=L5gXoa7;bd+cyh`*$hK{mARM_p;whsuedV{6jyvSWL8n)q#Z8Is-!C9j zC8vZVDX^<%ZoCi{i@k9|{>Zt5Y4hM_&4xH{mf1D*8+G zP|{SMHA|(G(wkc`+~mP=8!;>00joz%5w7PO^~kR0*vKtEDs$%pC{g>iij8plww&=+ zkM$5X$fRp%Ex1^IeHvY;H5sK@1IGTi$ zIApo!cCK|r(()D*yKgipwq+$eM=(DhAhMYbceM6#J8yDtoey9gO`m(!@M#q~0uBlD zKPw$Mn-+A#`nF88SJ#fHl!*2A=6u@b1I%}6sh~8C*RV3J@H#9NU(2Rstij+_~fUC)6Z&3wRbU=g?Tr{HUmO=@C*Fqbsa z56a90PZ<^kM=dRtd-w*DsZEtRZ|Aht)*M-x_ZvwPyytKRS{+F&KQ>12I2*_SavmVV z*BtEunIDiT0U6Koqo+5G*4;`u&_OrQxsC3(aK#Wg~!Y-C&Y*`iQipvj9+suwZMI;KJhJTLdM+8vt-#ipaXrUZ}EX zgIQdR5zP>Bed-2$t+K`|jukOD@H)E4$a6f=#7*UM|>!C~RD`UfnNw{u~1m1c>G&wvWV<6Yv~Gqt=p ziUwt-2%!H@w>baIpL?el-&7*~o`g8cth?(T>g<7qc+Lk5EE{ox#BcdN63APDD6`1u z^{6;Dn8fd{GUrgMK@uW?fsI)?Xn@O}CL-CsCUWtH;|%uLRR1*_gHPorVW_hv%W8`j z{K_Oj+PoU3_6%2|l>ulAR}S@k36YLK&p>3y$oY2<0+F|nP!Y<3j|q>O#2-E;dXZ_R zwKxn2c*31v<$wTc`}Hrn(k5>x&xEJmP1iu7?J@iZ9u>LO=6L>pqy0M)+m6aa7nWKS%4<5 zqtczP?DmgrO#!Q9<%GSIE<>BMke7H)a%0T1pJvA85iS6=`dK6hWq<$)pw`S zyeBMzs1qQk!vd6$8WKAud`EQuwf7Hx zGw{xQ^1LE*vemXfr4f|F${MfSp(u(bG> zRU7W=m5MiB6pbsqzs+z-OenAu{?MJHURwrjxNB4@+9IeDESBqO_n2%;Cvp&?#p;%0 z=+hED&+-+w0NFG|-O}|5%#O?B+3>hsY^k;@8o#^I_(s%=&{DvjIz_IfQk-t5Wv>J!jyC>)7SFSVZE?lZjF97&;vC>;A5QFx;1p@X^c>OzfmEvc1GGV|_ zw)UbTV3{WCEH%Q=mV8Dn8OHgL*lVupm2bzpR7APyummRuz$P7yjCcHjMrEVB6GLXY zyg5CkQ`zJcz}QuI&z*)VIB92ZFu^MvE;%`+0tOx|g8|zDE!eo{3kn_EntqO`xbu+S zbaI{0hZyqm##Bmpet&5v&H|%EQ0g{&N|f-*ipDcbO_RYe7YhWuMQcD^;4)C-wMxV6 z6%oWP>N*(OYHvvwWYq!Ws0-j^<>pfDH+TKDwc#;3RlV21K7E$vD66GrCe4-O0CSUX zN+i;WtoT-aHdKCqHgTqD*kAZr!{ss3a1Zk}u3?WK9!dwL&GEQ`9AZ!NR`-c#`W&8p zg9jt=Gq7Sqmjl!GoR-|(0fb9d74NVA@ z+pTR(x}QZO%O46aiIM2M#|P3FYZ?diP4oA{F(8!n`!$0FI}jj|do_JPo6n3wW0A!1 zx~VloZ~wi$b&TqsON4dvwVIFzELDBj@ejst2krv5H~FDaf~BQ~ik_dX!S;&v)p;z( z8d(~pM>K|21M%&>64$Bk)xI-WByys1T}JXehnO<3 zuMQV(nFJdcQD^_4NVn-HlUE%ja-4`}C4t(-;!;mzaM-RRuNOAnBpF$9*f zW(eHN%qapeGVTgR0gp>;ZNu;ON?Wu*B!#8Ur2@y&|Mt*y-z8%V9Ikz`d}sVC4y<&` z1FTrw&m0Zcc3i-t@Tl3@;^4ac<~h$jb&$r)5yKBM=_SK3G7)Ije*Ae5BQp?GW%vAN z$Y+i%gE_zYwmZDrojbI2Hv}%}zjUUP@v7FhPHQEdffHI&zYAFk(m_1&QvhTNjswr{ z8omKeXm)l0CcrNhKd-2o-4nQ>%h*QDmwEW5a$%^v9`qA6VZ3rr;|C9XBpEw@YTKd` zLPz8&dsz`jhY|!ph)lxv{buBQjx7(Kg6w9C08OcT5x6wbt(@^}(}F)7pV!W6JDDDi zB#(NJ99x=GYhO3%U7Z9u&9qhxO&VF+eA}Zk<6b>L(YN~okKrWZ6dd%%o8ARZOQAeT z@*q)Jh>gu{tAz{qIP*#1lLwIp=U(9PAY9}C9uLA_o=UKDuw&oS?82*R7W0J8L>6-b zBsJq(IQJge0!`Zj2_ z(axD(2-YkK7^)W!IIu)T>(>8|=z_nm76^1WY2-Yx499RJiykIUKQtxJ!~^ICR7 z@X?~NGimJEKH>6gv`3}Kyl96U9vof=A3^Y*37ojT0{;Ub>4r(~WFBz+lyLK((M>*}Ht8h^Ks3SX zfp?Sc7Qn|seva*MA6#?z`=|P~%%oLa#)r)OFpWPPY6wd=cDAn-JfMq|p)cDz#99~ec$LrmmvvL{~{~1>?i<8;A zJ#XbSBK|Y0VpjFv8-HQDY_RWW`E}3OfCtu=`{;}#WGSL1_xJoB_3x7~j_81f>7L)? z{{5RPYaX2|r#R0(vnoSboLt`R9V@3O&pzWS|896Au(Yqpl47zhS~I*QRQpqbgZjTI z@qp(aCGY%0W6<+OaXY649fXJaBMe#R~cf<2Gi^^1bCHQd`Zl9icSEp(v&iitbuhJ z;8mKYB{D#9Q7ooaBwqnTxp9neu3`#6U1WIMBk#nZ{Msy!aLr1rny|=n&;Z+ww?YSd zymqfLq$PD8Xr2+zQcRgLH;imDUeoRpMMOm^N+fb!<|kq1#83RbFQ9jO{j!v<3s9uq zY*vx&z2fw2G!MC{-zN9boJIW|sMC=ra^6>O&31_+nc`9NFH2eW?I^@iS>Bw5EWi;! z|G+4+c|OdSKWBl6JJ`ZqFfA+nQe52Ab@}lrf+j*RpPLUtcd7TrLt8;+GWa90}$eSJ+hY_^*?)E)B~i7^T)W@8!-lhf&=uW7Qxf6LT*oM_ym!S%R0b zKJ#mFx=$Bc{(`3;dlO<5Ixdrg8>JTDd(dV1j^>wCDXYU@%#5_HNkTL)c(BcxU*x`P zTY+opFq%G)5oCX%BO2l4M!(Is(JOx(BsW@_0F!O8Yfpd?zCa${x(aUE)IUrPjo&D#th%)lOR+)nk+=jQ*w*vnmC?{&eM4%4UlelaQYR z+eNh6u4SIfv*ke;Z)2436{`tTuKcUP6dvSnOJ3iXrN+SB=I7dCt{f z;FQY<&7Q~@bo{xmKN(1&dyumMDai||68}_9;!Q5_!WH^Y&VRB%K(h_aON@0HxZe%+CkjV78broBm5b^e35X;A<}jFEoRa%*$v(#(@aeI{CUUO@g%Aq2!!` zj=72aSH7D$(^J>DWYb1Ct#GO%*}rJde!RO&_T>E%vSOyMoP-3mo8f&iDsB{?mRGuD z1ji|-W5&%$PiAi1Ck)SqM-!|KhvoJc2@Q)dZa6sKzXS7{hcHn6wziRRW?rNBzMmry z-g;cOdOPE`@Eg?n_semUD{kY%FR;VYb4+fD3t6T9d_{mRaq#>{KhAvHJ~>URZ0vIF z6Hc`twC?;nM6p)Y#y=f)6vAFpa5$65N4f^>|3Koiu|Frl7U@YUz|RhOEhWos|05zZ z(7Vye0DdjjApIDk)@dkw;G^B%+W|E`dMo}jO?B~ED4G}ZHMM)5nS7&N*{2~5*Rr

~x7lKt8-DSj?@OugV;`_m%>p z9wmRr4e52?;m_`E@pm%}RwBDUaGGY54_&LF&d)lA$JuVZynn22#F^{9uihPaY>aNu9&*B#QeaO_cd!sbllbW_#05!e+U%YN<&x8sSxSgkL=?r?I6k(!;S z6eLaJR#Q2j!Isv;}vl(bA!JI_a@Gm1)Q z9k?2GxXMF6Zyj@8)u-pZ=qF}_sPE#KjB?g^jC0z~ZQ5N( zeZ4-8Za81vzt7 z2E}k5!|VI!nKWh}MyYKNVlvf$$WB-p(%Q!(CXPA2VbQ&nSAKy>3)d8&uL8rpeBsfh zXs%iv{+doolMBg2T-NTP>2qVX8TWmW#`dTuNwBw8^#)C7tcI&7W8P!mXUCN}e1m+f zAe9QjKWcu$$)#~ent~6Pt50{Bf<)tanvY*{UE8tGL)%FxfG0mZtix{~yfwnmh{_h% z-r?{A<(p6btNW9pa*;yl&@*(GwG;wm)6~%YlIKwy`>rTSr~aXXPFZ+I$~&TVZ!MQ@ z#&LB-F44g-yeRy;?{(;VRp-ryUyZIw+LUXUzmYjZ1k0ks?G*n zu2nzfgg_bfHzjZU-{dun@aRf-ZR-LMCQRM$r+Ri*njWRWuN-SLYtAr6r97?O+oY5rh! znXw?8!+qvAk`GC6WLpT4~sf}}U2SMC<2TiZNmV*LDoVBmM zmRVo)-ZxI;eln-pKKgpuoUX3m!ApBy^{)N&_z{n}$2-Lt(fGKZMK%Kj@A8}!#aYGf z^Zhiu`!TZP8`fUk){lcB_WH?Ef+(hp>($w3?HxT;~#h6}#m zmve&+iKd9NIzA=4-8Ax&i%80AjEq2X@K&J)6-+)t_4+%}XwQ<+2Cg1wLTe*>Ik%_@y{g7?2`?2PQgxzQmT?dm(lIZEqtm~ zSXH5@yM%cfpTQude#l8nFNhr$OI@NGl21o_9hHD4`N2W>17%pqN)i$x>+Ry6OV#b@ z@VBb8L$|`PjpZ2?N56b*Hq1Hh0}w`MzsgkFF1aYU#il1)hIi0D zHMv1FOK4k$S%!0D1S(8oeG2gcszgKVZ?Rw^WW08xu-9)j>)mF?c4u42!y`O7z~(}P zEMI7J7_6B6XEQcfy_=r)YNH!>5;JAK!dkZKt(o_lP}IQ*LxYrtMXL(Yi*wLecM-8YX&K?S)C6(!i=;N7NJII1ZU(Ux6T;p>$Q1T zm@=rJ+_4zKlr^4?53OK+{Q~+hI^fgh`&C^PkDNzu5ud_4`BwU=Q4nFjo_d5I<&WwI zVXkNnX68QmYa^IiL!SWx?-wu8G4Cu!)&co&J4NsMor#(R63c?M^+N;#t~eS4AmA98+29ocz6$ zkft~<%A0a`+$GEhtzvPSW)tqlK8MJglQvh%TvzxExI#T94<8GRk*)x95&wP%Rw)#4 z@&&&f%;cK*Rk#6`_;-&m88GoDH=mTSyZA#K-iAtXB$il0hlWu-ZhBqQk1fHv5g@X* zYUg{+>UZ*sfV8a_0npbwQ^9d8d!{RSDY0B$Xip>Qnq~V}o8jk|xVO4trU3VL_3k+K z6J~ahHYfi37rTUu(^!z>{He4u-@mlCziTZr5p3~Tq_VlmO4k_Ja z4=KH?7pLtD2!eg0TXKA&>o<@NAd_?IWM}gq0dk&CbV#62Gz=xj z*u1L5c)9MjNM9w(vE=sl3qbNjYckHKKCFzlw)XkL@3i4{NLdMUZtDfEHpcm*870%r z4O^4;e5ACS{2b-3U-&7Nh!d{?Pn$zZ5aEs!2|R5MDTgjRZJ-NR8_>FRwVAT#`-%{f zMF^1+9a0MJdmmDQAa|c=;A-O&osr(B^4Z@V~Zw|dAP zF;TEro~F-|lSaAq;Jck2+R5aEP1A~wMm}DWi3&T3no4v!U-RUII)pws(H!&CK!sfk z^l1VP^YRDfrK4OxDDp|0ot?v!b-%(bIW-mbKb2_yPud#!O{bF+#1H%I><$D2`1#vE zeAU)ijwyHIZ^)4Rqwu2uMcoYnmmNj}M?W63;aCQqyD$XX*`2NCL1}3>s@R+ofdo5Y zaatN_UP%!+RMXTLk06|!Xtc|;vzsM{@i$nC8T0cyKFAZD5r6|W0XsN~BRgt!TAJ~1 zuTbas3_Cj?p~yuJl%29t+X4K^3DSPA$q9h%YG;?;2Z#XMmA^qH=^j77N_Ae^EWmb6 zODlpNz*SV9wR3^vFhE^4`r1V!!FIjox9dhYEk8KKeO!ESONO8SE<1c)5mySB)~-za zZmf%Ra-yD-NajVo6d-O5!f3c0`SQ}zz^?UuihwdaOZl7!{!W?d74xGa|5WC*qQ9J0 z`*Is@4R)jPLk(eIhKRGoGcaGEFJg@NHn87>QR&NV%8MbMt)BCg6cuF#vk|07f{_9( z!kJcUE#S6yVP!J6j1ez8MItXLzSmxoU%)Gw>_SZGs zb>5pGN;}BPDtHP+WXp`dWqghb@IP)8g7ZyqhUobT*fVtW5GW0?X?zcKa1y{o8sn*Fb^*DO`objpY{U%LHs`X4lElGjBiUh^4vz%40&38O zc>>LFh4RgC>(eA_&?CcY(J4p_xaeY62?*JsMtpb;Ivw>cA03<t4`$)l0CdGlUk&POs}g(+LwH zmkI^4Y>ly|HIJ{kzEbM~IxqW!z;K}de$C?x5$Hk&oUAQkrhZo*lV?_-%cYMFiRU9! z{J%BuaQ#SrMJfj{+0v&J#NCgV4N{=QX8dczFZLyy>0c?(U-&}7-s!~UD185ThNyNe@TFNC}F=z_qs(+Frf-yO}p{HE9XJEjqZ& zcRv!^Jb%k~&;7r-m52WQwfuj$m9OudFx;zndCljOLFM(jwuW4uyDz^weam=myl|qi z%JIejNkt2*tGDzNTK)Ghx{0`v`}7?SOpo?U5>T%p;tt2Zp58S16q9-<0s4+|+MZwX z5JE#L5c1ktkYnIz-0$9;7(7kiAtIfAt%9nohNMrw1lJE+TGjt*)+}e>8AQWcV~vIg z7=-(rm{J)Q%``;wnxcwyJz5 z9PEs8z=rfd27g3AzNFnTcFAw<*28bAe>HYi;1Zcp_fxr-In~|7n~kGx2afrlH|JzW zK9Kzxun_775OysBvGyqLu4qVPV@D48hhOJpm-h~{9P2R{nUU%YxAWhkyyO&A+PkVV z7n+hIfJ{S(dJ#2gV;$ybKCw90Yt!F^xA&y|7Fv!B6M%hXH?Eqb>#ZOWR@_y&4@0Zy zOEssWLt7BAX*g{hWlG6Gdh6N(a6Vf>xY8@=^<*Or;{~`PQVar;QY_#-MzXDoqj}86 zT<=O6ZPwqlDvG!)pjk;GZA}`^r5LI%)J3PpJ5$v|Xhh2L^v7`7uw#G#`LOxVOW;UV zk6QwkHo0cUA()D}xlyv?0XhvbgYYRc#Ncr1T6jaqs!*-!!AhD0E*?r}Lo}(Ki({~W zN*9ilDbsa*s(Yg zhyYLBdG~q9rUL+y_YfE=k;xq5*Jv6pii@eBr+{awOa+-(kK5eSZn;u2TvUS(R~gq$ zgw;w8k4GIw5I960Z#txD(QZ0aC9Y1*@Lg=b?!&F!Dt&+oQyjSU`18o;vC>L6%Yn~s z;`8ondkjKKA$e$-av^!cMHFQv(3DLP)20I@EwL6&G`S3e0-X;K!p|+ywbf+1=uhO1 zz8!9t*882F2QMg0ZDNKG##|n}xk`_qCTPjimDSlMy(p=(4O7#WR{=)SNa>YwffWkf zwvd4ZyTcG8fxb$_s)7BV7D$Te0FJOO8a^oUmt@xM* zA{-rkEqkIKht--5md)3i^%BZFs&Z@teCE4(`0w=@obkCC9EhOMCbjGlcm@<-IgbQ7&9Nc{jb#%^ zL=ab25LO(kQpIl%k%8`>6W$+p^A3)~#un%lp{LA0?&cmGhm0){DMG4$ZcM)>2`?om zd?lCg^9KCP7q;>3vf*^OA)&t=5KTAWH;OgBK&K2n<@#~A>);`XHw;1>Um#M3RJnfq z2%eu;P5GGx-9LLt(a@(9eZh157lDi8_@Z}H0c-vh`QWB?{_g4f!Ocq1*~p_gopM33 z!VpaI)%qaggobO~*O%Lo-R>q?N19NLbb%)gCr+RyB;@!*rtc z<)GX{@(U71D)tqFf17`*Lvz1d_~V0<*1Odw&Ru?isrg=SN^-x&ip;pb%xvxwl1JAo zP(*=SX%5QuRBnD7Tpn{hy(;L$UXJZF4Quzv3SE?G&lFxc|zNWG1Debxt6|4q?DVJyy zxz0gH>RzoFM6K#NsrbtpFRP1Xs`ke!HtM#bM8+mcNTV2F>jAJ;5v{-i- z=oRCgM;y?NBi4z=(8_h&{ekiFs8WOy9V#RKI=Qn5EwGQ zkh4f$9nJed)oNchgjga^tHWD!@cXZfWI>;vgV4EC94j|Qc^=jV<~Y$19a)Ed0<&+f zno3{4dA4gI8_GmWT&=i0*-oYB1D!&Y(%1b6tH=C~hNHFN;>OaHhV-0XY2IRtXRWQ# zb=rmSH=uW+tGgHyj8$UPbC?bAE^g^QB%EZXLozp#QWF1vym&vL@K&Rf*aR+tqO8o+(wh66F zanL??;q;=e-#5Rpn#g;lImyG*r5hymvsl)Y2{a@>yGKc^$~NS7S1M+_TT+K#v|K^j zbDfk#rI@2%4OG4zLx9KTSf`3y3w^zk@TmQ6Jr3LFFIPy7lg|@q0}YLzfD?1WgJm4N zvw>1}l?q$6I@4s|CAG(CckIgUl3au9anvP$TFMrrJw3{V=;DvDe^AA7=TpVi-h?IC%!cDe6U9aRq%SwULP$W4fp-iwZ> ziz<%bV_4LVti^X|KFp6=c0sOQW%41p(2t52L{DQ!H zn(q_Wnb^`KipP^(yM`CXUWb~~7VdO=wIxoHL4ve&tppT{(S2CXu(PY?o-)6PAB4$w z(9j}32?NkPgnu-kzqI~idHL$jV_Bt*q_}0ra^0h zFv&ijhBInPgazwxejoXK%CD^CH+-k!sy(*6sTsdc%HO%9%WO%$C6|!VjfQUYbiDcC zZ+uThU)v{5iDYOirxdGkNpyf5{%StONbopn>O-f=&ZvESc^8G3GT-8e+jQWt5vuS* zJZOEMKgVr4Y}klS_+d0?P_N0M(Hza;sp-N;j} z?acFcxJ@IC7@>+jtQnb^luE0-7#!LlZbwc9rt-WrL$#-1(O6KY3& zOG3KucqOXAe|N4zwZ-p^m!@Q7#`n38pN(R@Snl|~wu0VuP#vhS_?#JG;_`XF3dSqb zmGmeN^1tO?dW&Vooj{2~OP@iSqCD_b>3XBojY-xwAB8!YSi8UNtW3{?L^fs$-on(^ zQ=25C6#_^S+h)0!)+?>-j}}CF?{Js#+>2!1fX1(Ubv_TPjxC%cI-0uoRQl!cw~8lC zDLt6tCI&=MwCLoW&);?|%ECl<*R(q0Qj2N#pR78&TJs!~;)hMuwQp!7mgnsflImoUfcWbDPk29Vz`kImsPJJ}lhe0^gqTrTc1 ztt@wb?kf)`WhAF>w5E%oBSnl~d~Ya76bZ}nJ+FUzO6cv?Dr9lbl5~T$xsRay>l7@w)20>{FiGAp90g=v?j+LdmW9eK#V5#rm^L*a# zr@p`6_w&cyIp^GSUiZwcnYriAtRQx~4g0L+Q{=no&gC+qgdhXn<0Lw=Y;vAorlY3R{qB^OkI-UHLoV%yn z9tFriNBs%&%0_5rMdlpmW@vT%DneMsf2)SJG8N2O3KsAz?)tB~>~zvtlDaerT%j+Z zz_aVb1N_Q2r+ z->hkJieH!An7%n=w-`s^tqL`5fL?TZJFIbf4VdNiQ{`0JYE>|1U1H|F<#>aFT_oTt zO~RAj2J-kP*gdr~W?p5-8wz|NHz{DB=`8*%fdHIIP5rd<2H=D)>pg2$yT`%q9qf3i=PIWGc(g7e$AurRT$T=uNFUAy$#^p zHww(ZgNoDOObT-;)k_dG6dw>EPk3T@dw>h{saGU;*<6+-{Cu>M(b1;w4$a_8c8ZJ1 z_eqKo$!1S;U?qS9Tkf8_!sN}-VJO+t z>a8bX_jDrZR5PVcqat;E@1|BZ=B9RVZA~)C9#;wbPh0?dKQ@440WfS(CZ!ae($9O% z!tPu8dqgMYcu#9gS#8N#KMl zGq)1q26Z23^Ii}X06e?(1}Ob4jYo`cJh>UdtaGy~aK)8!=CnM$O7H8z(^9>6oy%w- zC^s+5xXVUMYaln!V(_Woj4uDD;(}ZYgJyws&MyVwbJx74I&!n4$cG|}*(=08*fc!a z&ZQTBw*5t%Th;jbp@d%lN}y$f?hKSRsX^Dsq_j>?!0he42Nb}5DN202hs)`UH;OauSHF~6T}+56C`;nAp1+v+^rF7&F|+Kq81$c7d3b}hvLP$T!%MmPGgR$ zn`y@qR3z?#@#Lb3hZrA|F&rqscYELvTGR?_<)VFOm^lA!!miGbAR1Zq&KY_<6DuG9 zEu*3+njrRHmz8=q#PV9Su5G!P#-o&=Z1rZ50iFLkAY|5HDq2@IU3|{z2sDG=)xJKq z_kK9U0&k400>A9d@Xm;e0-XWhYQI=gBEi=Q_?h^Oa|BJ9Xl;$O302Fh>NhB=is(b} zGEpX&&m5(3=z56JI9`oG`>X0X55YDYKd0f1g9906{I3%+3W7Na?fWl|eb$xTyOYS; z@Sg(oM7Q2kKfh=%b5npgC200%P! zZkU#Xr4>?j@ou%dh(q&1xRf#@G@pI8iqpn&bbmSC6>HcP(stt&#{A*XyojQQNTmdG zOJ(#yv65u+AMjoC@WGaXUvk^qM%;P`X;? zPDz8aO7+kM-19ielg_*WcXu3@@HN*)*ux)m8{m`b$zHQ)1Zh%$b{);`qFAaB_=Q0L9;SO^a zx;fir2E1aNEH>I*Xv?x}g1U}?n{^V;CaEPYa3wAoc^|p3!Fq0&$XE!9h%$kjfSk%2XmM|pw&ROsH>%{WB&qEY9_ZW?a zgicxhX3+%2S0cXsyKe8C$7kyo))i>SXchrCp_fN_t+26X@d90qMw4pUGOTcZhLQo;>aPZGa)=P?l%n}4Sd{Y>XjvNN zcbKuvcbLL~tzO{?t2X*F&!k-rz=9YxFf+t{u^LfV1ox zeyczm=@<>t1@AY5_BY_Dz1CLT==!eQW1I<`)xb$6#xY_v#@(h_#w2?E3C|m}w^KrP zZl+fC$~{$0}DT za{J*sA!HzrcNEWDoL^dTPj!pF*xg^@@M zi*ORk2re<`C$s;ilHZRQ5FwtX!W0+%_a%5Om1F21>Nk@jg9_y;GlZx%;i*l6;ON}bGxc437 zCb4n8jDb=2BaX?~I{^ZWtfDHZCH6uF3rfP(uD9kaupyn`Y6R&6Ws!yl%fyZ8Ojjd9 zK<4{{{_+iNxE$$ z!I)43mHr*BzMZw4iZ&SAQ{l|5h}kGwim!vV#LIIr(U%5$_48g(M-l&psncs2kks0+ zG=csUwW(Y4h-LRNK57~LIBB<}ae5W@#4ja8GCM+kOv=f{+$|j~ntvHkzI3aN_&Gh3 z)cat(OS~6uwYgZ#KOrMAzQ`Iz<|WlKp{9jD|IqqP;?UHBBv%<}s))I`^av)$h2}fX zzp1w{RK(HGTW@iN=b^Q$AnOaxPIEu*q_Sf%)2dM=_c+q=L}Dpdb2gXia+V8WhPd!0VqP;sNzTC=luTfw-(91wXy#Be7nVzh>^fo=&UXbAu!tIc? zVq8g1x_GoxRvKf?OCpQUbEjD(*g;*bduLqv){gVX9XLAJnl?~40*Lbk(Kv6pcl9Yf zmcHif@Z7c%;q8s8y?V;R5stI2n`C3iQMkIwG0v$&Ququ-$}-c` zWvyBlfI_oOG|?ge!LcTR5SEc9N@td#CJYP9KvP#?qVi1yUE-6QYvqQC0jMt3Ouwlb zmv73@o$UAUq3>c*i^FiP%?Y6`=HDu8=jIW^LOYp=3fpC4#KFvP1a!8cHbVdPOnQXg zY{Ni=?(3Pa5jwLC>k-e5 zYA5qp=6E&Ru-HcYt)pYIy0cQbwH*LHJU zh$eBqpFJos*902W+yx%9I}Z@cZT~IV4G=FY^^r5B+iM4fbr`TUv=@)4F@l`GE%p+J zoF6EoXb_IAx{=yZ{!PIpQ3k*y5;8BOUJn7@;G^U3YixYVdTsr%h9vs9Ch1N}fB#<-Q@}ml^ zn*PWq2eqC1oW|ucGu(35n^d`nC~`d0aw) zg;7{seX5FnAsJ*oxrl>42GWDC5_G{mv|^e za$OK^)F$;oz9Acv81Mz+t<;pXHRsCx@C!9&OJ+odeR3V^juDGGl%MxUm)$RdCZ9cZ zD}?O5??3`3IH_qKf~JuRY459Sf2Ld{fy)uy` z*qD`)Hs%aSK$3hzc&AU`kG?OCZ<)(ax_NMuCi$it4J|H#>>A8tLnX=A7|IzEpbnlQ z1>hVMk$MX}{o|E}nd!c;gY}O)^;rxtccQJ0X(upe$qY`s5AR2B&mO$kC$>=5ZVK|T z>)dYL9ao@}HqovR>M+1B6fVx2dWtD6&WGl{I)sJDmxOj@$IA+Qy&d-XSOK>PZwlxVt(0))igsg;+!lT+y~HY>Rb5(e(y z9KX&gD=K&)u>AfwUUt^w)PQevr;bSIQ_g$0j&DhC^_kp&_nSO{ADY0-Hz@L}ebRiU zv(6U^QG%LlL332>2knp4FG-GA=iFtnB&IpObuyiW8WzE_AEu}dd94s9tr1Cx1LU3i z5E|#mJO7=1~@8m2LGd3ILkHwUWUI@d@ z(NJrz*j%Ll#ZckPpV%qt{vlut!%>mRpL`-tKNY$$9`!qOG5xeHwyuAwd-n~yZ_e{j z%DQekqx*JF!0B)5&=|>|I@*}f_8;VjbB`)00r%~esDrsBQtg>gp4O@hfct9eztbIg`^U#=^d+QF(0ZVruW4{R<^v#jj%wdXDG z-`$SbJ&G}i<-pkvNqKmCB2zr}j%iH~=8wycga8ZA&_<8fo?}mGxR9+ml59wE2=zUr z=`8bufhTP?&s0>~HWSfuZ8ij6O!Lk%Eo9g+`Z?mmiLZ_yj&Fy-AA1V!(l8(|MJI+$ zK?={+zMZQbfNIH`pc=p3hvP@pLXSNq!_%C9EnQDQ@2|+wA_Bsu2IR@G)j);vhhuIT z|FEfM6UKRG3Vo2{zR7^JlzQ5>Im-)b#LNC6LOuMC$moBxymLrI?+v8+^2|A_9;MAX zJH)I^?+P4Sb8E=P*LhEMnkd@!Jf82_tgckf5g|0H-CW?!pr&wNuZu%PikNIg9Rb!C z)JI=Me~TO)Ho&h?W{bUKRC2Bqd)vMJT!~kI>(UVjw_*cJ4uOJzsSlim8_8BdK+QF7 zKT&lLK}3N1B&J_38#Gg!tG*wSEARZV%VY&}xoy_~nkjPky)t-i9sXqJ?0o_!>7-u- z+O|FqBmn4rQfKLkUm_Kcz9)Fl`!^R;Ib$qTnj@XFjF#>iD|Rp$NH?=qW31vy_7)B#}v~yI`9zB2`fJEe!mx4 zeM~Wb6d~L2%22X9MV`RmF~!o24yp5O;KS=r?`D&~liv~zzQ05Sm4b7qyd(N=;DI;lM)|paH+1I)XG+jKC@y=^v&2wxAWh*#Gbm zU2*Bkvi3W7N!ut5syfRFO_jk((e;3exu63K)+T;QX=iP78C&-_dTPfP(>QNwQE;%wU zq>VB}#^$lKTm!yoj_;h&A`?*RS?;=aU4~_AY@u1=7BBjo`zh~bxqn(Yim$1e_OLND z+$rPZ;&D5Qiq@tTP1mTaIVhqU`$=>Ug~lEUC?(pZTw_htGNs;OGb-K!D4cPqU5z9P z%lk=K0TdlF)&6JXoF9?k?BnoOo8{K4;z>ye2FymybIe=XoW-r0yhD$|n5^7{W4*n} zJ{})}F;Yz9Cw0SWiue&&A`t>B%1zk%Q;1}v(|H`C!Yw({YjZ^#wW>|%hoC$CZa7zl z)eqW%>#9XGiu3HU5E(s|K%_oAhe z9K1^;_eX9C+Q=37^GxTfItg9r+nVX2 zIcsUrQcH>0pP0)2P$2J}gF`!A_Au$f4aqXf!2E3Qm$O9rgZ}RMYpvQ$E1y_#vL{|W zq?+i%H(v_w266Nf)echVJYH#_u8`uNf=a1)IGxkMjU%=}~AY-K8-Zj+eql zrWMmpm8xt+VW?HxJ0E!NoY;ND_pnJAs^~}PU5#l;g#RsA9_nPpz8Axp}+j%MZgL4Y? z^o?1(|Cg*^(+%pydi^o{vRyK0Yw(zQ>Bg)SXj}C`sQX^idl1&~@^+3;HK6-18Go`d z*d{&3P_eIt3}XvjP+qfK1cBwj^pp0+*KHJ%C_HO0tn`zgeOp7zsmYu4C&_Po*O9Kl z?Aenu>q^yN2Bfvf)M`wmwxjWz^b>okMCGR*fhPZhiQb0L05%lQe`$bUAJywymLtDz zW9}#CNAdzZ8c6vufk(Kw;zzOD;88&Pqt@G7HWdGX)SG%vQ^K9BY=6EM=%E+sS|^RF z)on=Snle;SqpR2SEK>}`S!801HPrh}!Arc^Pgw;us(Tk}O_S2Iuff?R$C!bqRuRt+ z%MUiRxX2Fzn{6UKJmczz;>v4Gqk@X>reJM?(zQ#UHmGckNiAt{IY&FJ(GcDC7p7u< z6VPTo@+tGSrsnCo`EF*JT*dJsb8XJOICeU<{Ox`Rv-J0lLNv0&^#-M#TLh`VyeP`{% zZ~F`IM~{_7cf^k9GmYShR$*Vtq-AMM?=%f_g%)4_JaC^lDk-Cs0wIRJ4alqO(`$O( z-h4a0BSb~7VCB`Vf&S^Woi}bGDQyL;aV1Ofe~ZsQtk>pFi>y*cwT82mJ_XP!b{GS!S(CPuu$ZzCnqQgolE) z#yENFbJi>;g_=t{AO+%nL^$3u>{WA7kONEnAEq7brZszz3@@owWzOQhOrByHOu-LQ zBd0xM*yyea>|ky@V#K@;F*4(5nfOEHTHUWiieJT1EYr5qvDR(uU;=aTm~LOYPF%$+ zD*j?5uOBlJowVp^^ww|qN7Tr2BVr^4n1PwVa9bv@HUel24Hi%PZI-IhujD7*7%{R8 z;-?@T!P1Nye&=SGNLAmq{;;^mVvhiDghLJ?(DuDarsCqU!;UrRi3qRg7-``Tv`INA zT6Z6X&qE9Bgn32XsEuM0EXPBCps_dQ-nQbR+SWKF9gShYm#IKd<^amjSF~sgu|~$b zvCbhsLK3nVi;EZcIEvrcNg2Z)S=aE2-Zp*m)^z4GQ?bL$aQKLB#bVnUR0s}c7S!>I zg3o#}3E;Ed4>b6!_trF`k)yb8cO))W&KbPEfsbJqyk%q{Unhxa+;X9)4jKy!v<>z02ar6HXlEDz3RZ1_yl<4Ie4uXP&d3(fn0{k#5E97#by++45-8C!mF2 zn~zQvnQ(YD_>dRQ0aQ*>xr4a3#&~|2*>en9|Lz5Jt>Sktf{Nz2e>okpfUBX9fCP+p z?j7=<8zrpnyz}a+oaqa5wE=qsCnU%7(l0N*>Hl)7y+Vij=6Tt?8@E}Wo_l*R@Uanm zygTpvKB_XjJe$1{SqnWr6>gyu8mB#S1XDclwa+E@XeNj0x@5o(b zx956P-`VZ>GR;N1YwUFJzU!5*MERd!IPP0T71K)}bqE9I3-+5W3Ye8hY~`|7AA)9o zL+PSx50S8)5PuT}`RNurLMxBm^p&fIp#&xSb~kvYMu_gu=8KsD*Ga+ibqaZOIz4OZ zXP_cOX;fWw_27M4Qo{aDj&!N`TrW{dfBakOvG?`6!J_qVg>0)%=H+C}nK3p=Mr53e z1Vdxj0Dxb!C4~V~esL4iT@U+72N{4b`JlRJ<$^j+Q@pTIK0o24?-h^DTMOd1NLZ zDrz@=VCJt(tAZqK-P~&}6mr^=lZj_~s07EE0rE#yR7C)PQ;KshEnp~LnILy0ydCGv zCB9j@<5=#H2scRd)TJJV$rA~#yb%oIL!lpfRzx20ao=to6tbp#Jsz}uKEaKtuGA0g z9z-?Itovc6f4U!o+sS_JM3V|rQw!~Qm!1sN2<rlz!oi^eFHAsJC-6WNketwWqBZ=?uwvVi_2b?!a6D9thwwn!EA)j7 zJZHPZ4x&D;V*E%U0aJP+I_M3c`+8KhBi~YAH_tV0$ftfNC1p+p|C6)j-s>@a87a~n z9-+R(9tM=DA48O6-1*wm7-GM1Lm+iE^WEM4^%)F$yw&_oO6H-yFWyzj$S`@q$-ax} zb-~XUK05mb&I zhVr$5La4Qn({DzT29&Y=Y@xnyKXF4kc?~FM#U^PX`C^l#kfO(Baxyhcb3NUh^%*G& zM){ya*g!cMegvphdk^$;JR>D0Pf12|qc;qQ)TbQ%RbQ6oX5~8>49kd&6e~Z3Z3~vGGnTSlsAyy_)AXyt-1su`O^YVWH{c(%g897aznN|Ekk`@ zhj2rl)?HufzAkqIte*FCw2M>QrDSW)8oku}9NO#71KIYhkdrB52HS1C zH%ttiv1*2TyR8?MWO{kP;z_~Qn&5<3i6X%X_O-cL=-m0-20EG~#Lw($GVOXaqa@Q| z1EG0m3Yhz&#H(gf2r$iQDfPG)q!38-vb~vqR3+Ff#2jF^rkqM+UbZs;c1YR zh9bQN&i@8U)$bM&zWo)@q&_jQ$LH7~C58}5V*KgHD|)1yl3=SI<~JW=7Qrm|t0mda zw5($rN+6e^`#b+B_<4bsEPUm@GKr#3%`sV?*6j~JW^mqJ#JXNICJrK|a~6J<#Ps3D z>nk~}T80{8-YoB(+O+BEqK4ulB8L)Oe?zq!XSuh~{@l6T+QFvk&SQ2`$5)uNXl(eg z03E)JbvE_0x!3naHBSujB8}aK!{kBK&9hvYpox9f0w1U_WcetG3Fsf2N8DNmq2GLWbk zI~mP+c^Q&bvN)VFB#;_9aj=^mGKAJNEDjd^q;xh>A2Uz2L(w`p2;|t=P(3=rp;}cB zgjj)4<711{j=15o0|q+5PfXdR$FN2hBXQt3{$&K0QwM?^z!>YYojtoBJ1cghy56ZVBsvRoKnJ|P#ZA&i=u9rp+ffZX~dl=*tGpm+?X{KT|8gL7geac zM|DSGPogFEEoQS zE^YX5l8gz%1e?)wmo6sm{e=W}!5vNU)*qI8lOGBAB-Z&WwpWM7g&N)PLTRpYvsGJB zyRE;owFyPxf{o+FoD2JfD4_;(b(=6Q>gb=;k`F6RcJl1!YOpemSJukMQH@MH+kiu( zsGH#rmz~I1Ilu7&xb~+}pC1|;8{z30Y7WJZPMtEUSZsf#Sefw&jsZ&*7wz0-wZZXp6CzLZyK?|@rL&TX4k+%tKyhy75XDd(6WlFBha zW{?wdR;PY~^Q{_qN0qZ7JqB3k#PtncuS)gi7#JMJVIa_)GJ@RAYtFVAxB{~}n{55g zAryvocK})`?BVoW%NUgNrn zWk+b#ZVMgkMmn>fK8?+|F~5KDhuaPpLI-q>(Gj9wm^W;!ESUAbk?zyIDc>EY?b{jchp}FpnE;O=> z!M^ur@D(`g$?I6Vacz`LPA{5ogE}&Lw%ZeHptgwW2sH{9fM@9(&46eAD5=XHQ{K;w z>+4g2{S>EJ;!BQiGsMjw7x=3?G@n*B*vD?oz)6Yx7HY2m#*<184>r59CeSV&d|V-8 zeT6^bhTZO%jm%IL#+&};cBgHai)TB}cFlb>M%YnQV(ziV2f~+$c9B@+2w{mim1gj* zQ5KfRLJ?;rwO5Rf13N57&AL2Y7(cX&%b^ap$9@Pp1D;ARL_L-MSVUT1Y_gdw-K`t? z(KmsH0}D=YoQd7bF$S9P?9d5LG^gmM0ewrxc#>U_P+80N9R}r!J9B*C{bPAjzfa!s zHb+q7*fmuB`r*N^$*dX~M4cLWws46kgRLeo`Mpr0<;#3~Re-EWy-cQBz$r zC1E+1W$dPK0&-W9S$lK+SZ#+P-Qpe3r!y=^*WzKbnB)OQ8EV2;2v?aksO*F;)PA9< z5tMx=+P8Id4>L%LrM47=7nT;6>|8|;6zT;h@Ua3!hxR_b1Tde5F`p2sTR4^7aj$pl zFr$wAN?=}ium)==O5Lj?>YicZTye-$&!sxxW^Rj)W4h># zth+Vpt-Bo@C%l4Zs-&|rqW^)qH#{-35b9iBqdEi`yyVgfq0A>06Ey4GL_`|(&4?|= zN-Wk6Ig0+xVJ{hyWU8xr@8H&73;aZ^9{?_AjmveB`VQ?5U*MQpm{58V>|kkV!#0$- z0|9P~C8VxiuEcK*gIj+mV=Zt~og&4?qpTNe5ecfI`Bd`AHQOMX0<3cwkDyLHcN;{< zddPtQZ8BF`AK$b7ci6X~8@62+I+pc290>HLs;wVr*iQgng^-F9#qXqx!iWj98;@wx zU+O_$a5_SGiIA&hz7ju5unR&twFavy?D$mK?+^?haOxR-=h<|3iy#=cTVJ`LEy)>uPJQ}Zl4f&m`B@eb0maf*2qL|tVVq7Eb$P^Eu1 z5R}iTnn2iFa>GLe3TuW){rCq-jT8rHtbHGsyE_g+X8jAOnw-FoRuI&Qu2(tluhVx` zW_H0h1twJfS`F%9%*y$v;me_o5;e*|J}%}od%SaZC^u<2)M7#zpw?M3!C7{8?aGPU z@|~0}m;yNcq40QRVE5tTTb$(tm{DlQcVd^EhFA_AXh4&GW#4S?+8v5WS}tUf?4vUs z+u}Xh7P!FEM_1e0x!Za)S0!$gDH-xv^N7I|>iU!KWN+3K_l8W3r_YGTGgC5}3e;Dt zj9U)PJpXCdu2lx!3O+aCuSOx2fguMKprvHBmZfiM038v&zB1IlTfV;a7FP_5PzJ`( zHkp#5+@F_iLg(U^yF#jsar&OK#<*h7dlb86bagSOU#1j&>oAKdpxSw$m8FTJ2|*r1Y%BIFZ@d)1ZDrX^eF2E`>PRy?e;OFP<4eK z-nLz50quK+DEdC5F8aPHlPB%FLwukR5^0QEy=;uDj+f>=*}KSlVnE80v*UU(=9D`t zde>dt8*BlfDLl|Jw>>R(uzH9GnOV$V4*I<5eHDaY2O;V|8{;hX_6)D}U-I}2p5cVs z`qeu%ikZk4Qklpr4fpz{F5zbT?>qzR`CRhihh3p^Y1S+QJIZ8*9(i)lYsw4HliZqoQshlNP6ZZ%3`J6x0-*X^!dORqk!j{5XL#YFE16|X zMkSz1H%ez7opD$yn80|lV-PpncObIwRC#w*Xb8x5-`Hd~?7nq)^4U@U(DkidrX$rkF~B}~y^1tKM(FBy4E3W*l#_6$)Hz*uPv?#cefF&QJom||? zr=)zbGkLPC0;94KUC#R;r@3$08d3h^P=-#FpVU@))EcROW;G%2x~7cTafhwjjbL{3 zA7TzosdbBygPe0{q#h>b47+_3R+EdWB(JUk;`IkQuIWC3u>x-uM#S@k)%nCdC$m}Z zDG7H4842tEj07SAI^fK8Sl1TIm2Hdcc%R@mKw|NR^5&%h*G(}@LhYKlDJr}cyE;VS z{Pyb<4mJE;Sb6dmo&D92%ne3~T?xMKR!%%KHspSAEPQxOY3spIts)HzZ zT1)F*d@q&I3pyCW37wQwHD??!70cpKyK%+@c4qdGd&NejsURuYer%J?E0V;yQf?O#RRz_jFmG3q(vosoK3Nxvi(37(mnjADWs?0Fq- zG3pcx;B1_u{L(N}lXQHSH=}IHRMD!ZD^igTW1XofF({#uii%5Oi;`mT3x7P`(=>VY0_-$mZ?WwV% z_`GdrQ0`6l?B6H;8QE>4Asl7J;1Jk&gavy7w)utcEj=L6Rm*8TBZB{ztn)yazhK~5 z&EQaXX`L^K;h0~T0%91fS}y4s$qeBD%BsPA&G-cEzh{8*|JrO8{M!Ac_*xr97o%>u z&6hDaYpQ7Qa7ZOnleajIEvilsY?;!781?p-V2$gYfXyTWwpxd?HP}q0IM4>Hu5X56 z2sWpOJ`rrCk4ni>I`qF`rT_=<{vfi0HvsGe-;zKile@LbIb26E>gJfaw~F^xD`M2O zL+-O^Sa5)SM?!XwH{oSK!`))WJC=PWO8wPt0$NGNq44IA2Y-NLB7lZ2{JOEzmHVGzCigoIJ)fU zGuuFKnDLL2g(&5mXkTWgEE_A)UC7P zeqXgxG5wW|GnsjO4tt|oSLpM(r6%DR*mR}*>Adr|B{gz^42G!pL7+k9f4{pqx*zOzM8VAKg`~qn}Js0#lNf+T2#-+$GN|^Y9(xZRb@UG z?c&@iB_Im2{r`y%)a>J+)Sw7i(A5Km3x?Nm;|UVrqO1-;9dYiJ@i>=?Vx}e-rO>`Z zN|fZ=WWy7G4OFQ4>d3US#C=8tS$>nGcP6r#2Z`SwAVeQo5G{H*rS8;up^q+zYz8gW z@PLdz`iN0v^9sm#?bPM@ zn9CEyHKS!jhe5`ai2JW(gtnPxJHe8rWbNu_749lsTxLJ%J#&ikY-aq3&HbRx2CPmISq2!T?pCjFv7dl{ zG~2sbwqw4i7FjySsE7mub{R&Z8({8nlNzqn^PVCSb&V#&Xtn%YG!BY(9!K3VT6XRf zm0KP{YpCHSc5qs_QXzUpB$c3HhEWh7sA$^`Dhl0KL|)ma21OB|$Zqdkixbo;2TK5g zc0gJC%pVSN1M&-}Pr_GCFqfb8Y0IK6?kE3Svc%g@{zn3JE&rSdK>p8(2;~2kR`G!S zRM&oCEKn0=f36o>h$fHJn8ORH;^k^>+&%rVjBXk?-P5mdW$9j_cF3 zyO^u?*Q#K)*Kqbil{!$W3L+2TQmA18WZb6?+!*FRP3!mx)DlS0a$o}UqLv{5(9?`O>866Mxy;_cRb=L5K+cV)LZhbK1dcZo@?N!+iS>|A1JgHsZl zJo=h^@2xVDhB0>H|0Pp>4E)*{##MKA)h3U%CO>tnED*#2LI2FSv7;Xm{!R85h4Y1$ z&@Cyyo$s>*G&t++YmP#PiEhaY0C5o<^;Yv-^Njju6Q&lsVPZF8O4_WgeiUt1 zP#QXSa4XImAWHR7N9o`6m5e^UYF%I3eg3RtHAIdbE+4DH4rJL3mRfdHhkm>A1Y^}o z7NuUbHmH7hAgqvsB`ZY9eZ@>U2+hGhZMqRny;R9e7#q@odeogzK4CJ|wizmS?0CQXg=ktn#i{u$i+y#Ct|vj z`YuiaQ!sU^YJV0buD9_}>M1Os8x+T%C!E5Uk5pn%fix-dD$y75HCij1)gKf{_ zCh2fA(~gokTLU1^SIZNQtu}w-mXu^{Nhp3K3SL*@;OU8tI~)EisDJM9nL9sgPV_s` zE+3^siaSAX+^8?@FPyJXBa$NRZA-;GP3&9_XRfUYSO-lR3UzrJ7T@=?G~DWt?+oG# z-N5=8&z7oNpY&;?^QZiLfgm^FNx$zXL`BKWkxwno;!J4!BaV)|GzS)+GkX);C7vtO z*c79NY+)(Qdq$oV32f-nP~In%Jnc~{v{)2V)A023t#o!WYhx)z?i7ssjV5FTs-lyK z^+Bz=$6IOX;RBcPw2xJ0hRf%>A8a%Jlv7O0(;&<}NIz)L8%P0O;n;2z1b$KB)qL9X zdIhIeQ^e!vyMoDJcB)H_RnhD}SRC=ub)EWlZxoAIMto`kMA)j`w-S zZKvCNRHM(lp3zXUo69HhBrD$4L^lYVeQJJ; zi0)UIs^a^(ojD0GJ(Co^R5%-Ph@>Nztld4rY~REo~Q4$hjY?7g4;hj~Q}|Uf{;-<~b8_k!}H0-^~)ls2egFe%*~I7Ltmd zdS8{ovL+i5_f+VacTN1xEnINK{=jpATiJ{ zn-kIVnfru$d3!ELPLIOri^ot6dyF^Gm4OlAuq}{G%~22arb9h%DSe#2(Ji;0#VQp2 zWzvEUAOPa0x}NLa3_{)Hq7AX9=Lp%&Xj4Q@iiLVFiE*@+3XnA%9hH(Ti6uQK{ZuY~ z4@;9!e$p>XS#i3Hh^Qw&JSn=incWjthkc{zdax#EXV=y-RDyJxYCb^6(POQrKo5-pr*rxY+H`l*c+oXbeGY0(~ib0R%zb0UYc zJbAYE=0sx+9*_G5@UA=Gm;OLxYMhHCMPCpRy0SFQ>FvY!_|4gLu-Am75XU9z_$U%m zbX(!EPcit)Vk*0kP_ zlYNSbNzq@Hchf+#;>NTtcMztSJGm?B9F8^vU2qN;n}=@Y6%h&oT>uU6Km(g>QoTFR z?^pf`wbZ-4Mlu~XA-_HpHV!x9zgXyX@5P7fOLru~mqnkvRuW1Xzvk%^q85&AIC}wJ z*mMxuz-xo~+8^FGsF*%w*nDFx;-5@6BXuap zRB$zxhUbBc|Hds6>nm|lZ?%gAU;CXuZ;wGn!RUn-mE$xaO@%a(b@zKJ{%Jx;h4jwE z%Ue?r+3jBkop!W?Pq>F55QasOjyMy*H%%62tKaXgQf?5APt$9?$H--^rWF@A=xwsg6JX)9T-`fWL@^z}I44`bu_t-D4LMoc6Ko zf*iaYcOcNR{*jUR=11P7rLT;@;8nUP0zt6szgYgqPY?d*unN@KG9i$RujFxHJ)MRR zs&Dm3i7(m{&_Oic@PLe0@M;x4-6c2}fAI+=-dyUya>sX|3mi3`B1p?C)-aGN>Kjzdm*Acpo5@^kdJ$Hz0p67|BD0s z8S}qlPzqKgf+`)^O^?qnj|aIS<#X{bX6M_}?cdLKL z0;Ry8ia>*5x96vieJ*q-%T9-_N5_D@JC|@hLUE2+5PBFa3qYqrBxscqv&L-|YF{N%H@6_kURZJJw&MVDG2| zq>rvZD5ZP|yOZ(x&G7zAvP=1pbSGcn&&GrNgZ$M>FdyVUt^OVBFH*2~@CYt}twdzj z4URuYFG8B+bNYWs0<&&BN`5awNV4?bNl+I}KV`jj=bu)80{Fj?VDG>X0?mvn*Mo$l zIcF~x`QHSZ{>=R=lj@4b5aL0kem7`hbp3r$;k_nf@qZdmf7{Mmr|I(llIfuWoBTBJ zX*}@1wI6UhAKSkdzDsy`-UfL8>h`bG`~S@WI8z1N1|hExIPQj98ptJ+&|R-+aJpph z>Rl$CN$?jVYuTseBUhQU@c_K9c-}Wyh!x}MS?#=&n=b!iG&gIH@xNanR_yn6VLx3a zV-$)*8FegG;+gD1y?90P`l|oKxN=KTh-)VYqlX-!CXU~{3xg9mp34GV z%VgIICP#5X39zckPRXo-GBkb163Ro&WEVB_#KOHgJ1y8#xU?;%(0rOL&FDWjP<ihezjz$u7h=gco z&UxMUzR|b)jUGMTkE$iJmd&bKyVhLu`}RU&saL2B~x5 z9Dfw+Yce~14Lfxq=zh415T=lNmDZ<@xJgrbX7u_|1@&V#a^DUYTkaND(Lyh z0YtW2vL;Ha@;*8QOKtFIudn`mnrK38H#bt)!nik8 z?9;{9pAdjIUeEdLYk}}miV~<4KB$o=ecA_qZU8Vp(L_waU` zA(<_EZlxg{d-ix>O#0}-yOAHm_AsV^vX|R?ESgcIp!yCv{^4UjSqgY5AkS(XHambpD&(hi%CEc~A%r@I~M}%eBvBr6Kpr z`p^Z@#>Q3`ZJ4W{c+6C+^vxBX49mpn-C`HaN@Y~@a+c-O6hk6o6~;IJC0lWYC#r@G z0y~%3l&c>yP5Gx*n#N8Yxt|RIyermR@t8gqkmIOVRNDSVe2(!mvV)RiXX*A`WUD(C zzj=czK^e|QO@dwUTRb`=EB+Woud1=Z(dNrwr5qhknAHW_L|P}FAvl^bS@%WUSJHlo zsrG(tYvZZzFHb{KB7e-S;KmKV4jFUZ7YR>3&5f1Tid(9fws7k5jqzRs-gY^GNI=>A zhwX z2TzkOOh+A0+x;2_a0vnZ9x%4z(w?@DM3JW&mz{lKj6me~+g>#s=044nhy{fLV=icM z_FL*pvKiY~k>+(T#Mx!+cO}XrP;Vm5_xM66db{S>${RA!A>85m4FqHb##0bs(F4;G z%F<`EJ+@f6mWUFAtXSj$ZgH;nd+~B%(%xSLzN9nSOL9Pgadm`*=zzT>RjIn*_D^`y znWC}_2yVDOxB+_6{ahhU;t{R{`hj!ZcAnM%`bHJFu|NzXUJVHVA-3Y>*h|Cs!D5kg z#NzBr9NQIN>7cRHO=~s1snu_Z5Bi9>=(nSC5Btw}+#?~BCnMdDIJwYqcsTjiUbQcC z*;IQ0gJ|QK-z?!#x{>SKVvRg`4f0iD^dyVh^Vows-}Jh2%(P`9~&eoYVoHD13 za0?eDLf@MTKNhd5YuopIzy8^-;z%cN8!tz5I>?jOqZSDX;5V^<<)~Lm#HGM_a5{*U z<~X33!&^MDL@l^=8-AKxdJ5X~YO2q`0lMN%epgW|3t`97BhxVxuT zU3|~sUdw;x7~y7%9k_Jb8@rCCG1#0dXdqLpKmc5pl6Rxk`RT|+W%zAzMGV=->XiU) zR_iAvqk~bnKbuqC(^7uvL)_tiDHT ziabBGAbQJ~pThmDBxkG1KK0NZ7-T7hj4=d(IM$tI5x0Fq8^al{uD5YA^d!%=9Wy*t z`Yh12$G2u_^FKH3EshesKAE%9ma9v|WDZL`P12{w={tLi(>In&q|sK(`o|=Z2Zy-Q z+9VG)%}?UkBu^;K&$v|+hk7KJXs~nx7J zq`kAcLsC$W13`3d@EOjaRy((L4dNRbe8)B@KsW;k^U-$S2JsEWIo=!l$VeMIWAEI) zc-f};ZClVIMGQ5t3Jrmd?wDzQwkIY5BE308ILdK;Jph6xPNorvcvxH?eHVo4$SS10 zG5W3>%8@m?;<-EjYHaH-#3J`!hz0V$5DU(V{rzDOV$oT~7K;1~u}B7)G(eEgUla)F zD*xB^c{m*2k08nMtQ%lBoOB^ed%3@a=cl&e)cAdW36Ou2pk-3K&|ji-XlTFT!>Vnl z%2#y3+%QU5pP+?_$O%gG_dv-sAwvbt#l*mVqF>rf%#cT8e~G#21-e7u_fwVzTX+dj znwx&k+_1TBWIup+v7>Ll@rjh7!feppP*sE%lsW|_&BTz$fv0cbU}4r3u+6$_AVCZC zP}oll0xKo)1@o0kzDhHzEpF%-s@~!ChMO$WBxpH&T~>uU%-CV zfG&WtujD}wu^BtiLu_UO^boTLF(&%<;BHldRvaOBf>!a8gy9tEA!exhkB3q{Uu!2 z30mKeSsFH%jqDB1T)>;5-2mpCgE`wq_GEb0|3o$h3_w+fx*Ja6frXy$q{00ObAv)% z(#!?@6LZ7tRvu!)$k4v&Tt2gPqPw`^lm*!T!m1>Fz<$Brouy&c1{}K!9ymJtd2n>6 zs1y~27c?lrs~(`*YgfQ5PLc#I4*I49 zExJ&!i4YenL)D-t@b0XcI^FlJ{syn8rE_;#x$oU~?paC1boI;I1UeJ`BJ@tUIih`> zs2*cx*o4DpVp^0yWht!~+2{EBf=>o{IC$yRoUqLf7Gqj(G(zBn)+_T>|6+92B z)w{oBKiJZ6O6k)-2kR$8)m`vGWs?s7d&Fo?5AFAP;((Xnfg(SAJNA5q<5%G`Kn#W& z_=F}}gQr_G@Xl<$0rmFWrr$hxO9&r8ouU0UQ?Sa%aCFxTkO51p3@H&|iT!K^t_sQ>Y07A4Z1U*dIk^IF1Qg zr64pMzGuIlJQo1#OdKqz!v>3d5Cn^aKNtB;3Mw+3D?!U@`y&`r0}ER@@`ADF?|I8N z{9tmJAEb-;12#Fmk;>SJ+rE?fgVeYlxAwOMlJ=C)h|-Pb=# z!+u$Xh0_=>XkMn-)zy~G{|GIA(mN&;e+g|FROn^bUazF2!Br$`z^AN;4vV+v9cFbm z?!BngmL}KWbw!_(`D#%~8$HH_9KGzY$-H@jwS`x)S3&+ zF)DPU(%@#92}d;K{@3Ux_m-{kq9ZuTM?5VI4BoLQI_*s!th57bbVp->R9vvEwDMr*XV<`UxyCn2JxOnV zW(ROKvn_7gj1)W)M<4yM?p;WRzXh(|w zPUD>hr>8-X>^jUi@z)H->f|T2Qo)XGQ|t{L2F!ApLWdc4wNl9|UMmND$Ut0Rhn8H6 z*7!>K!8meCQQfkQ`Wh;3Sh=w}9E~eIN%V1DFvb*L+zGFF97H1?j6`@t3@UxVp8a&A zU&E~fd-BeW{>xM=FMVNA=eCWyfwW8*q{oskPI(gXvNkv@_93iQ(FR~o6$R;E7+Pwj z5?yQ(ejNJ+8=&|@{r~|Sv~(38pNU}vmvA(|9BfNRgDbGdc!z%0_VQtnYDE{;wz1YV z<^)bmgI*;UYJ$vi&0m&(I`6xy%y3|?X4xDD8|{Gme1MZhkvure9oTf?wr{~S4 zsBWi9Zp3C9>{B8^8QIgUodj5OG+6UI|Iy!s88J85dQ8Jq$&TV+lf5*J?7zvQ)1%oc zx6u0L#0Rsf>Xcn)^UG2#tB;LSCB+NtvlrE8E(f!rL7EMVYuA8wL-iW{R7qSKCn(kF zwc9-KKdLg@tFhH`zN!0uF#F#D|0&N2MU$<>slh62=O_dyhdw}#fDA-w8kHdgMmV~Ng z2!xleip*)t*sF8dEWdLbJl4(fZH)7Cb3`^uVsWf8oHb0(+g45U%S4KEbGqi1Qe$yq z8Z=Cm4o&k%Ui%j2blvfSS)x*o8m3e?{~XUU`8rUvhbt?2K#eI)cZN5jFn8ouHE#Y< zSkmGGGNMoc*vsMQby;TSblG24j_=n}N>_kAn6beeVBMoA;JMQ}PDEFVzTTMF)ijV2bfe2xg$(-}slhgTltxltRTJwFa0O zz4_(13M@n=&Bd}1{Ynem1_l!$4WM4s;}vjJUTIT%kmN+fxY4oQfy1g`%wcVaEX^_0 zl`!!l(~%sQm40rLDx@47&T|3dHn3{Ys8Ru51KL!~bR}j@9yBX~=YIWbP@#BkS0A*d zV9>Dz!GM_cUw>q2@sy!-kl(1spPaLWN=eGAbrZ;9(r1<8k&M<+_CvGM`yn_3n(=WQ6OXpxE2q}De zWbNS)fa3K0gjQa*-nC$v?jbp!b>hM$S0QckAX!3Q>msP1JVTrRwTx1vH6K`a zk-2-##4W5+q&6SWwmgy6mD&WR{kSFQcJ1RIIWn7HqeyPP&rZF;;!{6?l(y#zHUkgG z{SC)(abH^SR}{H*+_n9p8~)vZkBZT&cmK;Zq`s3=_!opuNoW~;I(^WdaBY%frP()B ztI3JMpK~4pl5AWdP>kh9HQRvu?{+KJw@RZ7^L*g9p6es)=vyw$GvZywFKmBz-)WJg zkqw1e|M>S1AW6pp-VPwS0Eq9ljq@|4q(_pLp;;LoUdKg2Mv|}l3sDBwW2{0;(e!_T zxKRw>Kwbb`6#_8D;r630)$*1r(eK>l;>YB{_&QhaCG@|M!DVQ8K zot2^P_D`oTOpI01Kuq-$Pa(((v4w@Ba8#8RG?7cRGj+i=3(_<+sN>AqyPJr0b&d`b zbb|==c{(IIej8)4`@Yd(;8f5b^tOD?aWEF!^v%G1))Fux_Y~yEot}YgoCkxm>+ydx z%7-+v?_grgp62&PSQ7`_Y>j&cgcHeZ9gYl}^C1mvjy(s@%1^Es1`*N@CINPkf1vEo z*EMr(gHY&(v&Ky>{t7#v%%&CHAzc3^{iIy&jAqNSH~9US}rX+jaC8-V>kD*b<_{r_SB zJdtIrN=C)}bw3%Y_bhiv_IrtqAWa>{8EIMcpR<;f1*mfY)m%kw_gS{084}U2Qgcau z?ZnykeOt1EB*Ee|9Y@CIC3E1U&Gf1s>8l`p3r8JyM^<~0I}=p(mW@4p{@bto{GM_D(Qf)(zjVyb75|!^jd@ts@}g_k!s_~Ilmc=|YmqXU2yFnxx-7PFu&UQtc;xO-Qjm}E@Pr`dpp1WzGbSiMhi01g7rE$ z^zQbK#$q!Ats_H&AOV35ll2vDw?D``fXlh?Z2dWsCYAOT%7$b~SudVhKb(j+u8{>a)K(E>n{jZ9&+d$h36^$r`gmx@dX;uMLX z3soWmX-ZR*@3RWRnexfpjmB-8GIu<`9gi)4&PG*~ z0zk`71SpKU+LT&c>EsQ()OsIsnBc60XqqI`!t<(XDI*Ejdp2(jKfA6ddeohKCQ996 z!i40Mz{6w$Y)&YXez?GscvJqTRZGSKQHg1T%tt{f)1{p)zNE~^+23QxqOl5ncKnzN zUusQhq&~8Z#pkUZ)EzfC7O>CNuI>|10L7Tqtgi$*DFz&Pf4V)1Dqn8U#$2vZ&&@0G z=TsJY=ev)(&XHzXDD$&{7Vp2Q{apsW&Kk4y>)H9Rvdr0*wV$%ehqkX)8%6xW>kbJKGn{Ez)gVoNI_iag>;5v!TX*@BR4QTm``UCe9 z-EXGa5SXjQ`(88WG>SBS1Zu-( z?A1r}WDm&t##QbPtR^{rBR@c~%*?d<5XcK1*ZXN<7!xWkkY)ZD2MjtE-Vs2@1u8DF zz6WK0>L?|D%_^QS&`Sa(5EP9#vPybMW3AZXdfE&-_0N0dK9dG;#eW6Be<}I@M*#d6 z{rX?GzWIJ)z~Fy3wEuM#|0)CcPulqZROJ6^3E)56i@&XJzK_``*Wjzht>CeZ*tql9 zpfn&OQE3*&jxn1lJ9Q)eUKdG4vlJPeWfiTdf5X?FuL((yu@Vs3(4a4OO}oJ}%+jCi ztHE27bdvxx5a61}bM@!@YOvNMou4x#W9+bH^;i39s#hS6Uo6uFREI#ZXm(c&3$o!58i&`vMn3K zAdhn&VPu_gUNygQ9{6o*dS;Rbe!!bv{l{Pz-2MT{u>WHK{O9C2T@GgnqHSLbSL``l!E`rz>y zqLpG(XG43<`lIWit9N;9_@ofmKTB4y+sZ0A3=}%qj0_}*%HFgX2aKtT4x)axMzbyA zek*10E|~U8G=?_H@f05uqtsA?h%k!H`M|aCt6dtOYJGfDjdmREI%VZ8J|L9$+anuChC{v~uaz`+Vv)Ym9*t*2)mWVq)o;$by48rf4c!DFXZVwrMjH0G}2l`?YU7HA%Gb(8-aJ?-*cc*R88 zr9(4FSN~}L;x1nM&e{vd*0(zqe_F@gs#`MEs{6li=&ig2C_GchllAN_mjK!Ay{%n|n@a6*9jV2dMG?vstSB1J z6VU~gz3$tHG1BZM>zHhXj_sBYJX~vLi%f4E{l*IZVAs`;h4t6s-Ohn*Ks3S+on`2} z<@mXi6UcMfu%oXM`AgZOZ{cV60YdqWbx<1Doa8* zd1XE2D7dOu>yDFW7Ul+;?N- zox;Bq1k8#r=%PpU6!SmKANz?u2y40SHfEK+O|MZ-wr>pJ5a%D&OjCQ}i%R+V z?Kj?(=zRV%{v9t(rp$ser`FV?SnZRSdrb@Vp~Er7P?y#ib$ehPLz~t^5AEV_{vYN; zERt;p3?ybWd_S>M?Jfj#;!lQ;7)kOp>_Y0!*G^G(ZVpttRl4!5_PW?}s}J{D)54y@ zv~HfD7-hU?T zBIVo+ehf#0Q(%d<6+`C4wh;lCGWghIQhC}q%s&nIF3B;veZV&o$q~T!gm)gSOve36 zZZBv1s6To@{TBLdKY$6Ps;D`|iHlHtyD{Y)4-m>70+r*_E^7xM0Ep#T`j9Ub&6oxdh6p^IG z=P8`@OUqYOsOe?yp^eEOP;Z%dr_rOPXVv&7?M`;uh%h86IK^jiL_$eoW#VI?v@$~I zLONmc687cxZGY4jisexNLUq^!@89ojVWF=X7reO08!BaDCL&t)^dVi_x&GkKP+Ry@7@_IEuEvLKh?q;?B&Z)V< zrD4H>FwETx?VNU!My@oRQsK)OJZH66_U4EB!R%zoFCV%bHwrl_U)4IFN*$lF5I{xN z?;a0iz8t5(!`f(Zxw>kYTN>Is#eK*=GTnLVZ&uE|ivteRQVD66p)l|aedJqnOkz^& zMznRVqfSogX7vStF;!nab>cx>QfU|hC4J|O=~!Iqns)_5w580`=`2$ z?`Y=$9;GZUW4@mBo(wcZucZ$;7j>qf3_cF}3 zdX~U`cZc3sA~nyw{3R#id8Tg5GSy>84@)29oT9v`V|~AY)Wxtef^sNX=D>>Ox~}3D zXPrpG_M4w&^r4{EWAL{Wl2q0p`PgoO6^7}UiZyf|J-ffM56S@g*vd)$`{3Z_z0HzO zbZ$k!libzO-enneoKegNBm?FpUisD&{275aztRFt9u-WU)+@D`YwxkD_Ke&tywaE@ zM|Lha_^F+I&-nlI9LFP|&q9g-1vSh4Jjap7X%)jEA;3Yww1D&6^L!UKtP3p$7>Ob4 zblk<}Zpt13tQ$W4<}(jlisQJ;J+QemayVJtNV+;I;iEniPY8+=ys24ODf!51 zMGzKJ&kEVpszI)9VSU;|zB7EPB-gju*Uyx_@~m+@Xf$UnB^il0kzI?3*EKa`xnk% z-fRyLr(Z#-^ZC&G*dNue)s)OlSRnX?$QFN#ho+;)8b)~O?Yv2b{X)8C?p*h`hD|K7 zZZCbpx0R!B5c;aghf?@)@_n@E2tGL%K|Jho?g?%-e z_qCoYx!;a3Kuk}$ZBR#3y*wCkY?TFn8001!o3#VRJ*pJ@*eo@?R=XmCkrS8`6%OjvbD6nqTvzpGPnfUSPFh z6mq6s=*F6TvdeogxAOJ<)PAk_nA}Iq7nC^6F-+VwcSG2H`ft*(M^6H~6{Dy?Rph1v z!9s#nEFE>-o)s#0jxPf+JEmHSe?e9XT518MMMR6Iac2(WnV;gpcQ!JEHmY<(*ox}! zWFB5ZxL}I5)jxi4OYsx1Epp`9yvp{?ZkI4h=YV^ADWlYza9qieEDkecO>*CpfD*Bp z>Y3^p{1wOEbH^mV&RTIOfv+S>24@EVgaS@}q6TWBleVjK{O(Ge*6k4IEC|MA<4OJk zVV_0qcD%=Jqq|fmy z4%QlW8|Ta5KzBVK#(_$&j8H5p=(XtJ6=OGijvhIFj>cZzqGb;!2%7-s=M}UJ;5b>% z@r&wtMJ@e~5n9el?C2YD6K2K1T+O*)-Dq-`5bWXM$Ymd52Sb7NLk2h*%F}lwddV(C z<0*_q%r~D+v<=#Y4ULO~Dkd=ntfWHXiN754%;YYHjlE&)7g$*j70-H;Yc#|t!YHGF z)B48Kj76Dz-rjFRc0GN<_?n1>3^;Gm;SW|SFLfNYS*WqnD1jofBkzLSEt0YjEZZw8 zeO>k4PcGZeM&!D_okdI4kx}X8${XKw3>{OyLoVt!-2A<#1A|< z$3DbA*o5WaWvDoF-bH_wgBPbF&5?`#EDtYD#hSwy-7W_&NkyBJ-ZiiI?J%F|YCQhx zPCG^&j^m)EBgB@|B4gWM%I23BV*W_BNED8+DO!F+wn!w7fGKSLO157Vj)*B%#z|_p zqbwC(j&*dq0zCB#9(1Tw8~~6-YMH92diy8uElpvukX<0^2EP2_`wcqzMU)LB`Nek| zZ1UQu8|d=d?>ETgwNW-+%4@&d;F3>8-N2Sl#nKTCE+y%jdcU@}yY`XZW-$1zrSLY< z{FIGgG>4FrWOR*?lW4Sqkdtim)x~Kiwf7r|HmaAoXkH3$B#5Ydv(bJ63X~E8h<0Uk z0$y1AdS~7~#ha+7mO-Jx;bn|$EZPoekT{1%4k*8m(RfKYlB5ar^3I0x%+^L7TZ{c) zgY^3M^IRV$uMMeq+N>2i;07cv@!=UPGT!q^JBj&B(7a#cG^S|8qrQ+pTwyPOqBrmA z=9&6YhQ+hOh`(EukFquZjBpbl=;24$m!?qFoxh=5&{dQ#Jhf|UCIRxUjO5)>VA~xmT*#t6WpI+st`Eh-`)aWGm zU~TKvW6l{{pX3xB0qY}tW*3jZlVdgFi?*!Dj3;H=(Zz(QU%Q{k3LI3%vAL4n2-|eV zys&?x&nk*2)!51!zF(oE0nwe>J9ueEenWHE&+xzXj7V$`0@#!XWv;P~*uHx6q*h1BQ z-RfenhFy2A^6*mm$4(B3MHOePf4V0+O^ClE1?bu7cEBTQe;$^9^KP3+diRv|!${z3 z=5{$m2ht8oKR7R{LCtWR=-2%U1kppX9!%M<6VE?I5QvS!h&uxx#NKl5K$Gwsr&_nV zv^P8WINb}qffK}@infu)R*klyhT;uvaox;@(?tL9+vd|(2cnfX?Q&go2J`Y=yaw~A zT@YgrM2h}6`PbOuoN^)@`e-|zeily2^LZn1w2bSJKLgAUk{zoscTs3 z$*$Gt=PSpk_pbQiHWKP2c0?>4lU6M5QXfVauBsf5IU3gjMJh@ty|x1Ss!U8Wu*a7v zk=-$6-H1a&bd<%OshKozZ;Q`9`0`s%9V_ECb}sY0a6D>r@?nlr+?Vi>qx3U$N?y&t zW4z8tMn5ZYjOc~!@97Md;>Iz{IvaSmtfKFF8{oNhLVZV|>9^%}l#lwmIL#vmcQ3j_ z3*h6gHBdGLq&9pmlJ2WJ!BaC~q>a$!n@gGAb%GS8;8Cp8IT9^dq7bGe4(0nYl4)N^=?8yaZs53m zz%7qsO88EX&FQi&V=*5T)-@UpU+%5A7Xn;Nw-p z_hj~i0`aKJ<*09WXHA67j^R`MyMj$xliopX`$`r`c4ty!{-)nob51O$_TTPV2%gQ= z2JjnAcy47im>-(}?>aiSuuQ~oeu{j8fyG^n;KS99B4YYb#1+BIUg%cEt=%Q!^Lgwu zBKLUXP(k7w5zidYD$h>OsWwYBexjrRlCNRL-*jIjd?F8r)Bh*v^{Hf9su5WmKs2U$KH1eVidbBx`P`!KjB{Q}+R`$AuK9Qj??SjTP)LDb!ZG)4|!nbXRl+#QDyQ=J+&V_vq(+8-} z0mG=DJ%HjQp#z#+@|0OeMSS4o(6XU84%{13SK;TqGLYCsrEC0w=CanmtZp3D_6|m3 zCxef5&!F@tN1ym&v})8-X1s$hN|WZ<)a6^HcePPJNVpcnWQyYzQ8zpeAC-?|-As7i zjFkNd;<|8=IY{;IKZV_=%_Q-BfFb0M9m$Z>`VACyJbf8_`V!jC_KI)SzsRen%DrqG z1)srLG{_e_CxNOwlLvvd)3B*vAWw|h(_ln7B!-mAByONFsy-xl>2TyM=C}_oz#R@U z!+B=h>%r~4x``oZk&`SkWg0{&wD3CUu3u77md2a&4@&^iH63Af#&tlS1GQEVo!k&q zM-t#qoN_VNAp4Dh)tT}OD?F>0V1D%Xg7sv0t}sn}7`vEZ)SQaWqySD*G!dkUnJrpQ ztd;@tNu2txFEFK&#mLByI-r(%bjLrZ4?9?}y~V!>K|ysVCzCnjFyF~&k}B37wM;XA zY+`{$Y^ym(&0bnH&IS(k6R&z>M~>yvXQdH@d33ys$|q}r z)G+BPrp_Pwq?wmW)r$)Cjv@|wWk;gKh+h(pv|ykgvm{7`=6qV9|HoVQY*m{+a;!Q+ zf`ZD7Yqo}_1D+R|&&U7kRL;tz4O4gJhIQ;i4r;xaRPod43}|eQp*=nf0lW=< zq1yK=8y6KxORi7rJ5gaWH98Lm3rSWP52Cp>4o8GXI&EQ74yA`ni_JICiw_%CfK9!V zjVABnLURjnb&Mxc|HnPe=bm%`_uy8XQdUsy2ynf!z7nH*yguB!Qd?T!ThwxQe%igf z*uX4pcCNiUjAYX}YVlBSy1raNudZ!ue!5%OV_5uj=HH^(1+hJOG2SGFIn~nObkiHd z?rhIL_98)iTrG(vTgn(dw%D!#UsT+y?_HTf;Nc5 zp(22yfRre0QaT}(lYkf87}_VK{c?g{D0E7p3d}@zdPYs(o(|PDrg)8^B4_=>>q`8E z53h~`vwldWJEH9y1(U2~$JmT>|HKSPkWM$$e!)}$H{MS!H|LpN=FB*h82Szen|VM6 z&qS|SBr_R)pa}k(InwpfuT-aUEds$_a{G$dL-M8?L10_b$2rtSwXda0iy}h>u8T7+4=85}^?j#NKgTt{VH0EwgfE`se{3 zVh8iCBFAGMy(@F?Ojy3Jk0wXk4ff#^e?%Rstg~qkig}Gi<>cus^Kz35HE&DlYpQo1 zRvsxVYEInme(`*~4En?4s`|+M%!gF&Jk(#KgZ=yox=Pk8H6= zL8RqRe;bl+&Zqujr@S+3bNh1}EDpRR@FBy)YlnB~1G=EL3R7&d{3MPs?HPNl6AeSL zbh?J1b6hC5r%CQsKU>90P=u*yz6Fy?4N7gNG0F)KssCH#nKI}i!n&33@fJxk;lF7x zemkW5bG?Rh-hc{AaQY*&0)7e^1{74kqlmF3gLcA1k{OE&&M11n@cwsj47nEzv`rY-7v_@Wz*#T#Mb_H(}FsYP@ zGEt^b6ke?A2NZwr#*jP7Rm@`^vZl;z^pbyZ&RzG zWWRv5a9fb(S1Mfv6RG{$>O*hE3XV&d!G&h$=r`z@^{`nS(Oj3AW)X^43e)2VhzKW# zL&>FBmmxZwXsGzsWSh!_F+^Et3?X;EuV4k=Zj22SZi}g=KTl0?e;w`*UwmjZ<>`|s~eHXJ6l_&MQ5TE6&ljN zUCxMtL|^bzyv~s+KnnGfiNY6MM-P4B3s)Z!n$Gy16dB%$Nq1SMh{v}!*y5EpZzRqk zf#)w=1BDhWK3Lx+-21$#VnRSo-_IeXyj}){SeIJcmCM>!%@i(CH{77t%8rNzZaj`$ zkiGGDxBnZQ_rx{g3XC!wQtP$h?jI7lAQXFO>ca5~$6~nW5k1)4#@vn-^es_A(BA@~ z^=B%CGwHlNOt=t!P|BgaB-(RK5$MT=(w8CWkx7ywX-!new=3ZJye$L>aC0FdqC;JZ zF9vke^Ju28d~LFoh$UgDg5P>enC0<`E;_+o79s`i--~F{go}BsD4dv*<;2*ObJB@gz1M8-w*n0@jd> zs0<~lAET8pFk&Nprl6yM()?xADBt-5&6?)E;(RJ217gORGqLY_sZod7{Yw7#gVs3P>BAjksvnY5M^BC#awY6)x}W1 zi~dSg-Y|lm#7;f53Lqa|c+r5EZi}C*q`G}ddP_GSjiOtz*1>1NYwf*!ia)aTF$PcJi7*Eu24Q&dA?=2S=gR8hSDT@pjhL>#Ktv!$E2y;c@uGSywHHvO7KMQ z@6hYfV1M4lzILlVReW!Ou4Sp%?QAKG7_LIL#st>J*Xkcw-2jz6YAD6Cn)0e&p}$&+ zWyuesP`{u>AaGuGRzA|SP{yfz7r0{Nk$SDx^fRkbekRZIz?gCVh@#~{>jj)Jnt4qW zW!|3q@b48h5x1R(qKp>cgk8e%1CR=xMTnu0#rRxqv}`JaB~!kt4&1!*p9k~NU_ExNivLH$}v~wwJCy^+rmFy1LX;DYRQ)Flhwpf4!5TPaq-V zJ17daM!-jV;B$jx75X~-d%_+~=@!1V&j7nAFroa=D|#L|ls=jBV3s&AuBWQKNaaUd zB##QV%I_d?ShAF?*pymcZ30S+35zxNOV`7L0g}EMAeF)jwF|r0p_!L%ZVsZaIi;eb zMPG)=wwFKG``HAkK)$-d&)4?j8oh2dkA0|ufAAW1VT)r;K}oSjF0tb2dm0zjAho<- z29R~*Lh{&EqRZ-)i$4@^O*0FJs`ZuM&Sw z>Z^1h#f$zYZFaarvr&4_;?&U58YBTz*DOdk3{f4R)zi(`(RGu{nSV$nB0g!kq{!Ys zZ;!saU$#H(fERq-YZ7FGt`;2B`m-L6`ssUXoQ*3qDp0$j{E5mmcn2$Ny1F9}ZoHIw zxU^LALz<4XN45E^p*fAxtE{&eLie=3Ud{PAw5BT*wpI$LdE&}n$=N&;m0m)!$(f?) z0~1*x3E%UPZ=%>IP7ck7dsrwaT0KPwXd}F-$liXnN{UeaDuU6*Tn^vgLu@VL7iej~ z47q5ECI?!1ICzDZ&nrK&6)<}JV)wbKPT}z65`cxkQ8Q8mv~mfMLEy-lD0-9=28ArU zZ&aX6Xt145wIhzI^`H%^AJX9aYsux-3ch039J9CG`eb6w1Ex~HE1|?M33sKxb}@P4 zh`xkylDApFx4__jJH`f#zne#W)BcWHozFA}kN~kzPgu(@%?_!DKbO2HTq93^!dWD} zZPeO+i|*JVwj_qYlX!{j?jjSqKF2ggb4Q83!$Zq4@hU-*N9#SBT~P9gCSmo-lmKH% z2dy?E(Rw24atpNc)eB9eq&=mnta`dn@{=#`>K8G3b(JyT9;agDRaWOb=*=qJ(^qgw zI?(_}i^NMiqPrSYAgm&?q)9z+>&}~Y3NGUC2U5;i;~@d%Xh_v}s{YA34AY&)yi()W zBzXxM=hK^M=qiE|q;5K_rj1unMdu-`CkMTHHmFL}w^m+!Q}CJE5Z9P!eN2`0UH{kK z^MjUM!u-)=?04jMhbK>h@0NYC)qRZq^wg6{ zH+cQ4?rHDT{DMCi=Ba}<#jsGs*e3H8N6OwucWHnqO5g!x>LF{G+>!%MUct?@JnOP zb-MsJY+3}1>{fFfkjiO3z6Yj+HhpgBN0}-0UOLq%sy73$*0<6upCH3ZSD+`xgw?IR zBN@s!6uj>ufY?s}HXmDJhQcK|m@_M0j<7*OR^}MrOz!&%CYn^5Sa*WFiJWMT7XiLZ6 z*d3%@NuFu5i0|H;RLY7skp>Q(GOl|>zc*37JEz2!?9Sf=B5ar^k&oGF*75=kL|5%I z-8C3Z44A9fkx?5Mosu@*{9vL?FcyG(%Fz-|4N&+I(VIc`e)Z3(rMQP-FCQl4zY+J= zQC)RW+$SL^4I&+q0@BjmrKCu=(jcki1*D}LM7k8|1|n?YjQ%3Rg_0Ko=g)F+xI+bLrq*u+qGK(&?W zxD=HC$(gn(LAkkQ8>*s!{*H$B*E^w>N7*#0wYz$+-=$HAf9rIY+cnY#;-OCy0-$|N}@p9g44%2D#$}&duyQJ%; z#^Jg4`gDwIK@V+SN%t*fU0N&=-ETTp^J+;qJ{RDqi{8VX?%mxc&dai_sFbh_l8Mzz zV_S$pQ4D!+rOLQ!Fon$3!8-pv+o|hEyu#kt4(&{D9^?pG@6A8 z?8WdteWwxoH^H2|v}`7yWZC=k{zyaRSNXklzg*0CsqqJL!x?IP>ZZ!0#!;Jp+?^|| zG!m$cBQZ-#*O^%vQTQTTFR04m(<23ka>PVckcw}%)k~=<7^YDu4H@)X339#Wt~2`7(96O zT29DH-DHT zr#;#wJsB+dRI)bk!YSVJ$fLj_tb1Pp8GU0atK?hWjomt?(DR|Ho==Xnwpw+^oj1#t zTrDTo^{M^b`a{f1J0x*DQXgfJV}37v8n23-FSY)rebIQ>FC6+UL4~RYcc`gg%S2FG ztL7QxoWb<|g>l6Os}^LX0sYKF*(9RYJP{!dR_U^cz%P9_ON6hVidv4Fpl4FVBqkbVjz`vxLXLVXwP>%yJV zSl&0MDBEiVg&K(=vg!HL+gjg(8v?|atV!Ay7E`{wWLB{-<83}5>*js=pcVT*3dW-z zi4hv-kdDA#7)hvRgd;)sJWlmRiG1&UkNobW9fR?_s5h*Q^8=P#!mI9F2J8=d6K2=+ zF|xFLO>EPg>ceb*as@_*`u8ERXzG)L&%?aYnIqQ>KFpL-C0;{&Zzv-i<&JiSCV946 zzaA7xy<-voLeUeC#5o*b@>%NH4f^e85oBG0he6>qZ=(7a5>^#;{HBw6*(Ks;M#Ep= zu^@G|Wc|jPK^@-Pn&eOmaYuV(i26)wAufims?oTlI&zTv4J&_rfos4d^!m(&2U!_v zvh7_>>7!0OTOSgM+A`w}(u=0MNoOkNbclAYb?>isXc!cI_=8;%tzAyb%*Ppja6UCC z*0&u^nt(nfVd%-u4p%OEET-RMNj^_$6Bg2{%S3{9f#hm-ykEM=)|he5kHt~m1tc#I zRQ~KtaR2G8QO9mWTz0B#4=L`rL>05aIgd(n^y3wfMMWd`*13 zPFz~V%b_Qw+(f!H7}nFSet$hbrghc3Gq}dOp7{$u2NFd`20Kc3n7pKhh5-`Mw~es`w~ z_USJZkj1CrqBlM7`&HPeZFjGRu+3e6E7$JRJr=XaY6+-+%Qyr%W^8vB8|h`xgw{Pu z-TJBe9yK(~E8G^--7Vf-LRT%Wq143chTYo9k+`u zmmi=5=x*+9sZOOD%Xn`ia1w?{4K zj(0mPchK$h-6`(fWy{suJF4c*%)3c(YUBIVcbRv|Emz=0?TBChG`=~(JMF)_?r%Tf zxTU|Hbh?>`jy%TSL#092Ew^iB+Xwp`w=3sWhtTE0IfqrPS8sqE)VL(BR8y_;~c4NP9}{|jZZA}lk?=R8@`N;^3?ZDAk@#$ z@V?v^xinQxufV|67e3>t({0VMDV>)4)ZhIL)%p(WfW$L(*+l%aiWPMvLZ>YT|MHDU zncyK`Q?sb67DWf=Tj@wNoIUT-K|I!oGUDauV-QcMhM_@-rN$x+8FE)JPah8nEA4o5 z=WnR!ec$c=2<~~o+~(#eky9F}py=35SEFu{27&pe)jHK@u5UN9o{Fo}U#s}YY`1o1 z&`R>ZeH1mHSigBoabRFPhbtZ}-!jSD=S!A+&QeJDbUo#T&##E0e3!lTX|CL@67$Q3 zYDGvyxOR!Eb)9TJn|~f_t??Gh4=bgh1eC9Kd1KirQ30t*?sFLVdgQyy)`k{ zq$PYGJIR&$3(D-(7fRch`t)|f+)tZ7mW!tL?Fbm??RM>ATk0$iR%Bef7s@^RA&^$_ zX@}wa$f?Wmo0>L*s9Ca?eO^?mlID~(dm_2k`t|c*vVPmIMr12i1rcL1-yW)-?~9E6J@iHU z>a6*q;AqgUYjD`}#&%_9c*}_9w&V+U#$p6M@rYC zp!wyLgo1@_B&*+oaQSB<@a;4u&k6@G)c7`lFJ<7KJuvOs*`_&B&%kStDwrwYMo$6Ko z7+Rw2THiMrZ*{ZH(bdfTAXcE`GdiH#eGB3CMVLQLaRKe6d-b)1cr|*o4zh=vT}heb zXg6nc{vNLyCC{4SpRS=7f1=irpTw9tz1l+99P)nqIWl>#d*{_>I_(ihqJ+cQ^ORoCi(5mZ1b4rN56$ffFlln4CqLdE3#OXlZUxt0 zVa;EGCGGjsqfdX<&5JouzN^Gb^kJnS_n`;Sy5|KjdU{QYIw6Va6|vbe2CEw5aM0}a zUS-(1mGI)H%htMmZ)3@ly*0$xbC6vP-jilRz{c3KO!*T~Jl}N7u?Kza@V$|r_P5v6 z*9ft}um}vUbX+7c;p^?V+)l>^e{Kkh?bJc2qiEa`udeiyc;20Uycgo`or7as@9=Vi z3n%HT-!T5Rl6F<0U`ItxmGkhq73-ndWy+)e?M(q6^YBh$mVCak=+owFDxA69+nAG5 z;=U)X-MF?7G#9we>=>^{-g^n37VTU_8N8>q7@qJDXY}>?_O|AxQDyWx30aW@GMgGh zW7&C^ICQ>eYvRlHW-P>HYmvfR-+?G7&ihXrVXps&QqTNs-vs8%A5xFDw^MahS#q$I z%sq%RiF-b=t*1J&+HKs0wngQW7{9nv$Lo1@f5$NX)r>{as*>-48TjpJ6iciVq>>ht zby6(paX<8ZGA8Og4Ba%KMQ6G!0=<^CA7R7MsHe z@jlbiVHOB=?qy=958rl$&S^e1nKEa5BKw?g z$TvIQf<*oPBtNL)HzkG7(>>B99urO3U7?up`?{4}(#C?G`xVp|*mxxQ#F3I_AH*60 z*6~m8|FNbmGbKKsBlU3+%>Q8n2{%5u3u|IZ(|a^sSabd7Z92}~)sJVMNJ|f^E2Z;j z(o4+HtMe?KNLFO@DF%dI+lNHmL$R_FD!3;7^=l&ZZ&hvb$Ppij7yt60cV5vIS^HN* z=~{28jTOd}OF_CXaesy9YG*xU{-A%0wd{8Art8mN`7rzWp8oq)?iuyT-Y<}AIx!;C z9r$oFUw7`QWR5jDHsa}mDD1~+;g8xMx>f0O{vI>CA3b+5gn>9?KGC`FzHhMH=D}T+ z*u^t1Y1T`18}ah5$*WjG?yncG_pXYXjyUhGI?&Sw*JpYhZlv3AQiz{V1|4cFvT1VS z^x@opi@T3=tkWw%qL*eTweRc=y(2(6s+OTL52&k*csvmM_ZwScv0=Vx=Rl7*6`GZ= z6Wev}N50>9nGP%2)Puyi@k=#kr_tgPNym$Z=teVl5SQ)bDe zwL&a;BQi(!3#F+N`ic`C6eEna9JqXC#eE4j~I!2#8f?-g01MV>fS!Jk~sK~X6S12fa=_S+d3&Jnm;AN^oua9Z!sp;9j(lS)b>FLb{o z7IC&?BQ^G{JU`K zj8H1At5J{=@FHIJR5d%z580m9pBQC2P7|xc;F;(Q>aC6&O&YhJH7ffmSKsSke0J5E zj#I1K1`}SZvIqAB-fxrQ{xzE5FBtt)(){t`3n#ze zg7DYWQv#K&Ts? zY^4x`#+h)`$Kz+8cc&6XOmFKSx_3<*IiA@}dT=k0%U~+LbiFSMRn$Z@s10qr&QE{L z|KZm-lgmxAn4j?WR$~v@VIY@IKjUdckz)&l;lH3C!bC!pv4{pj64I#D?<}6_smDTsKWa<#4w0H6o`U%lPRc z+nANveAaBmK^mIDfMRl1UTqsP+zp{saP>V$c|E+%eOPZn{kxjNxte9lT_?P&#)Kq) z&q_6(U1C=h$2d>=$=eROj}IUm)-PXa?PEi%rT9WGbUA70u{6g9vsE$LUU)jl1^O(h zPgK>dZl${HeTgyi@E4{&nF@9!8BgR`6n^ux0Jq-)U!s1)ZUH(uyDojP9!8eiwQ8wW zcjjPyBbQJ0Iw&OEG~VR_(zoKZV##@~x6UIt$$U74N!q8Y259#pt&eq{wkgWqd=Ep! zO`MF3c*&;Z$c|k7MP=&kYS4FB0VJNSnOuVFKkHE+KmdTcW`Iwb}%RTG965gB=%{Kk4r-PN&0*} z3XYbZnnIPv;cV(I3HX6A?}ts2Gf>_9<b_oPklI-FeN1Q0d7$$vt)+3%*vB-T4>T=CV8b?a`y% zD*~q2fA_sr=XFxT=ATK=4j{LO-es@M)F~$?UbrZs%s})>u4x}-K3o^hFuTqnM0hE- zc$X2_-K4PbxbQ))U4DhB4;p_(%ed7r5}-jq7sjI z_Fd(f%MNEVW9WGL_WjiIc+upO%OjC9NAb@FH+IA`3%%6Zh?3834cG^MZE2Km-cMHL zQ58Qog{tSCQOAxWr-~tk9pYRQxP3uPogwUMMyuFe(W1St@j$}!zk1^S@WYWt|pC|!_c3Po1eWnNimT*Kp>vbvzqni&nB@+Y!`K*ZB3ivwy?8DHb)Onh~TJ8vb@maQzR z#20UDPnywAZSf@46_#=8@^c5A-z&?<-p3zAVNhCkV*K;w)32|ldQ^6Y2S%3DjQs)o zBaiAJRhl-XE^_g&KY}Y2x~AV#CZJyvo&4^rsjSIBlB78=rpk_Z~MN zqe!yTtP_4HkM}bzXX+pPHj!N2?`P#Fx&qvA%M4~ZPGY^1Uhz|ZGsZ&pJD2i_n3%sk zxjW6gUEEu{u>SlUgXf0J{vy@wxw+OTEzND!735tRHrAla_N=;x^4m%O!x*ok8>CXh z6pona#qWW9{#>KB+%!@6TFdt?2f{y-a;AqGFmV>oZ&Nm`rBB&Sy?JMO+T+)d*lgHJ zGC|8>qB6dy^E|7@BLWw}CnEDcNvEPRhuZ54FR{{3e%Y;W6TDuv`0=vU-y*)gbt))Y zk{^Q-Q`7tU)=zQ^r75eLeDy?P|C*ij%l8ch5l7#yzItRS5hb1aQRD9L_cb;)Wx*FiGCL(1uc&MOk-`p zM5Au`Z*pXx>)&Q+_;WWhmiK~sLkE1n@GRxk+*nu!=Kn0u+>I!&HsufBlMKvXH5Xqc zHMMTX#+!d18n1meoPnRD-R`@@^J8d@w(1TNSjs!OSpmyx$}?}lvbj@CKl~(jDz68v z=*l0%52)qSt7~5(N5oX8CQm}RwDk7c13N7tcuXaGiHLM;PddK~nFQwNGae(-vOPh| z#zi-^9yYw~!e=VE?<0Y(Odj~}6r-^H`P5_BtAyd7S8mnMGnyaJP#-fqxihJA7)|qB z@8P8%UL0{I56vxpVBXJxKrtHdf}}T)rF8Uqq^R+J3=RE@@r3DLj0SWciO_g=On9ea z-G5#?|Gf0@K%Atp@By^I^6I01-?+a9OPq$jfT@`319{*kH8e*8jfe-7SHJlefqdBF zP89g-{M&Vv=HE-Q{I@C%4#Yd{baVfLsYSl-nRAxM@J;TORy3vHoNuJ9=);xt;Uldr z(m9zLDM6;@B) ztU=6#O2(QWF!=lK6;4(wA+lkLsUbZldXtYpj8d)aCu@q!(i&FTMuSKkw5)_kj~P;Q zPk|`X9i^N(MS$Qx~_S4n^d7MY`u?o@NA2L#<`Qp~>11$VxmWZ13lh0@fRCN*&5u zSHJo*xRs^%^d3DYJKrR88X7oC4vj~3x)%vTm7#(pBUv6mSI!L$llfNN{1TNS70mR; z0+$L}5QM}!4)$gR-i8~dbpRGF-+tEJ(Z_N$l@OzcK5mZVYitlyuCA&@NpjU4yrK2Og_r zgY$ta9|@Z@GZ!iO*aDxQ?S7BKbd0aJAhIK;q264<}V z5S-BmAu@~el$rN`6uj)ZT>PZq=6E?BkW}D}+ZYEw%;GESeh6JzI#ZL54O>(PymLO{ z7oZSs7}^HrXP1?N6&WpHMJGNS=R286V4iw}G#!29BSw&Jmqw(VKZZEd;J_fG%6TJr ztpoE-XO!svD^W?oTuarz`c93DInC#4^xc>8uJSg@wY3l_NKg0c#i zDn;d#7vT3Ub14#m?e#a<1{Hu{lL8=E&klUl0yVnla(aYt84T7{iM)=V&z)uz9QgM4 zcF^ZbR}_U~OJ_~SedoTv9$!xU$7uClTHl;XM=Q-1yL~fyczl^V&ep)Ud2&47_+&hJ zKMUlN-@4U)88dcu?R>#!L;@7*B1Ga>2G)Kuh&yC7>I3h|Ow{>EcqMX746G4k7x6|B z!hZ~w0zX1|WVYXHSs?pVEU{yl2e5!+fdz1sFb0eLH-{0zke+-2j+nZ@(X|OUvJrxa zR#q7Iv;BbjZ%1 zi{mAsW=!A)=KC9;Av+CiLcUV~nXT*B{^h*;CJ;c?ys9tw>AxF?J)19K&-FWGQ)@i= zf5FO7NoYZlI`@y^jYzqcNQbLbL^*Hh8XUeO*q4S4`_VIasZ%j^X#Ci}9FkPoLHjX8 zBL#2x{2$=(MB)8HWp5=Q9Wog{2&`Jl69@2x;i}k9vWpv-XDV|JuWG)AH!rz`{eAAh zCNe|++z)~K4iTIOsDkgQ(Usq0b%3)#7gb%@@7~2c5sCx!JB@3LdPb9VfqDc*L z%Adg2gG<z9~80bF7L!MC!I6d)q@&md;C z5G$CK;y`5DoauJ~+oezgU#nlh*I%6;_@2T?O0j__Pae01!R0E6Aq>#04`Z? zEQ0e*dF5f3U{KXe^AzD>3GjBy#>I0QqA4f<`_j1rZ;)Qh1l>cNp3GpzEEbeJPN?!R z7%%0K9Sk)BvVp3!XcL&PE~5hnNd|{9t%h;j(F_mi4dLPH)1~}RQq(j{d6J-PJtuoj zLl1^x((q6o9+t|&LkIBEUw{pzWrhe|C(?szC7%zr;xPgp01YTyOxRUU1WwQpOp4x4REcZ4I^>Xx7s+3iYV1D0AEr#~j6v zi$=cdb#1vxmWg}z#@Xf?sX`^w&L!h~LABDp6T(w+akVM6^S%>dL$xD(Uu(UfiSa4M zm$faY4}C8fhLQ)x;!mL}-*cBKw1nn@Q&s4^ZRqWoSmWsy)Pd{TBiX@cAs`cCU=bsS z8ep-Z5K?F%p^VaNk@76~&|+T|mE@IzMu_N(EG-oCSLfOxp|8|*QA=MH>4yxzKrlkR zc%>&%NM%te#UNvWDO+f*WhT!MZPEBwUP+m;O)KYF!J6x(DgzeuN<*W73%~wZT_iI` zf_cj`O;E4I)j&qq#{u+B0SK0(f!4}|6GknV3(uit0o=|t1fXP!0-4$)322$#2a>pm zlpbXMFW{Kn3@;vK0tnWFiTa=tIBvKDM*~Jc+&4b~)_?p|1{P>s5+jRQPlLfP$I1=B z_D7Hr5*vICASn=~QG=jX|KfpbfB;+rfbnTI@FaYBjoyebfvujf0MqLdVAAV`O?IHm z$ev|KB>E}lC#Oc@MFi4p%C{2K(Shkfz-G_~0yc92W*u+wEKHK>`#rGSH+Edct*$r@IfSd(Vg444I#=8OxU^|;YDBm<)>wo8aoE(=yWDIXOI%pP5 zy>-E+xuY=IuV92LG=eytAou@A9Lp>ahZG%VucZ}O;4T9exIBRo-T>M_ZMeASG4!xl zgB~MF3D|gyV4L_b2xgZKf~}o`qbhT17J;*3z+$GItw8Sf*WRFgJcZSq>)nRfsJVPp zo$<0>KZ@Y`vB>D9#1>vjakcMNwtW8XsE|?Feb1Xg+av?>6VFTA*(BTK*{_H-kgRo9 z-3{L}K)iDa>~iL1n2lEB2w?$KBM2WV+p-V|P{15BP!s^LQSQJmMF8eF8o;9oOj`ff z0|+0u8FGQ+CA?TG6X4lO9XP6W!yKQ69a9HD#0T=gOw0i+I%rS*a^5I{1_1gosteD0 zVwV@W{L{21z5wd}|KiETf>0AL0qIS?z>UCYAtLdMf7%$Owg{L~YG4yBe29t?u)li~ zIO7F^I3AH4)lb|GMcb=iedx-_j_Uwpt#4sO?RUUzx^4ti`cLLUsAB6dmeH;NPcMBB zVSYEkeB2*}$tZFM(@@|C9L(!!D~!`F7^fX8Xh0Kx=LWJ?k{1q7!*>j$)f(O;RR0E0 z67K5Qu+3~>4C2EZ{wG1-Z!E%SWjllyRbD{wDyAFIS^yCur9jsC2BM+IruzY}I#`7_ zw>p9Sx36ITxLepg`3|@PVFA=;H!0jISkS<)>W~y(G#LvoGWY{8T3Z9B1Vt5{)*7Qy zNgOXOSnvyMjTncmx7#434h{Jk4DjhI7~n*=;NbscI(B7>cnoyt{CtW5XBUte7Gw}#00V$~hN{eiEvj)NkBv7h|L8$^TC91ra4%7uKN`bnNT@23!hzIHd z=-2m{G?03I9vpM?E0_i9A|1{#d>~bQ;ZO{)uUstfoq~^K@&#@JMhg*`zJR__H;Eq8 zULBUIwy;!{(gxd_>w`kO;|@BDLquMw9}~GTp~+*X@t;H=CI!z$0lBvS2^5ZOCPqN0 zYayWiAUE+H44`1wM}W|y`U>mN%40K~GSC=V3N*^?8`-d! zm9+t45$Z00$MH5G7Cq1jNayW&2U?Z)*QxNZQ?Wx+@%>B& zJSGBy-ByZPO)f6qlvwl8-SOE*ts)MuVLL70TtZd#nK(z zMQq69+#@CJe_0SX-d}S+$DTBa0+@yy=tOO7S)w~8Yn5}{vah=VSEj-16qkPBNC&$mjw9hO1!5=gsqN|1u>Js zidMi0Ak+j0q}U?3xe_MT3vR%mD9HiO6L|F(F@Vq!xCt$a0ZAlL2UxerO$$P@MgcRs z5wO(b!16Z^hIDZiJb4WEUjHXa2CjkbmQsepMLvQxZW%0pwc^1fRIG}`2B#^w*JG~^ zY`nIx{*{6c0kRb6Wp}{oQ$*eXkfq>;1DvIRKXXw4B$v+tST@3d0L(Tn1Tfhx6a~2P zc@87i1OV&5TYcGYVddEjW6bjw#u~^_5XQz2u#^i2g29(!)u9NdD0uU&;J}^1FieN? zz&dbS<-dvsW=G&zDX`Oj3L|(>8iuJX=o?KfVBx)-2T%>V$v_Yibd!0Yn}lcoz?+u9 zES;zW!R3ZvIm}-6Ul<5nCm=lmOeJ8Lf^L!xyNV75rY+3&o=DIb{3laPwH1M2ch-V! z2SdOHk`w6RW%y8_l}rjwl>$e72HzJ1_fww!KU63W{%>!nR6t?Z%(SXfX60~8fFYH|a|tTI># zpTPY4CsBjG0>?%};FyE~qtpqQO%8yr4RBKfoKA+cu(OyAKEc$@9!K~9ZqtE#$>25} z2&x0eGa-UQ`M{#NAPt`M0hIplcCyI_8(`8c1}5T4U?Q1@{p)NIWMgsnktI!6)M5Eo zo4E}du6=iyIo^eUC&;QWNm|RU0G8aup&eKuyW9dUy(@=hC{QTi-TAf!dm7)so=0~u zCF%YJgKr!2MmSwdD3Hmi_`;Hz6&buiGA?j~-+>PG>DK@|f{8BM_{%)xAU z!~ZxKN(JxN@dz**!hZA-Ugb3OKQwcmDb^V*RUiFRzsCR6uQsfHJDOp}Kl=O6{o?;b zbb1@iN7N-4xtRwr9x4B+-OlzHz`%nG8gT?Pkzdn5MN+&pG z!*}@LDvNOU@ZZ5dTp<6yxjCFXUV~T#c^rcq!CY*o)4ZUl{Qr$q^?K-kDN^AC`(KIF z?gHq4DN+^RtN(w3)H;Z`>+2L^MfFLC`r&;n!UF6MD@e|zmVY=n8Q0aAao?;|eQS;J z4E9D`{Mt~BR@9X|E+aW7c0qkGvlaBO- zT$n$kJjKjJ_R1)J3#3%H&0l;gto9`iA(Eb|R4k+N6(DEfl`GeVw$y9;u{?4mKN5Fj zk94*;=ux`ZO9wisVf{7d?80^wL~XOmpmYDTVX$=?fm5Z*nWp(L;`tTSzY)1T@#`t3 zT-O`Ih&7{ox#kLBycaOY&3l-k?!87*@Q(fC8O8dW#H9x7>A@{7<{9sP5?O0kbUOPG z65(9i^*hJ<(|!Z!X87%sa2*x3OW1c*EU0EGx4XQ^liG+yO;bgH5HqMihG^(j7>BSo0l^d-pXS$ z6*rUFl3;uIjzVi;GixvH=hXWqI~`r*(K@`w1eI)*HTRP{gcLqM@wTF-epygAcuY4 zYkV#DF!Xkx8}oJS}P7|1~^Q$qV$kJ6=SGcCRc+F6`&U&8G@|?q<46 zAqR_b@!^Pxvwocim(7r8Kd|{I%%AlO67@AheWnh3SC+?Cb7%cnemhr|0>wV_;+B+U zp3Q}yNJLAVuBUT1M7X`@$s*q~$Mz^(9`eO-z4PM2*%oyX6{ao`4Tkht?R(qPSq^eH z&@>LuTOhCeUi*lO_pvsiHTF}t2Xeo4TrPigH^dy#tmvk*Tj*NXL{H*nj#_tP7LVGq zS(+a-jPDH6c5Xy=P!cF(=dx*00z7U36ypsHaIis6XOqL;kf-KNe(}Ijc9&m#wfY>S>wW@vL|mtD=+m zuQG?+vf!~x0LS+ji_qmAsmtq-h5B87Zk23@{=DpR@Db2LmRRGLI}H;dVi>*@+c84T z)hGH>RzIBNs2oj)k;uoJNBJIJCr@1;Y)_;gMNEnKTz2(dTKU)Utsn^s7%3e!X)O#kZUp6XHs2?@Ci5lO;NZ3GgofVod}h|vd7-Z! z-rK%Zrel%jN^0VBN;y~WfVWOx-0zEuD{xsfct1{fI?1|GZ;e`Z!$h6de0%9|X z^Gq2}PyhN`ldbP0q$texh4~-XeI{PX~P5hqKwe0n%68af?z@Mu02zrecr6B#n8 zQJ;eY7XA9p3i?sf&z)_O?((U(!{)D9F5P{xEcWX^(hz>0CjHUBK$LwpK;aR)N1v@7 zy@;TEb(BBu)k%+hv?d8P(t5P_MUtR-FgJPmke5D8;l~|+Tiiv&qlt25iDky=m?0L~ zWLfGI<8I#+Q!i=qO%TWASZGd6VXBv4h{(7@FKZ3l?p^$Xh3S_2Rzq=z(lMEsH8_E3 zbnz**2#;oG(q`*f#9NA_O^F?6k6zY6KQilm(zc%Ov9;1FEj7;2qATaEbOEh(`lqqHxv8oC$E6wNnvjar5`5u2+pA6leonN#KOqppmc+nq>d#=@;slT+=zW!&>Ha(r%3DIR&l8b zR+Dd;Ds@(k@ECU!w1ZvjUaR$sjDqH$d3qxaT%>SB$LBVv*_U)I<2H=D zD92Wdg?v*%1Pj9ZiV2t_t`C5 z&KWnnjQc#3HWkiM_nFCQurO#@vmE?*eO9hkeNeULIQBf4|3XF?7hJsC%3fvGzLz(v zPmc+VOs;Kv&(M+%@{7e^&pvDD8r^5@Urb@kqP+3w(n%Vt-}D%h5TpFrN>WjFn_81o zw!`l?SR^lKF8|ErZ)J8upz4MrMze?dz7n9`7>^QSs3-r zjDcaM4gQeiQZA2}g16!D0WojCjlJWPyY_`^w1u<~-A}x3(UI}q=F47{E}<=+zV_EO z-!0!MMYjo$h?3>(psOC9l69DTt5vfPdt$X;o2u?LMsn5zr5x;SF3)NHCMR|(p>lt2 zFe|qmF}fJ}TR={}@Vh_7_0Zb^6AZ{f4L%NRs}_S*lW7>2Q)Iy$HDT@p+Y)#Z`~L<@c>10aA4J3@T?lb0&~Qk;1#}u z#ag+L_urJy2R3afCQdPy3KkvTwag*;F#EhsR_${_i(OsxXN%?oOauZ+d^PQm(nsV~-1{m3w^3u#Y#C_>JzKTA85a@O?^ zR)^FLo;2`4&TRMG6h292-6v(Vt8#h+NaOYT(A|=+>zMR830<9bGhPf|PXNzO=X8+B zvi&2b4fV0#qKbJ6d8{kfUE?SQuio7*{N^PR3RZB)BQXPitk9)~iioOy419VlgGuO$ zTqA8NV0%fL^pWVU0p;&|JTv2)-#p2GP?qP6r*p|b&iaT>M?Lm}dC#UD=y&m|)F{wQ z_5?tEF{3AqV=$ZCL|nZ+?!VS5FHTByKjW)j*r>4U{8)XFmm2E6|JBjp<`lsmIYm+Q zy&Y?o|Cpe-)AZ4e?YA7XH>piNh-)easD}iyyCI48N-Z*;+zpwJ_q2a=w5ocK{}OT8 zX?o=hAw1pD{&gWU^(2l^z}n#Lb?DUk#m5s~ zM`+<~HBX8>G0lRQ0)I4{RL316s1%K>N;_hmo`LV~&IymY&%XEddg`FKZJuVY=L^UOM>7FTL?QrSJO^^(2hHJbPu#oSeWM zj~++ZPIaj!*H(UMVBL$L@N^=Mq>0AKlSQ9k`LRNJ$SX%h3dW6$4x)Si7ES%GrE`LI zZ6@PV!fBl9g}n$Y{U?$-OtH5WJDA78la$SKCaW6FYb96_Mr~;|}oosdGm@ z)Ti+`JnN_+R`3-(UYMO}CdI9MrWgLYB?aXRIG`xPp2!{TtIm6IbX?<=FO;a&a%kP)Zv@$kx`OQm zj@TOy5#|?uBYT^(wtx6y`cN7YoEJq??&G(D3vJwonUY#>A z>;u`po?n9wSD}Oq73Z;R4&SU;^jDjAPkn#`t zV9SI)l5kB|!bUS4DU+5d9Gaxp79 zc$KnddUWX0X|-9`u&%ayK`J(${1AC)f5j2u2Zp_#Y?+>OD~oEqI~%*aQ^X0BK%MB+ zJ2f7*<&h{flF-_;^=dS;H^=S>ZT%OfGVR+pa*kOf`;AHFd{1VLaNfujZtDNJC+bwX zgy(zV@zs;SVV6`J%>Ol(w_$}hpifpaWQ-l3W_6feI!k2b%df0c1OU0&{%&Ik1NH zVI7^*+Iby)Ux|V~L03Q{6BlJbM>bv^z0pU%xuUP~o;qA}?;doJL)`a!k3zb_Mjj|X zPYLpku~V?jBXMS5VPi$gcswndCiqpXe6^Bxr+08%s^N%^$}uX##rgfytu!UU%Vp0U z#%O-RS4o-+XFWd;e;L+m_xn6mJ;M`5{>$n`@K_#7@A{a#eyhk8nxV`Uo}tY1wt1(4 z_egy@!z<=lzi4;C`VhqX@>G;x#Bz^xsZ1<#V$)7v&LNLS z1Iyn<8E0!aVteH%Wm?iv?r}@fxHVd|ZH=Dcm=jvn!*Yl-L=zX<9k5t9Ygu^~vli#i0~YS3lF>u9at1g!2w#?=cT#b5RpU!7!r`q@ic$_8G+m`gtmz zsKIroYll1%xq>asZ$>deT%(G+bL^3faG%yy7g_aWi=F?uC+0ueTJ=5V-iX1#m6kg_;o1y0*it2~ku4wHF+n&>}_ zn6OWD&*}Jrf>kkkJ!JOrrpPWYqHa4$&6DrEUPw#dR)xMCdn10p!sX$7qQsdb(zDvA z;O$q7$i^nBeDD%737i&n`q^={wn{A9^07%qSK{`zl_6Z}-1;OWV&{(?0+NRi{mD)= zUqAF)d>DsB+xPn%7uIg7p@+ZL>z&h+D04D@M~UWM&6`~n?NrJ4{J0v^I%b&~my2{N zN2z`m5_B}IS!DYf+;wBKA==mvmxXTDP=f3BzplSZc{y82W**wiV}qRV&`R!#>(5hT zb$3qfokdYqw!UG{%^VAr^u>JrmdSy;u zEecP&W{#xE1GT3t3bfoM>{(dkY~D@oub%HQCNalcV@g`+;+5}k7AC?>(yIF?mc98&oXhL zTsIw^k7Ai#yvwEi(}Tg2O@EINt921w&IB7%E0SL|d*-pn^JkLaq;-o#93Q3Gez+#b zNqhTN;>{`O4?o44bz}COzGn39X#IU&9MgV;rQ!0a@g(?tsn`=@4KeM|GJ33?9wguU z{3ZfcSOX81j`}TJ!e_j~1&wDmtI}AzpzUsLVP%x3x%-rw6RW{X;{d6ORmxZNQ4ugIB_z3b<+<%dtO z>~p+erT@fosUn^a^yy9^6C`fm+Cp2rXq7tjOnA0-H9_&x6s<#hbo|sNTyD{8fZZW* z2I%}6Y}Zi|qwcnn`Mzh4^9ua*JQ~PPeRr+z-apRFJ~~;GnK@_g{XF{!S>$rq$Ew$m3jNDqoXs{V(9|36 zRF(1?18q4eg<>D;NrhrZ8vdt&jvxs3-nG>?t{c*CcIXq2((iW|x20?>t9>v5%Z4>w zTyIYYb^ z0T9o|JDm^wPohcQ_XeW9l|Ju2`}tS+o5ApT0Gu}+Z34PN*yxOZo*8)dI{*0Xh});7 zC^CG{wkEeS<)#6|rN)v+{vkKrXb6aL!O<1D#c^u;Ivvpi;4V~QXTbF@X@{Hs zml1_URBts&L%1ro)xsAXr`CyFA7hwt%N)OThP^or6Q}Sse!iX-A4r}$OrpL9Bfx@5=OLEiDJXW83+TOuuZ4c)qo}T z21DT?lqBmO0n8c#V!d0O=V^drOdbLvk!vXapc&y}k;JGF!B$U~7lg1|#w%6Cy#j72 z%g&MJ{2Q7~^%$LH&&^O))~7H0*BykA_XIJ{sHsUSm!I#TUA2hy<`FKJle#t=mMe}rnC%z8bI7Eg?Na!=r3^ITFcya_R3+%ca1 z!i($1kVAf|5+pctc1priBMS4s5U7Qq@KmV1;q#$5Lp~>=2O|3dhPl4p9fzMKYcIPi z5p1H)+YD5w&wR5xy9cul6PQ=4RTIJ%BT~;(mUs!ToE3y`AhP5iXk~ZjuVO^YLVVhT zax<9|#cH^3Bshgv&9vE8-i_rz3p?Cu8$3UZ+0}}{-2bJ9wDzUwYs1RTBMXTCiA6SX zms>4vezBeGy|A)@(0u69WPN^HbRi;-G>_=ZG2OBBuc3WSo;lzAg6nRtSuLF2R%o6I zvMMycKy#jH36z^)Q z=`5}zv@#K{L)OcfNfQ~%$?3hPJh=@3N*-8iUbvcG-krN4fD;0%$sy#7Xl0)%I|9Yx zuYGh*Pv`E3*VXkB_?m6kBH?XNZ+u`SL)DD)yLArf z8#)jf znuK-(Z{IsDPbui*n;Z5av^v<4d?sI%jeKTR5^MO5i?uslNPf3SBm^MuO~z2Y<4EgG z3;cSc1S&ZE?i0_><;=GM9d62Ugl~(FiezI z*}b>cAgSUJ&x#zQS_oE;!1Er97bOYV-&G@}PDo`aG7F<^6-lv9&%EDzmkvQR(QH$ivFaDi6P9>;zFfPf7c{k6ADY)#5TL!_337R0vuSD3J3`fr4 zCOwR0(J^YemT79bS!rqr+56-0Mh5(7 zp7r=c(SmpuX%n^dxQSglE+ToUu4Fl>52@{dX!HLxR5$+kuvcx~Z!MHu$it-0#p9&T zKgCJy4a-4pLMdL+J^#!?`#kH-9mr*4cZh0Lk=E;r6s=&&L-$dPpS%<>S&mMhM4s-1 zRQ{J;olEydCUu6#$H;Qq4K>#5_GsBU=8ooY*}5jr-|}zi<|lawk4hq+s7reVgJoon z9XEG;o?~(-n-JL)%?|X}MgL}UedA;s^mZ^jN_Qb00(m*qT2jA2W7?XxTU_tlDQ29( z>Vsti39+xU-D?!6{5Iqkz`v~hF{-|pBx|SQnABFDbH3WBmZ-;qIbvLcD8HOZMn^NM z{sZScZjZ&!ey>?anF`MR=f;U{$hamxJ6RRytd&`$OjXEs3=RduGWQr!)e8I}OKwF!?{=9+fb(m$lLVn4} z+mI{*ry_Dn5Lwlvq3iLlBCy7eN`6V#{-Wg<7p<&!Wa?lI^Be54(F)W`!4?e!N8R!x z#8oRRt-(|6m*Wb}n%MyNX?E7j!_#qZe8I|J^rd_7teUVo&P?^P=rx@X*)B)LzbuE#zVKq8EEK8CzJqbV#-~4Be3MU$xg@AR$2l?E3-2jU-+_VOnPoZ_ zT%!U{4zmX$)K162`KxC_GMoyqsKMKS7y*OKK+>LBG_HsVcO{E1#@_f;wI~J7e8K@*8Awl~i|(N+XMc}8P`ZZyWbkhfYjSU%)8z6?mM}WuGxzlZ9Qie z3g)3{^WfI)zia%>av1um#pk-SO{gU=+GnihXq_3z{$#J$y2Vn{cIZYqG-BNAwagAm zgLy4DVw{*TpY^qj4&uvGKw|reb3VeTR=vl|AXmQE(zLb6JaCwC zmM})GQgZRe|7e}R5i#ExUs6+b@HGkaADt@IU#a6L=ie~r`Z23pE9`@&T5I^X)oxbl$j>&3DiEIG7i zh-gdxk4iK+8JPk1x&h?;=*8qP-VY-!p}5PYt|tl3{zpUe$UlxXonDOxRVT>I((J3v-d`L$J>fSHy4L0!c{n==af6}Gkx!ZhI)LLjQwB-VxjAUmB z+}gZ&9PJ>V9hO-1RQP;!aI#6NrkAA$c3U&R$N`dN-b<+}4 z8QcYs(RUM)CXOKw6F?Jkzebx!t4zS^$LNy8TO^pN!tlLtf(Tix*&XAf%5v#aHA;;e zf|g<`)r*AtooVnqMi2aOb|;4`ajTW$?oaK7_^Gfv0{5CZ8Il2anIi3Y9Q@?T#KLl% z-4)jo7etC!Xr^Y(P3ACvH&&$lg}Qm{tDOgD$z-O%!-CXj_DrvObcQ1uvI$vxkYTj8T-uure3u(P7qr!>&tjjs5##3G& zb2q%8Ugwug&wyRiDBt z|E`xR5$wyp$|ajAFDrgmqkq*TXE+&H#i;ZmCa&dnQwARbzk-e{dxEjv9u8-PA1yli z{&K#Yu7d1Q#cE}HS@ME(u?!9yHM%^U^&^a3&ZqCiL@TazVZXcDIPk`?ILJRQu$e4i z-7GF&p;%z{k?(^0cy?dMQk1+9XJ{t&r*thxTRLbbyyCeD{7dq!5dC#MlJWBkbeVRlt1y$==)6Fn7)euxHQgpyc}qvZcoDOr0Icn$i|VeyhP-$L{3 z#-DYYpTF9OkVUAEaW?!ZEr&$fJCTH*JekC_C1sE{Ntz|v;u(k{w~+ykT;FyA3tUE4 zn!6!*4r=So3Irziuc`3?HKx|=x&e`%XT=$S11Cr0jeu{hjj|IWhAppuZ&l(ZWMDyr z_;6WvyV{`LM8_akSkpfn}b)hIWg|???oB{fi6o?ZQX}zxekTyu^9n+9_EVDUNU#t^}d{ zp+2Zw+z_-EAg_)g5_?U_E_UVuP~Sk5ot!422m@dNI}_+_@>iFCqJYF zR9xvJAiZpl4krOa(z>N*!0@GZ0%Hudnenwf&q)^yaLKdb*46{pMc(lh?Q{Mvt{S3VSBf!AVSIYhN(3h57NGi zZ#%&sTjMq*nrVIyjRuhbw-DelY7d%$t}8?stCUFbKf~mJwYOi3-XTnVuKHI+wg>H2 zkl0y|3yRs@D}*twHiN!T;zD)=;R^ve^~l;=nwJ=smiGEf;&{{a#0AA)(&Fjy*a`&c zkbLD?5{ip5)SaB6GTFP0<z!syj z5gZP_H{RaXs1)lFRiAj9e;OT1@M=auM8f6>jWLV7L|=09*tZDZiR*H@C8gW_Ai03g zx*E3Ix_zDnBHu>~h+A_MA9UJv+lW@m<~OqqUwyClUVG26V+@lv8Z}1T6EYezPh612 zm*FNg%8{Ahn5C00_6%-^v{kwB7GGwijc}`*lF>|HO+lGGTYfF9(zGncTv#pG z+6VnSYA39Ca%#iTga|Cdez>=R;dEZ)h!PQCJnGDX!&M5Tx8mvCwx;x_s2o8Xj^m4& z1^wE)xK$ zeKB}h+j%x|Srlw?e+l_#Ux&9bCj;UjUH|qKKO=ph&s+T9y)U&`5G*>Y+lw`SecVKm zq&U&@cikfKad}3RObfx>PJY;0iQ!ynR{5J0U(xr3Up>e7e*nk5*<=p|_=&__)4ipg z6mvY3|6;JaO6VFpx8!TY|79KAp$HEmJ7qNZfzsUm;pg27UsAPEle*YLl zXmzG-apGEuRvn2tT$c-pZqNwjlOGby88B6n4!;i7J*T4 z0~ntp9a>edc`9?8t8gGssL47Q3R7mC(%7c{r9vJ)K zT=1arps=Yf)EOtF>o~U5c|j*iAAw2|h|)9^Y&Ui(BPuj54Y4$?Te}8dwy9mtFo1a;C=va?sC+|Z-ad>goi~YF(E>uL5w`)6TuF&x!)B|j~87qDfgu=?eCJ0&H zO=D5frH~3Ic)@UVPw)bWf6c(=7iXmwL9L?uAY3!5eOHTg$Bn4YW?#x|DUE8wJH5jjKzjHx|qntp#YU5vKmF9$30p8maG(s|JCx5GJwGb_pFNhk9_4lO8 zWz}xpu9+Q_-0)4d_gHo=eU3A_poFB3-P$3BLD66ZT_1Co|f)DU= z(xG=zW~@V5dZM@k-ZG9ZrgMr(tF8nDBxQ?Ka*jc|N`?ZkTB<35*!r2<)HsWY8%|=| zM5DFS;pjHH_un0l3x9kc{f&kI1Wh@K(!7X5TnJr1ArZ>hF-_^^8D6Pt=v?&k@~fQd zDE(ZXoNiJR7_$D`i6T6o?^@CY>7Hgj)Vv0q2bjej*SqiwyVkyzyhLsX*oDkCNV-7p z+rfEUuMzdW(7qP(Jg#$u_?SMLK_fUF^@s|XpgKuqjB?l|&c=q|Obm{cDF zLO`H+7eC$kg^i+G3rU$@x*`q|*KT2>Ig@T-&>5Fy>~V)I!)o$;f;rrY@IzTk{)sB8 zLIB3SqhMSkRWbWH*c~8$pDP&GN>vJAy6+H-Yo;m(Sl+J*#y@&w_4~mfAqQ;NOJNAH zA5?JEcPMoo~#u zwcHOw_k8A=TZ=9%e~i_SnwNLpxQJ$7$;^W|-2}6*F?CzakDvB3h{dzrM30DMqb)f#4|iMQcJO) zbcHPY*WW0;OnzafL^(+Gc^=6^MHP)08;`8aqIyhD;$3;^OI`+8oHTXp<$ox#rd zNJweCHD663nby=`pk4|;X`E@~m!GZqJhob`hh77Qwn}9)^*=Igpc?v&_p-_$nr=mb7&%}Fv#dhMsgglkXC3S z0-hsEjUC^0KjQW9gK*TaF~;$>sPXCB)m`L!^U=lC@aPX15)+qTb;cXW8t11zShaob z;-1{wCtg7jR!XQbG*;5HslqOZZL_l&6;+#4bQC5bJTqT&9s*K%MRGWFUTK?J5eIl` z2V||86}9{N-yk(LwdDRd@$kPyISYGc0??r8tg~Mw=CO9ZQ&9L}e1takzAin)6>k|( z=3bV6(aC~@jq`5p0G`u}wCgOqa)xryySXF&vn79J29V=wc_+StbRZ{# zJM2|)-flci*bWoKooKMiqTXxY5dHRsoc`c&*tO!D@r@|0^CZIM}xF=4C zYYfTS{?Y|UXUbQ~qLDOSh`8XO@3^tW9{*&C&)#Hj(|!KdC*-Gk zt1>;2ZzC4jN%h7UP5yIbx)^e3+$hy3CCKcW#$fQv+C`YaL9xEUtjV6KJ1Fu705>Me zKJ-6FVYcP;uL6m!aBA)THoeI-<-fACi)~_4*iO!PsPtaCI^X~LDIb6EQ7WtM{fR*% z#3xNCZKC2sx;{R9T*Tbg9g^uRG={Q|bc~xRJ91+Oa~PYH?dr&_p~|sSUjg|1OZpk~ zEkaYkqW$XqAZL$HR~-XwWp@=de(ohF0^nPrDd@$QpCu>SJMJa8F|W*~`1EG#5t5i$ z5;E{r&DXRGyVAQ=RWl6}ho~9|a5{X9GF3g5d+k@x zYoh;|sN265W+fCBr3EE&g9$bf><5MP7|+vJ%4wf{0uu_aV|pR4cAAt%Wq5T(R@y=d zVD4p@s<>rF6Th7VRjj_1de3H)@whYDzKbT)Zx`c10MLtMnmj?l4aXSFQ(GyLj_iEy zWkEqVK&1KmTD|OV{eY8@5Dr{Cy^MivLcJ&1K=2>j{}>9%`WY*%B*wR}?H%M=L%eIQOD!gFNO%>NbtoRIr>=(f-$ZD2nJ)n~6 zSEFe#;_4;68<-6}V>uxoraZ#dLF8n=8b9@kj9&+l@jYwWzJAPs?j=7KL;L+8TJX&g zKi!}G?goft0>5aymh`5*uM&xK7HjN^kA2()T{t8iokIJ%Px@|LQy;WSPlz4Tifm!` zw7oiA8~$eFhupXJ&l>K#0<63C2!ItL{oAlQ;2cFGFB&pcBd^cW-N#D9Rt#KS@-Th} z)=UXR#YXWxU`7<~vXBFTgaKxJN{^_y;W1$7wL_bL!^jOn^Y00;o^0O)%nS;bcbMtU z)d@x@|G0QMc`Td(&e?eR;>}*pkIsA)FIWCS^{iKi zFhh?@h7WO|JKF9PVWfYLBoMPKrk!GLOsr$Egg##5M}3cKPYp5sx&E2y>gcOG(Px{P z*6_m@B3>aHZCG<`-i=$AK?RSUvKe}oOdZA?o>#deb&FUI*ss`4Yt>UU#O7}gvITAq zsBAgh%IlQ}?4DpUW^j=3Wb=IgV){bAE`0jL{Poh}_&=dCFTEw_aXgjiW zRD<%Sh=SF!hYUoJvpfjtA71d&UCx&4s<_yjz2*14!UN>d+#$Q#K>*4#!XmM!iVo!1 z;0R~yy@iG6v0o#OglEWx;g@*I3=`hR@(H}<(6zaSozyIezH~91*so;$yQ>>}9~}ES zUwj(R7~i`WB9_7G24mqG^<7dB!vqjw5CBnY;sSy6bpi*7OC2YKtDB71f(RowDAr?w zcNF>DSr%K5jr`r5qG9;BSseuyZWAD zwgIa{>Qi~{&T`A%!>Qc^#)?72e>8%haB}EHt_{ETSS1_jl?rXchS2xzc(Z{HE$}lS z3#`O~DD-8<2HH76I)BkYq~AkQ3;Cx5tXWqBtYc-Gb-<^m+`o*DV1OCQkr(dpL|5!6 z>%SZ;Bkegye?if;0Bim3OP;bfsJOb5%n5oU4mjHap$Bfv`!G;!D$!VkU}cw>G0^Rn zIQ7Ws$7lvX2ukGZY|uBRz77M~L#Qkwv25C>$KU(L8TD7Y!vb4F<39y?dg5;=8g`5Q z4{petyL`i0dyiwCO6>NCill8e( zo#Hdh3Q5wSOKV3%;m!Kia#Wsd`_8}z7Shl0$fW#-!ABqYDSLrGAa~0OJr;PR-$}&s z(t@5dq}zB1M*#-?){Q8JMV{YG5*yocTXPD^CM0io-ftLEXujb_ca$f?Ls-;*kRYON z+&z>2S#yQlozy5F)-tTAry4S%nPgHH?MA&z|d&Tk&Y2{NymBo`2x;2+3 z74`GetXzE<@9E(V14L%c(rdKw(amkpzg-C#M)Ou!U~QHrg)M-=!pZ0<;1z=zi-n&- zOpqBNrO>8-ZFXj=r{g<{`+@K|aC&AcZr;EEX~AQaq-Y&of|{e40M)9H0OxlZ$xHbz zUxpok4+F!iV+*Hi&z3_wCs6^|$!2#Mjq)f1{5C7|o!PmN0OVhX`vHAW_{Z&i03Awu z!UfhW+Sub}cPqQ{Xd2V9ib~qsWO;M`%m@Ys3iB=e%hPAdD#IR=o81%wBe=sQlTn=; zWfXYV2?WlM56>vfd)Pz0P|`EC0>l#%tckDtJ_%Hq{O3a)GKkq}AEwTyu@?Jes z^=H{)J51^#s}*8#S`MFvRy$c)wG`xAV$k}KIn7Bk_z`&@Yc}|-iw}A*kGh&={V1$4 z&<51F^6NQpH}7XD!asJ$*5pDu1?@+?Sd5u6wmpNCc`(?CP0rh>p1ofNB2YRc(2 zGI=Lf#5a3*Y7J5Y-kpBEN0_7|t9SAan;5;>{x2f?1nJzRZlcHwQ@He;v)T=XC;`VI z#YtQB4cCP+YZ)Y*m7jye`=$Hr@Be%RPDW!d=9s{Mp8l)FCcK=fX)($wQP&9ribmp9 zj}46tk}dWgM=-}>*-ju-Oyc@&TpOMV`?EQ$|1U96S8?-Pl9^ObRneMi`JG}Zy&fp? zz`7&y4`q%mk`AN6JmV5GS}R z&-a`PWF0}s^T*%FEB$sVXMy&m(+k#PSezkS(03*&s0fe z+bkI%UE9d>eRYlXPHnFgpvxAtIb?q^YoDLfcklTj6P3;VNJC{>#(pdP9*|T-PGrb6}45kGdi`s?P_pR|X&s(IeY@{+)TglV0 zy%9D_zx^_`t?P=RxARhpK1?%s<4GTJe-$3o*WlZbjUSjl z5k32GMiG8SMQ&XFSt;e$4|B1P>T8X486WxW&=`o=+{1@_x6l(ehi^@_y}F0TzcMMs zk9+~^8mH1`%z3{w>kay@%e1KqYqcY!V>I~cj>$sEu>nRY{F$&FKPtCGv)wqVA=#gs z+T{fK4z98~hWC)y!gOD43l2i`!m3~79R@Rc(+vKf;w^1fyfVAg9G2Sk+r&0JSA=UV zz7u#06|ZpSyt$OYZ@5c#i;DzNY$hyv8Mv?%l3@DlorYayCWN$&u)>~qmiMal=tsQd zd>@C1hc@6ErwjbQA0U5g>VD0j2Nj(oeAQTd-rcpMHdmsHK9D`OMW3^J96q6nv$V}lQ?44f=o=;A%lBA$ zm?=yBY`VOQ0-%%;-wpQ#5Xd8&xo0=dr_9B)aDxe0{U&n}vY*;DA04-c-k-WLm~STd z207v{zNJ&tV;@V(->oYsyAJlJ#Z5*86(2y%8o zYTH&WnzEBM2EFSxlQ?qIIB8X0stDS5Lox?(I3rk|l`2D3UBb>VKvr`W_CB<-OKNH8 z=s|5pSE%~(>70nRipwjlv<4j?PAf-&HHW|rVnXoYujGVVsLu`qyDt_KW@C)st)DjE z(AB>@mba0ePv8e+=-}^#M@S3a#so?WUW`^1S%{2R^M8zT4U;XC#bgz!(n}%kL7(qm~Uc|$edVVqS3XCYv}UAAcv3R zc?G>06nXsl?|aGEQ-ip;ZPX1z!GrQhDm#h(B)_l`rs~85J(c+)B<6zt*L%z#ePQ9# z;qN2!mZed})5(aC_T8R9S~#~u-o$jBkj_rJPO>d1dZ3gpFkDLaeYlkNmw2fHu@W5DpTGRJ_J&jWkLPp$wv|D=>a(di$i{1# z*>DBHP0SLklyD$ZNEu4med4U_^Yu5JKR&*x8-!=}k~QQ|$dETQf8n9B2OXXlR~B+y z5F6t~fuuMD@j5J`)HnpU3kSH)K6gd9S~^xY;|SFqWv26RN01Fk59x4Jp6Dr@u!C_AY?N3_!a10ZOPVC8Rx+N} zukWMLDC~$djas|8N`O)E?IoCUO+#?v4*AD?hbt|N)d@i)L}C#DF3Le|B}~I zzFrJ_vO2#r&7Dc((rmW6-aQ!IxUrnW!V9mTU6xm>CVW@ zP@|5}n9zvG#kRW0$nN5GTE6PYNNi)NZs`XuQeteP7iZ=5Bz+?tY;5Zu%o6|5jL&*3 z!vCTf^XZvo6g8rf^GH|`@Z5BxT9=+(t?=X#e`9w_|N6JHlmeQw-b&9{w2OBzlloGt zEY=`z?+nAhIgVv<4mt8uP%ESdE zhnRa-_ z?>XTzLJh3w-Pzss#hsHAo!67p=C^p$EYk}iA@htb9V>Fl2}XWUnZ)SF?= z6Qy?ZjiZ8nc~wqE;Kk(wQ_+O_R$?kjzH<+%iR4VAE`AzX5d=$8|Wq&AC@fQ3%of=sFpBkpNkOQ zNG&IX>EXqPfr#S6*hu1weYy5V0*py^6$BmV0s8XhqvoqRYbbGYH~py8@kkdwfY0(k z6>c%dmL6IN*~YxPi=LH==*l9G&taIEpML!HtC%FUIt+)>)Z zzdP^E3v(X8vO8ex_7{gi&6dZ)C&ysyi=iQuZB8D?E+XOhoq^A$7cqqJ`UxY*Y{}e~ z46RQe$tzR(96Q7kHOFLlr+UJupH0m1N_M4{!t2z^ZUsB!05IuyyO~7%VM8HC>RF6u zqdwEy(2dO z=VpAu8-J`GGh3*vT+$Lj7sTR-^w(>eTZ!SP@a!)J%{QrI+yyWnIml}ol4=F?kUadr z+~O|=jIU{Sv#Y*@SR>O(=$6tr;^!%#zp+ov`Ar=-&P>y2N|+$K$g6~Yeq-=8g0$xg zbxjZxyBQ&Y#Z%fVk{+on`zt1ro-a8&IM7`3I$(9Hbb83-g$`w*DmsB9&h#I6S{h+=OZ#H*z61sDJTw* zDsW?B#s7G8-~Cdoaa`3gFz#!Z@6Gu0o;)8Yt8$45GKy>l*>EhW{XMQe|6q~1Tdx%= zs}xG1{B7isi}>J_m1D#`^R+D{=z3V~rlht>XQ$v5!_hl0peD8%p(g1QrEXd(KqhkZ*P%%2pSbo*bxBz@@tI{fOY;5<9?MKq+D^;)t;@e(1{)_F(y zek4wIu}CW91nGnX%bsfmS(|5hY1jv7ZKYA4(E$MLC`5EBRie5cc9Oaf zuLN$ycIxKzRx5{c`%YlljKFaiHwO>t+&U)y$LW^Ve~%97K%mL2r2d7vm>P8>sq z7Hq7!Erpw1CFE~KH__x!M;7k$bur?cA}OZIniAlzI#eQ$X9k<;Lc5Py>-RnKjw$2$-8v}L;~0_3glCt zDFt?OVf|g!2}e?&l_9wQ{;j5tw62O9R}-wUHCcv=o8P|IE9ni|DsDykq@|@-+YDqf ze_W_0J?`%b8D;)jyLEv{Z;O#72YDVfx?~0atr6;SAr|I%wD=%QoOcea1rP!u^C#C#CoaMn8pr{Y%63aD(sd?3(Ipy49a(s$V!ClslYf*heP z2B1Bd4_*i3Flry;Fx8C+#|{N>73LH73K0tI)Uy!%AHhRDQXaS+2a4KIK2ZBL7(gPe z?1z_M_-I~w=55nMqOU-)D7QefL~_(!TyBkLocitwf)ybsWCHc#kh{MsY4jOf%8XOSgPV6Rl_&lZUKG zmp7nDmmYuE-ZgA>^N(7~f*|Wg`TmhwN{|DgewAy-_}agWou&7Ekz$YJ3L62+^Y_aR z=S8r#E;gJf^Bepu7=9dDpwo>tYZVC^Kvy;~89DVG_uo=i0afvyQRFyQsdNZy-AEne z*q?1}(~FIK&V#LqR;nO>zVvs+9CS}v7BxMqs7VpVM4^YM#R*GK#!Qxj-2_X7%Z3+G zI9hnc$CwKl;vSHT$Wc6Fc%{9+cubH_aj+xOx z9IFvy14Ot8^(PL*&+FpUQ?^(`pOK9`6QP6G0p8H)+v*gdAe7V#>bXcRG2%v`+DjtF ze-Y**hy#<~Nt6+gbgGDP3c`GW*D}uUqqfUPMm}*yz{fsOUqbt1xNBJ}$+1?PD-W>V~x6ScM+!j#|KL|pwzQ~|wp?2m+EQ*ROUy}4Vjzq2N8pt?4o!+cw8iU?y zMy+F;$*55^nnxHBUV*qGw4+GrM`WWEvWl;ErJ}60A*#%>r?OfJKnFRkd#Y8!(+Ed@ zsxw;K(Am3-MBft)F28My?N?4%4pZ0$t>+5l9h_@Z0s-cEGqz2?8aN;)_TG(#fP&$R zcl$FYq?6Q}p=m??Ojsn>)037a#2o~_9#2DLJ?_H?Jt;g9<>`8hZ_vjP|EJE{KA;xI zz)US0I?@coVPWxGuOGO(*)r%^8u675SaOG~;`b!4SId0EV2W0Gc2_Pz)#cV}O{oUr zH@_NPD$yzxP;&m!-3F07-o;=kM#lc|@EcY|?4KrR9eO-fwc|F+NJ>!glP-C7M5!&n z$p5p8!^jnRDru#w&zvfO{meN5m*qV+n%{2+ua&i~k*u_Ea}HhocF@a=8mHZzXC!4jv(VhZ&e z#HyxAFo>xrko_k`#u$~qYq@W(WQ4B~y$)nJ`GTILs9);vCHcbSRC{#|j@@|O@&Fe4 zNPA6)dMdOIM%K&dvDas>Y` zB~gnAak=8@aP3%-$)_E=&tc3P7iDjT@?x3gH#H0S-P3~~K9R7~_27>n-rgI!bBapa zcwwL}C(7JWBQ=kW@#J3P(vJOp+-E`#(*|Fqlpx%2P#jrOqL5B2*^VZZOeaOvS^ zh=)&R+WGm#jNS&rT{FRa8--2h&hqXL&)tw-`YQgYIyAn6J5x|PQ(Lm^hHxl@uZH*MJ7>H|%UD}b zM|hhX|EH>N<|uTRwC6wk(yEWuC|j_NE`3dph=JK|0Ntz82UXTAjLa|o+H99EjR)fb5(Ya zKuEPb;@Qd~a8<;eeqr?_HqfiTGUok12BLx0x`unC`SiMF}7IE-b z9^A_|Vv!%~-=m3x-wGBb+lYPnV4_+kt4(+<$)APp3MrG${eoch1{2r4k)F|IwsFz^ zr2wHp%!ALMWnWG#JSy?)ONi7k=c*ZlUHsK15T{Ls9Kx^qAd%Tq1br0SBL^Qh+n1>T zp+R*|Dt#NakKEI2SPN#Keo+omkiDMowhWFrtouIw20Uk-P-7~X<_;eH zgDf-IWn0i}gs%k>HX<8JO4IH6*rRO&!Qj$)p3W>@MW5S*La0OVSCr0mXLvX5et9&10Oyl16wrl{~d%bW8UuwXGD9M@xN^rP5qmOkZK@>7&4rv2Rgo(5E)L`p=Xe}iGR}o zl5+y`Heyi9009QT@DnK($34QhH2A;8%4n%#VFroYf&Y7r!}wPu(!Q(NOo3_>LjNv_ z7=!Dg@M>2)3aoEp5!LL9+{=@43+8ySIQR_ifIR4^?GkkTX4L8FN(6*Flj#-;g3zVR zPxeKmg^|+N!krp%hbnAp`7Xk?p?ve;JL(=h#gz1*WefM+)A*5B8|LqkxMH;9hGpH$ zRaOfokH=mHq>Q%GD^#Itr+^lk-*wA@(_wpV+;^&GK!U-9;aQ zmpc<8&Lju-|G5B99E73pW4@500xrBsOnNvF?|C)|c z=dCaJK+vTBr7c*A8=l|MRWmO*Y+=DEC|p_&h@&*A%PE&k2vOP0ADod;n`5)y__!6OOFPuppXbv zOmQqPrgW0v_#8G;Ahys;thiJWNLpqp`XzL&w3QLFCX!??7(%N18)}$(m2~o|9xxyl zwih?Z2M&@sho@>HwAl0?=gNIKvdk+kO3j-84`Xi`6-UrD>LNh`L4p&Ukl^kv!AXL< zJHg$d@!*i)Zo%E%H8=#9861KQJ~(&szUSQa-5+P2yB1xxt7Z+*UDbQnu4efm?Y_ za^EZHR{F;K65N1+0FpU523}HGw{vPuh!+vA(hh9lE_z_e%}O}$$<#Lr>`o<6c0hJH znA9jaepLzX2hR6^udb`OJ@PJ7V!s9&;VQ(Nh2d=#UJ-e}3{=3ryK+ zwQ>m{LPDy-LRFr2bBHG^cU>ph`SVU6T7+ZA!HuHbwZI0K!v^pL!h4R;GzEd-TZc8y z#&DxwBTvss<50an%npF>WgR9G{E7N{?H_{Z;4+qGRIg^7eAx3Cm-><^-%i2|?jZ!V zsEVEn;kW(Qa53-9VSJi7FBnnlBNalc7x`TLo`+&$8^xTGd{6MZavUoqQ!+#hYwZn6 z1?rl%pgLcrIG|U%>wP#A#hkCBHRj|YCES<{ok&;`-DyPI)P->)y7^s+BXYg3o^`!w zdH>?ZNEsP>32hD8TuRA$ZqZLF(m5?N$>4WmKdtnPsc>Up5Ccr>iimvim|gYL*X$*= zAyLTO>cN}2MfdEab8R)Blk>@lxw+@`$(jn15AQzK2mp~^gM=*J?rvYrw)A;ykTt3C zfA>gHt|S9dM9q6)RA>6ZC`A?1aT20hoU)2S>S0fp&SeS%?KY(YZ>y_z^JP={>U2~V zG^v$_<(mR(GYxlt32rdZ`i*DyvxE{g^*Yw{jB4-Zo|JKR1|}b$E&UY|BH2RLTs}4D zn<}5Y+5;Gn+wrzw9>6v}t4>J?ATdmhYkr${cmxspY&0~7h z<(OunLs!#=UrV~!2^8h$Hdo;0iIDqUwC?~!@bGg~KdzIIiwIl=MeBrJwr*~ianKqo zg)Izlop+RzqKfhLG_MoUo*?TZavgjYOa*F}26kP-{SdrT#ZrCP_wR@)qCDW74B%Z6 zg>_0NgmhX(#N;~{pkyBc>zF>JbQbcMV`kHm4=W}fmGeMKG)Z_T>=t1w68#g7?eRM$ zi;%ykSPXsw$pmg(KVOlDq;~+>CJic5h=R=-D@uz zhL1v2F_OFAY0Z9=+?z6fJ*Q#`;zr$y=Br!n6P+Dm7rF?I9i!2#5PXkA{ zMupX!)mn=ia^_MB4F#UsYw`{&7gx^I7y4z>2$NYTp{~`2+y!AsouZ!uT1}XN+^N+j z@&JoiuQiH+uuR70;M^9bvhuo;s?q#5hN#0brn1W^BM$K--&>7Ovl7X^p6-+CdD;mK zpa;q!x|>xuyz6$ZpAxy$={joX29;%=)jaAQ9`DUkq%d^{=bU z4|}_by08^21UX0QmXXt(L-ioUhdLRb4;!5|gTF+X}-jes%2%na_|!)uh3DOG@}t9k>;alDZ|`@5iGiHY(<| z_I8OYIT~+f0riGa?1Bc48R&-f5UCMtP`VMGrq0Xfx6!kaU!#BeiAC3a$s09EP4DC#W-D%b&f>rFzCVr(=?n;s+4GwEc4q{5$&(&i2L9dCL{I)!!Oi9G-p#^9CBcZ?S)l4uNIkf8kX?|6E9 zg54t`quPd|mv^gXDyxOQO)9Qi0^M}UO4}%8gkRmQK zmzg8};?eAVicbxuWJeJ*|dje@{!_ycZm!vND^| z45wqLQ;R_W* zk!tOOUG38z!4r%`fP=4#G0T8q7*ZXH- zlah?QIfG916hZNl5EvPdG}O>4O7OIl>1*;;jHC*CBIN_||F<9-H5svmcO&wn39Y-a z+u!MkUr1-K%l3Ocl!UP+dCT?#iFHbBi^TypxTnBtp%UIT+-ZtO=LW1Aqu2AK3zsz} z0}_0t?1iz+HA?4zj`9!vgE$isde6K*TDAjmNKYyX^J^lnmZl`_QJWDO1H zxJ`jUhOuL`$bwcMhNj^w*NF~qYfBV3bJ5;2Y8sni6ZHLW97~abM;^AneRs$8%b2@GAd*@pBq1K zDpNIk%)4~M#^KINd>zB%;7ISh2xK}5c9lNFe9fo|@afv6^?4Z|jsDR;UW#FMGJj&J z%0v2Qj;8;z!5Iu25!QObC8GSX%l(~hJl06g`bYwON4y#M&wkSn-M1x&5m+XiN2Y`Qvc7$Ath?EkI(6Jb#kWzFOINV8LDnOy^tKjv|z zG!*f)g_X^&L;j-}LJwhzp>(Zx-zD-?%i(L!xbbs>wCxFw;iDf2H@`;D?=5k(5*dbd z&0?EJNF9FggQ#6PC?whBUBvf1qsGrI(zdVvryVJ6o0;QZ=f!iFX4q*W#6FJ5K;`&E z@3IJO)deQPDlzd1TM)blG`_H6Xz19(R~>Y)ALkLeG!puVdM)R zE>6t%*pEjf7s_W5!I)$*8=q(M3g9#41YGAHzXNLrwln>SXz&}6 zx4Rqs>;LTbuLVn7iPw1;^a-9bui&a(=E1e2{-s%KquI92^#+_|YM>KWE%ySHGyBvr zylsj}Gly0{7Iy1TN?WNHWyPG4rSTG`t7du$=4a6!1rSerZN0KTW^os;5nv!BL;$b= z)`Q`TBOi+b*-y%%uhxDxD5)`_!Hs@Mf*!ir4KTt}e70G{`b?I8f zPk(*crdm*#K;fr@M`hY)Vo;8z6OZ{>kSaD{IWAC)a+5OSIY1Uc7#CBCd@jf_pes*f z%H?Q*&))10MbUiS<)5EMcn;fU()(_ufRFUKz2YKIi|Ude)*97l^j211ELqEsId(K? z#=Yg&LAteqLmdo{Y60oZE{$Q5wex#%nBkj2dh=QPe~)rGA_^4@h3URFRi=2S8`o2k zuu<1XIv7wyLFvt}#=|5csv||iP_w4Lc`%r~^+Pu4GFtPO37CD710b{d4s#mX_-ed} zaQx>pk8o_f1dnhGgIjUU_Ln$i(#3JQNhAxreJtQ#Od0q!`r{u(|3b>-&_^oI369fQ zvs)x`<+Q2tJ$U{yfq_{#{|jcB0wW#;ZEx_sDrgyHD`>H}vrIQiT#zwxSe1-C1lP2W z1lz|mU63@+O!1f6AJa&zvIm5!9W9*&$CcgXgG{V(it!nK3J!DH1YaeSBob$&c^wu* z&w&0g79_Qh_1~kKDY7&$CkM^9Meh*Rr!kYlP9vDCzFSKdk)gkjaAzu{Suu|(tQZYJ z( z5W*+O>DZ2NjZV^jPansfYe@Ci0W8w7ldjMH2ityWkB&!B^9$Y@`UHwmXTy(>?3!LN z*5S=h6NB&`0Dt1|W~%M>vQX-UUZor31VXmT*yLD0e_-pp>GL99~zje146(S`t@gGOv5;x$She@mmYH z1s9?3z8W7ohvO5Z*6Qac%!Eo?EXy-~d%p5ywc0XDvaM}Piyn@EA}ru!i-FL?Q2)M0 zWw;oldLuW%jNLNelH?P(LFdHnQEV$`s~pd_x1K#ffE2;}8V4ADwU*$CNFuL;Pt){K zHnUMh7e_PzUwQY!mW|}6P7uSW-nMCHtY(9$-`zofeP>UNX)t{7@cx($OK(6eaw1BL zeJl5o8@TZVPhar*yvyb!BE-^qQxGRxDmsxy2$g90i>Le9zx@=lB!(EABNwQoj%9gE z%4&U|ipoe~ zXnKyiovXGX`q=bsWoJVDyfXnz^*%wm`1SA{TWeOKUfED;HhLN%K$u?R<)v_^uCTV| z9;ONW(Ek_h;`>>N$gp~M6D}BTyab-~i9dj?aAv>K9>fmu{cZlIEdKu8+!fiMPt6`n zDZ^ejr-Hu!T(;k{$2NLlSRZ3S2j+ue1fPS;@>V}i-zu!ntMH2pxykR9WA$GRMOTk` zuy}!_-J>pgrjsEkvF?@dDb-KI=g38;XaG9h`BRTq3*_C0{(J>UE@o+!U$yB+L)w7# zo-`NO&g&WGOy1q>L!eVh5_gG<@T7;*B`))j2iw`${&oA*+2-DjdnUvv)zE;zk$fuG zqAIMik+wFP&|a>?-QZZZBk?kJK&3BxpmyU}t|JiR1Jn^5&o}WC29C6_Rxrs*0puaF z6;u$pOj-k5xlHa`U7V@3!Q~&GVO_N6nZxwQvZQekInr0B{~7!V5h5pK15WUqEOeLI zTAqSo+n4J;oRhX$$!t+V*M9OfxG(+W3uyV^ba=-c;a1c2!6{V|MuCS>D@)l)b#ZJ+ zS(kojZM)j=&|u~!dhUCwgkwM4CZQy=JLwZ6o|hAgbxWl@&>@fjS$6HWNj`nHeBS&z zesg*axDZw_>7|(71Yc~vj<$Vy}I8!jr?b@{G`|YOYDHQTI+}NOcDzonv`971a^S_+u5#Wvb)n3c02Za zx0Q1qf(j_Y$xc;H-70sH$7?r)<*M=tloQ@D_wOQhYN7fDlENqSF;?B8b4udR& zPE&a8aRZIHOZXqF=3U;e)Ej=>EbUfne-XE3%Tm)!Ry7oqsU{F>ObV65teOsz@9a_6 z*Gen6;oKeJHqiq1VWm6E+}UtlI3GT}a$~TJKxx&Rn@Bc?mhK&xJ%4*#*Oqv&lXZ<} zZE67g$;UQa8CE!+j?F6hHxQ;fLy7PH?Fg<-?ZB-1XTA>Jid!z~d3LX{mWv>Ao-Dj4 zmKWi*2?r)NJ1e554}`wx)O7ldIrN+2V0*Pv;OVnH@)*1Pb~@2uYftpn<0hn+f0%T` z|6?3iFWn*HKeap`WGpQg^|qkmZqEPX-j}19f~8IsD1+&u{A%ciy?LJ{+R2#sm+Wtb zm{ve!@l2$+#Z;6p2DJ_lh7vRHSCf8cc`VPch@i*Kc{0|^-7?)ps@KeTGN#Pf#)SaX z9JBmB?4l`u_*rqPP}as;df4@=?BQqGe=24Fv+`d_IMcVXo7Q2weblS>JC*O*3Z`{s z;Btr(wdxST>e(60ORAU&Ib@De2}85bk>iXyHXu|wj&;C9T938-CVK3f;|yfi_g z<1|4w6Et<4m^AXN`uEq^uVI*X_Z}LFhQMybEnFD5y@u+o1leb5$uGANf|GSrZ>c$9 z6nC#wNlbM32o3|9_n)y7OmL_o2WceMqPh_QYV*h4_;%L-r1fygXz&bfYWuGg)985F z0mt`04iFL_);`Fvm{)M_HN?|~Sh$rCd_wY&EA_NUDhvNDOnE3|j4IlX*CQe*{PsFf0dII()4c3W)tI9Y zfOPgl^35G?L@G@#q^AMF?+(#dZr}~lkMQ4^(19d!7_0s@KJ^c0PTBmaJtiEtbeWG~ zY)O(d5*HD2A+GoU3Qf!Hn1j(L>YdS4N!j*R+A7KQFiF`H_K4IEG&uSOGqQ=VWzzBo zetrCb`b`N2Iw^*MPONwT1JI9eG{*s`;E%IgRE9`!sD6*rm~^Gfx)R&JS1#-_ugeVZ z%rZQ;3UiH_?n1OsIkBI=lGJ>r-JJ`YY=aMfdShfyF*TK9ImV75Q!30~#25Eni@%6H zt-oD*qQ>pt6#a2VrJHAHy~k=(zWqzVi$4F~2%)JQe`Lf?p{cXMPQ3LDWep%N7vGh? z$GYF-8fCf>x;q{038!zn1=qGT2=7`Og?M0ZcvuPO$DNhjcdrV8~+VZVVtM;)#qH(=3}eXtvK;EV(&?NBK(j9|5xwgrA>bNP20@SE=Zst<~Mg^l~LEX zTJ;LaDtS>o>H6cY?}2ip2^N=h^JT}kS|o=}d&N7+L49@yx9|od>du;Pv{CS5k`Ss- zt=(tTtX&HrkObB(n{_E>#LKp}`LjC)sez*Dr3~iZfeZ5cU$JA_K(X-FFQ9Ua{ zPlY1(G{};rNGSSo^T*URByx|!aW>M6j_`uDf9Cajt^p-0&vnA9IGGB?PA(F`E+jS( zY!ZxaV-0m8JD_G0{^w7u|F_JUL0|oAX*u%6TF@t!LrDv(jZHXthQGq+ze2DYm`!?x zzC|w4ts|^_xUgkPK06QpTc$<7iI_emYWkW2jPR%C?a`i`p^UtEeQa?^+`_Z>VCqO0 zp^ADZ9Ke`xgrqg0$J&E-z!#wY=REo6A(B?GK5I|pX%4>{YryV?H~ca7BDz_-d61Aa=J?! zZIW~l#W6!@p3hn)X{Z*_Rf6NE{NX-d{t5{uV3;9P{~rR$WKtlSt15x-ghkqEMgPrK zLp#yHHxEoW%V%uM@H5Uu%pM2o=7EWC#6IR5Zh{fz34ueg^ISH>m zDpb{|X!mf*o+^I{EIBu=`ioSNZv|&;fa3=6f7bIJW~0)?GAZvPO=g6L6VG>?>~8}R z356u})r2!gIU@pgh*}{GZ^+DF6QjDrW{)#1*yN00?ctI_7e1j611>6avFb`lhu)bP zVFxlsV$f%LAzOjr_XqSa&xYv??zb%rlI@qtb)X?TGVR`nseep?a>=Mt;^5ZKy|K&X^mp396J zi=egBL*;mKt2ay;iL9A~B^;^D)dG5yUP$RnI;h`=H7=Hb{p<8UV7*O|aAIw#T7Qqd z3_HKQRTWw-I6!S;-_bjG1%-9KW(3;Y&XJjG!c+HGvRfy)o5QtE8b$X9UkUZ4>R<~P z5;?rBC8>RGg_Htw_iXI$h62e}tE@LLN4$TqGtY@mCo%@9f!zI}vM^oLR}udYTI6k^YObI3(&Z-a5nXG3Yh@!A~Y0c9iE8= zMf;oKt`2%AAqUymG`+7>SVbdis1z%o;`;1n!S@;83JsxWUa?UX*sk z(lp*^Ismp^)KChIHfhLM4fAq-CXX)TEJ&rbbZ3*EiZ&Sli^5zDQnk-2tAdorz4<_A zX}>s#sAk_q+o3ZAvsW*!Te1lu9FsBxZ|2m#fjP$CeB0qBl9p8=j*eGH?w>H?m;R=Q zTfjvauAD9k1U8?%>ZK!3KmGBEP5wS0C-BoBeRL%VcEPW~aODNx!)aI88=vy*j%z&c zH$X(1L?gOK#-U$>;(O0M?k%N**2E)c(>&}Th~^iO5t2nCk&%oAJAo11>Vp+DI6)e` zQM14k9FE-|J)qZV&WgV!#>m-^=xJcB%zN1p1p4j(Gh7BMtXCeAHhn^?fbr{@wS{Nj(%bQXleQHIr_As_PB#;D$v|3`cZ>-@3GOw9`c*qqQ%auo_q z`{TKk6?ntj&2Dj<2A;?Kba^}Ax~(B?)?r+Mxv944M4>>m@j z`|3g$es^!0I~r77#+(3rl9d#g)1xC9+|@2x4&S6z1fA!w(N& zoI5K_uPxX)SR4#8bYI8S1g<8=Dp4SNHxouJB5Zcw6`Lg!Nnx(JTU@B0+?cD_6geNo zml_e%vhvi7T_%wlFyQ3!(~R}>jJqOoS~%2TO7X}yeVDbA5)gKu==~6hYu#icW4>Z$ zj~R#cM(1T6)2DG5JtuwuFnk>?T_ z$;dIQ?uuzvd>Qmhgq^EPlfSxfpMNEyijg50fX~1;jbS5WFoU#JrjNn^@(>`sNWQ>Q zi2*B$KW!-&;x}M=5#CWjs6}`5cfNN-t%;gi{B%f(=SAQEntKqoy5^Hx1k&Z*y+9jj zTR-)0$ekNY?BtL@aU}>4m^CpLmciVXI{vvWS-9WB&cxw+ik6{ua5{KL=bLWqXY%8I z?}%BLvh$P%N&AefT48ySoKQIwT#pDg)3W!yoW-TSY?8pC$r-?;V=yT(^UTLaI(oC{ zk$3=YIN%MvUv$_sM<9G6iWLc*2I-rj>8(&jp)viJj!XjC=z(dSs6ZlvEaUhwb6u9J zSJ83n+0}pHR=)@xuf*=#ENteABv7Q-!H`NexXt@Ela)BDm)w>)4pWOTa`9byy+6Kg3ZU>c`O?>SHlQ^?ENNGV0aTUCQQz=7o(x9X@w3JoS7#IZOAQ zG;wMLdJFgO_|e*R&#tTcgpF+C+bi51Q4Nig4K3TRJQ?faj>~V}dSL4X4*tLjVgxMC z?}ImtO5IR;d;3l>gAkwgF@rdh{$d6Rk~5Eex^5G?C|oAfS-4F8f<7oH+;g+ruKLPlVmvwuoK`oXR1BSPfoJ@6i5SmQQ zd*GV%+VdmWM;tI`-SNZRzZ}TuI|o<|h3~ka89iPiXBpjTQ+9uN{oBf@B7&DF?vtBn zR@9up#`RhFS+Zwk!6$_%%_r=-+3%`}4dO0$(q`XUkr(f4gxFNHK#fcs@%X z?s=x&fm-5`Y2p&k6%Lr+fvT0@;4X%32lqQj#?;6{FR z3!P7^s^%k~e@d6Y7of1Ase>ERUOFqjIT|^ZCDZb`Wm`$j=`8r75v7+oz>jnNqU2Ct zO|N~;ymn8}E!5r3VH>|}Y=S>LSuRDpc z_EJ&i4Z~2&)6XC7K|ww%rw?e=kjj?Vr>!W^Y- zyIF_d(O!XY7WneqlWT_LhAKTiR3RnY5_f}jSuI5+9>(;GU@>DUn|zGQy$#Pdc7c}4`yH=7#u5e`grrnlNmwK0Wj$9y9KXS>iV z5_IEx#~X07dAN=}-ic=`dIop18klo_kI@nnSRdCs@m8Usd%hKMDFx9#aK6!%@;6*I zXZ8Mp&<6IodID$hdJ~?b|6LP~BY8jz^DEx~2eJ*JK>*N2zV)JJk-yz7Kl{z=F)uG{ z_{iN%F zy@OoCtDf)W*OH>p%@^a6lY$pZhlh=qlg@r_IED(fohr}7cw(R)AzUmfmwnL?YaFajJ|yR_;#<=#{~cOE+vD-7)P9$ zJ>u8M&7fZ_V<~I~#x9M(s?o?~;lRzIoprvHG}xLs0XMwTWo;0M`t^!c$~uc8Dss8l zRVQfq+RVeX{^GG&OV?g^}&G??@> z-na_9f|q#fiX7x9RfK;bMx6e z&!(CIlR?mxD*xWfloAmHLEuWTyLpIqTDK zav5F~I9P48)XzLz>5j$m=%}<&CBr)U>^!`>#z$^X?w9~!J6RAo@;5xR~Ps|&Y8(|kXscf^Y){{w)PGYgV>W^F}&4z1!Ys8Npi0C->~uAjxik+JTmy0QYup;e=~2 z2ubF(P%mv&k07RU&Gc8;)kddv)|3CsO}SXL?3pe+M zV>nI(UkR3SO%E6z_j@-f8i7#F9%TaRA7ELu7LDL>?29>RzEPkYO(P8&K{#XK#xxZn zdr+0hEB!&#^vJhdZ{J%{OHWHi=SC2T&*IjT`n`+S&XIFxEVIhtaRBH%=l9?u3EM5qxw!RK+Q=Wp?gOcJ`=oq?&+-Sj33 zY6Ie;yBz~SY&z6;_@Q3C3i{qdCO>RCxNQNEMbD$#&RwnT6Upqc+M(5mj--|$l4}P! zn~rnvJ~!yL(|khJ+LGQTTVtnnj$1Jzhv258v1Q-x;5)Me^Qo83w<%uTF&~f)=yKsO zyLka7?Aou)@&T>1?pIO-@jg6^x4-0YvJF9OJu5rbP_)u}AlX7;>613UQ=BDW2k;my z#7)M728`#9<)UFRo69NLVMqQkZ0REOi;f}+hA41=5M9KkK0JG${*O~oDY{5l&tKGFbd6=i0qE+sVX^*BPJp( zc{yxkO*=WH7!r$Eydfa+4QS(ZtO9V#vO*!bwxu@Nly{LS46XbTuFg3@mPgQRK~1pXSe_da*^Lax4j-`;x^L^B9<61*IyvNd}u#k zdnO4bdf*eD{vEBxOcKy~-707_5f&$Ch=H6**Ji!t+K5q8=!c-Y;^ZIxXNmNL4`oo> zHkOg@&qV+|oD+5i&^OwU&KEW@6I-T8+P7zwqN8@W?)ALpYw|MG~W(IK9gA%>Tb*4}4EKvDI-cSZhd}Rs``ED=duU)aGVb=8X z4!9pO=RIgn8UlkR2nA`2f+I$OR^LvjdsP$-M4704&IYt!C%d1inDwrIqS1Q9Wvn|k zu&=dR)Qd9E6lh1-TAwG=uch9BAu;7Ldy*x?S6#{}FZ;lOt?(kqzyJs9=hsEVm_NME z6)Q-;173f1aj4={*5N4`FSlBPXm4+^JY@*dQqm>&3Z-G4VDrnrOtE4-%7_2T2qroF z`V_GFo`cmMwmyfOrpOM=WJ~RZb|YHg0sLkLLNy<06HqVLU=tqe^KOws-AD%;Y;~60 z3vK-=@Kz59karv3_~Loprh;dnN}DlxJj%3RtU|`ws^Gg+bW!kYAF1jCAq5zA8gop+ zEsBt@1Lm6j8Sw_Cw{%ay;%urlmRQKU$~3vE>kXzDpZH1UH|zb+xG3|ttj#8lSa{;p zAqHyfEr1sujl?fm{9s=7Hy}OsTORq)nc4vvO_m2h^x}7t4Lqi=<8Iyaod@SLBAM>D zCefG9wC6{I`bXRx?bbIhpJg;85)-~w;LRxFGlw29NIg-$Q|J)CN`~Qw4emidZ#u;1 zQaytYIG_APpdI3_8J@v({O4iHZaZJ3R@Gg}>kyFcYMCU)mcEV&G=zZN{;k>7$5IL- zapr*d8hDr+dfS<7PCtTWX2W(YwoJAoW9FxpjLP7(`V1!;i!4^?csUF`$y5=HkHmj9 z3Z{@5B&WCNzWJ_Pi~Blh&yy+W6Oz`xxR`nQ*p^{ZO|wD1b$~j*f;L=5&!BB``I7#y_=voL`W<>wpW1{`(x4tJ z8Cml$*V}`10xuezT1Z@%7D;obkm}JRRtJsJi4O5W-t!^+t)Yw(Qq82jGampSzxo+k zm;<$ptc?j(m(1U4jEG$P$Y79B;%WDfGB$s`fc1n|1{kF=h86@S&-2ODN@ZAE5e{Hz^o-E6oIZhhBd zx-=KuWeP_>7p0$qU;v?`wM@_~A99vi-Z6hgC~`3l)WK71ob)>1XCBrUlBQXF3>rbaYtsB0VcaHYh%oaYESUdJ*OhYI%^T3V};i}7cps)e91v_A&>8x z(VWX*n@RnqI8?=>iDClrav|gSfXN{BX_cyA+RmAaAmds>aufo|VxBPUv-6(dJlqQ0}Y#45b}^RffAW3VPG*3(!0 z+@kVt?a<(a;-I3Z#wwAx|Z&%)0!zprDX-88BPpFS_0T^7eA2e|88#2_z?) zu5=5n^9SxoDTpbaExWAG!Il?ow$CEXXACUf5Vdq((YeVZu7H#&(~~UX?&8bMoZB=L zkB%=#!0;+jj??h!8FZ4&!PJUW5Htz;6Wja%#lmtqAR__Yvo8z-7!H1q6Rn$-6!a9Z z7NKObeqGV9#u`|I+o{*>8PD2|m&3yM=JSW?DMQc@WOv*UHZD5gX19{U)PIlFVG3eM z-shC{=WLUCKxs6rIeyPh$q*Da@0yI^K-HYg+Y!39&)>fIxDIJ`?5?&|$?Iee&X|t9 z@TxX1L^~k{YJ~}tZc?=t%4b0Yt1imlsw2&(te0S&f$lo@(@w^*;gg06BiN{9DKr03 z^9}F~L39qWjlSzKk_M88gV8FR@?8>Lfjri`HSLN6N_T&;&C*^PF z;DWieC3iK!-M9Hnokvj9BxiohY=?$@Yr`${sm6^Myc%3Nwlqm@t3UL9%DVwJytbPa z@1FZERHAz!TY^f<2FQ!I)7=+n1ruVy+J=fB9!%_+I(ah)qw)-opb~k8M6_J2JGX%H z_6eL1vZsCTUgPaUkWfG0)JXkA)Xy^?JMyHE$2s-jU4O&NTFIT|y~c+J^?+TFjraTa zQ@H@z#hd%GpU=xj?1fMzV8KsaDz6Ow&(sGvxf z4_6WFW~i>b0&84EZ+s3D8sqM|0mdKwmbQSuq)+sgI_Zm$C4Vib!U>~7gu4WbmpOfJ zRSS<`MyC1q=-6_`_S?sQI-pO{fEVe=>eu?HTZn^T8300eU!0%(Fnrv6)SgUQ2h~Vc zSq&VuC+$wbn5sv6Z|jNNp6H1znC!{ZzUT+3OCS?ngcxQ|fIepT+7|=e!0{v2)Bz#o z)PW)8)Fg$rkbEjOZ6VndyBgeDB<#uWg?Dn17z~Y$N)4TwK z{SQ(s&vYX!&wvQao0J&KT^Yvi)h$G+OcJeu*1-QC8Sww3k5ncW9UvO1zl=UlaSBzJ zQt9hRuc`u%jot_dYU*sD|AR;GhfI`>-m=4XU`0ST)^8c7CXAi}b2@K}FasS~?+q;s znfMfYo?J}5Lym==<8{GEh($!`Ew2ExVP)?B&R^>g*E^s$xO?`~_s#~dF0}QW*g^z; zvO|RYfvLR75>X$+`-LZaZ<0&QZ76S-GMmVB`(L2Vq^R2WOYbcJY3ecpZQgZOfKS#w zUuMKN|5*^cfB3T?;|=+CMBjMXa7}m#U_R;1H|~mcKd^OY1I@Q^2ECmAQ=F!!*Za4A z=V3CdIm40Y_(Es^`D~kKf6KIT3~%%$DK=Jb%e1i*{{4fI6Op$=O$$aNr&i|o*gh-* zLTZ1B8mI8?{UqS3yLyLZaCzwBHuh6w{caLY;Wxw8o@+ zle}f?wHvq&t{$lMfs}E(oahaH3x&0**RzWjo_aC5O|9Db0bY!DQ@VeBK%+2aDbojZ zSi4^tChWisvtfLj(w%@ctPm^S+X=PK`&b_7cJeCDt^V!w$_IUgWSy)Xc6uq!eT4{j z16NDY)u&gWfsGJW{m53yuw%9K3@n_yeGRIa9WB{eD|H~ z_SY@vncV#75Ur*hNsiw28()>AhV$P5mans$`$}v-F-!ZAU{pKXFhU|`jVvt~NcxkH zs?Kt%&HOAuugf*?4Bf(v)%u&fWnW)+Yftb1e!|7phGqILb^w;s=0mmZqLY&*Z>8Vp zf8P2%K03&Stp8bKSbCWR!wWV<30|3<0G9Gb^}GqF4?|D5HpQ*L-Acx3M!S1Gp~uVo z%FAg>9W4hKFo%q|io9xIxWrexAxmSetb2lxYbBa>y^JnhD^@%~PIniATV3)t-@RF0 z2ax(@jsd_UK{ZB_sQoi_v^&f$C*uw^tRzla=hkF|ZUu7lr%9&nKSw0_pR*dag~+{X z9H9A0jr#zOU@XL$!c8V`lX{(;ZTbLB^GIM)&-CK`t+Kv+a;W8d$r7Jb=hR*O;r*rk z&&I1WFf^%d6S^{+OkZfFU{;h!%~YDGlBiIrlMcl2zF`iMi)U{@{U|wvO_54U{3&P( za`MoA9+oG?7I+KEIG2WS<1(6Bz5U!6ohQX{!ck-r`n&k^Ksxkeb6UC(<^}VTU~(4u`#b4u_3d9EZ_)^{U#|CE{u{#1x@zb>odb z%Z@s9z2|66U*Ya%2xNVa^}>P*6$%~PW9{T!eUt(*sr@`JV?(Vp>tOgCs;3rSl=JPV zPpsto6;()>EQJJJ#1&3Rwrt$LTahY6ujXIV_>~WPd-QozI*fQ(qNJ zgieunbw7q^G4F)?uuw{-IgKHOaBErzSGd-XcTCCZ_Q)xq?y$j~v5aT0` zi`RLkYu4g<7CR|xDhQ%Lv&-*VyrFA-1BrYOFO})gkwY|^ziCFdCvZ&1kIV2ZsyBE z)h11zOK$b1?*PjsnKrD_PEtK?P-RkG0U$8B)oSuQFm@(?8S^k(#vDAZ>eKOgCtTAc zW8opQ!1MPk&!Vv*0&MY;KEZAc=By^Js1NGQy=M=pa`m<| z{&9DsWyRk#dcnhgI}N%E6o`@|CcB5t4~x;X%g!G%g5*4dumK@(fxuj~W|vdAwR2{CX;R zfq!}3gGN!jRjY%^m&d4T=7FL@wO8kP`wul>A*Gn5~aP;H_a@@!xRB24WLb#aPg zmwys%3v7nUk%}!RpenYYBOjBU2Ep4T32uYC`q$BFV)-56l==wYz_p)7xQz$G>?M?j zY;eJWtVBJVpFRfu!$)l`eW5N-${(>Vq^#5Vi>=L!wX`E7`S07+>HIU7z$E`RGbA;l zT2vU`s@nuvF_!^bs53fh>+tzSC5MQT+A!ld)I*m8bBzc=0qez&?ew6tsX;1yVGAdX zQYT8mI?Sq4$9jHN=)GINBo>Sp?-r?+DKy|UCQ;v=Q@Z2$Br+9I34yduaDLc>aK0g1 z0PkOx{B7~4aBurv$_$#RInS^A9P9mA0b0~arxhcEE^Opl&;GsO5UgP?WS1yZTvDzyi$VFua631g7`~?c)v^g&pu;eXFkk6 zeJ2gJ3cnOinFRYEGtVbPriId1c6Sp1UO;3<`dxQQ?c6KT=V3^cA{YM)jBoj!b`^w(l(1aytQ(5u<2r8SB73i2L0WFuYF&SQQ+=@G;Hc9LB(Q;>0KE6G8qcB+KxdJFNon~Dq*boY7B2c&jUJuEZ!f0+80z8CmVrhksn!5 z=CAxV&M=wTKQSZ%5U;p`D2<@ z?nYjGtQ7;-6G~gxL(KD!-3YVd%2o{>uCw5z7+&opIFwukfJphuc>T<4FIyd&R)(Gs z>H+tu4JH?jfa<`cbsNI@rA@GB!m4p`FX9jIOj1=P4uDm)1(dnpw>@GT>fcTP_Uace zdY8cE3gh2`4{+Ufr+zUObG_M(TIdMpOBp3`$zdtzr!TiNSjM-0@wgN%ahdRVA+i3E z_E{3i28bx`puGSDq4dmTJ8%I_6yF*C7cd&YgB=?0$Pj^QRAk?7aV3A%`RLWM1C2MB z(-q^9)GjCen6jV^;RyU`mxLBRGKl7ypwou{NLgdxu2IJ*Cm>U^?}&K2PJem5KYtuP z(~ih>w#td9}qg)7g*&5eNL9YzD7@ z^9#IguTk@@h?2q0K>^^<|Bp`0fKI;DC}bku;nh*~#6g_OY_Yx+VMb;BVpblyTzt()xUI}v&HUEet znRjjFDN-$VD{)j8v<v~k_=b#H(>w1CQO0hE#UoM9dkEoaQ^NT3qnIqC<%}S`GEVsc5!;vYjo-c~^-y^Ye zRImJKYyiWk$0wa^5wrO-hCWGA1t|mgqOvJZ?1Mn1`>qGS!@CMbrCd958~ONn;9!%8 zV72E|gjT`FIcXHb<{B5qE9}Zrmd@>4Otc%Hh>cT-6yqE_QG{N8|ZBl1UB%4bR4{Ya(RNu-dv=y zeSFisJ!-S5Jxj>OL%?h8`i}AC?T=yD#*%T=ukYaMgU{&d*sQI`3B$A# zCwKY+#hHJ@uNdZ(eFF$u@GmK-h7dS_PS0pc#mK3Yq?cN~^#2QZ59?bE{f)>sh{((EKNVWm(*hffEF7w)He4gLvKve(Xn|o{U=9##!9%(4X=`~E@IL>?un~8RZ-!DiWMx^BCRClQ_Gtvi z@ZL$Yv8APY>IOEzQi*MfA`0Hp7fRAgsUG(K58BwXk}9IOy?(CJrbNB3HC@=!V*u=x@Ahk6_ioi`Ua< zn_JlLp`Kmz0`AMlJ7~+tgi9vngV+7b$6TfbLqajW>j&9F2H{lUYd6R?PLm{>6N7*a z^M1C%1@ZGs|M)zO$e*RCe<$1j0H{;=4>Kg#XUfz6fEg4;PIk5hfy%mC$2^~udRUpY zwa;pQe!sk4`<`XUr*J<;!k3A8^a5PpL+?B=SaDl}6%h52pw z4*>p!{}a%6lw-LLJ1(6XGHM!|re!aCDQRRqzPCTVhTBf!>7Hvy(fe!e=FanT%?h6f%Z=9!uw{R?;^WJ5;-0`UIoi4#`6Z}MA@o~v zQ5|cQc~-{wuh+o5&%Ui+^KQN17QeObWLz_54s2FgdcTw4+MC-Q#bbuN6C%EnEM7$9 zc-x8)bQL?>!D~8gn`Y>C4VL;XT=1n>PZT?^Jf55`PLBvDgbPI(M(vm*g<1~z_~sP1 z0gKP~hH{-}X&Unb)@wz12+;&r_tu%4T~%E~YFigESUIvPaf_zmhMGgqAuAP&x9VqK12dVB zhvaKz9Km_Qdh>jK&UzbOKllf(Z;vNR_bS^+X?`3eW$Sgnbbt1m_*|RfhSx2~agfej zGhtOz<`?My03pq>71;!nwZAu$%c6t>mOsFff)eHYmFcrP2|~I(HNUK$+~22Y2kU9x zC#UgR_^~tAgnm}Kp(wx4F&mnZGOd?~UGLFG%rWJf0VQ zr9uh5$k`0;WmIyl{WFAr&aMHU>+f=fszf=tpINit4z$5S^p%VCJnOuv2p&*^=;tBa z-1_%;J}}DvbB)s?impnGc3m{M&-X17YD``+{kY>3S#U{kU9ba{6R!qssiyG?#hv?> zfylI4U;CS(x|4hU7%?v(=iVwOp?K$AM3r)?#?rwN(O4#o(CoNyZXFjUD};N_c)uG_NCofq^)| zz?lE7B*mcm!(+mKxVt~NUB4rOfkWMbgMt0q_ZT2Ja4hGJ2(GM~0fc;5MX=yxcBG+S^?3k6F3{`|^Yn*S16E zJut+X?`Z1P+CF+s*LVAQ9ZMIe_ifV0PnOT4hWBy4FKQiso$gD09v`nNLCrq%zJLws zMU%*R%y!nvYjH;3`Gc!h2e3ly{%)n1lhyA1eEjHz>+AaGZ=u(Fnb2Be=>A?`jpKpg zYP*$v$xX~Su+rDLEOHt>c;~w+*yUp-e2R+7Gq~S28PjHbb9w2rsh?7I*V*#A;_}V5 zv#s;t>HzoM;;G(ua}CpOpNQKS1)xh^>}vN_FwAUk?L5t&_DPtm(r@>;zvp2|*AVIO z;Sqf55F!#GUD^M$e_jn(37=(Kw11k^?^*_Y+X0CmB0fdw*B2RsF*z&yqvsFW&a{!@ zZL6e1;EH=uQFi>2_<#Iio>~B_^e9{I%H;<$%yb1C?$YJm{nxw2efMKq;62ZA^lSF! zCJ)aIrRTxyV$Ad4{(awh-e(u*o4K{~cLm_QD_huEyTf%gYT548P2wX^CXEe`W1H-% z0!emx%{uDyWaz$~dvk%Z{F&>peRNlYlYBbC=3$qO%cjz8?q78w+V!ufa!~4%uyTKD zH&^c-e%g{cZc5E(p%$oe0pB81<0NtLO4*%jt^7lN>DxGXl+OSDnnkGoMwd(<`>E3m+o15>b3*RvJCoXD79W55$PNL*RQ=ru6Le@fc`pvT_ zsU~lCMv;ELxxT=rAwpb6VJxJo+Jfjq&wiRHmr86hnFK$qxjY zXTCr2NCeoK3iwC}=)ja>exAV6r0AH{+$PbarwuoMI&YyYcMFs;q{&==iKB?0pYO2_ zq|w)cx4Z8KHaGN(+>+YWivv^Srh2lNj@+Sme+6soD5ei`F=rw{7B*x22koqZb)C-T zOmmzMkKtZ$5r!0|cfG=XXoOE7#+Hj^BTaOBuw zr117|C$OQCysWWO`U1}+8HK44>0nOExSAHVaXK<~*a;I)KPOg?gtoQc07%kbdTJ5`s*FN(X=VM`y2icYb<{R~ zqIfBCE)+NM2SSd0&WMwaZo?1NDFo%rF(k3RyHK!m+gcJp4&8zZ2L?Q|cS#75<)Zkx_fLvC6wPCr&0RD(;K43D`{*!av~%J(NYO&nkJpGJ;4bzXT1s`_ z=R;NoV6{-l?CbBhT+8yEM>%GC3oxh4K^AgWxE>NFQ%W_3#s<=d+%-dl6oFFa2~p?L z1V{41n`Gel_GNK*yE>-QRTyj{@_}KOgb~Q-or^a_WEA^}dj#l5fu3J*tye?UEX_h6 zKs~(GITIj@fb01*2sCB)fM|vqg`kTb7m<44wIb@Gha;}Vj6k$Medl9TJNlf!!lo{D zASsM8%)ayw9Jr8_q89PTK=j^w=79(0=z=QENvG&KD;HIvFwtuq#|2dmI65=NLAxu; zv6m>kn5Dyc1gKuaOWxzL7#}D=Z|1 zF`~!skI6(pTHJQ?^Sqj=i=kjWoOOM+0NIXFc!8#M6Qqm&v1YAJs7aYy&8ARl*I-()Xjo}y-#}p~8Kv7tgjtG*rk!T~I~qP` z915sU#x^%{j6hUM;gMlUxZn#u=>W9h=V}**ll;4tDKe=j=7SY9(Txvc7jx<=N@TJ? znndHKa*C;Plet1s*Poy027iD5SY&Yn6;9F+#FzP5oPLq%qJxTv-hM#X+?=pz(4f?4 zOQu^#q%Dmg?AN1`A%Gp0<4;R=){yhK5dS36JuO8+h#Z|xaTwk{2%V6ufX0GeRVc45 zLA6c_qZ0iF5{?vgQR!-)?r8(oALYOpywRna&C+3BhLchd_On8V@5j4sJm8s}MeS8d zuPIfg;v-9hH7A}w2}BHf1F;>{vxcHT4J90ly@v9K!D}Z`qm@RN_FR# znUg|rU#}RW{S8;UGh)a@5-TRFqpFt{>&CKyL1*>0(m2SZOH`rxL67L~0I!g6jo)kn z)l0qiM1QQ(!$eE*8xEw#_Kkog%nHhAca#9df9Tw4uM(&#*#oH~WT`(!#b@4J zH>DSq6Rv5j`>T@p;`mMSfprAH>DjyAAFy0&y0{M~Z~NPf7WSf($G1Zy}dbq@Uz(D+&mk-Cr~m z-L<3tWMR?(cXZYU{ALJ0u{Z<>W$-D6!&Z#JYpp0EKQZ)r!ijfRH}FGj7t^^uy2flV zu%LW5crZr#a}e0lP|GT~!SWsh0vLCo%{+Z;(Ny8+Y1#EvF?gqVuErFgngedrTH@~1Y_Hn23$4%ZYP9aOArH?!IxcSa04q<4TfWt)#&6&tdN+g{4|j!M81bH zf3t9qzXHM%7Tp&;UH!~#CE)z;auV`7pUB`j!?;oQsSe(1GXXQ)H*=2d)AhBcf)g^R z#uo@6l55Cc+49P9n?1y}*5-6%Af9`(V|Kp%jo4o0eK_wd>_k`;WO68lF&hINCyWJp z8bUj&gAFS(Z4wV*;Q>GDOb-GLCc%}E`~ryvyHi8#{gs0%Q*5B(V;}_Ub`8i66+hf}5wlfKFiF%MW#rmffgewpclXlj z`t5;*zT@t>E=#^4{&%^XnA7nu7y}p>?KU_V^?!-X%molgz(2Bb&;OK{PqWs)61F7! zT}-OZZJV`DlKleIP0>C5W&MZ_n{8!UtJ>A#5v+bUd)r{jOs4DINZow7p(?lrlws8H z9AwH_W(F3%9v#dsBsbPuKc{4jez~0g3v0RH)8^l}C1ub`KWlmaayGDh1bCs@z0}^s z*gDUSKJ|I@x)Tb#!v)yd!W?<=b+W|Z9(pQf)k65N}3dR4}6W$v{MwgBE-dQl3p73_F*i;%GGd;^-xcDpva!kg7R?W^Nm|UBRUeSgvT=B zZqA_P&FPHcVExXQqE7j)4Bs}6O7Y9t9`kVW)n`7io@OUMRlK<(03buU!H5Lye_Mh% z89r~yf?U4H_;V=&({u3a-P9rOw$XZabHDU?|0Mcs^i1o`@a?u_!y^Ms25dh9xn?Qw zzUj7}*F&1Qaa3cur53qPx$P6a+h?h@c;zOOF(!QX&opcC!FI#>)WbAcT{3ZJ{zQG# zsUOay*2BVoWyF-o0o-Ey)Iq;6N=K^_d zxsk|ME60Jfp9%`ns5r;$Pe$xS(I*w2Q?ajq2EGv2#D4oLB{F%UhP8QKc!gKi?d#cA zW8YacVgn_V5zOS(=1M1!71jM!{dRW4q0o7vwc{7%^HGizEFgDRC>Qz-;K19J4eV(e znYOD)?)6Xi$$vCCacU(A`4ncg?OxJ-dDZZ)eIRy=debGM{~EP)kGqnl9W9xy}d4MgRM> z%mZAp^&l9VD;x_ckj%J>9k)irw3iP{w8F^ru|{KVBUlbE?vmfe3PTram4@}BLva>i zDdE7AQTK`V3oamqP^pYz&(zipOB|E?z$=u|x&+ss+F!?d%IHI>9P+R4#1H;kL@txW zP)Hq<5IQIE#2(9kkzjP;cpX~_Kl1|URS_0$?Cg^3Vixv)OYr=laUqT!p>oq(H~yCf zQRU!h!z)v;&^mzArDG_v}VMz11L;UXmc_-Dp+)5#~~0Ep8M^8*klvf z7bPZ_{);iOjbxtkKaKRxGs(4o)X`cQoKQz|3y^&JXVk(S7$uHc(-i+LVV2R=xbOc@ zn{Ml$sQ+b!ER+{#%(9*N=n`yb2^P`zqKySirJrZ&D64h8X>Sxby&;Se6LJ`4wEtJO zT|*PVq*B;-d*|FEb+J5+>nl12(p1p(%Y+&fg%nnIP-z$k4k3P9FQ9X6;E^gc#&R5O zO3){RPqs5;Wd?^HMmz1dqiIRW$<=#HjnVJb+RpUC7#kvvFZClh@i&3e1bi4e4O#z3 z_>Pi}epd~DPsNnbzaC@_vm(iOkcLR$YI5+sv?%@vbf3lX`=HuFM2bxnQ)0r83-T)? zX$L$qZD!UhLnHqnlpQHdu(^eH-Js9vbcsUSZJfivkDU!yoUw$MzOV*>qjPmzyz`9_wM z8kDRGiGeQd$KESelB>JQf#Z}wg@-YyyLd1COr7x8r>shi9uPn+;8*+>a*Lz)NlJI9 z@+@KktETjlX`o2|fCc}}=xLLQcw$dSN}L_L^J5H%Sg;)-vDXM9ir^r!yO`yf41dS*#lsnJ4%*=^H_dZl9jLoBuJI$X9qfzW!xM}Yl|TvE zXFW;fUJ*}80nP@F{uEg74p+AJ)q4q#l#$;u(HDupK3?>=TCGZ(u2(7-2tVrKdzh4_ zpgZ%8ANQKwwzoGAAd4`5OHubQT*A0HQ6;+}V_u%Wbj8@wx^$J;X^wExWS0=)1oSP4 z?n6;O-<5^#UmzgM6A9^uQui%L+}sBbOc%W8nLu9L0iMLpF7@tQ-&3%lXv#~(w)p0{=0hn@h{OS4qW9_pekOE{ZRfrrEesjo2=vs zRHn(eTU2KDPv6J*J7YK1(HdD%eFhm3*uvSP82Fd{Y{-{&Ea0^ugemID$!`D1cLQl4 z24&)A5E#ju?op)7^{Y_Qzw7cZH(bG=v+=!)NN*=F<2JSZ+PpHk$+Rx=MS}VEt2}g$ zZDA>hjf!agCUoZb54-y7>92ULd+^aEKO`ASk>mxU?^;Rsit!BS2KZ0`6AS-2C zTm!2H94ROctnq2y_xIU?dXfy4ru$n;w5dviHz%ZWXdwiVom%Q!i$t2%$OYpHW4)#>t3(eQ)JsSy{)Z+MQ6H578X3nq_C7 zFvZqP&?HC$=4)ts2?Pn|AHd4h$e%_fd)7e ze`8k%1@A0)*6qezjZ85}NcSm8r`Ce};X;v=$eH}$=l#&>B(SNKSO=Rnxl@9c{w4Z^ zgsmJGmZa`a1@eGDZgyYO!5|tmK4f$0MccD~GaK>4lYl6ZTHu`1 zf?(d3S%H=#vRvfzkkurN$r}WwkR?zk{Zql{$BqUj z`L`&KFqji{PcjIM=EpDg`c`zAbhvIz@n%jXi^XOcgW!ACJ`*hylvogB@)|pH&CbF@` zVW=TmG0~M$ty$0jzhhRsGM_>vgBsU*k14XovMY!+R|#q0YZr5{ITag&3mzcm5H=*|x9(W+9=7 zC&F{y?mEG3fD!I|p0NEIlPw%=VHi6xugjKo-i;h_ujk>WDP2fVk^l{b(&ubZKM)*v z5-w`*-nu8yjReQ0qF$Ytx z!##)HYHZij^j4Yxuzp25G*}PW8m3}r5teC|0*Ve8fc?Dd_zO}~auOx}&%WUtwrAu& zmwm+x)AlHsOM?acaH}>EzrsH3dr6!uu!#ty3(8Y+Z_$Iw4Or<%tOxxh@X|E-H~NGb zJTjoxg?<^25TJY=vt-b~#A`gl>G;#qI)M$(%ae#XX)u65!9Ndmn zMGRez4kTiYO~(r{w0H|5h@c@L#SeY-o}b}S_ut3#6Hwb1H0lHC*I1?Rlffqe!G7N} zY$O@(1oKlhIv_n0r7dL(+0W`0HcqwfN)A77J0i)8#0-|!o@=a17j@xho^dOlso3d+ z%0))XJ+D`)Ym}YUHdtgEGLzH~v@8_2zyNj3nn$U5Xq-k6fgoCV+BpP}7Bv~$n$qur z{>DbiVe0$gi-pV3b}=|%%hpS8giEXEkVs*(z1gA4amLCqJ4nj*bd-WBprS8th5{6= z{Ap4S1yLmHRdg0VPNzlcyMP3BagPP)2LN6lte62iq>k?Q(-FCzXhiwejX9)m1K3ii zaP#r$_&Gb~Hj~UGrGNrs##?fxIE!qxb6|g4uiG;DyIe6I3B89NW1jOsgE$SAmG4WN z6i&lfvx41~Bw70!GXJt`E)%%NOV@q96##XZZVFS$ z%NIVk?aS86H=Ycy;&uLId(24u+^Bl%6xIir&Aljx&4+z-q&{r_2VNyh^Ix@=#y^EF zlr8ljvF|6}AjA-eFLbk$P#3*AE=S zb$b6_g+-L4EYzlurHaIy>&msIZzY$nN9HF@QSJQqs2iDe!8SBvaGQ3yQAd6E6IwVB zs{!^ro{wgVt1Cy&7&xYvYG$PJ)Z1#sua01D%iowK%~amMiG_dp8GUE|vu<=TAgL=g zTVZzfYEP56la27+BLi4}Og&p^M{lymw78I5qNX@dDb!b&8UBQ4T8Hy|kO4Gajk9#s z>w8Wy9i`(Ec|U@pGam*bD3)Y{7{k#%p|{eCmm|091o8d2lte$LqQH?1N|0%$#o+$| zilB%~fC~uj3}VTZOzuqi6L-A(>{;H8`cpaCH9><(uR*ZGiVlFo26vzY!$uReL&}AK z8j6BY>jrCa^g|#c4|gmD#e^od9%cYdv4qK}Y-bCu*yxjDKjZ3^ zrps9ftjznxyN$;tpKl2T&jas4UwzldM@TiEppl=f8ebCJuj75*eiFZh5nmL88N5^% z7i^*OAdFO82Y}RcHq>=i)O8ltD-ua%b#8=5k~jB#AY#)MOJvi(AaMcT6`Y#ho1GG} z`M8cw!S9QGMb1{DsG0BP(;uqdpB~P;ikW!$32#LiF8Hakk;mg>D1kF!R{rVS$e7S z+jQnMY6M3=Mz82$16T)MR$l7OITvMT>i8x%K3wM5NI4p3*r?+|2~P}yCZ=2u=Zo}m zQy%i;WbeG$K}1D`_**&kzUiGUELwdWu3z{_BqhsD2(pb_i>KH&Dp*r51J*W-&G;EK zs<@5^-}$M}==lSgO{zska`l)3B~|wiDIIqbG-y0Kubkz}08X1`GL4^x1<)-|1vH`z z&F>|TzZ}Qhigmxq#;|mE-xc|MqYH&5(EO=Y2i+srkbMC`azp>xx+TKDug>q*6Y4U? zFz*NsulF+=v9r*a?PQr=^(?^nNOR1yQ3YlGAhso@bYw`+ft@&le!OkVf*&U-Jq35< z2k|K1fqBgN0&raMb?0!AUJ)60xBRkc%X_8ZU4MQ4Zoro6=;EH?`pOPDc>4s&-ykq8 z&n6}xH=N^o^pk|OC8nWIJo(_#8vd1i7mfLn`YF%dti&L1_Yi-^(YEURy!yQEx_X$O zCWKWQIfRjHiD^yPMDQJm;R-bf)GNbSFm6iK-_Qc01-7)UlC!jMybh8@wI)^6M~yDl zSs_faldsr}ax~B7B7=0EGGh|GDcv%E)=a%33n;>4@mZ&fDylD{3y@<%|;kD zRF_+7f2Q+t&Ra%Ei@>Dv#jvf|&q0^fbcnptnHz08T}KttmJ^`}V``zufFAtp=Retf z+W|F*+G7qgDKcsH&*EQ~8IiwDa};sJ=41kFS^hdV^2hS79*!9EtGpqF^jrrgDYw5p zpUtJgF<44t@!-W+mir%u-F*d9lZ+>o8SB(|QdrV|dkh}1QIvX!gT6FH;C{jtAPD=l zPnUp=B{sn!jKR+om)=n7CNMwmXpPiF-7nN}|1Ilmdr=5G45(FIby^M-Gg{)CZFB;r zA;oADRe~E22z$V=;uBR88q4)pdf@J#tSat zgnxM9$5*mZVGs5*N~kq~%rh?AIdUc`5?9_l6!ei6qHW3{%3iq_DT`0)gWJ(1!QVLgk(Y{l&x=hm%+rx))K!f`%ryljcXFN*u%;TR|`>qEEBt*hz}FPTN| z`!~+%>n)R$mTsSOa%N8lgjYm8{3TBdP!f?fU!AsZy!cue6?dL|hR3Ep$AG)YTvQ0* zJI^|Imzk0Krt=+RJmPQ+vS9$9O~5<(jVJg+oEXM*2d7Mt2T@WtRcBgoKr<}L7e_y;%I;`0p%{d{4_ON!_=(Ivcn)S8qGO1Lbgb%JZ$Aj&XioBU@zBP~zhB=ZpAqu)x;CjX--Y;IAbi=Szx2YV#=YlK zdnn5_lu2T0>D~M#^gRw>cpCp1Q~1DabJFA}P((gobVbm6>Roq7&~uGHUv^E<%jn%e zt;2k73CZloc9&(md&(nwj2SVD@-lD51<@LTg-q)cS))DOE3p_t|ROcm|XFR_@ z^*xTycAXcMY1YNhbx7P zu7;$>d)L^0R2np4ShjVj_P-b+?|1h(0q(cs6&IY|eSB!Nod<&TDi0(q3D^+_ww>Od zka(YNSt<-fFkH8Z@m}&L&n9J`oZmDCGvxm|Oto}iIUY1t7>p5WJ`AQ;Q=uwgOjlS> zreXq6d$&V!AtV4UJMH)H-c3BJl#U1GqbC)VXNMg)6+UTEhzs`&q`%Pu-=8I?}bj2F^d`y4|v8s$DL8(8Ca-{>bdOcyiepu}5 zx-=4EWQn}(=xIhBU%ei@pHIP^ysLLVJKVe8{<*rTctFj`-~YmUWT8by|Q1>k|?@ z&2+dzh6^<`CM<^cN1ta^(>fF(=T36N>-7=kB(Eg??a2Asb-KQzi0WRO7VuEM)VZ-y zQ?m8#B^=rkbz9{8DfZWjHFhtdy9oBX_05tE2=Jkyo}cGn(5F#1kkqaN!~SB7t5fJMRVo?AJu6O2Z05%(v?85n|EyBEDeP0l z8@vpIB8lszWd9CAiJ{#>uWlVIX|2NeF8se--ogeP>DwQ^qOxzW{`-ZLYzO0<-IG}m znRAzl@tbX~?fS(R#+NWDxQeTGjS&dLhwHfzz(C zS1$|riy6%7;)6pLg62El{P|=)WrRiJSOwQ45gzrkW~C{Q@n*G6mAuh%2SgOX!o}0Xb9PBPZN^g}y8Gb`+wIr>ub-5@e zE-LA%Nnq=fG9K3Efw!IaAyJX|dGh9Zttfj2T^@E*7LBj1TTnmN)gyaVQWgjRw5>%;u=`qSsZ} z;o&7KFuwI0mK>!!*0UDzQ`sam3M8&0X;atAKo|}}t$x=8tT>u(D={fx{=q>Seu5Hk z?iJphJ6dsbX&8bqEQSIE+qcQRsN1uG#$a*=QVCRh*YTLxVy^|x-R|Y z(d%vgEWVIPET#%vrwY!$RH&fy!LhZB&+jdp&Sna_hyJ0VRwS0Qdt_|s7_kj(xLNSr z^q*1u-nR?P|FMg)N&qFTLYWs`(%wYJcy6h-2$jWRs8ed=%%+dDFRGz>(^pBmYUPjE z`Zc)dc3k`n2hSNfQvRJ<;EO>3}qBunp^DHr3>GC9%R3uZJd?m|NZ#$Oj#f(?7=&m2t;o7sJnN z#RFH?ce{;*Xj~3fD_D6iWp2T^74U|sts$UEeZqpZ@smGS+_}TSGzFD&zGxGve>h

; zZ4v7)RcE@ii9BIOe@#t|Xz`Tcy3Sdv83B+*;Q1b#nU2GN>@`g8jI%1Z*SY?Q(-O-g zv&pAGXT(cs<+G=du1AEjw&B7z^+KQ0x?EFv^6|$#v$MoLu@)FQSSF`a`l8SwvD+aZ z>IO1CrEb+Oofx9f)LO7Kcbr^QwBnUa)vE!VCmEPU&QLl#9@@xerySVAq9}0$dn};D z%w#TA^#tqo;Zr=+AC2HHqXiNsEZW;&q3iH2rp8>)Gz5zr~Jtuv`lmCtl>Y?^C7yfh)z85h)~?(+9T}H z2elHfd#35=C+Vtb*|+J+mkZ_sAH|EXWYEh z!?`@<(#2mEJE240&+VItcnw$Wz^(`MizI2&HWzajzrc5OBEjg+1mdNQe8O7O$guy= zkMx{k^ZjMgBa*~In+i1QLW)*x&1%!S{^^6A{A~kP<-_+!94@D=aAoD|6Eo~^;-kh* z5;(upT=Zz0S$>$@5XWvQ@M7KbQvSFN*0bn@g>0oo^-@Z78p)^WTp6%st*jNOlx!O!ONjbPaK{R1C$d?& z#~*?5kR1D&w#7YIFNaIhfj*BA?(Ev5M6NftqbDNc-{`i*HsN#W9=)%rC);lqS>}h! z$A{CD&%zMLEpzg`p4PZa^S*fbjmDp zlqrGD`IHGD8V;>7TChZ&)TNE-L?g`fj;ft|XFKWXWh7kO4O`S*Y^1f3yr}U&mEwP| z#~=irX{H!HHKO3V4&q(I+GoGLr}|IQa#Hy1>cUr_q}fyLBP7=k%xF9*3g6ER8*X`QsQ~ZV^0fx$Xup&_^iR3vM?==}`6aL0%fM4(l6{BT8 z%xKC#dCZ?#x|i{lIz*J@I6|$U69ie|G!YkL5%EVzuQ8k(*MF-46O(g|KJAmO0E0k} zwSNSfS4mmC;`vsnWLbeyTJ( z^iyDZ-PCuPpHz5oSixljZN9^X`34idR42I=B;*KblSoCSPK@wf0;lfD3c{Cz9_YIx=`dxv zD(|#^_lfmS?#UML+DX&Zh!0ISPi>t)x!M#+3%68B%UAC zC}@b1C==G~#dk}^KAp!*HEXpNf!1QRD$W7^H^GD*$x#;5pQ3-;KU)Cyr&jdh{>K9;4#F&N0}jV}_RtxoS-M~#biy~MW|97Xe$JT3;4136&>pnFqaoQpdsAM$ zqqu?@XmSi)NF}?%Y3;n$L5vc8OA`vX)3BrV7dP1b<~(6!^^Vz_EVx`uSH%Q0nVr1E zTnEFcJU+>{?7rkUG5*}L%e+a_qCeY@tlYnehFhd!i-hk~)SyvQy@tLqH6-B*vIz2G ztRy|hX5SUQ7MOb!qOK1CPp-9k5y;x|gMzDDX~hPX(OW?kTCY8J4j9n)dmP)S5yY;4#Pt;;Lmn7BF26wt5+ph>0m?@U3NMVufKM zBr1YI=jDbaK`lH)GfXAeavTAMp+DUF9i&K}@?D!Nlqjv>{?G=wBnJ)nAn1=W(U%DL z7_Tk+l-hSPpq7P#&O%95xuT*v)?smfuRris#}kH7opr3;YTzkPyu8HaResIm2{Ea zkt6-Pqigftk6gN_<+~8YSroZyM+AAQqnFE)@8YmkP)@|On@C9eb7YDEscyOvelUar z5=__Har$evov*^)j;kd`#rC;<=F#Ks;=xYQ3q#Hdxv`PUEUMInlyvf}1+M595|f}E z$Ka5i(%KJOc&#?#`H9hS9W|J9OZl}8FPspwZoF=i`7xi*!_K&F{7RDfYM>r!!5} z@r%V5mv~$n+U2magn%C&Bybf?ff=rOziN_%EU|p5`Eg-Nb6JL98M)QLQM;Uj8>wbR z!k4W`M2Y)UMcBW%+=7nJf`Wn(|IYvYe~!W_+D`JIW+1}DJsBbX-^bWK*Q4U1|G$p0 zrFKjpRH92=+l%~*Gy6Nl18Z@dM5w`leOll$dvZ?*i3;eFZ}~``8jV60{1DLt<@6^^NKz0De3CyxtVEh zK($-NL)1k>w1Qs295>q1DR&-iKD>t)h4T-8ujD;q(cGP^PoWjSjnPEBA%2grSb2{a ztA@uT&I)ml8qc2z+1EezGV`7*hSHO#u)W*ve`iucB~CcP_b{{>&9_Ol?yiLG{2YdIIDCoW`sRo% zq_7=3r*r4!igeS{YI5lZPR{d@ZZGNP@9jl8?mla^A%#wyoK92wqib?!5vLa6_pwH& zm!i*Y>&d&k14Z>EW`+kGInf_;JR8e>z?{Ac?fH)rTK*R{y^ER)YIH|M@k49-94g z5ja-)T0b0wtey36YxVgNx!E~*Ey-5vdE}#1D1E5SpQly7tLXjn^;3o+kJx=65;b(M zzdY&um^LZfOFa?5msQ=eFQe9c7q@aqd{XSYVRos0r4XDST;= zs*gSX>FlzKF~gz3nzCDdm7pbWp+yHdW)=GGD#J&6hYsa6lc;f0F1zG=GHkB&dtR;N zn+)YAx$}n(rKZUy9DyfY#+k6-mPLuS=u?R=zBZtJvK7W zKW**+1yiyt?;8ov$=l`2^EO~B;62mhKW9IyJBd8f-5-+H_djn)cYO)8uS5Tm?yiQJ zqMloHDXp1g(vj`o$a_x0^Q4jf3AxI$ZeO|HwCPsLv3@#o&hNaR;Qjtz^-11VQ1OolA2`tx{kG>Pl$~iBm64Qde8Gk@}5eXyjh$+^c^97y-?lDKo#u6UWg03#J z))<$EPCXR1KA^mYG(64iR)}7#Z%+BCuK7;9x)pW~xt$%8?m|)aAKR3h6v>6jI8xnK z5jYUDHi%OzRuLFrvBl;Tg#!1zF$L!lwrwO3UpxHMq>~Rh-unO|JtO^Q=PQhd9Q>0% zoC`ajvl z#A3bAWIQK(9rtRI_jNx{+@)OU&T8hO)BSK8HnCZC>1kAaF|F*?xl<7EI2Y!fI7}(< zEs>6o`{A5lRlh0A_SBbh1$)WSr09~ps#dI5=NWPZk$68w&~-_@lINYU5# z|0Tt>Hsu);iCjQ9(}Jfz@U|r*?+z)3{?q-%h?YiQpt@0^->=({tFvpZS@d1#_o1~m zr8Vb^#X`bS=Nbd^O%czU!$w7!+=(pZ9P1;deOPDlPF4HR(+XoHmYaS1Nf4>{vuWn^ z&>|~On}wuUs>cSk~+nf#k`bXzu~4LWDE`GCeWJ(W+ESWw7PSjCwB{_3`fZnk9P z{-ktFW0$*-ef0!MRFoRN+)!l!KU_j%uO0w_KWjZHDbilyBa*i(HO0}PLIGXwe1Zwg z57F^9dH%|jZ9E9rV>xPw5t~hU#~nZO&xJ z{)?K`E+2~4w)v?7^pXXhbs1{~PlW6?1L=yMvgkjFx#N#X{XZTUGtz z*(a0kA57quw^K>q{sQV(x8wuO;8>PCCc=2|C;DP6)=#<@>Cnyva+E;hij( z?eVzd7&V~))OSdQKF1dT$ApOw5afTn!UJEOw@bds$_B6o1orb0N(d0X-d>#W-RQol zFCiSYuF19b%I}=NSsI#=fVfA6oItF-@PFJ^zNO4j_% zDQ2d1T1Sm@oMKO9zW5q1b&gKnrZk-3Tdqz3HluRmEs?L&2pqUf8ND20rqBI8gt{5bqb7T4CI|h5}t9V$%Fry{lK+1D}WS* zZ%y(71Zp-GxB;wRZRO4Vq>nFY#Gh|O+%H;h+mxEH%H?E_-tFJ(GFB@7()7HMnHEfO z5l!Kn^;BR>cF*0`Z&lGZ;fI~KvFv_CndEZM@7#MD!D3Bb$Pff1dDtcjF+qIs#`k?* z3ah#Kz3+n+X{39rs>nO}pFO&4?Ho1$sGF5q6iw>ggs|-1LgNIP3UGzHZiJbCu3Zi- z+Tr_7p2*_CPL4^O+r{KY%!EU%Y4)EQI%&L0>Z0U!`YW!q1K(8ZrjB~CgorvXzKfLnT|j(VHM3=drK zM=Fn>O{W@U32aI-EQnXURMutd<2<80ZqfJZM4c&26i5n)v}cRU1uxTtlK8{%;bK_w0bOFt2p;gRI4q@alS(4!Rs-qA-A5s zE-!3rd4bb7_a*|_H@hG3tdz3nYdHr~Z2J={daKx=#WostKY!C^En%7`7us|B5MNNs$RGy|@qS%$8G4u#1S z1=PhhqHBL|i)=u+B*5Tv2zTIJ9!u@eeVHBTFhR+n^hfuL#1tf($K7M{Eau{*o>0bX zN?mY4mwcUrS(-PstsSQbWoAmd-RChR4@z=&IhJYw?!-&D(n_3=-l64P?bIAS;+i4j zybi$It(wfdI>O`Msc?iHHZs-L5B`iWPOY~cJ;JM?jGFCpm`T6&RL!}5(HcV+_JZSW z-jR(%r-FVTeVn*AzLNhhe0Z_rXELdZ^3W6Gs3p zj^?+Tfj0;48ZbJkIM4qgcdd<2ECGa&dQwdd7>z|7R@Gk#k2@YLZ=#<{5~HqFRo0R` zU!Y7`(i@wH%4A(lUdg^|JS@yLqE0kYanhx^%G(rBCv*mlp`(CAq8oJ-DnDu|ELy6F z%TEf!#K$nLRq%3Qt?m<8bVY)x4W~#lf|S){%B`Bk>_NigmeMm?tXwuu+#}{lXss1T z-$0-E>E&uS>LP0ud~wgS-q?Y9Sg#ZZxg%$=3;NfCFLhs=3PJfB>^&5rxKK%9sf0-F`TC-Kh22fyk#Fuq0MdTw!LtXQUIs9%foBT+ zHh`p?0}79=m^`T9#Q>?WS2Vw3CvMYFHiJIuBm_mVXlx$!iyud76QaPK&gT?}@)b&Bni3TQMe!ucx zQ)LRrJV43AdgA~5@usjtvVA~-mis@%I=it2YhtlI0tA%B2b5TomQpTWu7+6KuyYz& z2GyRu-ME3p!vut|&pB1#{w(u?kK(_owX0|5Eq(7Mvb->pCB9@1G*4<>>38dN{OyvB zU?wq(^bT8D-o1x$eA-*XOL4q|bT%KKA8MnVBd<&?cD&59c88hO4&DQ<_0_Y8>cY0S zz`5J2Z&HKilk(6mt1b~XV?JyjxmD__g|OND#1c42RDN9 zv8PU^Wd@oj=o;XBEtd|kE<9V?5RM*+UbDWf%yD)A9F)dU`M(**=)1qoYqRc%2ai%Q z%~PpHrI@NCsU}#Ri!CU&WNg(B1OTrT5;cR&Ikjxqx__DgsYch+r2F^1yN!*Ypq|X4$=p#vuvlq zWr}5!ml?lgo$mmUp3_Uc>wgIyMzE&#kE1M9P=ceAP1NS^G2WioBIBgC7c%h>XVXOC zw0PAVbg>f|k6WqyI3yZ3y5Uq5Tv1R%!kr@Hrw(A)r2IxN9P=ScihSw6aV(%r6+Te_ z7^pm|hnBWQJgOggE6_KaYbV&cv2(odvsQssT_I&rt)ACAU}2YnUsep;1cnbb15yQ> zO2d5a!X9B>(|`PCw{3rr&XRxc+Yz^_HYs-gK|TZg*z@^-|K*?n5!Ew-!2dW{-PSqeqo zxUVCQs-rxyjfK%3WcwdJ-&`gaVl}mjGf6WYN{@)<()$*~04}IeYXLHS4hxK0N8~o|a!3jKN!j@ax@Cl5f^lk<#znM96m!8b6VXxY(PA z`{{WybYf0V@AHUD=H7FN*f`I^Ua?mD-XzkhLD@60DWDF@y52T{n0C%D_}}< zr0~K}iYJfJC6q7xGScx4r#rkd;NLOtsUgP7%N&le4Y^+{lecxlcyUOR`?GTJ=j^S{ zuF7+tcp}dWf3c2(-1=XcV?z{v3g<1@RYiu4h9LpyKUCoOcJUter2`q=s^hXlNIA-4yY4>v(hJ|o#zM2n4B zzuYr9mmOnxWXAVD&IsPX0I8T~TM=YKG+n%u=bI#7(pAHBPJTF1m3W*oS2fN4+{NJMqxkZW z^Kb~wu|#-hhxm^SWDK*cPBQ;xj-_}3wK_M6IHlD*CqMoJbB^?mTj1!sy{}pQ=hyUY zVJKuzFq23{=ei!4FY24UN9QQEfh$T&q#HiL8> zm3%0Q^iF=9#jOA@4qd)EY5HLWo^yzBXw0wFVT!mFl1y{_Dhy+IfPDPv8g_dKGFaEo z&XY41?fAO}YkppD5h@4;hdS1I6?NxwAtj)@KX2bZ1pYa9@2KefF4FA%IH5Xvaka|* zq=wh_Y4-0J&C-!r+0&f4D+bK;;2JwS_X$g$S{LjEWFl`L+q8Hm)G8fO@ zZ!+HA_|9GB$CZ-#Zk3Yq`L*Y71Hqd8LGk8yNG*X^QD10f#NPvFZ9?DN+4kW*T3{#L zxuxwMA8~yb>g55vbLY8(=S&O#hfjDqiC+Y(a#8y zd+N!7we;S16Y0b&Pr$$&{mZKI4%P~d6i+bT5Amp!o*0ZBv-%8E>>KEOU0eQgNJ?0p zmeS=oU6>2SOj)_-QFAgU%HUmnFVSPwK@yzG*qa z&7)T{>Dc}?m#z0(i<~{1@WbV^Xr5d5JwkJhUzJOk;8HsV2HN8_bNHknR!hq9HXA`3 zZG5dOH<9OPe;a}7!-lSNa@6=REk`f|gnAiwm5fTzv}JbMreW>SBIm2v4Hxh!><*Kc zslum^x6q^fK_16qMTYED=1T-@m}e3Bt|{Wg(JL^8*?$sB?Ozq)6>ZaD^o>i}ZHJVG zTpf0JFEx|zHihgf-dzV>HH6$l&Pn!#FP>-&)z6>;y|)k7D?4lC!#I5*A=I6ff_J&K zI-aVexSU6r9gz4BBJJ4PZ{u?&gI^06;2o|ULyVu?1yH@!C{X{B!*ZTsf7gN;N0I%@ zgwf-*$Nzeh-;()K_xcv;vIgr~Xx`c5+(qRCBTWCqx#Q0XhE~LZ{>5&42P8~>&p5s( zx#jh0N1SQ(J+}xcdDRKtzqjjizIi6c3XD0T*_^#k9G)^BU;OZv!_I{4xh?byaL(q9ak)GeJ{QF@m@}B_3pN}0p&0w1-L`8;O#4zO=TDtlNF*LnT0CN8Jr9Dd z354s7W%L2K{Nvv>xfUZ=kjn3*n}heikHEYiAgmmjiKn{(Ah9LpkoQNi@shhtR2*e) z&#`dN8hCv!DBnZ%M|awohar;^WwhR<;^Ch%u65zPvI-8dOsgjUjy%yLk*1_&Uq_ z$|`}V0}CbIDB0WeP~`E__wQG+xvt$!86#^X3sbN~^8aNpU_8cR@W^vl#0I9xrTu7s z!`-92GnY6zO9o#M*BR91u$($usgop~fKhs8bZPEc1s}NazaX?X zv1X-%o7OX{425edaSkx6I5jV*+qR<%>8s-AQnzBSqf7+Tt&p{l;^O9LGWML(G*W=>?5_@3J z$NR?|tAv114~t-rf_Dw{KaD{pfMAd;+Sc_U3^0KuX9Gen+sbp`@qfA>MmCP873MWF*6|nW*UVzff$13;H+L^ zwWMZhV*Py}^gRY}*j)Pmkcbqas^d-G!DWj7gnK(*Tqa?_LB79C^Lo6u1WOa-9?MfuB68KO)&s`3e zniZr%35!4?3OFlaO8q!BYO9Kp-2CQ=e02gvdVWAQ#C>9%F>R`MDNTs1$AiI_hlyK! zFgbpuP+LPImnBk)7oq&TPYvFiSRj9RT#U4KiPt@NXeLxlQ@#`DF4>2Eswp!%Svsu1 zY+0z&~Pw-|x^4d|liQqDEkFf(1QbRdi{nMon)pU#+1tYw5mr{<-Wv<)}q-J3x z0dLCv4>KdOh^(k}sXF?40)+cs+lhiv8D@#?W!X+f6`wZ2CP}`r$xuIH*GC#_$rgTX zictTu<2A*6afpn2IXw7{K!i<){$Rtko4CP=2|2J?6vIY;`jy1oR69=W4=pOGL|N&N zAceIw>PS(irVxar6KU@$IBu%wcajZ`em`jN2O>wxu&a$hD!_#~@Bu}eO$$LF8CY^$ zDpt`KVhK3aU1g+1Df#aXAjZ|73uN$SUr!LMq})=DcZ3gkShsaRTmP0g?7WAf*x|({ zWutB|GWBq!3K^-AFdGle$ffrh#Las_f0~jc`h2QI>OS<_#l^QoVoQCflYdiI_?zZY zKHGm}tRovA-0h1ilx3!t(3(n{s!!lFqa#8A+fO zk3>9oYY!|f#~16F;eg+j^T9H9Fw#4>Q=NUAD2_;-^X;ocaM?2dOWn_0;rOwD30T+IHFJHe~h$ZkrAYo^0G3ui=- zKGS{pBEXExD&o*DpRc%C;9_pdt*NV!`glhBg{L*7z*>dJRkrQ9n2t_XjBnX0pUcMDfoFngjO@2lx#3V$=WY%xUv8c@@;!X~%pIq}&ESrX3W zUksds+aC;DL(K)!09ui@-v?>!Q6WFmh(42na1B>bfSbFe;OfDW-LkHBHF-o4Yi!MCduk?{M*sR+pjpdNcLWVq&*nxccg_L*cQ_biok? z>GuKgm@8gIJaYod1z$c`9vJ$`<+X9{Wc}Q=+a+(UT5*8?#|X7>iRFslTG}lrrNRG& zEOU>i#AH@ek@ST>OXPj^+orYxjsR&^)X91}FFQ7?km1$ujM>6lPpYW(56>@5?*w;8 z;PI-pjn}Sd%Pqi2K4VEiFjHSXUwh9)Fs=JQiva3e;qtXkPSFpZQ0+KO&%_&tr+bgF zqybpC<4uczG()D}!rIl2zqmZ>jA{;<&9IHVr&A9l+oyHaoM4L_3BR$VcfVLR;~6#s zrHz-NyV7cT)?OpzSF|rNUs#V-bBeHRyi&8TXg5|new{HX&H!-2yZ|O@(3%5*WGE5l z8oe?aE9MGC?^yz!WL~XGa9nZ0(4H9T+ivaJ&M(ib!IGPd_SyC#% zdpN_mNv%k|%{&2cS)rvYdjn9XhvpC27tJAC zJh2+!+mXhukQ-rA44fJ$^B7HnQR06A>J5xBWZF<%(ejKWZ~KfUJ;c&h^f4*o=2!T@ zMw8N5KI-ON?Z8oIy_*ACCcr-eL=+;@dkHiot0M;W8BU^6pq=X49qAo)0fg$`Rit#+pKuHS^xcVWC>oohf|s~Gp(m~(+EFn7t~3lcWSP^}!*eDZ;KC(X zHRr|We~QnuBQ41@_;W4)ITbyC-X;3w8^d9RtW}lZu5_ZlvBKEG-i~zINGb^EIyqtO z9Z;N)nX%^P8v_-G>2ENgI$+h}71bP&SJ;!FA`ok}0+bF%N(kNF6ZgS*tP$Xu{8mnF z3MQ~Kg6Z6tF@@!cn`ih1X&k^%RDZYN^R9F|aPk|_s3wIE%MU|Kr=~imP53+~Qz9TNynJqA_>oC6((~N$OvcAxrK^XTkn3$Dr*V>m4K@x{C3d0Qr zJFWl=UnKB;71+te9#FI;05ysf6b-hsA^N$_%2q0U{(T6&+)q!U~3?3q~g&{Nm^G;V9VGa#)VKN&dg3j^b=gvrhY11Y4aCt z4rM|Vbe`qkm>$HyLvkC>Lg7H4^InU{H2 zc1{2XV*~fyB|RmXevSTOx2*xyBK7dsjSo)VYKX{HRfa+sc{m8IRQAX)T*(y&mH`pv z0qiMb)xfWtf8I1;X8@jFVs6_1oDQCw2Em?lT#W}tfIv<;$Dwb z8M&3HQ0d?$NET@d9jPZu1B0Fy2ESs6&}SzWurJ$Da;sDl#(pZ1q|vOapLguRTlpk- zmKgSp6ue%FJ$gkYEDRi2Czg94#W7mRq1P|6xg_ZZRY!F3OgVMSnz)74k`lafsM6o@ zSYaZ%cYTfpoUN_cR@`lApIl;}$lnfrjSggU}RpO17JWPKB=P3D? z1r)x+b)%g<(C~zQL!{f1#7h6pQ{n&>z+@DX9{wD}A16*IMKn9vWIv@Rz?q%{in( z(w@77qIXLyQDI}>&TaG4H}Cj|NS)=#6>NIY%%!awJUvp37G=Q9Da%ayE!shrp1ASE|WoCcPR8o`>+Dv|)`f|b?zNRzG zeZh$=Z{u@+2d4cXOfT{rAv`L+Rh;^GBl?6Tx^y-khL4W%MP#vi)j4E#74YwJUXBot zpNHQ)lBA}#7(S%`a{nk8&5CudS{q)Hz!ZRN92}~xa24R<32P%oK`XCwP?3!ke=sB9 zbFn-ualao59+(Iu93OU|2;YjOuAmbuA~tey^`Q$Fv|BccC0ruSFR?>9Z2Zs@c-C~F zML~;@5+9~|;b=Sgwl2yP@y{Ha@(WXSBbx?-5E@!f&b&b|9iby!kAo2x=aqhMT84)SCtz`VXRwWuH({e&Y<=@>zSbKz&u3k;H0lB zQx3LJ5lOdlJ7x3we1l^B6d|(7ybn}+7^@viA~J}PrJ;Wn)=(KMD&8SN$r`SMxh!x( zgVgCcs+!vLyU5>*^`IOP7ghf9q7>m`OAXD|rcbWAo{<-Nb%Kf@G!Z z!mtiV`o57c8T5j~QIl_l{Og3-Cj~SFhQ;XYY3AraD$e9*V;yISkz*|F(qdla%jY`8 zc9xbTbC*cl)ifJ21W5GU<{#e}4&!c5E%G0K>OZm4qwLysqh`VtPCfY=RNQltqq>qcy@i{O)62#FZBRd_73UbXof)8 zr2*!vtQt4?_a4;ryl@$`bY}w+tBk@Pjx5CCyu-%JLs~9lCS#^1r#B2EfydL0%8dh1}i+8I?(weSQmP6H6g79TmRDl104uFmQ?(-Bg}rn+J1^u}hXB0Xr5Q;H0(vs+hVlf>z4PS~N03EJ$TO!ol+nU_QiZJs}xhwW$AoOpw z>jqglDUf$C8u0j3?dtCvPJWp08c!)%i)CMpsI2nkW1#499$}Br-8>&N=g5_hH8~^W zk~#}Om2VHGPL5wX5C3iU=&FaO8qt!S>U7Z~wNdU5^yYD%FI+YOzZD5K%SY>CQclB~ z5K~gO>U6Bk+|Dw_(}X!U5KwS4!$)nx(DWAwN?#Yu#KKwA+csjy*s6n2jVfuzclAXb zNgs<{FZh3IER@QArN`m?b~nSvzLJr$(Tus#JPmsaPMycu)cw6uEP5OspK6+#rH%5H z@URMCUAm2ll_7kV?;uj4VklP|rJeh-6v+LRl5y&yKko_`Qn3nlx%mpSCimIAxtQm( zuu514aAmGj_(L!%pZN33cr_sY63?#nb)9k*ugqN6mK1#u{n8#k%f~ZpCm*rECq*CE_lH7T5-~IY*$e_?U%9aXj0JZ# zG1R(ZQ&kK-%<+*7=@hlh3;&~DOJCPUv1K{<1(e{@`$&HbXbiLRJ0kR2*Z6n5bLq}j zU{jXl?{vZ?9IVLwwWSN% zvw_yj8aiL*_`?0cxj+ZTDo0Opv{Bw=BH&(4@jc`uWL^VIaPBpa;S};w(-5uvr-2#{9f;wl+%eQjs~J z z$0wx{m>Hj1MJci|#kbL#?HZ=Iq$Q;wWlT^4g|rXaO*d2<3LBDYm2r+*Ny_)pZZ6f} z?NC{`IUpRs20w`W?Bq1UwjYr=U>W^Jl;wOd`{UC`XHI9oLY#xtzTypB_PBQ%aJC8=*P=sXc8d|lQ_?G+-_EqqQ0m9Gz_BY;Fj#1lDCCJ>DTw)=hUXaF3o1zk zb{1}?`>7Qf4F3dyVxKXe+%_o(yb5f8q1M*#xflAZ!W;|)KUyN%oo;$f{gM`9dMiJrm4u9)eZA_l_(15UbPS0L@&le_6g^pMPx zOEnsMnCk++w76@CE6tB}oUh7dnO~&Ho0*v6J;mo~kiU_^JANlIoYvcz0D*+3wPN-h z$S7B>yRJcbO__I}uF>8LDnknv!F<)#%NdUgb#Z+srs9l<<#o+EpXuu8=#* z--3w43=}jj22cEv>fI^L)ZTJXsw@@auFMp)r_8M=M305TJ&U`=85fDgI2Bc!_wR1# zp?(o53HU)%Qlfwj(sGle3=qN#kK*2uw}2Hnkz$X5?JT8j)RF^H8C6K%`f5xKL$%IM z$o)@nY7uO8Vf7$_-8AfW^h3l}BjvBseR(Fn@MwW6$l>s^u|h3DD^|2;eDsJ~6P+TD z9orc2o5BUq-$%*z*jKny?Xu9mLbV*REQO=}vbnyMEOVe7UU;*{sUuq=cMdf$%gB8WnK~^^sQ~?Dp!^MUUD1xn zI|l3_u@611mECW=bUcA=fHVjD(vJQ-#>>9ERu@*0V4KdrJPh($NIVJ0@B}Ki%9wSQ z1uKDRhgA1E->H8MX1Itm58YtENyC0;@Vz-s#5r(~`J};wy=seuvKXgOfF3&3TrGoJ zmq6Ai=JZ{{3~wkrhs&Y~5%;paWAgJ^V%#%YSv1;@X$eNVs81i$dpl=WKf?d|$h$9u z_etKF^R8=x^^9fGH?ePr^6OAZHs4P9QsID41bcRpmU>xlb!?@O}N8ud2U|}3 zi2`zpC_f)8N5@;*54+RiM(JVU?lj721?(E*(XvFM()!>(W2$(Hljr|QF*PTBdo_U1 z{N_TV9~?dT6O^cz2}KLV#UTyYJ``l%7Qw{>*XgVjVrS6mz)dAi-xI+cPaQv>wuRNS zGZ~_tAj12Utj%B)w&57kB_huERL2O-LI@eMW(k-@2XuOyO3qOxC~P9Nq(_s~rxdbe zdO)}cs}2c_jJlCG!Ndaa*jRFT-FM_Yk3&b$cf&A;VBU`&NmxO^=V0UYs9d6U27fo; z6TvMG9n5FV%fD8hNo~Q#j93jt0&)lBjWyDwdC*tx;N7w>5ETwAlc+&S5XK670(@kbWOCqi#ttBy)lD>+#tQ68gmX&Mo7 z#xEOH5hYy=%V)6+pC~EIh(2eGtNYiA{SfG#$z&g>QN=Z?SSdWhQ)l`lpzqvCzR~iB zsaf4}1=;^jrLS%i*4N(VLPg-g9iS!0U0PjDY4}4UbQ%T0rQ?7CN$mPUzOPN399|{i zl!Z1aHhbI7@P|+3dmVTIzuCzYP#tc5ST)4h?T^+G7*~GPOAJXpJDy^7W-tFPAN3bb zOW=p7qoxq|aogP1DUJLZ$?XWZpT7k%KfSQR&qRO+Y*N5I zgO`~Ehb>W)?~t#Bz(Jot>$zEg*V=lvF*WjK!@mD=H9qyVi8CWlXSsqXi?)OBYlI7y zc#CL(m;*`}6->t^D#JKBYo?rdrrSjoCV(8f0pACOr?Rz{sJ*e)*cRgif=)p;NB@Jbv?*i-H{;3a$$p7r9N=e|tjSpdiN-UISX`!12oMRs%aWKI6Am0%gkm~nl2|0Jk#)d+XJW0OT zctHL&KP=2!O?%Nomuoq@cZrUt5E%fEg)HU-3L6in6 znV9^AkaHekq0QzO!s9gS3^HiqUDv1JPB$9bWLT=9$Snp|7B^$g2ig=X5YKlrbi=W) zz>`mIyd#b@H6*S?5*T)snaW#{oevU8ja{dRo9SmH-$~x6kokuf;j;9jv;OU>XAo+9 zw%k40MLKkKYDfPbkE5Nu*pRU_ZV@~k6u3&|II$MM2^vL}{9ea6AZGeDEkNJ6!M!pX zN(~F_MxZ(4aIg&L^j6Nh`$CMT~~fh9zdP6_{$d_Z~?&z=gNMk`Lh_ zsCC;SjQ$x@`wO&^T)1``2V0(I6zRQ)Q*cSoPn%4VSfQtHd|i1L!~r0bL7A+6CTTLl z@_agn;Ev62W{lSHn=575?s>*&7XdMFam6r+@+r0z-Ck(-47seM0p^Zp3ciDhorrl? zfA9{Z2<4i9cwarf)FCBfj4iWBz`-2SqzL` zASBNYuMWdqkZ-CZl09AGsNJJp= z;SV2JPY}DLWOIkGE$ z3>U!-)Lsp5UpClsIalTqKKsclc4x|VBj%?3?<`YCuRs7NVMJn{oJ>#!rl z=$f8m>9)#Gq$(z{J0D2{@pIB~?y3E(eYORziaSbz{tXwjaYXF4TBPx0k~dPb zlFPgmFGMM(^_|H;Cs|U!MlG|V>!`SBw(?TJ8>!E+N}^v9sHUlPwdhg}Jywzj_3)}# z!ZXI|t7CtJ5`8n)6$tny{d)NhMn#%m)Rt`T5fKwFptjLz zH6I+~I2hiY08a^btJN{FK#;JE^DI^y-s=RhQSu}3G6q2@VMR}t%$M1SZ`!}GX?ORc zaj9wcP(_THPmzOP8hM_dqcv}%(Oa$FbYYu6UX!hF6~veQ9v?2|gFS&bj3Da-v7-y& zueiA;e1R;!Wwtg}1jeJK6$a`cYG2uGp^*q&Mty332en<2zM;wogeoBt_n?Jz(-C+o zW&>hQB$a{4uN&&R@d^aRBieUhsa6T0X$X?^(bA6~J5O;05L~At1@7$!E(Ax5-czx; z3QLwKCP{{nAXtQ6S8JXbY$96O71}uFfVW|-&P`CHc?|EMArG;hCT_=JpCMq@ZH&jk zE}(9qeE5wjE;TwVL)3qXD>TT~V_YVXlLuU`zBpt{@oAteT(rQH7mP-ap*qdM{Lux` znkCLets&6R_s~O9opwoX=*AqW6H4O zF!hY*YO?Yy?f%xBJaMZ{h2gfVYVe>9((-Xh*&p$$I$z(T5-Cna^&fWn+`FUJNBvM2 zRccBvnRg%{Zi)mO|HbQ~st`ylU3tl~n!FuO2YsHC$60{lcU(=$CjfU6ESyfs=SPag ztnj<2B8yI1@-FD|FzX76S1tezSK3&>9p`5vyun3VSSYm{6$%xu7yDs1dJr_Kjk%iK ztM~Ee=9E0KoJ%>-ycy`Q_{4M7<#*xVr#blm$E+*NQP_voRrJFSO+*tO;6%BJE9G`qfjfl8aB!2noe5{JuRzd6HKJ%{ z_S97s(`icECCqNLmy3ki>!5_}q?BnW%nl9FNICUqerAD;dE$&&sa<3^_zAP^W2YTj zL>G*aY(77eQ%*%Ca6ujiKO(uYR&f>o+X_c2@yuZf<2zK04Itq|pyJ`J-$9AP`gloO ztv)v$LY-cS!GBy1*Caypxy9SY-Z9FS+L7?aNTpXS$O}&~qm}|J=gLA|+L@G;oHbt; zj!W3_^K8xmlt}Q7Vq(nReOSd0CxhwrO#t)@kO#B^9u>G_vc_;UhQkj_aMCnA#cg?3 z@dHnYQbmem9ga$3FQfuxrF>-1+bbTfroR#1oWTEbaE;(!O-8L~2cW>K5P<+Jp`s}P zT^C`DtUh#6^-R`12k;2arrvT|MGw6;>a8%ACx3+#eg>RW7XguyPv+078D7(>3t_FA z0yV+^F{dxf(8P~OT-BFdXze&8litpH7unvv{r1B%pD%F%zvlFPGza^B-qsbiXX`HC zs!A{G5Y)T>Dh(SaN@#g~QcYC9T|O{;S`D+@Fjp@2sz4kSKK^yu#`#CGHUvQb%bZ1C7S*x3d-8yGwC)3EwV?O zW2mf9AYHR z4uRE-QpNVr(AojXrAFyfE&fcwZ>&b5S`_#A)NR=LCdjX~vq#_6Kt1u81oN4uoyy)|!MHT@u?ZK>p3okz z<;ai#y6ws1q#6`>!d7 zl^A6gnpVUiH`8xL1T*ej34+m!e+QrDRG!A!@yLu5aDa$$JypQ9(U4Cmgtj)v6Qg)@ zk#OdrZ;F3*O90d&|wRCo$CdrU~t zviCXtTfLA-NC30t3WV&t4oO=R4zJ$>{bpN)e=4-B+_fDp6K!cp=+1b@STx##k2itd zAEv+%adjB|iHWqXF8L~3!G2Kcqd|#Z-?_(^Ky&ejLfV=|-R-^LT0wF>whk8zjbht} zCRCdAv}dDC6Lj+84uc@vXBP`kgYf`JN#r=lJQo7 z`^r1VObK?_`8*JQ(8wAZR~d8;lo3cr_yv&=>{7N|Ahp(-KU$uyLo07%Gj(f4fU8OJ zNW_;V`>_i)IQrdoZXc^ABxM{GoJV(|YdynCr;?SCXA9QbsDRZqFBcMIxFs9i-r;Cv zB--S4vs(=9dJ8?udSzG2-m2-Wna3-{GU3*_g}=Mm+nQFexzx&+g^O9+-eJ^gSbdgG z^5=)05F6viO}Vs>B-qtqTp{UI#r-oD?72(i__Jz7zCtyG9M9a@$zT%-qGZWmLlP{_ z5bj)oTUbypkLSOqE!l+eq4VO#W(K@H3BzS&;rYRl5xZR{SWvBQkzpvDUprMs2i&Gv zezQ?&wy`_t{l5Q4!fw;C@lI&nqRfa!L2}h~wn!J{rsWjF8;8w>m(>p6z z@#7C2wO<==Rl|GSOh6bE0dm_u>(W31abvtk)FcC83N7NqhzNV5pcild_Hw_6hTSRfZES--p14eFM`GPl=$Vwq2Y(XB{QcD~BXfuDJ z{L20JX=}S>fl&jK&ta)PfT}i+lr_JvY(GOI344qP^K!qCNjRWL9)mMJ)n{3Q2BY7U zrKR$`dM%@G5Bv!C?;9JiB-Ag0K_r`%dZ8nt4?-rhB7fxV5hk`OjT?{n5>81)($;aq zzM1EISANo^XBaoRXa7Dv1$~0+lCxeFhOog_w(&XW)XTd!l~$|)hpEp*;Ih2u=Gn(b z!2Z_e&zT%XYdP9WS zC$TiT`hXF@!Iyh8W!brw^2Go@GBHz?MJqfW=x!dTuQ$rFn_c#^_`%ZBWeVcU>4T8X zDj`f<^5drLAd+J$BQbMNEFo1Ch|hLafcT5kCJ)-%Q6yM~<(TgfP1)s=-PQU|?cZ7|(tRqT_PO4YplvL^vz@grV5t@iyGYi|1mWQIA zQ9{$uJKrU@hO={?MZ;Hw9#4+Nc<;i!RXxlV@O4LT5gOGZ%VJI5Y{L*J4+S3|T?gO~ zR6Zz5>XSD=lvo+ZC;od+?rJxn!`KhrO9?x+Qh#e+qY98QdiHT}#t|gmc3vmS%|Xy3 z4T9KD+Tv{!|v=(^wMQKvVXz&vQi#;D`&4p%_km$){JBy zsRS?S`1@t|=16MjZ(e-b?PWmkFEIjXQrJodf4#6X_?yV5t(EGtSyM){W&tEc1-zX0 zzpQ;s;~lvCJO&z+t5sS=_B5(iQ=gz}-I`U~d0GBy`S}^THCK+g5 z0T+q48X(qNhT`7IGcI=!Ti}U3GIhnJD~D^jSlQVUmu7W}e*2TtehhlA{r9wi1)P!a z+*I*h5w&Mh;s`e_p%)+aa|6a6MefF3n*kOsd@Q1Kel9ay;1041-}d=PZk0cV8Oj|O zCU0LU5&XbG*Y3R12wzES)XN zu_57vCPW$bb`j3u?EF{?*)<%}_!lAKm`XQgj))cSBOt_>>Ntg#lAn5`a1J^^mc`Me zB28vB#T?ydcguVnmJWkbv*nQ4{Cb5=u@XJ zkA%utQ7)CQmv)a`m_~ehXl5|1efTi?R_Wee@;_>WFnY>KIS*rbXY758h$ybNiQ}&{ zdH5;t73O_pnuGcIWn#opu*gy^IsZq!L|(8v*IJ=FGNs!_vkhaSk5jR1a{%(SHqNNk z(`0eCTSkYcgBiOfnXe!=wVOup;%T&8q17Kku^M9P_(2aFXsz~fFdN^}1TOye81{ms zz9=vaPXk)(-Q~R#*%t*Q-m&m74a$tG6%MeG+E@uBG6Ex6zhIXlP2Kv$+~VYDgtLHC zj2Rkm>%0Xq;~|kc8pu&Yoegv*m~D`UMAGLdhY!g>tcFfz}#C`i!WXQidz4Xg=MGP zoS3IcxtXIri3r%k%7@5GCDd-IIegTk`DP6G*;MBGs8D76gEr)Vy*g&7YWRyt_Aaco~P|d!>T`5pwU6dVj*iJ+_V`ED`I{j{T$J<=3 z@!98W`3&lc?q)plT>+7mSd|s4C!%V@=aFhLd{qlLyU%T73O$s{8W!+3(WW%#ha`1C z&R3Mblu*);a$;9mi)>#sI%L8ZSnJ9$B_G2OYhG=Lj%*9!*rnht6|;#eL7#9x-ndv$ zKSe%Y4g%_Avqx11{2SkE~3NCw9;duEO02wH~SL`HzCXGtqzU;SS zR5|#mMAT9DE0N`Fhmzv#;+OH3SzH9Z^s$km$zQFk0A#<4)8w9-gH(vOgxu@r$;*1D z&K+MSr26A&{C8Z);kzCoUL0O)CU6KpQKeeL`)T8T_$|iy0h%j5Rre zK>Z9^vioP(JR*A+3Fy{iCy_Er3k~XK)X7k)4w;!d_*ZK7O4PW#sw)Sjp-n5pz(v9V zCXq|{lZcyKX|VB)Bk<5OonAB2uYsH*g5gu&X%0r9Cc2+_71L%nu7$975 z&O^fdMwO*Orv{BLfDWHHAau>sh#lLT{pA9@TG!nAm zaL*%}c1j{p6zLEHDpTAYwjRIbK}BgrUrf%!OsEDl{;r$zZughIW(4h8c7jq&q@mOrTO;gTbI{&-WWheHK_vl(~10UP7k zoh3+$Y`IYndEcKTKWlMI-FqfB=b@!X1f6MlIiGOFA|v8WbErnK&p`d51y#D!%p|l7 zsGe`LWBTTUxA^Ou`Cr+Z20bLR4?6JLjNzz^nJvN<=>#vCeWC$~ zgz(xFsy(Nei0{%@>`qcL9tER?yX5%sDQ$wU*qjP{l3iOR`sJ+{TJECG-LZiXNVy!G5fAT%e#@^B1JbIcRNElu_%W1A6uHHImY} zYM?J;eo`h7s{bUBv8~e76qI5=VDVyR|9F-~L zMc}Z9E__DZr_qTh4LeJK(2-CaIlhl=v!*-SxuT_p@?|Q`j$UQH^Rt!;o^kU5VSkw*Tf9xqZoB0HM%8MnqO=YH4USeDq!(@HNX*Vo`)&bw0PT9mC+OEHT%g_Vt7OZqCI?o{kQRJaSv

ZK!SEuGyT(JB_6r|0cL7;ZRqo{k<%XDH*I z$d8EZ>ix3S*3_yC;P^7xXzom)hP2CUK|#PG!QmMFR@R4YTMrZcZU;(6U|qi+V3;=r z=EBX^GQ!yp2!HooG0$jztx49m&9Yt1L-{*5AFE&_K2Pj1fm^vX>7#_Z+7>G98m)aJ z<0IqUt0i-vZ{k_)@-nsUbO(&QOrwJIm2fV=C1wW*_{w_(c21GW0WQisss{|AR{A5k z3cvcvwC*Fr;0_fkGOxUe_4Bt+6O;FIP8V=+D=!WySu@%@;*NiCO80qrbUs_J*!`HX z$F|=sTcX8=fIq<#80u_+S&!}8J8nsBauz{H_@O@$olMuN;+cESqKofgV4m6JkwCRg zPTT;J2S)Tv5aFnlJJS`|wnQK0sA1zMdu$o7%5E_jjXt5Z-j>~`FkT@?-6!Jqc0tKU zcKTMH0UHP!lT=kb7eiW%6Vp8~B^ziP?T4t+TTs^(pVetZ-DafaKYrZU24v z59*SUahY!=f}Dfacv3Oqo`Z(0&@b?`A6^@usb=n{Guc@U2y*ty80?R}A57M>#kQ$Y zztDZ9*FK`mBZNaRS`cCpk(#Jh4^8xk|DG6qk5e^p`cs2BmBj6Rsj#6?7F}W=7u!>2 zYVF1_=TJ?b?nr9^FOO7|N4C7o*UA$j7m9Upl`FDc;^KOym)uonwF!{kq9lB}=(I>( zMg|^)Sd>wy5N>nO3TxWU{yrE^&vV-56U+{RqjOrKJkH^dZ%D=BVUsm*Snup-QKYH+ z*AM86ZVvETPh+|K^ZdasW@@;9z!5oY;+~3o-D^!T^4L{Mk`t9@HWLS6REuaiZ5L?H zB9!^2p zA2qxQIwPIL;(lKi)&eYnA8w?G4hex37J4~*1nT($|$x59_=-u8OEmv*%MR8{*O6K zREQr)b`cb-IEP%(0P-02>BcTR{m7{gVDHG2WS-C4205%}tXuCVLSLRlq-Da>&W{P` zlb=%WiVMME?t#97LviQ#lp^du+Dm4lh5cS$^3hpoHS^%PzpGNSU9GG}k=uPD4u zC~AG%714Cwz9rYZB&9>98%C?=yq-!>7Vq(Eis~y5t)n*nXu>P|4c!H#3w0~r-Nq7s zG3qtUTrH~=5EL%5Wd`1MeHoV^u7dNQdAT8?Rlw$An|4_vaTgo5)03(cjs5&^%@uFu zS^D}as?Dan`#E#-6=?$=*YrO*QJgd&IC(_n(W^(P7IG$U{5?r;7w$ZBO33&WYGn-U z)Q1$vi&ndAMj`epIXCFq)%W^+tUDTTP*tr%2bMA!Jfk3k-)y~_%X|T$KKilymNJ;O z(O2R+w-pf*Y>-%k_pEmY8Y*xQy0^$#Hlf|3aW2WR);--L~)cK{$00zndy%)-`Ny{R+;o>VOj|=;qiAJIns5E=omRex| zS6=j>9O792YAFIR=?U}$hoBsC`l#AMh16->Cp>#0o825qur{@skWffQ33!c!E^NHJ9sg3E|*8)K_ zEUbLL0T<%~6~}y(sApRBP^z&gfLN1JdV1p-_Q>6izyd#G*&d%sSz|t(HQ$_M68bfs zERsn0IheyQp&AniuT%P6J%hBR@W4+x)PdhL2%glG(KO|M;-t2BUr{q72{EzqkSSYx-r)mnE1 z#fB72qd}Ql6%_~Rx4XIt+(_?(Vh(Xm=Ja4Q`jp>#Y7Pk|EC<0*b(089GPKFoCDAl- z{&s?e3?U(kK>T|M#l7}+MOx-$YJ}S5EziR5>O6ACZxh{~*85Bk2vNvL7e-o@;x->s zdm%XX#9Cnf{GGa^npUe{iI4_QI>S;_DO2uL^0Z(ZPz|a@VqWRa$>DsX{*woA{e4h- z9Pxck{bh0LxC5sKVnUr2#_s!#k|sZi05CR-(ENk4p02cxm^$Q^rr{`nIsPC+2xb)K zc%!!%qyO0W^o%4tzZCjaE#(KRXZNOCXX~b~@jl2Ti~01nT9O(?TJBT8?%&UaI3Z!i zM{l=xU=n?ZSlHQ-$CK+h-5ixHKRLnIl7=*F2)2kmW^Wv;c*>Km`C;NY)|I`x425cZ zF(H&o^O*mrdwkkRNixxoi^B{e1`n<@qc4_rM{}B7rRBNwW(!6tE8|5IpcFG%W{GFZ zIQ43~q&I`w%Vz(aPZHRGHRC{^&+vu71(>iOl+z?#5YDv3$o_j|=#kjpLCZ`>$LO3h zrE3@7)KsWrFd_Kn-cc`AfOyq~E+|LG%@{?73ZYvBGMD#I)QA>C{cD2WJ(YI~an64C zvBl6yPT`aO!Tw^NL6m6K+@8ChrWT4?ZynnP%Z%S5XY-g?mlCsH(JjJ7={Sv#C8{;u z5M)BwwnKPxMtdlB-cUGh;;lqAIk1h@BR6Qwf5esj?T_=tJQB_f3LVa1CplDIu)a|N z^O%39Cpi8@Dd`k(Na1kjpr_f}O`#b^vj`WdTitip6Yj2)3EabWbCPL)!*&z?37i}t zags~; zI-Ey>3F966EmHj*Zx@xe6)VbETM=RRQ>1!WifuHGY0ST1kfkS`)G1^zUT}yM`xZSY zsP5)}v-z}Z{cqSBOr2UT`4rIKNcPK@ZjrD~oPTLwqs#q?(*RJofkhl(d{> z5ecXfRdh9Y1yk*ku2%id_1OCP(QIA{xmhMnFR7Cp90lv=XvhBa_A?Ka=*=r9In3V4 zf)Rh8cy**vCD*FE9;B@9;Q%YD{I8`s>Zf{7hbRKDO~R?#@@DMl0fqW(Z1e3)cdfir z2fbJ!dG$qw? zE#{>l4ChO*aIIB3EsWs3(2Jd9l)S*=gCnK>1#IXP;xP3lDuj9L2k8E(sBp=cKZ1^b z@;|IbpPxV0`+_wZK+cd26^9kmM<%K?ER=SU@IZvvMKS3VS8}N#CO^bU4(j)Kyw4~VAs*t5_ zV;?eP*tf@m&jOy%mrp+3Z8F*K!9MHU%_nFN?=U955FX;-&*p=ewU~gr|XUCE*|sO8h>o9iGAEcjT$_JlN3qTM;L}-MB%Q+3*(d9;luXd%FZt)L#|^4kMPXgIj8dI= z7z;%$pK_5hh!FMalmE*$TXDjD-^pqiryX_BVIy1v{_)K!RPIBVy ztm!HxA}i;Kz%HaDO+KBip9Grz~pzDc(tY$S;XHkYzz%46Xg4hWqG$x%z-eL zAX^?~ZbdoL)n6hSearu+ih%LNJk~NW;&zH98SJ=WcP@?&EW265D15T8{#Hx-xHRW~ z>{TV(#=eSBy*TJMR%IZzBp{Y3Tw1o%?s}-FW*QOp*g*{~W)+|NMHwqRGLEtT2sh!O z2|#R2OZ+VAZeNP^6tdX+b?hY7p<2j7EUa*D9g%PDdLI{38c%=jn#>#{Q2^Y$J#e+T+^DJ z)1*X|lhxbs4AL&=HpNG8Sl0YSpL8u1Rbrw; z4%NpMf+WF(8m1%hrj&hu$&N2Xfs|A>;V3E3|9GR^Puc;@z+DMfD4^OEyRsRJ&rDNA zTF=O#LwPHpS6X6M(Ez(SCbt0z8;kL&D91sAD3&AZC2g5k(u@%%es6zy#zeLuCJo?E zpB@z~l(0}n*=zeP=d|i_s?!9&TO_5P=iWb5PDuWZvAvx_CJp0<&ym*rauXvIPOf)vs`xWzIc*9L61Hw5@fVZ3jxS& zD7E%0^ux8pZm2A1{03*aVm3Qetl|>r&o;PIcJGAtSxooC1y|t4W}vUen&W0OE9gz# ze@HUQLK*K_DguZxdv67QXw-foYW$sxN>fZ-q)v$Py;aQA>V-8v&94Fz%lEN7_!mk=>;5pb+L^h`l30=FS?pewE0dPwZZY)}+NX(dSmSB8! zeTa;6>ddY1>R_W@x?;w4LN)IvFYVayy5@O0T0}S)?Bx*Zx~qqk%}{opoB^LT!sUJh zvCe5<-%hF6(ugl+hvV{i>(j9*7Vv+e#@tHQ%IQ(Z5L+wMjnE;{6VN%*2uH&u_X1Z0 zRT$MPDe!AT4UN5~deLT=ZtK((Ld`qMiUL|-c@}nE^~O>7MNujS^@{qiUVw&nNXsHB zWA3tcJ``8PRtc}E*Q%_0JYhpYD<#OCJ^37d7C$MJT*La*gygAeeIBCL6_EYr=IOzhS;u})``EDS+mgo|cuhFHkhgUD!_Bp8oTI*mf7w9QG(3PedZ zS*)~$9K`l~@ljkTyjWA?t`^s9H`#)~8p8RG=yF~#>o4PQv<5Xl8_Sh7zK&~&k6=>^ zbev9!JWcp;mL>NqrHapv#67&)iy5QeO_1!bPjQ)Ej3GlJSXzQCqX}jmo1E6otX(@z zQ`2Eal_Re}8LzT;rErS4wDl$6lCw#OuUYgE{Ir2@+>@xV@e9z}8XT6v-p4rpxmidW zMjO@j^Q@t3m}4ODRb8`+f=?jtNpARKPijJdQbUZvkm1>tpS>=DImv4Y1J}5MC#n0n zgSl>p1l_MmN%s35IO3Y}AEs|=8#|AVq$O3tC_YD!1S-VPQ}h6Sl{RGVRP$tswDta) zfQLyZKhr=d%2+H(QY$MfhpXBJcCc5O`IRMPZvYKK%+k`J0;-#R)}PcFRwalmN|=gF zIt?3}&*)?A6TAx2C(m2hx~;P2+8f%mohXvTet5?y+}%%zB0ynsUJSgnVA0C^g#6ly zve}!12u=Ing%Rs%_xl0I3a-iGnukxHq>j+)$@QU@Lp7aAdCAi_FN5*e8AF2&zZhy44j=ydwY*IW^+BJF?FJ(aWmx3P?FS5{Bq@ z1nr_o(uzKsd{=2>P~S#tW1Kx0*a8^$%DaZ+&&-TVl)i)nSe!-u)jhZiyjIJ~OiWlr zR&QFYA;^4XfR}E+3C8`)|FF<4F&Y2{>mxo{id^-c8zZS;R(~xA7bv}0Uj7u!wTCY< zqjCE(Ll+tg0N8C@3DAKlE8ux)udoGIBp=F|L@XhbV5X8@$K zg;402z7(wwQa;n!y%6Grr7Qa268!wKM8ymE!L?N1$_AJ^PZ6n1(^J_NvZ%M?Zoiz#!f0%gXSPpE() zsp)mHGvbtsbHplb5W(BNN8P(sWGBB`gXY4s>S6K24Gc9J@7&waHG8{7umbp7OUycf zJs2~2R-49T-=#cWZ>s7l-j?I4!=J%ExbOgH_l0MGOMf0*Qvh;U?0vnH4)(nyo!!1! zmzj5ei65WDZ;z<;aASsU#wHPjp**;U^@G=)#j_5$eNredH2j#_*)fE@`_nf5oY4J0 z6~u~8{!##zTb_Tq4BPaOh$tq^)k)GEe6F7kol^Rukc^CLsR;A<){885Oi@JQ;0N{J zEN^mzk<1F@LX}rNdUJM^iM2@RnX{a;Ojn0`6W+C_sq`fyEwv*%jzMof3dbHzcSd~Y zmg>Rd%aik(#lFw?#AtbBC5bK9pyjaqhgiK>@rm_ON@w*shLTBBf1ug*S*rL{coki3 zyd$MlA1$_!`~v^tjM*3yb*5N%Oq1+t7ugzPEmw*QVk#S^U_W-~ zH|T1*juyR2mMLcQ5mE+5NuJIRcxU|MF1b%nWV6sp69Uv8vG`!pMkiAD8Ao02L336H zg1O8cg?_$f8eFB_B_Ft`+Ch_Hn}^Zf%R3TX=-{{HmaWg7-Bo?N#Iv5_0}L=x-t9cc zKec6E6kMe^(l8FH0ukw5;sE`8;x%%YBAeSlQ%?Az3|h}-+3yXhipn$Ua@&!i+kMc)vOdiDlQK_@sz}s`2 z4DE9@G_7Ty3*^7lpH-!bTHYN@m_Cyh(Bul9=A0lPsHI_4DXpr7T=^KoVu{z13aUTw zl8v_9E&qyub>E^8WvV{xb5cJfrOLib#RD~$Jzl+>FzG-n<+|q#MU-v`;W;+jKbv@t zc1;)Y9^ z^%5yV3DkjR#=iK;v~AI#TQd{ycr=1BlO-tbJm)EKods$)(#Y!LatDI5zN}Obvq0jt zQD|FvUC^7$J*#3IG36C~UnODA%pK_jf~6$G1{q~}t?^BJbQ zCVL{fW+NBJ*TOoC_S8t~vS_4U=n;ZolL~jdTEMhH=r2L(p{m#d%g&P{7|S;baYnY% zWhdnsU0&1%@KNWWSR?@Pgq35MoCqpjFf>5Mm)R@6Mxje<){}WTvcpYKCsOu1L;1%a zzB|@?Vr#Nz+yT1jTTUPUc!8)1GNwZA`G$(C%TD`AQ@i^sez0mSOISN{u2fW(`HCvd zrO>VNpBLO1#r{s&l8i2aZhN|ia}4wD9U!W71B+1dTexTRQ@g~4fHc?i^j75x_Fc!U z#EyshcO!x(b8!TBi*&3WFmsQK_QzlfaMqki1|q%RF-i>FLaN90`1CSM%Vm z@NtxlbbT%DQ4cZpXe=J5&Jy!=SEHMzYPb(^8XMBJo0Nfn2fm9Qqm?UF9JxS)B?{GO zz?t`BfMPe^A860Cv&%KjW-6Q525psFBZ<+03i0;xq2pN(-X9$;9bpvTQW=CDQa|Y7 zGbDMLYWcxe=c7iqHO#t)z~nOR+aw0e9w@z!?3wZ&*DCxD_a>XAiH4yM9h-pg)`Ss4 z+Sy4ZN0ay_z|G{p*Lqn1xT>^Z{)bM7*Hnr8YE-K@NT0L3ibvL|C1(V!f?*p^zk-M% z8W~#`23mP^_PUT>85NW1CsFUDfBKqkAUW9VNJzAXJs?LSdaF3fT>BYnMRwOw^{6#+ zz~avemG*jAgtE^U`K6jH@r)&gGSGk>v_rzn)E!SNqXveAF2kAY<~pH8?MPO%3Qz%n@Ad!l%y9yz061*AaHf36+DsGnSwwHnO3?paH$M$=_ zD{I#Chm1>{gUHM2%#oN+$pn%gTolWNO9;;!=q|+XlfNnlHLgwXJ@A&a4(HaevVX5I zmm~Yb{SWyV*rTPC|52W*l8()=`oEN(#*13~-Id653$btw`rbGE;qy~TsNxHyw9tJ$ zL_|*ENXoAN09VM>KgN+ZiU;`!dyKg4!Z*y?YjIHRA}PcPFkMUM^;d#9k@m>X-$P^7v%8@-(9g@W(d4e zLqfe*TryloA6_9|oDzvZ9k)W~<0EU^R)xW}uRM%3j#}`Cu2rIL4<+T5X_WGAYp=5K zHcWduB;#!t46B;7^+;}0;riITx*FsRXb44Sxk-kifu=)W3QXrt&cI5R3`k4W3;{cb z%1#QuiojcNS|K8(7zhndnzTL}|7qkvZ~0;Hl-aupzs6N^`WAtr1mE&5JJ^%N&BmQY z(=D3ms=Aq_tw(dV^l7V6B>To()UWP!rWAld5>IL}fR77f6B$ogEbSRHWWI|tZ?x8IKHvN3njoL3Yc^%o0#ns4@q+O*CD*|Mlsa@2A)c!BzUp(sV%{_nCF$Kvvj zt8u-@=zulltAA*k@u&z1A@)+e*r*u*(TZs3LApD}$k$Di$Et}Eh2FUo(gGwl*)#Pz zUg!vpSW=|7FbNAIlZ9(%0&?d9c!sC>7$x-v*f$SmxR9xtPfMh zD4V(0abUN=CH|Wv`Fzm9#-@kN^$zVg;ex+B*v~uP)}e6R@H3I7AENQ>Zhfpnfr9*M zMLpTDE)40FQwdH6OxTF#!6KjbfsfT4P5VD8Q~2OB<}-m#S_pyN|CGIZd1a`OkeZzv<;GRuuONmR)Y+^ z@soo(PC%sMO>U9Ve(VviOlXRBRS?zjs5Z`m%|@F2ZoP{`%(Z~Wx8_ArS_DGlhAJ(| zM<~gptyT z%dEkHr0C4_U9Ic4hcL#R!svj{jltpuTF*A|_sLqdC5y|;SRt&1UG+Fil|uf-C{LgN z`b+&Y$l3{SHI~mzsjS}X-sA4tn0d17MwqhuM{C4-NLRn2a2$6w;J4>Y!eA3)?aCFT zpb=)Qb2F#V);X;)oFtk}>hXuw{b>h{wIl>x-I4H|%`@H~wIVDT^t&F7rHZ~nZ#SfH z^m6XLZIolUIJS(bETdW$cawrmQI};;-s6piF{gb<1}w%{Vt^_RCLsEFQ_czNhzY3b zcVP#gnIr6{ZD!N!{1WRt-WM*SPuNoxx|wJr;VORiB#bs3Vqn*oQ<7&%NLEoA|7;CS zME29Vz~Nmb4VHD?_8p_Z#n4^*!Ssjk@T4S1Te?=f15-lX)7G;IMVu=y6w~X4U$F&X z8n2l^`7?bY+?af!PRP1n6VJ46=O!uBBRSmifRPJC^)Kf?1U*x))zo7p7;f*rd#T^I zR{jHdC~+nVs70yT7}bxOMbZ%^zbBip(Sa;DpYydy*o?rF%=_w>{bfz;_1)ElNi3|$ zti7tba0Zr=30@X>02*dKpxDE+6QIh}fBnH$(yXO!*b76I>I~v-tEU>cKghW#@GeC_ z-bOi9?Znc28aT-KkGM}+C_8GOlWR;nfU}ZvwWMfNx8;pEEkXeNOUVhrMKBq}Oh3a> zz~67~84?UrWv98SqdQOh0XQ}RCJPn?G;E)+?B2(AOK}tUT2+dS?S-v@n=|Pg6O)<}L0grBpOBD5w$Nv|MnD zSJ9kI&~xWo#47g0nN?v>{jr82$soi>uG|PTKY941Z2I?7y}6~;D+&&GpJ}tWG%3EQ zA`aqNG}t{#tlhjw2c`5fV&$b)@)r2K_<-T=FGTSw6>hN4 zFPNdJ2_d*Xme!=xypQ-8O6_=x8Ar1r!GcpDdXW#VKA7Pew+c|k_oXMqYz1&Da!KlS zs=;RYuxK4x9w)EiVs9t+=3Vc@R7g?8V<(Y6wP<)2Wh=>e6KWnFZ_3c;Y5U_kC3;s<=nS{wA*~ry$H*9eE$Joc_1@XE*x%cGZ(sF!D@7j^thnm@| z)?CEb+56UDixH%wkZH^m$+QF4xTG1rkgbbv^wj|L>adltOTU$Y&C)k#I-ydt(8lyw z;8%DWPzsnHhvMI_=x!aG7)`!KjuPF)jYlL@n=A-aIhE6`7c?A^332mvHSO(pN`P#N z2#oWp>lRoltJ5L@1~-ZsSz<|^>vScp9L~$7btQP zqBxeq5#i;a!$FEjZD9|}6Z<3@!>xxm?C#oKVLaq3T_!22a7HNO{b+XVudVgl@r02?=W)-yd=8)L(mBri zVI>h(VU zXx?!<6W`atH;U_VgH|O|Seem*x-TJ%=ch=P zjk~%56_rcSt=0OcJ*WpTmUzP@MHQ7X-x`cA&OgzM;@~yxCeSE=C=&*;g~c;OYn zS#8(v9vXWaC$EdvC))98<9)1p__1Hn!g)-_@SpYzsDXRmDL~uXXtQ??NcslV+731`a)hB0~re=pI)?9pAV?e z)vmY5!FoI7GFqZ%l(ls*N^PW3w>BL43z_QQ2PN>?Wyo2`*K6%lvTQ&dPhe#hO&o7~U?bC945ZH(*ox#y^c2<%6;n1_|D4uT$<)DcVU53c;f` z=dUn{LYbB`*$@!+xH=#h=cjL!fG(+8c`qe+Cy`?;J>jsE)L43SC&g1uJP}i|O~Fue z->4u&+O!*na**?^DuL0~O6vFpxCQ`zc#K<2&4w+PO*%{3r(Q4+#QA*XLj=Yq&%@bE z%c3NnU#W49Z+bWulNeA*M~;;hajlTF7Dn4$9NLH!Woa(X_|;uspzY9c6v zCBqs#J;JiKnbYtp4RUv>pO9KfO^gFr3)EJ;IgX7{de(AeAk0*Re_-34-Wnxkr0zA2 zgR&gXGWLq6OOws``cg{iS{f&t1((cF+Wr7S+K0EGDUzH(Pt*pv*#F*OuzTcX(31|< zzh(pM46+-rvoQpWVyET0Jf`vA}^~t4)s4nRobd9O;+~_LI*RGtRa3FPiLs~n}wC8tFqavT)(NHZq#OdoR^QYe4XNt|ZzplTAvir{X?a=4!6O;@>I$j6I54E{*nc8Z|zmUz{{#!9?B!%4dz&qR#uiA70y-&Bg4-eWv;p{>#*ggh^YEv-g3* z!cc8}=;G*+)(Ek^c-Oz!m(`(|Pn!9;>07TxuW_~ZcaMlqQ4350^330@m*tJu8k{fH z7Z}jErk-{{kkKcL=&p$pQbFU5sb&ZC4aZIU!hbc<7gAwrmjB1mRYtYdbY0xti@R%a zcc-`&D^T2}1PKt_-HStUch?puF2#ctFA}6Y1PO&N@Ao4sD|gM@J7=GrSu^L(Ia?FB z9iBh1hr&Z#Ne%*_-GxCcm;l!Qb>Epj`v{U~|JXUjAehyARM~x&?PL;gXD`{b;wk*e zi`bG*?3J|ed)(mbTFT9^Kzl&c3=TgvY7R9H;Lj$F8->rl#>%2u71{@rO|c9)OUVr0 z&JeCoPS=V>9ADlpZN6EKMDPEk(hNR6W#2-K8Iy^@9TEab&okHa7$t*kE)4t?w$ss^ z7Pxv)x;_GBrbOREYWR!YrNV|j(aL=$PxdwyDiLvymY}1I?Fn4ku4UFnW#aSDNTV(FBoB**_GdL z42|6{9{fQ4ReGiZE+YK>0LX)PnNp_H&O=bTiUjjAV$9PY@Jt*w*~S=|scQ#@aE7dZ zIQO2_2-ZaZAU<|F4MvulKFPHk+mj#{DyeuBd3@kFtA0X`CK2;PF|5s zj+-1QJ3oQ>qu{j|C8cf4*~@azybCYMu9Z>u=MAWDp|BwYk7p}p(D4w_d>Mn6GHfun ziAQ>S2gz;8YPm1v6wgxuMiT5eG053v#enHRMLjIJL=6$_MeNZG5OCMf1g6=w|zXZXylr7@_O0!*J5o z^4=x6=#XisHgu+YJ-Gdo9m4UG!*FCK=RrceZ-iqpaa!JmzgypS#8~m zsmacsz1nDYmHq#Zv!XqcGLHm!{|w^UbqU6Yx_X4q+duIN)c+cC9BvWkB$^owrD}^fN%$oK z)ePyx*q#b)RUgX@X#3?G(SdHI zc^2Fy{u5c_Jxu(^xa8}i1d4YYj&sAD&Xs~iZ+5sE=`4hrf7bnv95&v2(eG6IdD!zL zsO^*f(Qn*I6DT%;elniS24944(DHL-%D?iEl@{;=G_so?!}xJDv{#x-YT)zmOJN!~ z^Itj5sDKW1W>mo_%YPx1FnGBl^uN*2CE9l0lF!4$k|yxvq)T$hM^J0O6zmu(1n*(H z+m{2rx%5Y>v`pC=4JE`jWH~*y9$wL|Gb_@8VpGWx^Bs+bZisUF4C@` z(@KU&fzh!xhd>qfdsmzPa~HQ8Ltn}Q;Fr2XaNFM3-uLo>ZJ$iH94v-Kp^1_nyj{OH zuA{7I?}Npmi7ky42eFRB)bo|UN*#y8v?k^8yFU**T^m%&{0#l5dj1Uj8M_n%p{c1f-e2i4!(wy?oryl{67H;?0r)RjqJN;-Nk|5|z+=TqN;Lp%j*+j~JQn2yR zs|>Z^mc5S@LG4nT*GiS0*_ekLd>`J~W*N($&V@d03-8FL{M*gDi2Rp~@(OU!EnuYgXpygx*Bco!Ulh(G%j1Jfifx_fXa?a+_`gaW*PY33&Pf`F=&<*cEQO zSX>zj@;P!!IRFd)mr=zFdvK`Dor265CqX$_q6ocuLWoxI9W$LZD5wV@G zt|K&!krI&Pr0Y9P(({S*VSTRX`GVcZ#G}Rd*Xe7RSX70`5Q86(GtGoKWRntN9NrdC zv<*bg;uvsH;N1u1SeM8UtAd4O+{lh0xCMheOrmNcw$%$n$A(hY{g&TBZYpUOW#oVD z!N4v(GD?N)H8CDAT$_xUo(}264OpB_`b8c}_~63kRM|vRZ(^ygB$2(+i=14nG+o|e z+YozI>l3mRX`P?S57QF4_oRf`mGGmS zexdPEoz_p$tdB^1Uw&%A02nD{16vD$O&0MNJ1IUhx0T0F0F^MRW`G#%ZYbvRDHZS; zS^{2Y@vvvr4r%}m*DLD3!b zbOWNox3`ufCJU?)*0l8#Drij-b0~=%8TXmOvk)E%xu@|4i^vAdf$1Y`0k0xs6y2#t z|J7U}p+zpy9`!H~5A~dia?B;5UyZDeR`y1qMdaWvh5?kge1U@7|H4ZNI4-cI=zWtJ zSec!++2QA5z=^*v)NosRwm^h(Y^|9LS5n2Jq!85ocTSDw?;NSJ)$Vbhmuc}c1WDAt zUcgs_6zW0=ES}80qoic{wvL%WZ6%RyClazX9Yd$+H+>&Y5JTsrwZYMy&XevUOtdXW zq`$i4>pJ$jjlaBbT$Nh6pugn+N?pL$YzbIClEJ~i5UwEu#QvXX1t8TPrUVf$Nn4yt zamCwYqDWj8DxEYWig;Ih@T1;O?NI!@O1nF8k`kJl%JDVVs-`F0%kFkR4$45B63fne z4J`a;3fn6a; z3*S%_{8!|*F21YXCxAeBe==6_sEO`BcxB9ZuvHpwaB#X%fe?HubLOa*igLpaxnW%l z5nyopvs2hf$>r1KkDL%Bu`sz6M8jXsYsHnn5I8cOnMi#4V*vDW+%RaFifo+DC|FOy zV$4%XvF|!t0%U>1knZ-fn4&zOTGQK!Os56H&#RSws|hZ5G1+tavSG4_RIw8_)$&%& z45MH3VI$E48dP*)1bpazlN`X<#-osgNh>OONj4gHhs-2>-O_Ve-o>`i!_rST2 zo~!COjJkwkmST9EvQr)+t6OI?)=}BHs!m2n3DIYOL62xHB}Y$Vhy|V991n!7Y!q*& zafBh-`6dE|6p{MKpk!sp3OKRyVB z&R{qGpo&f_Rys!Sz&K}=`_z37;K3u-_^~VjI>IpiD47L8+t|rBK!CPXf#}X;Qd#mA*;p`eTL~z%!FU6V)BNo&j zKG>4}eM514AgO27A2bh*H;Q9#s)Gczg0QN}*)KxljF6rMt!znskW5A?_>2(?tDLQd z$m^Xvo9n=_rpk9X{nMHa2XbEx;cedc2{Rv()T?zYqQDFqzRTciZu{7-YUOACC8*b} z6PxHZjV|mM0vDM^pHp%Sj&s^AsI5G482dFvyu$7KPiZ_)vEh93W!|$jVMqkzJa-HK zY5(+HyEOqXdqpZJ&WPsB^p3~)UaG2!mfDu|ca%eDq7ee>-f>u=>1udz$(}7K;A@>; ztm&$F8jQ!7fku9&kj~a9cBTXVunyPhI|gG0b@?S?Jeec}FWh$$TQg|{Sq2RXnwom7pqGsAJ?g3k| zAnHY5tQr)wrWSPx_>A{~)%i37rl^SnhUyaIoN{F~srMxHx?z?jpg5zJ_dIOh$m{>; z#@}Bv82iyD<-+06EMMz3T}4d)wP$Pe^R%Pe6!ocRq1$xas1^n?Z?NsTggYJqnTc>5 z?%rs^bMpp;sojlTThh5E<8`rd&J|@6z7Y@~db zAtVczp$Ym@SH{Xh6|jkd7J;((JyJIzxkRL!B3XTtcPkEy`>qUv$Lrujo8$B#R^zc7 z=Er=1m^KW(F2nB3ZXt2$_QO&1T|v<9*~6aY?kvao0rDGjco!JGrge9wh@;6!_T8j+ z1B~MH!D20Ghza@04JyAjn`TNZ*e-mF^1GHBAcr7K3 zy^;TX2MHSqUEjN@ZVy_c1UsGDI$ucKU59iCV&%piSK;YO{xeE&q@a6bXT9 zP8;(W2i!*EI|+>>?t5LR%!`G0cvHn@T!|}mAYTunJ@avMg81GsOP5oHQ^uwW%is)f zHDfBFf8EIn!cmTdf$nyIq3gQZQk2lKw5-82i5HN^oLd#9>zBlZ)_aQI$=LR~*ia;v z9JMjmn<*}!ZANhj6!BCuUa#{ETt}z`$lbcSVaCTzpu1R{dnuNc@8GdB;CgrX;MUNC z2-|8fM_C4}L-e?;#>#Y`ciA%QHRHsDM4T}Bsq@Ehem{gr29Bb)ee6EpJyjF`)G9Zr zd;{xpR+8Whfk59^lAt^u#PmI!VpNNMnwQLKGKU3T$rKs5s_vvha5@2{pH&V8vpWox z{Uo*=_xKCuY|GKG!s@dd{`OYrk<#7-) z`HH-*ZKw`k{ezIGq|6YobMUU)468aNOvK3Zb^xfk($ObG{7VOMlf~t|vTnI7)DE($ zeU}=gT~OoWo(ZQO#dWKd@Hnc9!*r04^~RjJT9hDP0EY8l8#nyDqq#dJVv5Q^GVjC` ziK|?;H}it`j-y?K{Y9Whv+t6V<#kVXyNOY4jA#?hlLj!o@dfyh1^txK(TtTo%tkh? z3hB}@1iDh_2Df*a^jw$#5fKtX9kv6b!?drw_a~!qcLl@4^uP%t9ci2-z=A&9m~iSv zPkx?hl`uE>8|DIrOAN+6T6|lOw$cl|z+m)qoIDxF(CKB~}ptQ(_6^_wB<=DEbqmgTpS`LG=Oed-V6{%D*ZLA@~ux~dP;i3sXU zWs{PLFhQ+X+Y%sU*KQf3J<5liGOx6nuN64ljcoWuU=jaP`6wrcI-*)3?JcB?jQ zE`dKpP#NTkpdkFOQL-piSuo@gdqYa@At1=3}XSW zi4r^SeMbF(=4<2UBaizC%5=i zU<>CE+1BYhV;S-5n@e!7xpF^3yA2HGtSf&KjqCeRu}^rm1(dYK8v1}lZrD(S`q!}) zTINC-ga^cX9R4c+8ly7@lDQI*$2BZ<`tNuy#pkXRlPKYgN~~U`6OjPuD*dXd98FH& zA2k+kKh{s=$xpWfnz-3*-FGYZMt|myp(r%)h!k&|aKDQe>^G#nlGH-z7Ub@_?S{`M zBOw%YKTw^`E%`9~@D1UDd=Tv7oO?gl-`q?UuaOStmMM(hASLbFCA7 zR?T4CxuRyHKlwA>m$wMyMnl-8F!KS`dvW=kZ-K5=9*coG`sN(tk$fL4j)}0WbR$;5 zkw`(y1X`BQla4aCEFCkiQVK&hg#?$$N;r&uuB!hOM)#EWWe>a6;t2g>uwSkKfAF!| zTsxbcF2;00e!B!8IzX}-&aQ(_O(!0)zX!(Voq{QrnclGoxl^gfoU+w-r_VJ2i_0us z7IRn8PT`ZRm-!6`Ko(kOPpIbEp$qNydLVd{0RDGw`4Tz(@j_!k^fvV~{`oYr@GZW~ zEjvR)?4xK;p=MA#C{B4QqdG7SM9>u*GZ}Q7I&%t|cqMwHNa3x@eMIkgtR3eWctro4 zIH5~(KvxHH8oFb6-S;T^JTxX-s#=@(B@X1Y&^Cv6i~q=ICiWk}8-fT6X`=Qmex4lV z-=H`UQ9aqG13E4kmg&*BthQEK5JT)E`o>L{HLUOv-TZ!f;O2nNuI=M@&6f)d`kW`( zF{*rMZwRSmLS1u-ph0@Up}{fP6$S{U;-B$hE8|s&!OI0|S59<; zy&GBhceAl)f;Y8yt3i#`kE-x@WDPn13IY&_0LlSkL&MZc;V;-XVu}yKCTOR zB#Zxd@TvN+Tz5S7@#iWl)K}}}(3Y5IXlxko8fYd^$oe|!+W=p0g1)Gc;NFnDfvmAo zDS>C>!-!j^8hp3-ekT`gRuZ@Pt%1|{__z2*Pb*KrIM7N;+`rsMQL&PS;j!US)B^vI z8%oQ5vwQs8RB)uJCENqbD=V1rhH+&Ye_s?|emssRc_o0XzbcMX@x7K&D8HMjb3ULG z_$DrNvY7~?nWCeVJRO%k@azB)=Nc_Pg3v=ZeaIwlo7)2ihsR{)E^0bo2;RcBYYwI0 z121fmfV(D%#7rK3QCEf2Wjc)fjo@8*4;|bIpIjFs4y|4;c+lgICC7(TuxM-Hc-#;p z9!iZ5rv{%8f0{1jg8Q;YT9b2*m$cWkGP5Bmy~{Cyd|Y$Rju`GsY1Dg*3;SaD;35nZoRLAuK6f z*6P#qHwuV@6@j-58zN0Nx10^=SV|K+DVV=NQ65UdX0SN3v+%A>GIEeVo>kvO2QqPxH7jmy@ywf#&Tn9D}g zAl7pWjWA73SD@Iw4xgxSA?I)N2PPq9qE_01#fW`sNNE#1uI(=3X1;Fi8@1glJJcTl z$C8Z`|DgpKil>ghN8v&yoDrunj>8Apgc%W^?tf_NTDUU}pl5#U_eH!bw9>I5KTv^1 zl$0O3%rg-+ep`d7W4v~p9!e#4VvSy0iMxxNpPlTv5Kb%~4}P7&?Yre-?59A20QHRZ z$M1LDiqoy=47cCEsym`zIgl;rKKp|BBIHP@ymc zyjZeE5nHl;^0bO_n+HmBNr$zNA7J&nM9WBl^QN?v5CwzAS|L9ZxL#o%wh%4HP)f;S zuK@z0MC#~VWY(EV*;&_kUBaV#@`uX$<=d|$KR8)Yr&b;*1{HP_{~(FJSvICuSl|Nr z9;@R7Xjm@XW$E8^A{A9chtNS^81(2QewVqItneEaQTvixAB9i}8`Z5jyohVbHHMH1 z`~GCsv1Y|nepU@kk=FjDt+Gne>vj&Z-&an=VFoR{4`Avh-%jWsWT4buLCo4}g9*8K zVcdjrm(ix-n1vAJ`2*gNN)@4kX{(p7sD_nsfsJgUv?&r9fZ(<%d>&BUS5yLDVBu%( z7e)!yerxHYoQj^6mEvt*O7{Mpqpv(~r6DDJ>v&4t0B70vI@k&`%aV~4Ye*mTURFZw z>datY16>wC9R*$wwIP@x_^kxT07~qv8nOkf$oOcLhOl9#wb4%POVQU|={Wg|k&5%S(ROCBp;D1m2<5!?-g>*+6HVyPkzwpPg zHK{0LQyl$C-S_z&R4A_~h3eV2hQ^;BLVsuRxbwjzu&E<9OkhJE+e6PQ^HW@q)%ka{ zl1g-=x%%PfOS}7tczaH=#n)T^0>qBxZH4I|lSc=x5nGn$SbYtrm*s|X;>{tlY`wZR zMAQJ_m$J*WKMA0w8LzS#-*T?#_4;cDAAT$RjBoxkgw(a;L6@Hq`A?i%7@@so*E}CPB-X#$6}a8vjLfci znH8?xaq*%L#49&0z4UG|Wa?985uif~X(Peu<11(&-}nYv;GSpHM)(lq;bpxNmTrbp zvunvyS?+Z-aKjSN1(1Ym+S~}#BVp^=a}G0(f=B!WI+a5gd@}wGae!Uy+b!Dx%$r`4 z3EErNuH@G$(N9bI;#iz?x4w*r+Wi1Tml_N84SN9oDE4+##p{7x(&Kdl(|s8^6%0*tr<4(>PgR9nawDRCl~Vz*|9{aq}J zf?4LZw0;SWUBg1Sx^01Sd|gUnb+Qqio^Yn^ygO_N)tN^)*@!zE!&XBGm`8@92`I?g+rmfiT znODp*z2Bpr^i35z*_LVeE}`Iky5fSy9Cqo8Yga;6;eZ%11$n5%mhhJ6-j(@8d?}%! zj%Lhl3{>WE{0c&Gq;irPl8w9hg=?ICq&8=0Z(34x9`~y}@GSsfS zsR@>sQx`*(J%`$%<0Gh)f?17H;%eKlGy>UACmf1mT+PvlpZ+g6HS?Fph;s6e zR4S%27?5Rn`dy>L`p3v>I?DG^H`V^kW|>aUWBTHEn|a++Yy;(80T1WM#=>fU-pBbl zN*O(FD@%oC`nMlr@sbyGZ3$Gb7MEv#3%S%91gGT;Y(4yCC~-m|yo;D|Q+{91Ja3W* zc@V}r?Dg=>^4fAE8^J*OV&JXE=S~QM82lFCQZ?VY_I8}Sqx$vIKx2l}&BVyM!-7ke3kTBLiz4E(>9f|*5!XsntQ+UE|czNwDV#kXV0Z%;!dOfE>AX&PIOe}GQfc>uyBC? z88*}?rxrJ>4@m~=0Ykfgc!ElY=_JRK9xAee6ksjaEM;XlG^bi!I+O}}|MgqLwjniW zWSxUsFNQt7Pfvwl7s#Y;Jb0*nR$=DMc1Ibw>4F!*&RmHHasOtcn460eGC{L#D;MjW z*I4DW$Klh0P=?jifsFFGGxLVgmI{1GrupX_9t%1FD3Zo3%Qo$qgBqz^;qEe^pB&4!Z~}}kxq&I15}Bo{3~RE$*zX(bwEBXu zE+xm7W$Luq9n#IT?Am#~BHM(_)oJO)%Y8_d&fMMv*jni2<3j-ZPp_t7?HFt|k`Y;8 z1eUlG1J%|zZIe&$hE|P665WOb8dxS_GH)4RpHE7HMyM-d@YAav5ht-!{26Hya4x&CAf~yfth3^A}$NIhUrP1Hz z1bL>=%!YvgVJ4H(=5v=}P}T?5^7VYr+| z49-dRkH9nG+Ly0$v&wX&6rCH+ui)>gsmJypqS_8cAu7VFfHKzyv~B&f^6nOIQ0 z^wu*HDZ@E1vjCm`CGI0dt=ohNGCj|8Mz`oE1$*IJqPF0ndOiAx;l^84#RT@S?CrlV zO&B2cmtOnc>Yk99k~sPzjz%a?vsG67gj_Zo%%{Gbax1p(C9@-4U-li_eN4U=YRsY(TTP*^2aL} zqI3l>KH9Y3Hk4wFegE+AvO+?a@ns2j68Ug+)+`t$LAmwG)2x|V#1&>`#T(zNaJdPM zVK%EU>US}nFE`=8xQ9$0&`q4WOlbOQ#yO zkn=@^69Zg_|3l~lX|{_CiV3YdCY}*75k%13Vta0AWvy{ElD2lSQHZ`yq4jHG*tjOqr5c>Y5zB;|G} zFk(O@V;Y<)x>z*n#8AS=+wxQlOG?AG{(8yaB~9SoZR!DD@bYj03fG@ReQ$&;I$j1l zx7sEBOgKb<^z%rqI@l5!{>CK%q51!Fp-Ym!F^Vt(%!+qr;;fTUBwM)-#||-%A`@&w z?+~Nv)R@wAW?eBSSpsD)FHVHzzOrJzFN3WBiOH_mT};f?*HS8Y-|4WY@cEU_Oufom z5(BlBMgh*Lqk#gtrbdJg35dR!R|8`m-;{#AuRo|GPPZXXM`opyjIx@59tN3Tr^KkB zWq~ZZKyAeT^1rsH;gr_qGOMC--Nsw43g^)JWXuUd6rVy=#aYaAE96|V4Fh1jc^X1_ zSgp#dYtk;O+0&tWJ9uYi1|P#=o-juZLZQNC>s?+Y0xo)U$|}5tsaN!`B@)zh+KHep zDV~6sdFAy33!Z{a+*5XtbIZHX>#P1+7rxF1Tx;Q{zU4w}?NcEcIuadI4U z89VSGrbCDRCv`D?nMLm-`_QVy=QyTW;S!4Q&eL8W##w45h@i8JNLYJPDk8mt_jen| zmeU0?6FlvXjer*`gC+HO|G(x+IS)v)+v6(&w6^#O2*^EHu%>K~#z!%$o!cMGd*hQU zP$0=FSX{VC8Yg*GwawMA5z_rJ zdL*i=>vzIk@goHF+6N3_-C9H(Gqw?1uAoma`;cpOLJNCci>nTvTs{fB>}p9!E+PPg zsqfHy@G{Um*|=VXZH!GZ7&dHx#Xr={Uh0=wTpsZ1a-DR&+e(F_l&%kK37oW2=9D8_ zeTyU?_`Oz1J`gs>Faz|84{EK9#1er2f{dC=GiEJGri?(KIC;c5?R%_v39LszjR|hq zH?t2D^(J|Wy+bloe7uyeF$%b~PwQi335aX}4vfsImjynAI@q&ebJ}_%M`mnL z^b?)?D#+{0YB2pbSC0sjW-|n>+A0$9`?|8WKb+3zEA1wwJ&B<{H?rSe$bMeonIQEWHoNYC62Hf~j`n@HP#ny6ldLs+zX7T>aqIt8Cu%iVXS{N$+f4AM>GD6_ zW(0}afQr~4)Y-;?jc*3cEh!1H!8Iny-*~d;B<|}_Pjo(&oRjV#2sD}cS6hwFl(s&@ z8#l0ypGmK9Ns#M>Wy2A56;;-Pk0xw^^ZQ7d6~mmy>MzB?gAR{DmBAoo$j9+vMR<4s zbdt3KRvaup6>T+x-|}z0qp|l&tx1c~X{}hF_Mk$s%P&LSW~-%v=xuVO)LIjvH?^}D zIEIwd)a;ApCe6RS@hJPRR=K?1Va`jfa`ic7UY#lq)+OQ0T}!XjV>LrH<^bnL$EG*Y zvj#kl=pKh<=XtdzYIzm+mgmK!!}Xw>Aa9N^BQ1pygT>zXBE^K%_u%GoNaw2?YhD-G(VZ{|Y zY_?qn%{PwZ7MpMjC8ytqgw&V>XzSU*b+T@Ot!DCJbMwLnPBA)-)I-DSZyhh$-MM2Si&WtiN=cH?TzNL8gST&vJ5IC-v=;JWB ziShGP&eeT|-*(ggLhsA0!ae!aV&MQ_ymFaawrvxnC@emGfm4O~tP=1wDEhfxF}(*q z`i*4PgXc0UBRr6Ul}qW&S%E#bAKd!vM6)`HvlXrk+=QwTx^tuG!OwHm2F>So9f!?2 z_gE~gWHQ4h%?Lw$qhG6oqM_UlBXHL9&%^}<)R?R^^@djmp^_|R_g$^lb1t_3k0MdV zAHrqf9JqHJ;Xpxqpl1j5=ll{8Qt-l4u#mY_R4KdA^(RyS&Hj+Z4vUj zEBDp+Dpt!Lp4h{b$_9-ZOA}itR&rm49p!>{CrFnzhC(>44I!JICLg3VN4grXp6ZH6 zk}VsG<_LUn)PE<7%p0#t>iXnC2YJqJ!#~YMJp5t6!a!s8#x{%eQ!rR{s;%w>wL{Aw zCzlidO?K&wP<7pg@zjui`{JwOzqa?^Ad^{(filsG%K}-JsV;(2qjX&E4#Y1IRI_87 zDyf8_jKsm4i)g(W7_v@5wvx*_EyK~TY21lbUvY=O@+Mt828-X~Qa|V~>ar*8#MsC& z-oac&{9dQM(WpV9`&?ax!pfBhiezj&7_5Z4jgqeHb{dPdx^fvPEZ~1I2nmmtY#20F zp4^ux@29a>9-oPnqrn#W5wF)wfCMjO)@7D!)i{8`NJ@H(Hq$@DMbD&52;?ln!R|td z$g$ef#j%+$n&GaH!J*GBZkzfPq4&Xli31&j;SskNLRJa*s*?d zo~ACPCNIIl6&uP_SzocU>o%;6c`)wF?T;L+bGuRY74rLC={8Dd4sn4%sL_S~T{!pA z0&1AC(k1mt$e)o_Gb@qO;45e$Y9KO|A6UjsO)ZWS&;BQyq)11HD0cB#G9u{yVd_!q z$A@YR*)f~sH#a}pg_#st5JCZbi8%A&V$#5<6-&!Kl~|nBKKq@<-0eb|->%bJU#V_> zX8HL9KcC>$7(r*DuJ=n_r;%GXGSV;j_W7?lCe_e}^my6zcFKP&*jPJi8VKuC9y`vF zb-9bj8NYW|44vef!F}}hssrcLSqBlyn%n2@ZPq)pC%_Qx4(pvL&>w8~=Ft z9qCWWY8gvGSd-^l5KJhJht7BeKH;H(@jH6!5(wZ|@v8Y4UDwt!(Lxw*+svX+Pi|F^ z&cOr^x)k7|k1lL+4sQ8%RK|t_dftXt}-*Yf(Q31&*_>{s*E35i&uZqhJC+_mEYc3m#??+p(vcfkNdh>lV^Jz-Xh!S!dd>H z_=F6DF3W(bp?V~kJQTdr^Uj6XeToyCS7)fx5FCP8 zJB&z45G`Q+)>yh~L97#vFT*2|3M-@LN zeUTsJSAUsqPkLuaiqN)r*AHI)rJf9T@$J;9PcTLHsUZExZtj7KX%uKQu5fi8idUqL z=`->lG-OV|HtFDeIreJ95`nCtO`}4ockhQ=b?1q{Fnb5FsL}Rkx$O;KGZPU~G4(BQ zwHY4>8HXWfYt@8sm*3JzCJM~%#dQ>W68dS3Dv#i{#8Og#|9-wBxniucsyslNAo^FP ztBEj*@@68?)8rQIe22Ozq}7|`3~NoMBj5{?STWTC`&R${8qGNFDbj4x_zCgH4=y3! z8Q^?WFmw%WJ1>#b()T9OD=G+)e@|q`CbbAkp@J$}s!8@x0VIEmko%;J)2>ghx5RPT z1HZNGJrkAu!Ppv*cgCbl68g}HM&WG0Ttbwwb!zcmZcIT;+h0+gZFeYkS<%~ObTq;(q)@^(U8|wl{L@9&D z!49=Wld%?ZZ59-FCIz1KAN5blzHS)k!ZZjI2$HQ^=O)!G;{=S0(&jbR%OUKpod)vZ z4x7_|>r8KmaxcH0cZUCI+q(PSswzAivTO51T_41%Rnkw>EAqVS}b9^P`Tdma>O39-VWh-w0n3nl%tS}9v}X&jj;TpLPzpof<|^Tc4_ld~kA zc{gZyVN2p4LcV>0#SH9HEM6>aKKBX!{nw;ZR7?&1*Pt_b%_aD@i>dz& zld=ovDkKx}Y^WLPaFN(!-*}Ke+Yo!8=#1*ma_Gq)pM)xA(SXHsBfoNCsh0t&-3-NZ zAydrqYXcmaaw3@ut6}w}p45HE90qqlTh9x^a5nx8A~7(|%WCY{1W}kr$!KkN@^TR~x~ZHVL0QTdT(tuGWhDKJwhuM{1q43W+6k zBm2wi8T(K1RCVyj=vYfC?0gv{WB1!BP@6I43f#U1qc_g_*J~<;X(z&SUoo`mj6 z*$$X{sKdwm4+P_u5OMx)=a6t)U9_>!s(PImQw{}u3>wUQ2sWag$Zkqo=>W&mdZ!jq zeNfU~+jgls?q&w67f3Cu&e4Im!F9xr3O`WsZdOfIwWF#(2B2+v2r#JHRk~=#FZ5m!9B@O+c^1oRG+igElxQg-SMS5?_2AUc3rs9AJ zf`w3+#_t&{eX?@^JmZ8XX`6FW7H6(!%bd8LRlzDBksoxRPsmJsBM*}nx@E;+o^;$q zNMin`t-ONiP>J47Xu1^UM-(CKf=(9Qm2|cor+ycybL7kpRNoqUEZSFffJ) zL@UJDG)r@dsh=<~zf*6RGFmUaS)U8QRubbvv z!en|=d=SMl+WEZckp{-`Vr>A3llw)RQfWn27_% zFA(rVgfaFlgG7p~_G{TkmFKx})`@)+5?%FO-^oX(qUw zbAT(=l=&gH37hLA#mAG9+K4MRXa_R+TKHX5qt!~p{^;@c zH(F%)V${CKyT5Xk5W$T}&i3}A&a5b#q$jmBNy!;MBe-K*+_u=hd_auU04JhaegmPz zq6B6nE%~pE%~~v|>`F!;Ry$g;1>%LRK_3`*<>|41=XJjKUDQSqz}d7l&LK&kM&el1 z!(zc(c_XzUgiN_5DXzc^VsOngcIoKP zXE&&tMr-%L9pQztl%mP2?W+1nSR$Dx(eBxN7Q%-N5a&=Zqh0z(+j13r?Js8ouk&$N zL}J+X^!39dK0;%|G=G#s?KCbNrjvKi9rSW1E^^PPq&_nWNFCp?P<#3RzJPEdw}FEM z%gZ|fx_>m&81xXwA+hXnwf_a)P=H^Z^)X6IWnvJG(NTP{%b7;LmqQj9qnY1BBI#rAqXVK_GfQb6ySMuYMc1`qpl3?}ykknK6>x5Zi#v%9zvk^ygPcSfD=7$0uftUcYd_ z?1Qp=OJBDCi^Y@E$8)%>}*Q&cE60J<9TX;k=BH>vYM$e_C~+^=^Vcno9B8~e`9x2SfW9)z70&2Jgo6_@ zot$~)^G>!@V>Th$MO#0aWLKrVOCT!oRYv^6Kq=2A?V-!T*dXgH?RR*}K(_QNMLgth zXc(E3n#T>TMv|x((Oe$|TrNU|IuFu`a`(pvgL3Oj2My>~x7iO$<{!zIQLaJL&)*q3 z3BR&>vU#Xtiom4N*oIXtiW$avDpXxmm5WyK2v9j8E%m7G zd@*Vw2pQ@BiEpO$>%QrO_Lj*?biD`T@@Np_`x_6`YRCk&QJNrKW{!p&&V91Jf_8$1 zXsu+7!J8n08p={}JK0k1X2mfD`l?IwndIXPN&P0m+U(VTw4+E;_(g~L!9X(z+75!X znqG5{^;*}^uW>CY!-(DRG|xCIK@V5EgqXA#6wBxY##=XFqE{>_F8JrcJ6npz#7o~e zEIf5SR}aFC5>)qc>g76>BA#4ywIE3+tlv!{w5A|I=D5V8;M9Tj&Rqg5CpS-|u`CW#UXnHp$l5Y-<}h!Aio7#uL$XIv}F-w2jYVHg}*u=Vl`0yT6X+ zHMv1E*q2zmc2OUkd1?7(Wrhisg@4wGUsFYR*D0hkE2{9sZKqlA^khRq ziA!FYi8$J{I?|uWIi*IQbRONX`nZLl-O}-mAc+Bh^i6&u5}`i0-d~|tmGpc#VYkdj!U991( zIKDhB)oMS{KwjCr5~eSj2I_rVzV$rv3CQ5|_nvV7X!eL}h+{IJ zqQ}!CR>m^U(r*7h0F^*$zo2@APQS(BTB6)eaw>dQTX zP~hJFShxF0aN7C>5*WOaVv5oTsd}+hb317 z>e7&45gQ`<2uV~Sxcv|X`ix{f(hp7En14;o6r`7Bi*khXGwO3vO16%Og&NWxqg!9v z8k)-~0ZP`cNmwYB8jPP~Sww#+u+-W9M^F!cSAjct&l@6I`CP+_?e=*`1pJhC=gkKa zoS~tm0*c+G0T$*hb=&hCFQTTx`EWP8AU9<%8KKx_ zzCbwzvDS7j>22Q|<@)3LLZ&9qb$!6k;naE9)vB~Z|FL-{)-lz(o3|Gg^Pj{EnSuP_ z)(d5Mc%0~&Y<-b^B35N&`S_qafda@OktZ4>Se&Pm27OQQ+Oo6opjUA^V3>t*xE7j_eao8{F+2gf08T<*EReHb;3* zFV1X|ivqk;d(KWg<0!G!Zhzl}SivDyN*D{xjDvEB`d(b-PHangjWav533LgW2d?e1 z#jv8O@tFlf1CH)p%tx@^JHT^9u8Y?I029@T!BMQ`nJvI3wn63)Ydo^n&FlaJzSNn* zUb6P8*Dj2+!vMNr>=!RgM2Lm~Vx!Ozdu^nSthHwe=BJr;IdmDxa(_~PiuSc3-GS>! zZWOIhHGY*j*+$x1w>n>$Z7X8!N0gLr*Sa|vs9ndf7RWeJ{M*#A#(^u`K7!@&Vmv{- zW;Hn^&y3gWrGgXBt>ZwkG_7NmEvK73+FGxQEAQDg zt!3Pi#uM$mh@lWKIqFB5If!`Ol)^WGZYmQy0j45#`dB};a{>8Peviw*)ET%Q#p@c2 znfoBiN}&YQx3cd^n&vtKSK(b0btbd9$sLf|!kkI<7&FZq@ zt43PyHOz#9V&~$Ojw!qMB6C`z6i`mX%)AK97H>G`h#B98n_T7xd9vfxj&-zT5ZB%T z@oK?}sCwKl?Fd3GtKIlsSrAMBh_GBs_{yq!nGu$_=M5D;#Onmq3CFi1(onb^DS*bG zr$Y9@j%P_FTz@=Lyi^VLYg;cELB$J(QtZRpyI>|DPOkUn+Itr-_NaOI--}qwl{HF! zw<8tA?u*V<$X@NhLlDTgkL}=CE=g^**OW)Kc|aK`IWGxW4=!lGRf%?k=8BMzH6PVP54i!_nw)=4cI7jP{q6mU>uh7p|y9-)IAUE@EJjd#&BI=;5`og4Pn>zyq zN2QK1o2GX=qMo$99PWvz{0d!zb05?s1u58lZhWt*TvvhhVP;i$+q4cT(16WMI!L>e z=%!LEn14C54kLU3;HGAtg|%Mz6-|hloq=Sa^_>IY=65@kJmDMQC}vKFt9}Z9Hb_I5 z!I1~S?FD5CRy!B$ka~Q@1xs_3l<;|+Ml*M0E|nwq6tsukj_oby3v9sDXFzAly$qAi zaKBeCvxp4#e4uo){D5+)R_KJ0%2*D8V|L#oNPk!mK7gp3=*;_7%)%L<85C4IchJ@(34%tSi<3865KMy%tN?Qd04FP{zDECW1&Q*~2N?^`+BF%L z+p{yzc7eRhD9G*1yl}*e7aPeGRyYZM7>TAH%_XD3JHht?zIf@|mP;?_64L7hra5t8Pe-Bz*{^0Q_WD zeZE0?kYMi)Pj|8QmPOq|aGHOP0$I}})~yDjeTmZ@?jHMnMR>J{@d%@^_9D3k(2g8p zC$Upi-`M_V?Z}{);2GHU_V=R3u&DVA{eQIITe1c%LrrstzVPiy5Dtn&*u0^JuFMZ{ zXDj~=2}pu4vltGnJycQaCeq97y$r}9?Z~!V?eMH+RX4EYyB#5}qJD`=MkV(Xth_Xo54gekr=11wg zjYJ2i9BpU)e76S@uZ0Z)8p5?_4Z=sfm?01nFRpz2>9(B35bz3+0i>N6i6M>_WY~zf zQZ1(v*v+%47y}JHx^}td3a|(av40J4&rN@C^_6)1dFt( zD^SPs4qmDa5M82<6<`Xlc38!m$txh4JzhEJ6{p5S!w6W%zJ-EAY$?LN0Nm@)OE{JjGl&G;LV^W?g3cI{5U7)m`s z1cw_^Uu#(l3}Hi#oh(3_u?9_5goW&+2}F`;Fmr-_)$I3EpwbXEQ+JRvopo6DSqwvN zxV;y#HX^1@gYcTDY9!EPbbm4t|7HBVOHe4-hauu35K(ftXqibq+~cH6Pt^R%;9h&Z zkijY9F)`=3_f=!S0!bpMmcxizZ(WjT5cFh0j}5^UQa2D-ABJ!arB>=WMg$8uM9@&Q zo**I?HvfT_GfHvODgs6nngV^e#?~PBdp|>A5acKkkx5B7faqLoOmhw6J@XM zIjGzy_25!8WKN8~NYVt#4o4eL8C55q~INe6Iv>~-PID#=!e z*tT|Tb5`G=#wDBQs=2+$5l@Dk98h1G$4S?1H)MDS8Tx#b%zxSI4r{B_(L?l~JwM`l zlK0ZW8A5(!2vlvib4u5*Mxmgxb+UT_Mok@0Ox2Kw!6h{rB#tfKGrp}rbrF~L_kJRd zQuMouJCE2tl1$N%Eou815)h9Q^#-Eb|0o5|#0 zV*&go&lxc}6NNWYWs_I`%e8#QSqA~VzO-!A5H?5pW2EXEGBPJ=Za0vX! z>Erv9i#*skfi5HWY;lz}A{Q3rd$~`Ccu(_?v>j~BDiNUkxS9upB_lwYmuOYU0~>1v zSL;wYRw}sfb{r%zBO|`Rkm_M>Q?v6JhI9>=zXbVr6jd?AV2=5c_HOqqQZ}c`At$= zA>=~|(RqVc*7z7wyr+KGaQuw~R*@$;dw+j#K&6Hta$2<{qZ-z|3i z_mk*fND$lYiOmpS**sEa*S2;uuWM#7q? zAsjWdKOZr}g1C#bX4A+>VqztunKoc^*jT0h9kthF&j$eK8h^6_F(X0Kk!b`N+a70* z3fY0MU=w$_F146V0Fb}06_`NV{4q6HHv=+ci*o~6YhwL_9%193sDZ6!if-2d3X*Ab1aiK2&VLka zNh1Dn(rdKeL&y^EFjzs`?Fs5VAGb&w%$|elGU7Gv7PO2wqab2lNjY0CA*y&Lu_`AN z464>nGCi|Fy3P8s`c5f4DPCa6-@(4OwPn^oysev`BIX4IGuu8SLQr)Z`6<>8`#rOF zSUa|O35f8eh65|m){%?eGdo3nfPej7u5K^a0i>I~F381GuN-Fu?0tpkL%9~AKe*pl zf!f10YGyIU`$&3S8iF?p+gJ5^L$4pU4{6%;{@9fvkc%qirb%R{Vtq^zsG|@ zGev$6)8anA{9)ovfRwT4Z6b(Jy~f1gqFXP4#v%m+ZXR3rs5!-`5Ik(%k$+eyUJqa! z+x~=uK)kfG8U0 zrx;GB>3*+jRDu7axaS8c?+zG@Ev_R*Tgt}+Zzp#@{wd-p+=R*Ip=<6f)Rtn;2X3o) z$r~5j+w%;_I}!Uy4@rByM1PS*J3KGd@tG*e5ioa5+lPQcW3h@$dhBtcq`_3PMo3op zgJi5Ig{2^X8Xv&xBzGR_`LKP0%~#Y6GIx~A9zk*Eon z1>C)c+?1j1CD}M3D+DovaK4w_BU!`);&K2Vv3my~K+>N5?-x{EV}D@dJ^!d~BJ)7k zp5>w<$U=fYQEJY_QE0}3MBNZf$$Tw*JAAe zcvJHZ(p6VGVlf<%YWWKxP~Mep*2GiMj28K z-iEox-M^Ky%b1UGV2Sa8CdpZVZZdNU*u|82C;*SJ_Nektg;d&1?m}{)@aHjiG$6N2 z)DxgS%sc|NqtOn4fVcY^u$+qdfWW;yKXlr&L>-{gqCLMN7g{kNU~Jg!$a3U)LvDxt zz970u)Om#G+J8KUh3SF9N+z#nL}`kdJ;hz=V8V?pNjen;Kb_o*ls;`wmeUOjjX<_? zT(9u~`i+{kQDVx;?SMq;(vGxMlmDk+3IVfXL-}F9MS*5zjL_irEmD-^JWj(3z&@8~ zPihCGwVjJB_bl3@?OU2ob(2l_EH@v(3P|)Ix#SgiY;x^@Q3GkuUPIno|XPGHb@c{43CdVRITB8|ZiLv!XKv9(TVD2i^dzECg z(y}w3B7cWPu{avi7Hyv+`B}z^FpmA++!nS;g{8~n0pRqBTMg)3#;=gcAW@ph5bx0r zMkVcTUop0?%qLJY?Y@RFK+GwCc{4q_0vcP|5eVb4!Z$y zXR!lJE*0qZkK9KHOK|gpRHUd;`lw}U@={QY!GV_<|3P_qiT0Te`wKZibwvl&VDlVt zZGWxofbQCpLY^W_(XB6HIn#H`IJk4KF_jTpqNp6Sv3-t#Cas&xPR^Jn7sAVP50NYgC z6Y39Y(hU+-Hnd29GeUmPh2>T|oYfMALVv<{-C} z{T>CvM<&;{eS*1 z(tMIEVJ}lB?ior3Gcgxkf0cfewI4f+E={I5d`hn+<4 zwU{>?Qu1D+R0DcWV%Rh|5Nutap96EbdIyLX@qVaipvN!5tE)NS5Zk;>p`k=S^TSm0 zN>Gzz`R=3CJNVXvEyXpU$m)0jvVW_|OrnbMq+ZANq1^Eu^o~U1^M*Isye((HVjd{J zY2rs8UMNaZHO!ky-A+I5z%ACO4~)|RjfKRgQ9x2wZP_p2g=;W5@4d&9#i=O$OOj-9 zI$uM?Iq^sO^HcA#;9gLXTQ6=6_2;|SnhAYY?{>YSLIEz$d6KC27U)zTC%5^bY6$k?pr6EHDSZlzK7J_^ zqR&&Q%KIm&zG*ZFVFNx+4!dSv*A~$%`5=Pa)Go4Voep?}sfXQ@eI{MfL4;1xt9UO- zciIp21J`~OstD!n%Fz$*2Y-X$8(vw1adJN?eV$zLe^W89H_>4w<`ebgRvR5#UVYfrA0seUT*?Q<>Nt%Rj(_Ep)PJoEYW537Uqt5i_Y(4^dq3aK<65_ z*LzM%^g}p>{$HS6d_7BOtv()1<}f%2Y&(dFm)LH-}ljc zgU!#>n_Nu*aYPI806iUED$=#pJt6d1S3^p@Io;2hR7X9$|2RK^G)(t6JqLdfVP)Rr zPK}BCdR343XBl|M?FIHE*UJ?o5bW+T<9}EmWKOLLCnvuyTIGkRK=9pJSFK{|I#oAAf z0X{o^GK5W&d#ev9mAz=>jY5a63^qx)u^5x$sI&8cP-co z@Ki+SCJbYGUw_Yu4mSs`+hLHT^NMgelgz22^8nV7tFco?!(JowDSx@#n2BCCcf*() z!26j>o}DKYvUhoG$^yCC{Z1N(_kV~TUE~Cb;E((9)M#gdR3Zu*tITa~>g)MWB#+Jm zevr28mugWWVj_t17Ku#d9|0?LdL@8L=zzL-|4pz4MSt$K1GF0lt3q^Q{l_Z zG7Ge9CKA#h7E@q4A?GAbe#aI!7Ws!@JdJ`NnA+zbdrG#b3G}x(|Es!hNP;VIpJJ~! z_aE82K|f9InwN5pEC5{L8r@11LzwY1I)(N>^_3&;i+NbwNuXe5`aH0*)Qwo#VgA47 zX`xBw9DlH3t{1tnS(NfrxJS^bHKg>`*8A(wf_j+KVqeTnRJGz5dO^6AGX_F6Ym^ZM z^`IXbHh_Foa>%j$8m8|M6&B;C@ROPyKr{4pLfo9X9p{nLf&C5S-izyo;y znSbS@&$qLU<@~hdM10Btu}*!I)AZc2xKX(5x~>!A>_h%1H%|987*^|fUiDs+@B=?T zF+Nmve*+C2_v0v5a2YGACnpZd>uOjC*{>0;hw!YJPA8?#uDBloNzi_{7~&qptE}Sz zd^Yaiqg)myk@9*!3YhmFvk$bm_lbhIqko|;w__x1ZF+uY-WB)$4aLv?O1^#)6^m70 zrp{0c`ehY)rt&i7-Wb2YlPWz56LaPtiv2=XZ$PsWM0OeI#Ci3QqW}^%@DTi1@m)yn zQ~F#Np$8@MZ#2nH(ko+KaYhK802g2X8PFiLFZCMHn3OrR{YCmgtaHp(mxWy|c7G54 z<7LTl^N1R;ud!#zd2)(n-p>cb`5O{8vK2mzXZdMfBaBT;R^ulXaP}~-QKs+E!Y*=f z1k&$R+M6}HVi{A5Pm^x4qzebaaJeBsN&WO~D|ChQ1OmvXu~7HVAb`kSjpye-u}8o|QsPQnJg{2T5AgdaP_1??-|$;mvBHt$liqYv^9{;u(%oyORb-3NLmVm~=PQI4>VIKc=%+$) z7Q|q`)+x7;6?&@?kQnAEsR<+Wx~LnVLaO>%h*Dn0Bcbts1Y&WCiXoER>Lbs8z8vEc zXQ5D*t%TQ4W>@;wvLEh(Z!YeS2QwG!#0Ow|sj_ zfdZ{Bd@jiRndl9xmhzhlC5wp)^5U=DThM5HbDeZsG{xbe{sM!rH;)w3Zq%tJicYg%N1f3WznIGb{ zqyO`U{2h2p7c=Ii$$CWH4?kxB#2pG%3K&bQt2W4P((g+ep3}QUFGwkq#oUB9R-y3H zD&9AuFcn&}oh`&XLcJB54|`6m&n6eU&?_lceti&*Bv6fHjmG$^WX%a(0!y4uwL*pd zqmExZUxpRRtfMb(7k|ugRSRgIHIfYhgwx6_oC99S4+YG`3~qvm4&v0$3MD$fP$ZXu zL$2p11#OSTEZJD=dR~=dF(N$|&yCm?7M9oB&Yu-Oj6jfK*QQ`I?^FqD_fbJZ@<8NIn9X z#R}4qcNk_LF+V93A%@`)+gK3#Jg%`2gU>YXd;fAQCd@Kb%_)SWo+0L4#P}MyH{b6KtUS`O(k&N(6&`8}g%OhVAIW<2AC_iN|JVfKIH+roU2Z+|G}j9n&$`j(4Er*Kj%<_=6! z<%X0Ti@W8PSodMx0a8q%UnSytQ5#Q< zhRxh>VZNgfM^ine&%-6$WK>p?bAx3V`=}1XKFZ=Z2{~l04SjE?2K8dLJvE7v)S<;K zh<`kD@2@kWcQTb6^_;>unD-H?)RlaS>_nlQV5zK7W8K4HYMxg zyG*G>vGs5?uIAh{U!mvWmBM_1)TPn#c*_HPWA1)S5=5U3{b))ISu4J2$(4{xfz&q0 z*(;4jUd`S0?q%M(K$YJ2!XiIQcOUOkR zDerndgP9q62O_2RPvHMzCaqS2ggoy!e=Z4_SNgT=7x3uBe8hJQcNIBZR-l$Lz~K%a z#q>2v)n3fYfcPkca>-#gz|5Poo!d3Cy1>;?b6Ez#D!vPGyL$h2(nBIlD4oZBZhxPS zMK(l@ZdNLy#QB5PZh8~B?91~6-|7BMDa=rmBUbkWj@xjH&&$!GWar;#DZ+cQIHH9< zm!#`ogppW*V>xJiJXFt2c5e4&oTLOd)qlZ_2zPKdJ*WU_7Vh1AM{5`CWu85OGT!a{ z$7k7sexu z5(hF*^mBBTXDAU9`Zd?Dy_Ynxn4bc&$J)#!%JcXpgFfv1uTwjX@F!e*x*sbJ6Sw~mO{02! zW##su8%8v8T~rt>1RJY<0hUA952d23(gkeP=^*l&UT~HrYyhbIZ0C7I;iC0cvu6?> zytwrZBti6u2*;9Ob(4E@WPeSTDy5I~yd5pVEhseH+I`MP_#d{Tam(`~>}k{sy$tF+ zAon>DE-iEpJ>;JcBW`{|mlMB!9-2>^)Ug`S<&#-zF(~pYAho-c0JWsrNcJIDkL<5M z8b#@tW0#aM==u`S?S|9AVbmx}qFkJQp|PqJozlyP)6t$4lkEtv!GG1IT%jhhf|XaD zPSBZo!3d9bdcyGbM}mII>b~h+K+*n)cdvMI(}hc*TERyk;(VOFkgzKBxv^{XlkyEg zOnm(fVMozbgY+a-FXmzD3>q~d`y%^%68owcK_@kcd_FT^KlwL35p8_^q7(X+%3Wx? zI7Bw8-(iIXDd-$@pns<|h@F7aH9(pnVK*L(LBJ6t97_&$l?O zKqpWc@jRGUI&Xk*`FM!HY&OsM@tmH9dH2|d4joKgN-NwsrG%(tG-b_8*Yhb@JtgSH zAS=_HScjCKW4@Y3jS|FK>q56ejrjg!Jk;T};B%@xoIy`Xy?^#te;qm=6S0cBc$3}i z4}H$NJ>OD_ep*&6k(0_T4lxQgpkg`^1>@o|^rU83EpQp*MC!A7AnhRNDVcYb0I~SL z2X)?s&JJ!)M@_wxuRWNYuy}HQC4Zp!=kiH5pY^B#+1gM8HA(>`%`5m&|DzRy0?tuUg}Me=y_RcKFuozf z5`6A2QuQ=ydS2Zrprk7y{|cE)4c;g{0QOV1q}>#ifaWqBieUoXqi!&{cfFpf)c$qI zUjT^neLe&PH<&replAj4FX&0#2Sn961|6}V!;;9@NPoUgD!}%dTalq z_!yktPAfcpv@mZz8TKr!MpK0>-wLq*_zMduv z14ZxWHu3?S41Xuj8A_(UyR|I$kw#R&DZJZGm zNy3=0ccyFxdDIQx12?|Ut>p9)uMNq?+bzU_}nG*F;TBUOA*m%YQkxgV*Hwa=XDaZ5V zoEbI_hjQ#YEAS0yOi4i(XbqK14=)f8{m{yHfVxD*$yxAyPk(& zQ@7Y(U3L`pBE>vio~eMCX!PSrF0%Je-KJ!P>8mHl5fhF0 zC+9itQUAY!lA}qZ^K^)FTO2r+tU98?7f#=L+$9O3BuW*m4#nmYmxt5mHnQkYu)h7f z3`&@2H0it*VjqDDc^LLrEpeXARez#tCfI0EF_4(?qW8rmk(^F%I3$IBa0LYY& zz}3{CS1oBF7_c?wq1_l_#A!uor*UH}Bdq2)w*_=av!doioiWZS=xEd&VqM%Lq%CH< z-_r>BN7Blz|G7b;A5@aNlu49u!YHrc1E;{TL_d;yE_8wrT|Q6A=XunqjDJa4z@+!P zc*$Ij#bl@Q33cPWv{M6$Md!1jL%Cj-(0FwH0NxevB`RCxVeGap4`cc>%rtaQ*9+K# zDnC&1poHAp%&koA$*3sJaiaJ2NiLR`x*9{srJUWpO@lz{Za(|tn zkDP!$n0#`1HX`(9_bh&Azh~zso&DPV8yJa{**&xpG==7`5p-bHEPtx74G)~ZwScD;;DOv}av8#wfLa-PO5Cv=z3DVv>6`!3DhnnX}1#b`xN zID7O1Sa3FZASy}|Du1c+Jm7CR_AjZn27*U1>KgQVfA>0Cd`IdxsNGDi#OQ~V52cSt zuD_aWa<#K%YQ;Hy3VGuOi_5VeJBq$O4_aK|ENPb~xp&IO`Fo|Xm}Whb zsqWtwyHc@IZZ#?hH@;Z2r27<=aN9*dwSmZ;Q9-y#K$%79_kR}q2qY9emxxD+bt3nj z$^-q(ab69y4YfPf2!EpNlDRX0OpQwSv(HoA7S#LmFd+&kHp4!}S>@{%$U+0Vs95@f ze%*Q>ZrkXes?BDXz~VPI~Qr*JwFpJlaRV0Jp7 zYI^@tD<$lW0Dt>xb~Es((LWVhHM<#X*m%CTW}t1OM=8Ue?@HpRQ-`mUjz97;Ft_Gm zenRh-RSkGcdC;5Dqf)0<$kh9H2`7VI?fYUu4turu9sM3}@!nCJ$vNcP_&5u7t#zKk zR`GeGewAhwO?}t1yvc(Fy-&Z_=^$OiL|rCVQ2E5=9DmVj49p}IcdOxpW`oN5S?#O6 zU<`^H2mXKOtGcd3N(P0UoEUlo_aK14=aX%#@*#oYG5&e0rTPn$16RXoH60QVxxSv~ z_UXew9WnGc9eanBk}S)523w}z=RnvWFsXKnx+k5%$9W14q|oJ$-E^tEW~D`2=wBEW z?HksDH@Z(MHCdWe^pm=UI)$Ve~%2pMvN2 zwpWBbq;sCP@D}R*f$QEGk1^~yYiIIrelq_68-JBYhM-^Z#POs9_VG!-KA+D()qFEB zsDIv!pWNZ<0O_u!m3c|iT5o1wnd8~=YU@CpKHv9Wy3CZY+m1$HjDuSe(}_52Y(m`Q zohxT**L7*A-vJY{O2CH0jNU9F6tG8f%{00FQ$sbd*m6DRU7`cHw` zTZ|pq%3F2)jK3H^SqwSS3G zKRL@EeKYc=MNH<45!3%>r|{FF=n?R9VY@!Au5$GjP~ z@Np;KAH!z<-en6XU2DUk!)g8%*WC*zr48pgc5N0;DbL>8Cr9@aTBMdA8Ib)2h&v!{$BE=u6;AEwrSyK>MzFl zZZT5*ZZVec_+ng}7G-w|9lKNL*sb>H>cxnczZshgdHI7+#xf;uM%lE8X@4=|L%kWt zXN#Ys$Z}e~d|D3u2M4T!4d)@I5itGtoX2~94*gqx6ea}i8vnO$7-QFBY=2q+nYv-@ zTI5}eylYW*Ey}LNv1@VcTAaHU=dMNFwWzxmZP%jhTJ&9uzH71j=41EG$L^bt-8bh_ z{N(%`r(MpRuPUiMR{zR*$zv;L+oo>Y)NPw{+veQ1Iks(%ZJV-fQ?_mLwoTr)N!vDQ z(`JlKlQDKJu3d|3*P`!Q?0;6k*e!su`~GA1`A7YA_AP64VD$M|)o=Rd`D^q1wRyg8 zp6{FI+vfSUdA@F*ubb!3&GYBx`D63^v3b61o-do{^XB=yc|L8P-+bR|^L?+)_q{gX z_xk?6dc*-K-G48=2Yr0g+vfSUdA@I+@0;hZ&GXmh`LTI^d_Vs>Wq%%wU5mVHk#{Z1 zu0`3kICd?LU5j(q;@q{^eCpS=v@$jy`*r!PjQ(khh}-rdGOnDy8hupVIa6r%&%0sa zkf^u3@t3LP*qU0-`sP^ znpbDQ)!QfI=NQj_@Ujqh*@PR@V!t+~#XdHc#Vb^fH)CU0B+>YrQPQSGX`2?u&X9BL z3^~WnaBzGZ4%U~y8EMlZZ>*!sl)M=m+vqawFGhT*H{;thdf!=#;reMtTscoOVp1vg zdmszr>3tCc-G7yQy3=?VG~e@90=KgC6~?^j{bAP*>FNd-=im zImI;uzI-xymyoyn;EK6>(7_b?q{or9yBS`hQ6v?g#xS`M=Xedj0C?&G0wB z89vlE!~ffx;gj)V#O%EpKHX1-S+8T#iRP*wALB?t*R3%{(!9v;>)mrRP!X1^$G&^h zi|NtjY}CK#_b%Kl%%EfT^o4neBdb(V7kxq z#>3Nmo_}wl_IL>HE2p#_Ctq7m+Hq3Za9*mz%DHwUNPRbgw+D9NwCxD$b_C~k1jlv+ z$94o|JA%9&LE4O9jLiVX*u0+-h~JF9dHZO)m)G6P>+a>}?&Z4$IG*b-1lB#{+10{$ zzIYHeYur9Gd~WSNF;}w-1SUc{hUBDYtTV3-EcG{t8C9HeoR<{dQVEq{_6u?cBPikG^ZO za9&R98c)ODDHeiU#i9szPJ0+7PJc0g5ViZsrER0M_y>3G6hIySm}`p%L*Leu zE=f_hdXE0EnOz@@pK}OTD(>kYJlfhU7^5AZ4B#)m8722`20%B#n6vx0jAvI1;MosY-lG)NjtUF-oqp^X9~i{^F!v zo3;(xtlySNUC|+{z2eJ5xO*~8^ov-*{1BT##Q0*EF<=o~`c9&`9((ndSM7PCtenS* zq85wo!Iw7&-|wKsmrpG?A6~rPHtS$vJd7O=@7~+^uyCG#?f?BP{@1_${UY@6aWAK2mmTReORR(ZxAnP0RUpJmoU%+F@L|R24=vj24;153jhHG^#K3? z1QY-O0IdC6(?+{(9ths&SL~@)s=7VfE}jp4)ov?sbxqRkN-{InrEKk_H5E%KS)NSh z*AE^N#9=KiN$zRMhrQqD<01)yAOM2EUx)I;fA>HAUw-%x|N1Zf`lsLg^t)gF{@1_# z&A=iE*ndOIKgPfCU-|dH{D+_Z>bJlB-LHQA z8|wNWfBfHm_v`;e|M;KXAN}iJ|L33n^*{aQ?=iw3|LV8@<-h#&yC45e`SE}L?eAZI z_BX%%&%gZLuYdpFe*Bx?{^PHI|LgzvPk;6={^h^^_y6WE|F*PWl=f%;a{c{Z|Ma`R z`+wzx@3V|NTGx=GVXf;UE6tf7tykYW?)9AO7yY{jdo8!+-xbfAv5Aum9@*`NO8{ z|M0`F2>wCI_`@M14d&neZ~yk+(lGwzzkm6gpRdux{mH-ln}7B1{=@(M-~Fo}{>}gL z@Bah;MAQ8JKmG2fAO7K&fB(DR{^Jk-`PctQ^Zl29`EUQ-Z~y5xzxsDX`#<{^;RpQx zU;gGl{pX*4_^-eI{r~>M?|{+J{{FYWBPRGq{Ke1W532=c_&b{DKkZ-B4?oL4{C}+c z@U!~E&)N?^>p%Q#{P45+!{7e+iy!_A_4eEU_(QQ@`O9DZ>W5$IPxLqc`M>?A-~Q%@ z|MI(E|HC5m55N4!AO7yQ{~!9_U;Vc~`xoWM_+$C;XX(eE(|b;lKK`@#fBjk9A96pSFZTnw zbw6MPuIJwo32ncB_tWqH>rX%Z=H7P-zH^>k@Q9i512I&1AdWKs@{yKF^V4sBPXpwk#lQM7{Y6;+`IA5Lo8Qn(e)~K9Nct<9 zS0BCn$shTD{Q8&LJfnI2=ZwKMFMmZct)}vSuz5xAlYqbaClHXo(Er)f ze|};7v#0R2m)y!AIK*48<{iQ2QXW`_doNzcRypf_db@qyng|tBHe*J?wyaA z?wxNrcmp;oy#NxzAWR9H*9blw#Bd_G5fx@#XdJl}`KkqG%U2+U8SX%e{SM?(3_w)) zqk#XE0et5QJcerkJ3%@g);36?GV#)8N7*1DeIV3ZR!u7akbNg=3cM{B^P3E+N%(b^YyP5O)tFu4* zNE;Y!u5LuA=(j>J-M3ovVcbH0q)kc&5f>7|UJMy=IU^<_n~%|`1Ss9D=7{lZANudm zNp4}cIEQ(G$=0^e)vj3jImR-p<)%eM4osp^d(y#Inpfoy&VLr-V--{Aym#>Z_yy2%uf?<@~QuQGt4{P7*;^xF_IO&km7Blq^681jto$D^}pK7ID2Q`^9 z7n6<`i>Ekht6!T+KRRd-hT^TpP4O}3+9PM!?W|B}mWj>YjV%Ss-en3mq-OZ5r*!)k zGf`IA9eGn(CVwKvQFgZb%#k{r&=YIX@|-E; zn$4<2E%!BC`aRL6Q~{?mO{_N>4je@{X?RH=<$nS)qw+dRkFd+iIMW_CWsr2fEfP^6 zUj+rz&scCbwKSwHl|EXR5T$i`D?=gc;uNb=^iamx8EkfnTBZvY4Bcp@LmO8WLG>2a zz2sX_r1-G>IjU+pk26uVDO#eJD%Oq|n$_w5Pc_bq!BYA$?MR2QnxBymJ((stKz};+ zK7WL%x>L+k9XAQoXvdthoQ|$mZ*&e;10jv8=mNMUZF@msLxvNxms2* z>UQYEHi=d3t!Nik_wIvkR<|9+Q96#juoGH=5;~Q1(<*8|^O&HNVM0-bA7{geT@)}P z;nXpOkgoD}%(Is#>*^w)MKy`em@(?WLw^Y}EEaOLDtbTEopuAV6sn@lr1)A(vI5Fo zy&qXm*XA}t^%~jDVzF9*JLJzvxR=!mH`|{z0<0qOv@_IXv8UfT|Hb;JllXc5TiwCy zrh253Xo*e%7K5=B-ZtJjZQmwSQ@bTtBG700)ds3P z9HB)2cQNKm1NZXK=z4Y!o{=kwVt>ugksC|QF|IY$n`8A*)5lt&2KXUCw=fepi;DOMh2!Gc+>M z@qx7s{-vzb(HRKsdkgWw92$=?VTEUyGLOa&xXln^TOCm$mB+dsf+G{T@Eu zVR_don@0Wet3kTFOwYMtkNzwt#k7B3tv$@%bSbSe0>4GNBF9`eHpuYHK!WEcMq2Gl zr+eF1#>VjSQr%c}J4cV?oiQ=P?i-&&yJ3iG53;O0<7P=K$oix2!8k_Uh;XYRUvj44 z4Do)jOm%sYTJT&QTAO8*erRn$uey%SEvJ%-C8zuJUjC5n2!sE0c)6Z1{tJJ1vKvo9 zoV{5WXw7^$5OIsw-2Q zHO5o$}PFRSWq2W zF|f7fzH9W#@zOe+FT|O{hEKeI->OWWQLO6cqykCFb;-Ecp)42f^I&|qJeE*6!!F)` zVh#eQsGCE@-N71BK5Kt8_Cp;r-9-F*ogZ|6^yV$i+DkajWb3Bd7vft~Soc3^6XTf0 zv^Qi&yf2qhe%W#Ms?D1~D$&!(j(!==tczqA{TS}k%NS#3dF44&HN%}IY#i`@R6WJ5 zw2|nU<^YOvSvs3j|5QBt1G3YfF_n9mCM4lR2rPfGm162;6Mx%%A?q*RLemQ_y4!oQ} zDJSNtQmb*ntLcB>^jK>JlObxHHpXH6aSKW&<(D3kAIFg{xU<2n7VQEFmVwN@6uSxR zRl>u`q%j>AUn`xj=B#{D(`+rTQkVnbLAR5+$Vz(-FzKddIrFxsH3-j0xh1<;8!m;wxS2|B~~wic{5pRYO!f zg}ZU+hL_%|ffKX7sD((f&nesuh&nT?S|nLVH*6KgT_%~(8h1j>qBmF3szFu@P1eO> zuG{UGUe|qcOKPS%Oyq|5{;)q{kHg>Ws6*n*5$ajgUJ^O~*4X;#i#V4cwecFdF?+oO zZkVv7;(UJ!8cmkGeQKf!yrV58}_Z>|?9#5^N z&XOMoMmOWtfPjY~OH1|Pu6TISW_)dFs|$ONpNS25xM0m?(j%tKsPi@^h>nt4*Z?9) zl~g}eK7I!1)tgfNx&?c>`IkS4wEuQSu|xUo8CidY$1grPzS4i$D}ReP+^c8Ac-Q^b zFlt{N$;e-OSM;S!JTDkE7S*kVvHh`%F+AvGtfcyytBw33Qp8(#kGm;zkK>nR+YajH zf4B`6X*G1{ab2!KgYK^H72S0Ud9wG%qk40^-{tmbdOPnF_-;IfPF4(cJ$Y@}-i11e zu3CS}%%!VOzVXfVFP;uu(yyDSHO>;Y&U&w6!=rwkq18>?U5L9xpxL?&Cq$XvzBOUx zP7@m@prSedS!(wd-GmfAT7)~$NB`(NgfF0f^bzkGB?}EbK)h9;mxeyf2zLhi&iZXg zM6%Ax1v#N6+EvfPIqZx>+Ao5+qV&huIVFF8@pNKaKf4PsgW9FU^C9~tOzVM!-af__ z^or*(0{b)w}eF)5zz}z6KUU_`!8smYsglW)t&9GI}b&KoDW_5&jKI^zhmu$KB73`=E zX_|Kl&y8qJpY+o9Y(PhP-)4Ue;{b4;L^bov4l;3j!02@?yf|Hbpms8LFm3H{##cQpWY@(lCF2rDDt^|D}JaW|BMPU*Se^ z_y@rDE$N#ccIk_^d+#wEn*~j*^+OyybO0X=;Jnj*7F&@qC$Br~j1Kt>PBYr26Fo*u zKV1tZ#^Z4(Z*HNi%3znPJRF9iwR ztf;(1+Zf`Nbw{9;LSBEV)(0I3?GwF@RYH#)CVwT)Id zf-u#6z`wp^kE54r|KM74=%o0Pw|W2&>9k5`nff^yXI;CGdBj?*?P0HJo8G?${Y2ChP3dFM#T&+E z0Lf3s2;o>hK9(N*r2YHYVcSjo=w^CbNIy2c9uMr#ri zX!>(0YV^h9K23iu{rF@f>Dx`BNQ2@gVF~p#;N?^Eiy`x)MYyk^jXRlNE(<3r4AIBe z@{K#kP4}?IPQx{@%h$h%``jWji${wj3;3{6b+oPBN87$e`)FWv2TP}>>+PQ!=veEA z7WCkd7Oos}Xz=D*rC&)Oi(UK@88ydzNh58@(3|Gzd)R*#@Yd~3LY_|a=HSLKc5g>_ zI5%T>1)gjR{ZyM)V?q>nz4SfGxPNKNQ5l{uXjM)-R*oOuQJdh#yRmRfmi`DuKId2R zw8QQ7IuCQr5xUda*}cC`@6FgpAu>TB-7mNv9n~E-_UNde2azL}2~nD1W|xaf7||UM zy7~NDXMKMr?>}U-7CqhxWOLH_haVbY<8G0{SwZhgHop(&9#f^)J-r`t8|8(R`Hqt~ z`upQS+~`82X^3%A;e8m__KO%l!&^ml=0kjYt*s9-c=OS`W3h1$=ezb1*Xz5piryUj zdok+(49=y{nfH#9IPt61pNF(Xpprq-JjG1`2{(VzJGtFv#PmYq<|h4`Fy?M!0@DSF z4-VAZus_)5=W%SFUXxD0urEZ?wl6NJt)fuFq=V7~R@~zyyVoA&Ege?ch=_G$d!?~VXe`MkEsQKQ{b?ARg;P-rjm^F^-)kc4g zsXwyM{+JlC$ZSv6{{z&x3F{@2G1O~<*PTSRkM;ysv!_(kz`Ex^X~8(KkEyaV{r>4~ z&KNI4Nq^>3Mi8fu=NNAx_ur!zVqVka?*6v8azOk7c8g0cEScDK{LhI=E{v;2mTTth zz<-30%;lHFg6VT@Fh&}6Kd&X_Q7wO(*i_3BpBBG>XA2oNS`2f#_&#o(uNJq!%JlWA zHLBRMd}_EpG&8iH#|?P4@bf4&^=luu&R2_D9B6t1v*&w@1EjCcR|}hc+H#8l5?_bE zS}3si@)n)CXKr3D+aqHTvE~zqU%;l7Cgk?(aRZ(${CeDCX4BVj*N!v+q1}Iu%0}7t z>RJduo%dv0+(-2X`Y;hf$Gh-sA;5D~Er#o;bhznjxO+!w@^ZdWVOrU&=8n2HzIeub zZNcIC?>+>0wvf(WeYpK`>wLGk1UK(KRDqw?q$88$+*m5GT9`!dp-iz-@1IIvpNe0f zdiLR|Yh$rzakQ$EGuoO3S73j&ATFEN7AD2L+fgp}y&Ki-Q_mJ|*Ir}F)7J#$jB^R_ ztGUvwllUq0~%>l(Q*A-YTZXxiyMOLY7HO}jBGNx^vB?OypGze9?&tP& z;^hM>_88+pvInxwJo7+8E;8aL@@%6uGG3mCM?bd_FrR9h>V0OoQEwi1im4+bGY z(QF&d9GI&2ir5i2T3>%3qx|V((-EZ45xi;eJvQeLJ-2l0WXr+OAfz(p6WMLLj-ZX% zOq<)+UTq%x^!7Dwb9s>SYV+8qrsj-06MZ!rdnn##`fB5jMY#gH>^Y0{WZNJL=d7uv zfvt!02f8^Q!HT7duf}QH}8SChMUWDS#ICQ5M-Ra0_xD`ig4=IN>i1K~Z+Wq#Z6yHsu2YO2Q0JK|oc9v`2oUZuZ$>e<3= z{OhOU7fII4Nke}hw?3}GAEec7Wgjap@Ah~#&R{i8;nl-grqzOuVwpwej23&vLp`tX zINRI1MID@?VYMjzyqI6_&rKhfmwxH-DQsbFVLLA|UR#vN4-~c$7ehFG>?Dh)MHiwA zu=?mL8NiYmQbcO6-=*x-b`F^EYR9>^&f|k5^s}LE?J0jfJAchQ7)Q#LB-_Bc1W9f~ z(S*5JL2@Pgle)qTuRfaLt83@+tMl|l#OJ7FX`iDKhI>+181Bi5LiYGY_VmRJ@r!-Z z7gO(1$&&>wS+Kdpyn8ypmT!hu_FOXwI2712RGEFf8SS062isn=80^)OF;PsJ-f({8|{g}xMtUt~{TWPegO z+Y8n!5#!fFJ=a4PYSD^saerYm9X)9!MBK9dQgLs){`+wi>!08o}u zTg=(UbGYfNbJk1dxsQ_)kLkhG0>m$pBu^55)?SC3zB-RzpGse3k6$eMq?Hiy#aGt3 zGOB-lC2ggTh8il6zQ`WG7%qKngZR~X`s#eOxa39HLbSYo-?Navm!w6>|DK(`aQRO9 z_)h%z&i?y%o`$_!_1$ZAGd2JR^NO9Kq;M;DOZo8ZLq3)Kr%ry^S04(bug)KKil&u# z&ZD}OyX2MN!|e6@?m^NwM0;GCycNm%+s1!*zs^q|--#dnrjPH$kA5HH*$W-m7aZ1} z-$gc-Fi6&rwZ&#zHpkNK&0BhWiWB`Dp>_eDEu{1K)%j~wMnrALwt3X1arX}(I#{m&@^J3iLKYx>eQHV1+f%z|3%izoE7N)ni~dbt&?d_-_jh}{&Fz2P zUi|#pA8B)a>&K3=_D0zEXzN#DB?d@Xx96|d0T70g8AKP`*f2_@oI_zSURS53x(LH+hVIsE|m%E8c zG}-K7cmEg(kQoIfD2(>gV=(j_o#r z^tlMHtsUY=KZ-Dm8Z*ydZx!?C+m3A-7p02`;2nQCm*Yt=vOZ?dHOZankljtacXRDO ze)gX}r^zFW@~S+z1-*_rev&4Ael32^^N%df^-=JPak8a>%}Y=`PaCooyWfAVkCM~Z zw_dxz56te}>=);ToRJAqe4E>t|8Se@tLT@&seU>diym73qI2`-&h2X~Jd9D}W&7-J zBz=zH#RGrx*lr_8pCfoJY4<$6RpjK5w*d8L@9j1DAM3}^!1r?h4|dQ=_y3R3yGgd`lL>LvTlE954zPC@)A19 zFX<&4#Ovq@{^&`DY~w-d_W)i;oNsge+Dm>s$EN<0;P*}lisY~5iI3&cuf@mmT#%Pt z5vr%bWdzKk^NqZ09zUP!OylR*c0ZaKWBTQ}01u{qw76yQcx-D0;urAPpeJ*I5LsTF zPfO!lrjT>ugy)yi*O!0dSKsODQ_qRlhY~qnz*Yz~kG}J9>F>^8di#CWCnh zMfNNrbSe+6;XPEILwPyISKslY?=_}NwxnZnaRXW6%hB&##G-V) z&amspUdqq2n@fMXrYE_c-MstXy)^AVIW;p(qZqm~;FkO8z++$!9qaa~^!2IdsW=|ed%l2&xvhUWcb}91KlMC&A2Yvv>Zv8WK5p679#Tw!_ywdd8b0OGcr5uFVB+e; z{b_2b{fZgtFMX%4FFpCN*AG5?JS36FC$o8nhvcK0E%`p) zpL+7)qYr;6d#9gbyRg4HXP4JWvGHhen88jkehoK$eJXx^>bV2^V?PPMb+15O()m4E z_c`-7N#Ykt($_*g_v~{$-ot%L-KS6WJ>1ute@Wd(A46Jr-*r^=w5JbFe0aMrpJH0Q zQy)HQ^>o9(JAdtu4{7C=xP=Zb$8tPf(f1zHJD2DBdkI*X#hNcB$ETlzUh_N}Tt388&_b1u z`@Dbmm*Pj)k0Di0q)Xb-^wsrqBh`oVQOH{TF{1@|ABKIbuTQa=C$n=ruB8$mj(|(o z&y82V?0Ro{-qNi;h18>u%ctVk^q%|3&-*gJ^hLqv=~~i97o~qJUwJIX_-LW>-=#@9 zGQj>GzrOT5#`4F7!)qGv`NfaE_n6*ps;7SxObg)gZ;mF@T!ccMa*5*>_Lk zk_$L}eQHfYQ~pZ+{^Wo6lOQJJ=zh%|oIXDEq^oOi{nBb-k_tN&=bCMJwYcRcezt$e zJrC1|UIR9(IbS<}j!HiDYT?3WwZPA_k4xvP#Vu=c`ufzLJ`WejV{T2g#yhK=?Tu{z zv_=v?)SPC@DS55shNRB{tZr_(p_2#V(=BuvG9Mg4+PG{0*N>&IS#I`{1k|lIv+|e3 z)O(}1erLzahn{=HH@JOiZ(BVtV~>Ak+p2@b1=^a-*P%?iLoO+?pJS3F+IvhRjwi7D zD0u^u4wh=hRP%mYtiSV);s@U}hh*}gT)y-8`yR}jpWAoh2Q8jc7FxuQfu;{)$1h5x zkCE;Mmu%uZq?6@V0X}17fBRPxw~XcS>r>Ab?%{-e2|Tu7-7PFYlrc|)Z@_5cg>^zx+|n@J0>s)+9g63@oNevzkT|e+Zxg(Q~rPMp-RtwNOc_P{pjJ6T0ee#iK&|Fy^9NwzWQdm>V|XI z-%aD9n^V2D#YxN~OY#2HZgHstkiI?@zdC1qLEm+_VbSi_HvrzZd@WEAKflH{U4DNp zej@2}1WO2C>K!EiyCc{T`81|gV|t6P-{Z%3(#Ln=$9K}7-Rm!~S}A|y5B~e-cmFC! zzcXBJLG!!Qk0jU1bT3$L--#dnrjPGD#_zH!-R8ws(An8;7v1Vd?C;BX+yP*I_b(GA zedctpReF2>zW%=7eeR>(UGBHv)5kd1-(TuwB>%g6xp^CB`k-6rcx=0rDo#N;td=j;u$hN!nv~rnbFCR)D1C1Zw zNgo4^9}`O-go~O7`c}YXpC)DBNdICb4lv#TNwN+Z=#q5ABurrHi|jVSPNrhXZlg9} z@&UTnDktglYkdBO@cLT%oN4@HG%#a(%;z#{rhz7vlF}{l6FGnL`DpV8#%5;fY4AO^ zD|PmX*Yz~^4@ykDuda6dTZ-4WvfBO61|MqwECmD5;8XoSZql4wvQd*z>>uvWX z(h++v8znK;GaG~jtF?iVJ5odoP{^*{K#8+V1Hh`@Kx(1%H$c{8t}U5Q&Dj~~Wex#I zWAAMPbj%b5%4lOOs)uDj3s8{)Vgo6qSQY58#toE~T6TXxQlJUYXo776HML=Y6dU(Z zbL>$7`cmc=$T*>+qJw%KU=Xbx&=t@=W}KsLfR<(y1!gN{0eFyGrH_WX-}_TB75WK8B$FoX7i5Sw%XIxSB;pGu_h36M*hg@M+DeJYap$f$_1v_(PfWClu+jZ-^q))3#F zw+W*!zk$V-lUo$CYYFH?YZl6RrbAs63rKx;Ua^0=Q-Iuv1vk*hC{Q4!wz)mF*mIXp zWw`71dJvP15d}!N!eW4AQ5S$Ve&bK&9%Vf`?YgwL+PZoaF4;g*pb1HEitH6Eg*3kG zfUH1tK)L|ynucXD3W7Uei=_<&2eb=N3!D-g=upos(9;FL`NiCR0dAi{uEm%yoiE@v z4?2IlNZ?*(zJT~9V(qgN3nC13+?# zJ}WJVH{#~@gto#y8%PQq7OjDfxV}b}=q%|oIv^cDNm5ZTy)IfI^Xw4uYJr)^fUfUP zWsE~*$pZ2Lk{n(eC<@5TNl~ExE+Ey?T6}*`Fz12GwKqvNklsYhv^25zH`#L^B*XK2 zp*A2bDlHDrgY*KD|8C6}w`V%fTlQSCfD*{M>KFFefKZpzy}l1Gl0!%@`)1KXGN#GW z8m2BtRcnA*>C|C>^q(_avvCTYkMp+G9)RxJ2SB~Fdiv;5&L|@?9-~qr$gIj=Ks`X8%84CPkpbcWX#qo~?cvg~ z-Gn5xCu*5IK{&4t@6yIbOxLE>m`NnVs5r)%h-5${1zFG0P2?_w#ANoN{XRr5nVHVPZIWRHv+NJDV`AF^86l%N zX$$Dsn}6GplTnPH7Z4oK1Q0uFVosUahm>uj`t2DnP4HxJ3`ae2S!EWq?>9DS!lW43Ly44yXb&oggvk=_5jC zG{DR}s)&_7Kv_u*_U3HRk3N8!6@ZVBw=&86fREnHD5R4^VZu z)S`_eGJ-mUHjor>!(|a(XH&nAokv}Za{;jBqkf9iPZs*nR&_nf^3fd;p0$s=>KGG z?mlRAWKIYGSsi#`b zNczJ*00eS-pek@Cs0BV?KQ=v5;YjBr_0fWi06tP5o#SSiEfzoALFBDnXI=#$9)I6D z+=Eu81yqhw-+hoIqtVXys7RxSC*?hlgo^?>iZ;+MfRY4m&>-iaq3)x_T90w*Tvu=p zcU+iZO)y{CXQ-<7$ycA8m{8|@Z=BR|iZ%h@SKYvhp|JqV_Oz7v^a7BWjI^_^eUvTq z5rP97Sw@A5Y-t0@xN&b6MiJLZ-G5v={oGwU{WI>PIs)C<6HW8Nfn@HZRy+c`qjCLI zM97eaN@n2po)1#=j$4EPlDd(BGQ_jJ05%#TwEja93&8K9q7VK6wkGIrjOa6+=U$EI z!>N>5CPoqy1_*S-GC(bw0I+!)Yp>?4?GWsxZJ8Kmmm4eqV44k(f@NDAfPZ%B5VaOS zWY2cNf8^->41w}iL&57=&foHz&KkVJa27mS$4W$%?thz60)|{j6A-W~_*3u|h{ZB#-*1HlekmO;NztRp!1I+>Y?_m@RPhUD8W)xd5bGb@j=#-UAPp4mb^`^v~yZW-3E5JWDUZ+b2H!asukm{-L&GPh~(95ZfUmwKv+)6 z@1>2T(bPCE^B{FB6JAd452}e*Q<~Q#~Sb#a&{lW1i zq>C0&Yw><8a{x`6dU3eq)jaV&9fLPgG@MAMqL-8K`w$Ck!^D=Gvn}9-$-#VzX1wFC z@NT#d3zT?(7`g(8Uu1xIv6vQltLFf+j*9f%v=x>>M_e7!`KqgtUQ- zbUFqg>pL<~#+(+|h9W9%*Q!Rf0Z5rNX83v`w;~$p$j@Ryy3R=xHm1G~mzHG|1C+Vl zhPXQ?iJ?9KvRT{igP37hAHbYT06@K>1E(r*oPPs(xo$6B0}?9r>NTK`_N&)`+QzHb zKo;fyyfsJW9#rqeh?d$?oZA2}S-@$-$Z>kaC6G+pB5Y(LwVNXF9nOVR8u4)*q8uSk z>9P@06pmL22x1g=KXkH*TL^h^NDeIbPl!7%;fDC!?)GcQv~WZ=kR|yxG7-ml85<&A z#ea#1`CzDPJ_(6;qkJug&i-#gaKryVsv=zwQ|*|BFMdZ&ZOR(ZZ3K1w>t)om zI{1XrpbFAfw->tEHft5?rJucWQ^(fXgnOL1Ln`F=bbri!5d7u5@HHVMzj56#<)8M)0!1A!la+Q9hu(nA6^kM2~3rdct$dU#keLQKaK8g%LIg-1`^ZCDN=!{~4bA(lfH!ur8N^qfN-*v%ohp*9?naYME_1a1MtAtXT#hY(^pB*P=R zIRxQdhC?zU7&eCxVmTz&et-A?s+^_uLgF0}4k7L}5-B4lF>ksd`&-lbDL6(8 zSh{=Nh?61Igg7KJV)y>YN9UL35<*+ac*l1l3*>2AeopVwWWR1(h#dyNJ~8Ma~>deK7Vj7?#r7uf--a5 zPbX_y$3{#x0=HRjJv>sb2u}XM$P{lA5R!0P6MBpQM-!iBFC-((aw8}b-4$6r+KsI1 zeS@5nHsfGw=4)h4Zha>|5=PH_&g~qW4jT}3!_>h&ZYqkj90qedVmYJ@A~m*&j+1uE zr6LeAxF#c=j!A>iLVxb{d7RrMI1uY`LoOy&{6EiR!{cfsbNI!d4-!wQv|ueZQdMwK zm4VX9BeBo`%2)xu15O3i8A!Dt0~LE}ApKO!3Zzz+=L`4oMII^u=ydEf03=AO_JL<| z84)&kXNJVg3LxaUFJKZY6anUXtOlU>A@SA=AYHL6V0O8eMt@dDyxBk>sl;mLQ3Izj z8n|pKvN09FYgcM~>~!-jAO&|}ukItfilnQz*NA~2bacEX2{$+ipz)>)kQptF@xpO= znn=|nOWTkvX1o>L2xNLUE7|sqR>tb-5st<0J7fq*lXoA6Y2b1}5qs$eZjZW-2q2nc z1v_Ep?F@b+5PxWWBekip&5%EXM-8vN98w!u#uy`6kcKGXJvJKj5S5-z{pAtbNS)1$ zAam}(KZra=9sU%=e+|z=Qn@0;6*bPws27mJWu^;R?uRFJ8vIH%UntrNB9U*aP;)I? zA$TK!<0qb9Lxf_?GPWeD!bZ|iMCOB^>&$1SMU+Xh)_>_>o$XL(oa^TGq&kgt5ai`l z?$S>|wvN7eCzDpxyCSHny2q9gMVHLiaDHusR8!dtZ=`voE21-9uA{^|D_XjlHn?Rn z)Ik<0rVb%dln9J^w7s`shxc&OUqHCI!$uHmHjf7GXgFoZVF+Vg$-;%f-x=` z={IDj6@OVsA6eqI@V0fb@&9TAzv7pKx$j^+X}Ry<1iR?EgAp5g-@%9< zxbNVN+;(u<1vD-@n2d^#9Sq&$zJtkD_}IaSbG`522o}5UV2@Z@+pqbRi@2M-?qDR6 zynpXt(oH{ha9l^$Z3ok)`Pjk4vL8EmBe(s7px*lqM*5Ze4yL2zV+X?@d*8uu>)v

MsBo5C58`LBYzdcoz@$<(VDD^996fp2x9Wv;rOU#G~V~k zP&SeggFXz>Cx0!EJnh#Gf(t9Su?#Xe(2bC+M%F@RXZvU9O)L;%#vS%V!a1wWgf@^X z8-b@)>6UQk&tHS5)rx{&vGfi-B?f|J3>n75Yk*Y{mR zQWqH_QH_f%sf&yd>mp0)A_HPvWPeFrWQ153SyC4n5aVo0>LPn1$3+IjxX6;a$Plk= z9AQaaWN+lS$bc9ZSyC6-V+0Yk2gfNIooSqn&@DCC*d+$^__?qn16mAzpPtG zK}v5Yw?SNYnjYylM6++fQdNB?j+D-mvS%CQHR9X@j}hRGgP#i}8&&{u#2O0EG%HeA zt3g1Bxw3VLrl%$4oBRBW9QXq~1Fq-tY?+8CsqTmhw%a7tAXBvE7}_8Lta1jRN+NcV_}p!&&{ zi9PAV7(yTjS@!m19K5(XY>{Q}6UnGRz{nV8Y{W4fQd*E6LoQ~45P!KRBSFHXfb{e1 z3Wx?n5JKn0nW-HCoxr$M$v0#`LD;nJ#auK4!5cD0jANXJSvgxB?t3)03rOd5b!qmf z!CedCv~&si1dUFi$WwPgu9f{b66!fI`A}rtBCz(r8pILaC4ncn4%HW(PcH_FhwIK#A?K0ibhNKP@QC)eM5g_(|>wJkcr0J0o6e%8wiZn$qolVhDPwp*Tn`T5|T4NfJZR61{1~g+IK&t!#!1KO1IJI zBik~nxN?ae>7U3@#Pmax*ryEg!Rg7gk}J3KH9U?~x=q#ns|~$ec}JxmGG3~#+(fdd z%m}LcC^A|cC%;@WIxIXJi8;|DZN)*}+93CBK7VQK9!N)$Ge)w}h?{15#%dUg1u|r` zcx{92bnT~NJ6%V;kbFh3(b9!F$chz(Zb@$*VrxmPUB3zqFZHHbxvU5_TG7=mimZ)x z;T=R*WwB2!C*pYkKc?N>t0U-HY;@1@ZDg_Q{r-6(P3>Shk~skYY^2h&N2(&Sa!A~C z9)D!wm5%oFL`*+RuAUciqpCz&NQ{E$xq$4L%pr*m;Hex!B%s~JujgvkP#z$p_EttL z9}F1j{8H_SRNT36KS6CKAf&Jd9f8`7;GA+^F7~LtfQ7Unh}!jYRU75=Czmuma?UJd zTvSUuYd_id1Ee-VibW8#suDJMp6{Iz3>-L$ z;OQcBZ^(T(q!&R(Hn#IbIO;~C2}s1#zIdea(m)N;KBWI7@qd^8NZ)*y{s@D-OaG1B zr2j^4(jQlPcj=G%pm*s%YyXh`>&5m}`jhbgkp8oMNpji;cj>>9YhT->mC)oS{eSTY z@Gkuk6>yjS2@jXA(m%n4c9s6P-#9z?g~$%h)$U**c(cHWks)aXqU~KW)_auTk)nwD z{l_@`wrX+;zrhES*~>=LB7W0;W<)v*MuwyU2a*rJ4ZLe_;S4dlq{0b@|)HCEu{-xkR)17zr}d~Wb!wml!jaSm-mB#q38@Y7FigBo4i z6CCOc@{Aq`j0qkAo*yaQIyh#Jpdo{aa!7~gaWH}+e~Q3g%8|_LUj-TPq3rc(Q0}))% zjftY_xNGCFJ^Fzov(7K1T}KVQn<+|5V0Z^9o!UGIEtPO$M}K$-%ibPvmv0bG(z=i) zvHpatTRC2ZQgqjM+`%1w8&HY`L88RoFT8_r*nOu5BdXhBAfX4_4Z>2R&V%w*L z;7OUbfvL!=O@E}x;^P8BX6dGAjboab_LDU2Dc|~lg55=0YP2IEFglKeRS$Yzaa_G{<%a#<{Tq64YNmO;L6rD#Ipv|~}GVd)kT zTCfnLek|cO0%TF|?S>%rS<3!vdjz=ls%8Xm>ut^f!`XGIC9Bxg=9$Q$IS}uHmOd?@ExGHS~G5P7ji6oEkSpUMkq!~w} zS8b#zV!xKyBh!6hP_s+=3X!q<=XhudftT0p#t=znO7s9vA_zkI++)i3)yGZ zMyf}mB2AH%E1g$+(DVc;EC2829ax*iBV7^w8VVRNS9O#TwMfq6AV^v)NSAxfj(_X% zs{PF63Elf=)h+f&y&wqPVn5OqX*Yy+eNVhkS16bucu>Ksjj$<^J17xSIbl4MNsV$N zO&c!ABox&(MMlfI{g&_^6p<8oAeOiFj*xFUgxe<)Jdy+nI%?7{&JGUHOii1WgLosv zi1uqpH@;IhlPxIumVrQAj*ZxaJAX7Egt&7Ge0(n{(o5cZ4m(+2Edj|VskBXk54s_O zXjf6GB#??ncHX*;Xg`Q8+WbZcV&rvwkP4fNwalimhKv+Nsz;I{x;|xDDhnYUrDYuf z(vLMpqVe+~9a9@SdZxK}3Y79f*ug?@mRY_=OjWre>%A8vU2Sy4gXiscA%A2bZJ9&1 z=CBcM13ujQ2ToKn7<6w>)K=d#KqA87ksyebJFp}7d`d&`zek!P+6HtxH4h>wJRWIN z5c4(EliiUP4K~*UVSWQLjqLT3eB@80ej?)wQLX0lEZLSGuL3Rzja3cnaNkijR0GlX zS<9ki-a+t6F}5aIpvz3>%w}>dC$mW=Gjnnu$i5o=2K3-4wlvq~SwA4OR--@n=Ma*f_;BB+ zpjsBP{q?e$QKY>;E8UX~tG`48DoQ87en-IJ+y44EdDW%ZtJJp9XQya$@;g;oFFaW& zeB4_(U&dO@fv)(hNu@7k(Z>P-_%Y6LrnxX_jl?MBjkvs;eA;1@fh1aJgqa)w$FJ-B zHN1!>s%=U?YBcm%^x=f?fh8*L3wUx(i*;Etc08FVtLR3~t9fMxGEbg{k71 zr$H~1Y1zcI@h6qkZF-oA}_W9k9><}sBexpQ_356DKz=N*mq9l3Z*XB9@_Q0JYm z4bs_?lyFX$$Y94=aRGs_)(a)DyaeiDs`UnLy;Jm2(CSpX^SC^<4)BnLfRu^ zf)s~>8>~|!X1YhKlq@+ym_!bB5$OrC zP@?O1#NkV~`bq(kJy-*`cCQfxYsGkr;R(bNwL^VJL9~Bvw2K~q3+zMF0ux8_HtV_Ub+N}6c3MAyCt-uhAa8weGxcGRwa zu3?S*aQimO?WQLr$HAKBnzg0tB;nItO_4*eq3a~p(pf{{brN_0R!2VXb}M7?G7;)D zYp*p_HDQ&f46LHKk9`}>cTw1G7+~n?S4porA+W74sYI-{Ql)(;uZze%AJe8+p5{~W zn*V>NrMy{?XRpuZ30mJ%7%VyV%U~aN>$uCXQnDu;u&-bB3apSrX(m3;STwXyp>|YU z;(K_XFSkF{lQUYI=JTBI-8k3NGPooGrSLtRnXk^bEkDfSY%m^s!6OY$Gy^?aA2%~>`C?f6TGL4< z?k1K*DY_Y|j)-udr$6Ln3c*n~ey3TX(@VUK)(!>lkI$1%>n0=n6*yzh;04&hZDoYc@KgP@`jkAh z%@AS%%XUk( z=jO8`9m)5G)#}pBg%q*sEzsj|_|_(fZ#a9a>hkL40%sXxiys;Pf;R+F2$>YD)BPxu z5f^kW?2b&Q{rQmKqHO}CVc`Q?r{W(xZbu(EMM33eNnBedn{#D&wW_uv8d_xb;TeT| z(P~&wcj?G4Em^RGHon>LP4UY<^%dq;_)kK}!pWzwALr9myxv0#8e)sCD}&+)pB`w8 zcFY5zhL_#qafXYLiDR!oof&XoAP+}N%~?YZx#1Fu!uaJ`Xd}kcgH(6=+bdA$8OEhz zGFn0jM}aX!gJ3pDIWrJ+kDzmHQO!4>L1%mCGIJ{!J_2r!Uf0A*Ix5V5tfdvkMA=xa zi4{?+dMXbs`_<*hOBbni&FEq@Vke`%YYtIeK_pvNM2cLmWes3BB|`t56~=P8^EVbf zK4%F#Lg}Ow_xXHjsYxw0jr}4)k+E8ctya58sER~!8}EmlwNX98iY0B&dDNr2b~o7S zETK2Dvjwvz$NWmvY!KsQ_hdb1s%W(kQ@V)S#B1{Tn>a%H&E0IcW?b@d|w?& zzx2Br@x%IkUoq9Nd4iHqS*5xoKQSC$SrxI%GO9ljUiqQTC1oK@RJYnfP`Adc>$Fej z3(1|~pVzhU%CAQqE<|)BHTCTWp~!Mtfzub^77)YM(|GOB^|sNIrG!dcmw=-RiM(pC z{qq1qcmud~`vSbs(oH@Ra$LC*=knmXxwPipA*7HR+UWEaD<498Sj~B<;7z`dsVVj^ z+~#mBA&&3O9#rJioytHfuuJ;W%Epg&REKrO?nv=yaVib>$(U!U-?&_ZusnWChwkT; zg@-KZdMhv1pI@Je#54)fs7698c=?lgztN{Jz1o6x77p4EFWFg6wFSB>6Z#MTe6ujb z+b7;BxMVGlr}cGdG^b$2OQ5~6xRRvAbw`OPQv6HyH~juCghGg1g}8{&Vrj_fPPK%H zp^jm;Qbn|Ojru(gc{~u&2iKEY3S>fudSZ`A6Bq}4gyGjk{meZ>^+MfpL`2p84FRMJ zipzo3&z4Z3lc(Y#`oHD=tJ}R(z+H;8+=FSboUxKC3uqg2(<>>M^Hi8j#MkJ_a_2VTj2rbn4sebAFv*-a|+XfEQSgBr>kw2MM3qV{{g zl4eYm$uF&+_=roj3tY{WGsNm17L9r)g1eO0hpBqyKFMpRDO30~TtefvpIXijl-1u1 z%VuEN6F~SfcaV%uO|CzcojwL5arcQ@UPX>7A5AdOHC(5Lq*hRtDppHPbgB_&7h zSlXm=Rz3sad8?zQ~%MbDLpM?7kLX(0ZO|4B~3s)3lI>JWu$({tBPFp0;ber-CaG zi!R7&VO}j5(egxB(^y4*SJjZ?02BTh>lBf_v4ic^OEECrnIlT`Ham(>*Yb@Nbo$Ew zUi@_D=2D!)zAHHMoxLN|nM^7u%5Zp;*PA?Z%5&gur%I}3x;`InRQ@MVd;h*AYmGxg zzD-Tde1l%DHM!B;5GrP*MNyUNC=F7I3#meLK=zJ|w#_Hs@0d^BP-AyN@cU2EUaz!g zyz^|&!px2M9H%%#8`G!eQt}W8Lz<^&TNQ6Q71RG!xQ~B~wYM8CqCzO+o!g-@CcNc4 z?eV-337!HDxby$khh>ZnA@s86OTxMCVWu82K-u|jgv^=43dE%5b0ke|?-h`SjQgA{ zuG5rtYF?X45Me@v447{PZ@f&G=sy0w%2}3Jb5#(u_&3!@+s&;`b(Lp=mT67^_s0pj zhJUs_Mb)}rKadGbWOs3B+AWu1d3bDX$Z?{4zc}`c4ir_e9=g7Lk5}8|>&e!h{^SF#IADBY!HN zxrsPKMi`d&>B({)&G!3PwC58jt#gOHwR0yd3s#mpccLD}gi`F9efw|DulBVoHV&~O zz3(klZ<|1bz6Y_YH+0T|cDAf0 zLAPpMx6Oq(jADRK(YvH}iEt{j5sub=g63>&p{pY^8jIwNp*>6uc$If>XyJG5g`j#$g8^PYIgsZ}x*V&0-krER zAtors!h(JSl*zdFttY=X-2~JIV%&QD49=h(fxTl(zKLS}*7T8cE@vVh`^tP!8Jl~^6+LEN=q^>si zM(Iai-upjNm!g()WWh04p5%dk0!Q+6`?g8s)4 z+OF^soDS&wrZ0`+Oz^%F8@p>axbhN$zwj3x4aqH{v(92)JD!UZO1mkR)A_?PqZ1KI zV1ZUgPt8cKAd_m^t&z#BzDf-umT6iGW^2iUu;yX*To2R|Lj1+7%KtQIIu7D4sGmfk}zk_pfRUOY^cSXbqr(#3(E>wQw*4% z6Mx%4QUgjmkqn&C9G6nFw-*=|l;bvi!dnarvEv)&;5|~2j~S--T*y)1ey6*#0hVaPy5?^}8f9mqn=HJO`@Z3HV9YE{ z)|jbM%x+@Qtflb21tLO$BNRTC7u8>{DKYQ1TExP?o+=54B|tw>*=R)@Yyi?O@~3Au zs~?~`E-|;N+~eOcIO5yx6yMvUm#3<`*3DY0TDBF zU$0>m1DUjeLaA@{gQRNbLA}yF+X~2MjVG*e;K_ZAM>8H{Njdb6sV`&HFEsXcywh!r ze@;ZP=%4i)N~*Dd$r!!Ra!$h{4U{0_DfA~$G>Z&pl&GzY%icyY(ig6$w#*8x*RmS5ZtEDPQA=^3qX132i_a|Qf zhk)v711zQ-b@w-qFQ;zHHhWZ_s3+dgHvVl#q*}MAFXg|u2Qpo0Z++)|IeSFKE!+5Y z`u}$LwF>T0suk9L&ygL_T{U8Z;CzeuSOC;HbN@Z03y)Rp2}@v66^R(tuD`#R^3jp# z?-}2zp6Q4jDcZ|9@iHhq{U`C3=gD~k4qc@5wXJDnoVyNP#AuuKTX5-`ZSOztnDwI* z&=)8|?fkz=%d&(`y!)H#S^T<{;?W~Y^~pTU>A=&_MITX@QqSPDUB$C-Lt7Q5|DjYX zIZ`)n4#zL5D_;KJ7F6I?>d7eN_g_#4??mN&Py8Y%KcJNFvg4{T0^AQXnk+s!2s`iW z-Jz8a+lyUu{~fv?#l3o!EVbkKOOxlq_l_@)NN%;Or+8&&hxTWEE;MMI8>Lb%--bbp zDM{ zYM|nY`-!fOT8UtdD0$OcMI^!RUhhJN8EVq@ulOsjOtt=`yZM&%0kkT3cTIY0`8HgS zp8?dWHCa-MjfaL|y6NLj?|k&(^&L5l551m(=dnJ9*gy2UY=e?=$QC}|<~d`eLsu8n z@V>;94)gIrU-cO9?Y8)9Hl)^s&e{M^eAc+wtb2JsQ7|Y5sGhgq*TO^eO7q7 zQwuB}U(Yn8Vc*l8YNrC<$P_?I!)i#L%3fGs)Zbl4BTg&Xx|^1FX_J0lI4Sk10D~G( z|6)8g5e*N{!rp5!YB8l1FDk)P-n2)=Zsc6^n2#7B3?9qGpn zA-gT)Sg(>hMi*vgQ;{=D?OzMB3?^Rwcg%q<54d$sw1C4}z6SG)o0gYGJ?R4)GkZbQwUnHloY(|gi0D+gO}A*R3MEm~j4%ciQMAf7GnMYadn9_aBQEpCxzPG-&3>u9h{ z3gcc4Ng;{fr8A;en%yETA_g5KRtX6jF65yq2=9@Hm& zW_I-FQVNcq5G77nCK!qTUC0v z$8|I66Dv=K0C6PjiZ?2?-TkQ7YLdKG6z?)o9bTrBjgr&sh?uBWf|c#GHy;2V_j|*a zeE#q(E(krXEtDe-a*tHb#x7QsgI7<0WqC$udd3SMdwTF$F3dQUo0T%-G7oL}w{B(~ ze}~*MmHJ{I<%CaPbC0`Lf$YoB&x>0V0#{_aP*BGMxk}$n;{S?R4rvxJizaK@>t?>X(y|~f=AP%)+%@gRb&iHnV zHXWg+yC!kGO!;O?5T zoz{4zz@b1w@*mW=?p#L<_?Dcco$)m9I_>7|#m?BR5ua&oDklwfsx!nS)maTz zrc6>fZ?V?d!6rN_;%p{sg9hCtxseCH<_GaK>7iILBHRU?qif%$rVNtmS}n zjAZ5IDwQ*4Yn|PssH4rGJIbRSY|!obU9TJ4wUOV%|7$mUfL^zi1J0QD)REPT6WKc3 zR%$n!iA?VnyNPyat3fyOsYgoy7JQo{j4^P_Zh6xxm2ePCJ2H4XA=5gTa*@hi@YKng zhDygrI+liA*vW1J)ce$;p+U;mLJplg`-|;;r*VQ@L-TU-aM>*k>>dQmHs_$$bziO{ z4%7Z7n{Vw`!@S2Zb<1D#BdPSjs_oRs%fwIW7ThbTllMD%c_&1q zqSOc?kI@j)?cR?`FL(eRv}7vXcS|aZ<68~iIUm;@=-qaI3!neOw1o}ijf(dD01Bx~ z#KwWpdK5hFokV`GK{Yddp|V);ClTY$=VDp%t67|bB!fB|pa%ozRbi~m-O`yHzmXL8 zTuV{};O+MVCZ3bGt;-%d)zSwgWX_3Me?z70xF2xv)FLmaKU0EFE&fU~o29BYoy^38 zZD_0=B;x{=_BYxOfytsE` zJik06y-9H~y4g>60vwbGs~1f%cX8d3m{`(xFnppIL56^B`nbB8KstXmDH4sP&$%{TKDaZBtjKok&~18 zMxv`<2rj=W!=Tq%h?xZJDzok2sutwh73OFp3JW&gWq4)OrUW*PjI;7gT~{hRuO|Fc ztkP9I5!QF@MAQc4!*XOGVgxYJk}q3i(ik? zfe+{i%tiUR72#dq5f-o^wU(d3N=z<@vpNQO-~?YY$*K2f)`AHJwICVC59{)?ir54! zzl10;<2#hhz95fcl@;=1t3>1W!tal?IT{{Cd5Ce;q12sbyJHaG!EGbTRQY>o-0xs) zua}f*F%hNE;P0^%#p;*!15W1SinO%d{;|;rtF_si^Y?8FFM6E~t};qeFf)rV4?Yf) zfrWzBz3t#EuqbT)d>De=dwJgwEZ8dYyB4>9Qg_SZ-tEo!2I&nyXa$j< zP_)BK+1(cq@6A|1P06@*$eHap2&VZK5g)#sAxZv=tm+Z{*BpTekmp4L=5tuC`0`Yw zPzAybn^1YQ?*6sm|Mg+Q@GlH*C#@O8v z%8&etG+h^Br`6m0Macf2O}-QoWb$FNdsWnh&K6g)$#(n8gm*Jr<^=o^K%VuEMfZK& z>vD-M1?YYL-BwBXBs!`*v$X+kSf$&V8o?)mRm$mEV~=|n(cO{~dmY3WhK9r;@8-Ef|9(x~s6Mn$-QeD}Pno|j9~JR! zKD8mOf1YXc-8woGJ8;b1v-y2_w=DkXdk)imgakV2KL>R-C{QWg$ z{bC$f_l^tq&h(B;cMPA1*#8J;Z5MY3 zx~&)PwEcm(kuSfd`k$ANz6G4$O~bq`gPBQ&9c6S#+~nWtnmEpjdG4O=qGv9h)|O|k zK@U42$92sc1^Y$P4NHovk1o7g>i1ob0b~0j>?b&H;w`m z-o#Cuah%^er@Xd~0-N8&y@QHV-jmmkn%!HdSl4fxrxL06+Na6-8;mMSpEE%rQ)jTC9RL;s)MGK7mS<3ix-G zft>M56nJ_(cKjd(T77tWoC|dK1!A4q>Bo6KEOy7n0H3rtvWb-FyS?kn53*X8@PcgU z&Xofgq&;t`$iMym{+Y`KflY`XWUJ1}ze}`YVkgxxZCU1Qvuzk3~`PS>A3#LVI2Gjn0Jyjcs_IhBV)mmQ2 zMcqDC`y_dKb`odX`%eboOEtw$rT)ts3NQ@%Smz`_qRz;}fj+$~0{*$(MR)}a+Iu>2 z;iaP18^R(#N|XjA_@JOMpHtHJtp9>Hy#m6y^nZt6MZuTh!Tn@{AJjAAVcRcogWY!p z5fH?r_l=d7G;N`Q>xy232ImDe0zZD~hRO-+CKi~p4fP`H+bH5UN%(OsZ6e;^6_nJb zbs|HHu@2of;Hw!@z?jF<$p!5JhRt_A3AFf_x8=x*{qlGLhOCX4*A^9Q8j!_UTi}@&Tf=Ts9hrI>J&U0b-y6CDRafMiwt|3q`emr56 zRo05iIDWOqfUbf5hHS-#nrx*ER+wRBn3{|P3s49WYhv3oq?4gK2725Y%zY<$V=(Rt7-;ny>Jp$~b3g^7AyU3-rXTT=Kz zAkPcYJ4*Wd0Y+~qrUg<4d;m&Y64>XB zornPLLIv({oc)S^cKWp(Ry6hj~Cv*dg z=Rnl!K`O{!&La`pVgI9>Ze31P$LC^>DpGLj1!&p!XFK9QZB@ZJN-iR(ICRRj)Q=%A ztqkzjE}#yVHR@aa{qgr*Ywci}^&Smiv}%PUJha$xgOcE+Lb3o-39m3)YD_eou%9A; zRKK^oT_U-Aa;X!1evP_82twu%reKNDMZ%AnG73Hi<@k)mA{9SoG~!E1EoG$uYQQY4 zSdwJOIZrZhJWN$GAGz_H^nwSSXY(OyD;iwkdS9^(N1O5hC-GUH+=YJO;}o8Uf_Ol) zlw|tqh8JNMr2-zj;0X~ZQteK0GW?_O4%_7LFQO{tfyxUkZ32xmN9^ z372Qp`9k>kNaYlKVhKpTFazvdzyqVt`FGV<*~ox5??A^-n(&Qx`hrK4Dv_A#*`{Kn zl$00jr|4t=UE6l`#(z~OIP|jG3RpTcnQ1TeAs%t=_?pjBaotMnf?(lYaJ5{UCsqDP zBl&c>>|gkMErz?bD9gVY=_KP}!OT1MN)|4U1HtMPbF?}fYM>HH(g-D>oWKeKm0r

V^B^!Jk1*Xsf>K>|4LF_{L7RGIbE0a4u?->7Z+^mi$)-z6 z{Z-n)xnBclNMwY@L_D;q*Qn)$L>9xTQ~8ODwrhopmpzH_ir&js*JR~S#E66B|9D=S`aU4ylPuswJ5>nyg zX|Z!URFbxOf{*vnj^eA`R6(|YPHA`k=LT@vVn>bdCJoRW%Fe_fIQr_DMm{!#V$>97hxA<5UBpPr1^6v&(tTmqj* zyCCS_d;>mLU$#b&3g!T~#WWE9p|eT{Dg<(f21N#`OqQYl4<$B^fvpn2s{I{-5sw%^ z4>1EI=>mjNeUZ5J^qBWUInQVNxf8L}?H5929kN!@V(yEJIY!`Ch$QQYkQx6S0*G`O$&cYoh)lEM*1&ZH^+^_RQh*s*`w6Bk{xJ82hq9NV zwLv;mg%&J5-+ITnU&*D|EfGH)$PdiuSNMZ|*psgY?XM1U`)NA<5Ohki%M5bkarCA) zPn!d9atY4xQ&Ro1r>^UK$?lgt{^}yBA!#EkJfnf7Zpg-jq?+V7Po7G@7N`Sn){iL8 zCj{0!!?&+;O9iqty9Q)ot}*kokv79+A+FUm(f9Hr!2AN(1Tb~+n|&(aL8!p}MJa2h zTyI}!wx>|j#YSOi_cNmkwclZhNcpa4QBFp4W@lDmAiYU05#jzE0@6Ff9m6Trr4V=>WadPI7OLtor{RtRkAvDE&7 z>UKdf6rqY1pt;7Xf^={bb#8EcXY z;Tz|(PThODb%BI6H}DUz7WZi%8Tq6^DkBjdGrt}(&pQ|L#m+4@MBoej^tI4a@dTqH zrnpA5ErP{QV%tQNOG0AF0G&x8Flx{vKhrY3K@p3jJb^WmZ1W^7D+sJ5m;zB*L^I9u zV=5|$r~ssEWXUDZ7h1_7xd%ef)oL3&a<0q#n9hD7IjDc`xVma~;=&n^Qsqb0! zSKuDtt4^g4Fl)b`{8P}3@oK1Sk<_o;s55sZCaG?O*z>vTV2Kr?dL7|1 z6_8TnDT&)NoCFqXkjcqk|ACMJ|8d8Z@>1ywVc045veg!>Dd`?4WiMEddYsv0HCIyAVfy53ak+lRucwFLCq_6Y( zfYzOL_oS{h!T(X7F4_ z?jJ6dI>jTEPH#mC2FB0efDUQ*zO(3)kkO#%pt9C-GG8^Wy~zfnR}i0KR3tgk?JT7( z_e5w_MXT&B5?Yzt>tJ)J);;%E(1(s$6N6*@>h?p&%8#}Jl! zEK+=%{S1~Nlv>sO{fzl0cIN0^plG z(NR_zJ{2Bo?VtUVL@zabLMRK^pf>H5zo1BzaYYat^^`zR2riMdtmTC(Bu#>HC;4j6 zU31_w-gtt4(u~2lMsODhFOz4;n#pJXVxAJt!OrqwwJ?(dIygsKe8VsaEx40VWkC0( ze1@3NG3#yKf2!3Zp5RJzBuodL_*DuKbjbq?xh^12m?7V*9{X><2OB zWfNW_5-PxLeIdnolw6%mll>kNnG3kcx+^aV)`NTKT$WY~jLLsTPC^(gMvCz4V{ye{ z&Mri?O(L4dh1A*gMb7|N?mwV{O(eA>C1f*0#JrId8VPM$cL`Jp)2P^TMW+^0qlwYR zkb2I4{IFO)W4&5W_x+e;iqnR1eC}W^AKlxLM25;6M$bq(x&7U(XIgx&jEhHbD%1&a zQPE8d;OXGbLboBeM7%wly@^Hz%GZ&~KN6Gb#ATM22tV7+ma!`+F(Uzh3&IVcLD|TX z-E7Dqo9@Kg|2DgqL|vo|35Ojj^Aq$FLD=vg-(I0Qy0t(GN#VBVVO-(CB??mAho0dX=P3Fo4qIsm* z^Kgyk-V!Cdte@z%bJlUBu$R`qBg}XR(9R~b5+nwR;Azl5Dat%s*UE(PyD-2;qe*c& zS27gug`z#j)C+K?aK_P5ry-55Q7`&L<$-;p;DeA^t}a5i)}*rSv_ zY$iJyrR`w5>RnZNxyQs;(>V%c%wP7Q`bGH75ssi?!$?j==bY2rdZ*U=KIFAp2RsP z3IURih4p(@f%^PYNtM}e(-sejcjXX zB+;wWA!cW^%@mHjj6b0mES(qLh3iq&v8t+)jQ65GE$s95zT6>xKT)W{7I)J9= z1#xTWwB+mqJZ!>Q<&H4XPtNHpfMzv|&q^CdlIeq{cZHO6#T$|Z!R|L(qTAL=Tj$j-;B%XAZM1bNmD2`b4>0=&#SMVSu!jj}4c z?Za;jp~C()j`j%h9}1fcmh17 zWUV8ud!vc7<;V#&{AK*90G3 ztljgA8nRB@d~BKn*mgC_?wTyPiUsmoLUx`G-qc1AU2dyHh8Vr|79^Cji%sxf?|&j6 zn)>6wClOdkCK~L=RE!6hB0fmY!?2cRi28MEWXOFqhcqFtZr zM-7y4|4$=;sX!Q8Sz~=zKS+C09=Lh=I=h;BW3B9w1T0$ zN518#I~xG}F?-@uV#|=rAh!2?UdS9}xg|YKfyk5qY+kk0JzZz9Kl_N!Lr;IHV31~f zTe#L1^{sVhF@Os9Zkz$nLtHI^8W!E-x1EksO1SfYqV)u~t>`x;D(r~X!t?DZG0Q4l zNoc<5WEX`t8{5;d*=PM4BE4Z&4(4GDYDj7-o!@Vf(3~GWG=GC@?2ZB>g{IBYSUpI4S%_rVS&@@^hZXd-qs z^?_33#I>b~vV^%$m&p)+zzh*@?hZziL(vv)@g$6a30rG_e2p7J9nZMbfM7&onmqn- zO__W9{c@2h&pza@G%6-8@v+arUFD7nrb~>C} zW~}zk{7t9Xdzz(S7GaTvlho8G6UC#_48@*o+3LZwuk)wF39{8+E?NgpcM@tp_%xL1 zoKVKO zGDO|LH|qScbr<=F4Wfpnb!%ks)8gzI@L20Wf`_5O)Zie#>}PHD8%2`brO=_l*BWFh z8X+yJZ=Qj_=}&w0Boo^BBH>iW5tW2L$K(XHX78dxFv!2n`20@W;RA1t4WtO3yK(mS zyctIXy>*Q@gbP1VWml44a~safRb9x+=r4=35V#yYMu%w&I1Tw%u(t4CA9^8gu8Svn zY!6-_uD1owa_`h?mi`#d)zg3+~UJ!se9h}$2)6!jcyq>XY))SUibe&q* zDOwO(-8x)3C4zm9yw29V&doWdMf>&s*S6>79(?G#)V@pEVo>`J*0Am!T|z#X-s^3Y z#6#H|!Rs%S!`VCER@R070i9DNhBJ@ok}OQpsfM%9(9*q|A!kj!@mCtkSCh|S`yxjK znwQ`zNawZprpe<$%1au`?&!PZ<@wdB;6IaLPlRQI3X-HNgPx5aLM8Ryxj8r+fmv%v zkC$`2O&uHVZ#^4C;%nn?sxID15REf2#;JR^r-S|@69GpuH6+Xu zmPYz>UT|VvX2A);2-QeTvf99?Pv_nW>Sp)p(*3|PzJmO<>u3O#@}bu{_)60~_n+v% zP#|PgYsOK-KcW5oo0@S;@p<4YLfO-W?L)A9tjAW?mm?SdIXf07d%v#3@45f-7D{6* z?s;3zFd>R0`=X0H{&on zp9&U6-pAwpuDo{1hp~OG!2JTI4zXt(jaD&hVYv|#r7RX9tbeIK?R>y#m}zwJpI-gu zgrh$;;fP>=))sHx&rK!SeI;cd3`@-UM%DPGW-WA)!^?l#VD`x+t~C1HHo5eT%CN@~ zNB<~fPsU5W^9hJ>C1ykU!3l@9TdqmSQaRqPut{Nn^k92jS1W>D8G$tb0-BXoR@W2Z({04)_4H()|QfYV?7I)9p(tb zr?duGvO7y_Jn&|TEtUum1Kwz;@W<4JtvYp*{!5EN7OKb}L@?|O)7*_jKXJR}Oh#!} z-8N$aj=-||Pc&-aVbLI6i&Lu4$Yz%TUHrt`^QyuBs|V?80HPg>dF0cWEHFSjfG^NL z<3wesJ(?3wW$IAzaf~{2#@wX_bEdlt-Jli@<5;57(SOU^lVpAe$DFtKi6gq; zdjT@S0v~>vSkf^xGk%lDQ0-HdT%bQkXzF{cbfC|KRRLf@G!ZzhA9cJ?LK}Ex)jlfL z`kN?-V_x%RDnp~rCo~a&YG1$=;)5Z8Dm&9djZz&(7+`G=?Z~Fa+$n>H%Ve6dCL$_$VBoWtS;Ba(zN{eP*YlQO zov^)Y4$YM7QfNLinik#f4Z(V&vvGiW@Osl^98#-OSskQI5Jxf_tpl;VUQM=U3aKd1 zQi7|uzrgTN8${d9*r~{ESa69Ws>;t+kyC<&+F#C!MEV0Ww!-9a=ChGd%^m6d+g^lH z5Obel$^!uR)ZDGHp55za;q}s-#3cJF?O79%8Vp>D3FJl4O$%&L%X&-wzveB;ej|0!O0*BmW=+bHWMC z#mV&QYTwbA<>@dUnL zhfTl9iHzGO$f4`D>S481l0?L^6?Am9U&x?chrUD$_>!&J2?4CN8N;ka&Gn@j=VjCD ziL0{4j*`U?2i5(Sd5i*Fi`))kdJ7paPwOO}h>JxuIR_kV;N!ULt3{%2!j~G^#!LP+ z`MOJfN}|X38=n(Vo2{?ZE6A@HT=c;z<_9V2Pb&qKz6T=StRJe%ozrRbwYGjiv=+o) zPJa*}Nq&rV@Fq-WVPz2?R@)U~?Xf^H^~_~JTN;0Bb$=s(qRp0ywzPCQ@5BEEi)*M^ z=M%wsJC&;VA3bu-X0MU@xch&Abz9xx2dqnNJ2Dc6{T-rr0xkafaO$V4<|0M-Te4O&RdnO2T-irz`NL(s;fDO*z$Y&;lj);RlvxAeuTx65tmQ zmPi=`pFIId;bW6Nbl5+DZP*a3LdpqXDBh6gBf^BEz~Ir<8pPTJ_9%dcepdENVJm-Ah+!b|{yJ0w9gXunZyvX)|lid|5-1i`f=aO#4M5It{A zMfg0IC^ZRL)vfT4)Lo}P;*tdeVd5tpsLWa27)a8JGpGyNLNF4CUi*hKlODmI`Htv$ zp}-}K4={cY^xlXdEnOs#1Wsv3J!sAwE-I-T11;!31t84Ea8~!2cY=XA;oi7z5flGo zqLfl&M0gLF&^(M#z0FAaC9C;q2mgU7kpr8CP}R^mG{0Yw>M9(HMnp>Lo53FmLlf}o zBaqzIo*)l4abXUbK6qFp#g9{$A~rbZXZdKr*8fuES@^$?CRGHt`<`?J3Z&-QVNAcs zX+{Ak{}}k?*(D_8!m7ZD2W{@Di8gp z+2kg_Dt^AWO#f#s37KB`^+euTZwqug+}a#`bu}%lm>~cJ`J_%}DCV@Z8V+^c1VB+- z{r)eK_?;{%2VM6e5fgsNlu$KU1P}JwjM%z@g?d{%RhbWE*0M)Vb7c7o6TC zxVr&Nzq9rkMDL7E1II$T71WcnF&`}yjTa@6S*ppgd2k4PpJoj0ccv@RzPzQk&S9a^ zXBCj^szEd}GuduTZ22-*f*4Y$yQ!~|i64b67x*UXpqQt#b%?0w4I>QvK7NU1NRcOJ zDQ8N0j<)dCSa3`#j}nXOrlBcPMjnG1h*0$@_QctHI}(#31K1eOkh3kEpkCse#-G?}tA%QOaY=oZ6Cu0*hxfSPkTZ;9UY%f@NUA zb)@M?AM|8@5#<~G-pDI6qi5L-hU)oVMyL}TtO1=L_%%?uJurM`MMuG{v=^Nhwt+f> zU-k)6g5Q@^EH>muiF&Kaw?0>sEyoP>{C`Bfbx<75_dUFVOK`UZ7MBp*SuD7_hTty2 zbr*N{1b4UK76Jr!hXBDLXn;Thggn2^=lfQ@|8)0s-`i8OHCsL1_uO-s-%|nK9T&#m zqeGk$onU58fZip5SG5CCjD|>~GguAKTJG_OKrw>(C20#f6}(a*NxqjJZ^u+Wil9yiy8rpM z2GJVKf71@BWOYrmS3n)4d85;k{i+LkC$Qd{MOB4GZG0HO%`r44SkJU@kLJU6=uJ)y z5b63#t7q=Nhyr7{lc>Q7)_g;Tc75s`MF5F4sFYJ{M1%E;eqeh8lY^B9c>k#0Uv^lj zO%Eh)gsXovr*Wh=&v)$;<(IrZ^*USrTxyeqjkxFX0OCMV`R88~9IJKAQ2qJpvF&x% zJKh&}TVZlMjn)lf&W#p!>-OHphs=Cu!NRI4J6DadPo21c4=HI8k6z9MU$!`O@y50WJfHo*vq{rbem;`hy)FDdnBnWMUC|1(e>&{c zgWyky0D6v$gj8;lPrrUDAC(Es8Si45*OyA19G_q^vpGE5>5OkcbG7?N@tg!$1Dp^->0M--1IS`E%M@H6AkKj-jZ zOz03rr39$vlskAYR=}x=(6Wt2eo{%$5FJGhTMgn{s*HsX zR3o>x7G_SM1Ah0=BztLvJr_-=a%h>56Pcnt!HBV9VP+%zn-g!wLS8A^stL`m=^j$M z6~<@yKYGJ4$I{-iSB6U7q~QX7;ocLD`;Ie|bvpVzsBTT_a3hzcq*k=EjOCG;cH8e6 z%OUhF8xg|c-eZm(JJSpFwa+rvZ3M3NxGVIv7q5Amg-0!d0ZKS47Pu=>YYKvf;R1$} z-Ql9y6(bg0e)a=swLKGDl=FzaYsV(`0p?|%!ab^=p%JzU+W^St=@ladzfu6>d6O5& zxS>6{dH)g!UmND@d`O@c)5JGmg`M>n`@^;x`Mq?xF7D*Ty)sW&p#}7zgHgZaBCUW} z$}iji1j-u<)sZaZT19_|<|Oe6zW}bS_yI9$YJ~|ds(#m@2KOuT*l5a7JF_lhWQD!}GgvF*Sims{WA4{WW40j=btB4@r zcv}~?$JtwGVe28{MTM$FC!rp2pbZoKS~-7TKA=tMQV6L_(G3o0ez-}r z+nr}9ROOzXSq^ND<|x!w7%M0Wn&?!In$G_3yUxSU!7}R-!ys($lTJsJ5!59!fiPld zdqodC4B(;6ukOo)@=xPJ99`y>tnAhr^!3SniSpD+sV8pn_Xf&P+-{F$4CkDr+SflQ zKHXAWTZKnIO8mf;*7-FcWLX%vL#6hE_V*EhiUw8Xg7WvheQ~H;gD2HgTlCY5pnc4K z@HhNyf83zJsGMN5^5CYbcsI{B+9UM%q=(P&lCsQiMj4?(@q>fCEv%~FIpWhOg6*_W zM$L_gDep4nuhp+6N?y3PQqs}eB0tDwzs)GJ8f=UzoKwt(gMT~wJr{@+3JWsRK03s0 zTBeQY5tA2RYenqXJm^*~9#9XaX29uVJ^U7=p{PT+fM9Glb5x9T0ko}Mg!WD^E@L-5 zeYfsqd<@Q0DhD#v9ek_KdRbye&^^SD7qg<%hP$)g=iiqxa=!*MW8V-dactM|miJk* z1kiHJjMuy_L?7PZnbTU-22k$ZJH1}I%oK8^8pAr_r~}$$hgM&ohGS?6DKXSweXp8& z`j}?CT;2vtr2H@Tm)&(x&3bvTWJo;x&A_3=4jrIq_moi*clYJld(+TyS~-xW{v|+1 z)pV0YDFF?r+3xG^!$(gEK3!`-p;}$sDS{Hz24$-9Ypf3s<1Jq#L(M}qw~{7gt(vxI zIgPBETe?6_q(M7*W>fjTT|)F?X=o1fL~MZ z#Z<-NiXQBb+?c|dOwdu?tk#AW=!@IBYVS;@xi*}lGA;}jf#WTlirX^|Tg`A*2naG%{LKx;^jOX0*$n333yu^GC>6`R{Z3XP z`ire!q%MZ2uv7ZJPLA8QA&6ib29XYn=mtXaf07%o*M-GBfQPk_8PG^6AM}7ar-ylB zm`oY2g)AraRac0w=)KOrR5dh&Z@*=m1DUV)T za%I?bLN@6zXjtw6Iw`&<}hka1~8MKI3LyE@eaOkb&3Z(u|5&&k-X%K%|T!$4|)< z5%b}L=c~NvEUW19@jQ&<9_p0VE(j!x?nd%No(gYA#gMB7*49$C`NWWk_x(g29Ze?(LMs z!s~g=L+n6~%_lD2m~V8l6tKL`4gbRmH#bWa?Lk|vhG%SRR z%m64T*0~nhrB%B|64AJvY1FQxOo3|-X{6reo*SWkE0Z$oq{WP`3P5o%d=s|#M+pV0 z%d>`9Y#fwe3yEGm(O;=3%{r^E!3F**5p=&dciQ+_aK+?8?q^W>y>AnH19HA=Jc}ke z)Ru=^P4~-Da89M+i?e7+lIs^zXKVxK&-c4=Tx(ig0q8!o4Kg6`l_ho-v|%l*{IXQO zo6Mw%<0OvD(AND6srZvH{L5K6@14 zTmGVawX_H(Z|L+feyTBwNbgFmx=36A`~K2=PG`s}U!0dCtsWJ8F!o9JthZEANZd_k z%Y)34Y_$&+trx)}xKa>4#=zuV^{Qq~_#n!jp?_7vG>NF>ZK^3BQ3BzNf^p$g&=IAE zcyX+Sj5wwPqiJpFk(Tb{m`lM$-YY*nDaFG>)qUxqvaCVgoW^&zSn;g`CX+>lgAd(L z11gQIqNWjq1LOa}-<{SB(O*M|QroTAuF)W?hB}azd(oJQv{+Qwo~Jv0ht1cL*IDiu znwV&;64XVteqSn&jyOOv0<8;Lk%Y_i)_`o*RKV{&5s$;7|57Chb1D8z(2UsYSR4!& zf*7l#^60JZ(;ZRXR|OO(`5uXX=l5`ArhEq>=n!JL_zaDN&yo$~bpl*Mp&of`Z7>fa zA_R_W7LK1%U7^f`LQ)UI`)o)dB~KyxM~yU9bABdkwF5;_qB8IuF!hPI0R!jRdW z&byC=3}HmaYNo)#`5zy?fI|2^;}`pTshx z%dK^?5Krls3-#{kszF=v{AHhdC4kJ%nZq-9j+NB-cnyp{PfuGNTg>J)JdswuX9-&Ez= zcDV%Kz|}t%WbyFln4d#EXg|lC^@ca9+)%o>f-i`2#NiMhfsq|MO!;#SQ^E-t*k6Y=tv#w~qk3S7wOT zIdDtE=HG=;QElJ;xzrPTGKL0Ozhl%2B^#PcPzz`t_#HPVyuakJ7+v_+4^S9*167j? zENb%ObhKTH7QxQ>Pc*BX>rmym=C#C8aonoa5q69l1LfgNdhl87U7zOb!=EZn8F1ZM zoY(bt=T^kKSp?Gb!O$}I9bczdQfY6;2rC)@iG`ko6rR91Yg{$u*B#cM&{@sxAGk}V z;pWevswo4_h{qpKHpUsxmfZ$!L%CEOjoC_2V14h@ zlg+x#pZ6NrJZWzuV(j=1K@)YNdH$8!TO;Eu{tHCzX0 zD4EgV6L9^7NIH*eUnW$M?!EA_Sbe9tamovyc0O~oiDfs~cGwia;iuh^b#wviRgS`P z6`8K%E~{H_)iSFafch{oNQCmR&#|ZJF||iT1T&?R=|G9-t`xT(uIgNV3Rj>q{wqvY z3T!Q2PWBWO1%sbK_80qkiI1V^g$mDpt#cEj$un&~u4ykHbXU7a1H+5}m>LZ7Cd+tu zhQ{j}xTSrB2=pzgrhZAG8i${91*3!%OytuGGre-9E4-Q{CvFUTDn4V5PM3I?4!4HFmv042^l1*}lca_@eelnw|!=o4W$Owd+7NU>Aq-89hICFd^Yhb*Hw4GoU;9{IkfOKg%5&{1lg5QF$!)M5|9u zA73n=j+(@>iGqfwA2~@-oW5~eIeh~U+G!yG<7y$ANUGg2@}L1w6;dD~foU4t;-Prj za@;dwYsnEQus3E+Qhbn*YD8Kt e zIU+*f*T0C46<{T_)MKA8WwhC_Zhy6{sbNnj1u5!U)VT|<5$lfpHI)wzTx6%p_Vp*a zMw3H54Rq);p($>7b>o6&I@r=mp}%nbeGw!#BpLY}8=o8Y?%!hnAE*W(v@MVEIn!6jeb;PZ?Xoxi51+yI z)z z2s6K!uwArdScrjGJOf6ybsOLwtFWLH?FKKP1zZ` zm>M92Mc142;R;qDq;PPV&rYe{+LIMFEe5U)nFj8pB;>`Dd_G+OrH&~PUC}m5%QjRD zn}Y0gX|Sx7G!2$oWoZnsDr?f-0`-8oJ6KM~fBa$=vb5+6(nJVmRvKV%MX{w< zyQ$scpA`n%S_FatdgeQf3MLXJxT!&ACY(>vp`_jpO7q8a=PW5!hdXcOh)=41c)iRc z)hbxy(?sIjtIj*!#r4xFf5pV-h%H^ui+GEJ(zn2^(xPta!)KT}2IBI2bqL&8mRWZW zXMv6S@!0$FU7*H#S-Q^<9kv@O}8*dz>r`e5MA;>mJI0)5ih0M1ru$dDU3A zK1*~yx;8YXP}=SVaDIueIWzWpTr!hfEsUspSA2FT6|0TF9_ooDvB^s7^$NIJ#w+&<`#ys#ZGt5i7 zZ!Wa**grQvT~-bnjgJ)MkyRZ~e-X;j&AKfO$OD6#>5O{Akq4q)V(BSwZNvs$7q#Z2 zfA6KJdtSdFOc6#$DUt6+=93}(Kj&9+st-i{9vGk3^=qjhk}I0J2cE~LvM+Hd3I%~r zVBcs1Hk-$o2*D2kCFai15`-e1=tI(UR|_BJn_^p9GJt)N9^4(K4|`O6rhJ;uYV95l zao!<(3N?CTC54f*x|WOOoxm1%ykbgIiP$30^Jjz5o4VrAv`~l zo(CAaoz4})p*>$h>VElktR>&IT#-Hjmv<S}-i=J( z6*`6AH@uDVV88^=C?x#%K2jDb7j z{WjxIA#-s|pITq1_}lW7%zjKJ$ST-{C-`k4sK2Uc8`zwlAiMxfu_Da(e_7oI3h4@2 zUR(}p04JLXOX&c3mN_ahIW_c*+4F1`vF-%xZD_2R9qDRMih0IGkn8uR(seV8-{3gb zbyg4-@qF>+i0%vLZu}^ZVD2$Dq8XsR#^hK_9QDh z_Ed@D4_X8;xt*58q(4&N>x%M$o9^NOJS!A1rYkRS%j+BvEA`vBRz=TFJ7K-pP|m9pVQ2eWd1#Z!`0WdFh?a>&J3Ae z&Q9sjN#wuF7~f1_h9)0a(?AE8!%)zP)%!xLSTYTG(%6rmbKY8`rmW|3$n51;AjORh z>`4R>e-^YtH$|v00U~jl5u#UtdFY4;9~LUi8tnMq_nIK}_k_?M1IPglB6b4UcT$;x zZ%NclAD9$^<(iide`^KN+UNxfY)Z<58~4BiRK4z5D-9wp7K9OE`6#jLQ0te%9>Pw; zGwdONUf98Dix^EK62E21ZS#t6<Ix%M~xV4ipBwWo`d_^`Qc<2cZ+EB9P>(X4qh^hHW4C53r$}Q6R57O39z01z%xW;VQW~ZZEN3h^p=1>7&H#t zU)kdUKk`h?MNkxJP}$lK;sB=J3%!lvm?KWnz~qDF3jG_h7g619o{yjakpHu&qH@eB z#^eM1&ud3j@Snww2;aXdg>Mng1I~Z+X0c+K-`3Rz?{qH$;#TrU;67UpAboRlp#Iu3 z+K((vNdb?mIYGo`&wCX-qe))yct&brD&CkT-@6+h)J}*1q4P;TS<97&v?HkKLvBzo zi#KQ3P3vVVIITKOtSJB5T`N)cRDGZHeY|UmUJbz-p!3oTwyan$mI%K&cv?d%x?>pW zpWAL#cEWvGs?4EqMKy-|QY)H*+fKGD-A8pgEy|zs7yxR(S!x=da(sv;$DZ-HYy|t(&Wt zJyff)VANXi30S6g>)u6?PiF%6u(+@^MlX}r7_=}+oY%tg>w}uF4vSlRN;EmDQ45BUzG4$-h*jC zuMZ!u6j=-n%l857-<>C^7;}eTgBUpEpW3|10D3wt0BK+^;8;@s?f?uCjJ|~t!p}Zv z3DYiypvl7r30ATI7|cgnxLY$g8B{Qier)|Zow~Eig&lsTn4;>N7rYENp`LSUOEL{0 zd?IHR@~;0X%h-&@cPD|GIWR4HSZb#2P`6Bw$ zUjO9!^3BT?EvzKIuiW zIMEFJ?_9k1LECP|egAD(9AAf4D!2CUd+$E;j9Ow*7v{=V-?XE+ zhG_M&Qw)KgZbFvntIX;z!c3*Ht6V61R=^{KBRWzuQ5d#DTo4SQH>rfW2kPX_rK4d` zEFXG?v`o4WM9pjOxUiR%>XtI|=YY>324R<&QI=F2Gi#yD%;p8P-`oT^s{2UC??a<`_#EgBtK0|Dp+v_bIW4bSi>MDexV~by zMm+(zg2+7=8N)LANXOU%m%$p=X>i_^|HrQQk9psMxbR)5rS)vub$BYGZT{V z8)Xc0scHt)C~Q+Xb|#%;s;`h&Z967#^97p4x)jR5yGWh&8`8H^*ZD6tEc4y3cTXq4?qKuSuZa68e zc>CsUbPycv(0I*GvjOi3r{?9e--KjNDWQA%&jPZ!>NMf~)+hV>)%Lx(Gukdemu7>O$GGO`qE9#^hm zaBhpYsLdTrX0UpZtri`g2G}>5I1TOnx2j|WK$LnZD|Pho4hYBgypY{;*T(;|W{7MS z&2I^SVDhL}*3l~F(VhMqRUQ9d=lEt5ts?K%f_h7~Gbt#2ND9()L5nH)b7^GO#XT~r{_`UG zYpbwd%fpx^&+`_{6bILG zL1dDs(b{oA&a0Xt!t_RuP&7v*Pj=&Q?sZUbP|Ju(C|pzb0r-&(B$Z=vdk6T|KYUse zP!LwZzX%A>DQS3!=P*m+1~0*Hf2v8Lu1;Tu&wvL}3?rEYVKS0<=)}M>9CdmoforYS zQ#d&yf&CJ^JFPv74ZNmqhpFCqcKKZ#GLnYv&C(eGrqOIXowAA? zwexT0rvCtVw=pXB#jKaq*W{{7FeO#;Lba*5m%U@)Gh}(;hkD-KFqZEHJT?>x=$?Ba zD8pluA*py=7YocI-$1Wn{c-j;v_~1JXtZx|-8%VU$=w8V&DU>XqJSkcr5N5?FIvj_ zoDw!lQ!OiE89(-|v>m2R=9+4p0b2T@?X1BLWCbvA>C6eKKCJ*A??kP@tKmQO+Vk2y9%&SQdyrkQlv_NhOkgs z7Gq#+!VDeKHv*t^-cQ$WuGzIyMGy73qv%X?ShCN!5h4W{ zxRckgoc&PpB$hIs(e!yM5!$h}?;)WnuNFw?6B}-I7zoXEK1BsWLxdM&BU)4l*#(G0 z-b5myuF`7^0PVKdujt*`oKYlKi1b_VDeiujnp5I*e6E^?uX-)TP%KSMU_9+b+j%V= zIzN7n&BA7s>nIsZC63AWVk8&FdJzWJO6fYycD7!?h$!L8XY^hsPi6F`52^so^Lf+% zVPL5jBG;yppeKG#sPAcR3rbqfR8~mRgB+WF$;>UNg~fbZx!bLQ>u1!G4!$G|wLf$c zZefs8g4Qd*lU8Czxq{j&?)n*>qz)C4ffyb_%-E^lUh-Uu5@w-!`y?^6jefm;Q0=gb z_o`BN)J?FT2-J7#hLC_kkO(0geRkdY=lXoU`@}q1(wJ05%-KfJtQQ)i*|y@1Vqd!m z`o*aoW%r%ug5*e+>|>6`>$czg+_#|yOqWhAiHdh{H{qL@>ZqAk*0A>>cFwKPamMc& z(j^KvZPR&QWxG~%ExWT>u)5O8i~F{SKmW?{MVpuX^jdJ~Jh98s-pr~BAo6;fsk3gm zare|k>T;wnbxo{xfrk+7*8D`9$Cp0+jg(b(l{mzD3YjD$^0KB5w1>O!9lfJMkD#n- z%+75UUTwwrEOE{Ky^KzE5$)>PFlx?6UAbfP)}LWh3Fn2pGU8$29r~UDf%wp2;}@s^ z4k_;pk&SkVo!&NmF<&cBiukC}SluDSn1Xe$f%W_#3KO&BQ8P}k?z?$mSm230zHwh4 z)wN&1$@}(lTNjk^$w~&InbAbK9VPj!M9@J{RdL1m^9mJx*B9#Z-(1UFOV-pV(} zSR$v9p0@Z^SP!0j*88>;{vYz;kc-3D7I58gh}PL_cLV#8sLI%zUXyLKhGW3abfksn zv3*Lb#Jz(=IhHL-+lz`eE;te2%~xmmBbA5}`76h1k9gu$7B@!wdL>s13hC4ejLVn4 zaH`EV$pdmT#^S-WQ0YKu z+L^D>FsY|E=}wZrmq&XWnUSt_d)LOoE56{WC{ZoBs>)Q$od(RGYFE|D*N`%L_v#Gk9i>l`GE z)F?1%$I(+2ij!8F6rXzQO$`lTyDb|Uav}#00*Av$GW<~~dkdfOa91C@`af5XZL?dy z`@%85=Re^3b1Qqg+#KcW`HxJ5FN|iD*7|&Hbzzt^mrJU=jx~&pXq83R`rt4*4DVLJ zm1i~1B~PZ7FUpsHm**Tj721T&82C{BIxIxMkr(3~rVGlKa~whf=?OtJ8spc-C5YF- zCUnANYeN_u=pQEYcpXN=3FtV#el1W9RX{*A17~nM69p|VIAt{Z(mv_C|K~C@()El! z`y77DpC6)qY8yQE!zoV@jMwq>QxUE=9E7V^nX|7oG98p?nB~iu4^O+ap>KANBDd4B z72XQ89EMr}!zyJyp!p5jx8Xvh2`(!4>JABZB6A$TZ?PkH1- zB-D;C@{yL`kKr>TTt=uAHO1$pojZpnE!voGdh?d&&Ynf{sAmhmPSrlTX zr`$m>?5OcElIP8+qsG9z#)Rh^uU8H6Q+Y=;(uZw{5DgfabzNG8073F~+o@~cGe)lqgIOapilfq+c z+7q#$arPL4%*6ZcdNq^9p1Z|WO}A27k$m3b0?{hoZ^8;$m8{g1dETeZlI?1SI)T5! zIYs>b)ML;DC33*ui^F`DJnrRvq;$B!9a$5|M5CLE7mUha>f(#Ct$D1x=oM2&*H>$S z!J5U~O0ZKS_@bB7$KQ}|Bkd_((iz_h_%$zW;wm(tpMHTD4~eSdbfJ2iF>D`Cer+1N z(0pEf@pt=)ptwio2nJ|HATGxdahgP1oeirAd>g|0lfv-elrf+izFriv(N@?R9oSLo(SK zuG)C6h_ecAxv#MNeaduv{u&oz-UQ_|!h#!ppkkPU8{_0fB}T#axmJ2;kuM>ILn*I{ zBv1J{CtODVx-YS4WZQj=?In&Jn=x-{Mrx9BQygS3w%l-*ecW?=a<`QrCwv3V;HI*M zlWpb{lY1!`vrny4n*a0xM{e5D*l zk_qr{UV-F#Hx&@n_`i@B0w5H#FDngWHTc7Jr4?o1Fx|u{bUEXB(NwIAL-hkdQ}~XW z#nF2Ojk0T_7V0~ZGc__Jr3-DZRD2YTYnO+U*S>GdSb4>@N#?~$T^_lt)k_&u%c&gU z`vG6ucW_dM-_y_!*_(Qe=&hW`5U<-iR109nei);%a@}1S{#rj>uw7p$u{2rr^mho` z`|)q*!UiN$py&8;O)${0BZO3>hC#v;Cu*|xSfOwH(yseyUF9&fSg}jfj zeb8;IZ5ajEbi*u$tKEfwT8CiF_+FkP{PSbUDz59>{`U2&7gq;ggGiAALc8<07lqSfg^B`-7~zW(xmAXr!s8P=AHY3-O|&z@SUyeZxfU{9{w8*DTE4ayH9p23 zSJ3%#(U{3iqviWFZJ|JD6_3=9riS_aXFcC2^zZ3YwSF-Ki0?U`OES5HTdDiP_vE&W z?$#1(tt;2>s*+Y;Sh@^EymO8YL~2*0=6zSpR-oEcnfUARyu-A@4NlPKpkR!$0xG8Ed=>+58Vt^6ROP zj6n#mu~8y*@_81!+F0*Z(B^+XRN*gwNqunbcq(M6clmI11z(B(x;3&ga?4mn_e(0l zTB>kM)&ncv0(3+ zooM2ZVztIsabFYHjjt5ZVaKkTlrg%3fjul|Mi%}}Pq8aKgJYUyer0SWX<_gaG2z!l zse1thuCc8OH1(Ck%FVQOzL89Cy4$Mv%={BvkV;=wx*>A|6ThANINaozxcR#@i#&_Y0k3{Dp`9j`t4EuR^@hi3{P-;V|AKCS>D8i;C%jXC$b(t2Or+3z6-`>B}_xyWy++yFRLH`dAdEY_3=oT-#5xT~{9oVtMhjZ^Q8p zoRE@Th$b#iM^YN^`pYFw(ZxhoI`UI~Ul4<1?;6g5QEFV}3Dv8vCNhTFxh)Zc=?Pq4 zLH&;#c*E|W>-mmXsB6jHZXT$J1xC8i0)lG0m|Hf5tCw!${EyO;5>`gNt+j<652+DVrvrV|-{q6em zaCAY8!mB{%&nCq>3jyLOgnLQ^+Rpvtkdw?wZ^)-iV;$+6#NQ8PnYF2&uh;9iBB0}r z+HpNJUy)?_oU7Ug{mpB2ubSY{t%;9n={Q`o1YSy~H`LzQ;yhn$lR|s5mR@OeJA82V z9PJEgQKQ`-$#Maa2^Bhf_EW?ErR}S?V_H+!7rK;`rT8boR{6hD^Crof9yOsGc8N6n%;ZnP=z^l1W1kK;mnmZ0A4IM0l9iydzr) zQ|}buZsSR-_HX&4cZlYpVaxfLt*zefAjX$Q_%+@K3Z4s@e*1ZtU1G6$rBK4Dp?pj# zWG0Ug-}tiu?WO8~RSKgloy&w~*O`K*z~VQnCAD<0zAy7Z#RG~2GA!s2(V}T9$x5}Z z?!i2LfBZClN!@Ivf-G)h)#FSkQ92~4zQcuvHTgR|hZ|-~r;QgqC_)dz4`v7^_15zl zlZduNZoZTjI`9g@2`+A6FVXN;Bse!4AQ#SZBBFL+Qq z6z53n%E)g4uY>IEzJ%xaovw9}K)%5>nKss$j#1{+dOXWLDo$V#0HO5Zn@+!3yYRGb{I>2PY$Dy)j zj7k_=Ko4E@3-^U?6X@HQtglX>;5G7*F%v@c2QsX$fBGEOOSYAdDSd=H9C4@(q&}^&)1Voym(OA zk3pGu@sdD#Ou||tQj$|i@+nDi|Dw{$}qo4oqnOZ<-$AlJMR%@p- zxNKm;U2H-j%gh}MkMKPlxS{R}M!eW=7}#7k1A2`&!UjZ^$x~(~MV1F+E_G{3m1zaT{-rj+%n}MxT)}A6`!U1P;}|UrWY} zs$XV?`lwbR-tT9p-SO@TllbOsb>?=S)NISWnyF$lSf{!U6MQy8)Xyd0A0*=z_t)8 z0%{m|R3%wKNwJn`fPWXB_#%2r)ildlw{3;8#*I%Yi&Yzdc_4VDjV>UEg!Z(0%2j*J z3zel*f#8r=FILSsssPmkY&devo^@^C5ZfiidNTYcX!Z)ZxuY{|A?U5)6iA4>cnRS+ z+JNHzf=G}^jSlvYxm|l%51P`iPGU{gXG)BYK5Q2t#hleXt=;}vMET86 zN$dv|ihA4P`Jdzh{m{b9u+X)=7OZOeG{!{A%7Z%jk(j08--8fi$( zX|RSQ0MnBu3iS8K8uaT0J|WwmBUYxw$kC!e;{a21w@iEuv=dX+rq9>5wn=e{n_;S_ zWv{8RlAMi;+s`m}(RoDi$k>=Op(zj!{BT5_fEY8DehY@%a_g-^5P(Yt61%*}X$xyzX0z-P{^9G+C_6wIoNqa2FEFZY4ofV)o z&&Bz5M$#YQvrS8YVBK;J4OVqV-esggUI}p1X=Jh5V~TKir5PIt^k?8p2fZ~w1E-X5 zUx4C3Uw}ry|EkLnKS+YoduiRdk}3e>aKr@QI_Ia>Y$*q5D!df8j2U)`)jIr@;w5Wl zOq=|7aAj&pP5aPAZotVj()}9)dkWV;Zx7-G0YzNmEpe|$9%?=`DfZiK^4^&h5Q$Jh zg@YN`W|P!d272!-Asj`8X}8qI1q!oWsi2_2j$E)nSbr5+6tQ$zuP}>*Z z)-deC(gNHY&g0Xov0sp!GE_rUv^2~B-t3Soq=Be^C7Ym6>vZCb9$^Mc*&iD+ZIPE`@Wb&Dxbzi@uLQ}k2B*o9yD?f2ZOqg-$ zBScH{O`x6GD6sE@Sr-)MEf+!sXbTIh41Jxn|F^x!UBP=T2kbBl$W`aI`~d;T-83*`eGgKl z04WY$xM)^#S%o=sRr0>dU$AjJcERDki_a!di&i;y-P4jbKdl^|MPw`)=dJ<$V(b9b zsyGT0b4Z25uG>(M{01oNYXN$}GMY(%FJ*H!OHPX;)6(PMY~0|E1#$u723H>3$KhZ@BXWwlxN?6_&9yahH+5ia= zIkHDFpTy_Og57Zi^DS6Wv8^Ad)4a4C92MH|kP zEk&v&SE4&TQZcI3TwaNEGDAF*S*yvH*XDT?J;&d08( zsqn(dD5;!c#p#>YtO>yA>MsjnFn{le+L4Z@i9C6saJDpuZu&YbKJ70d7g}vzb*duv zBU{c}lPrWniBEynP9Ttmt+@q>O_m|lmEWdqL@A7;SCUt+6FxFIqQXCUQORFJIw zN5!o$>U50DFRB|4@?_y-D8geCj{F@>I8&K|TPJM64hddDV4MYSqM~48A>?s!G^6Yqqr1n!Iggspi?8LjIg{L|a_G&X=UQ?xlMI2Kb` zi#z6mJ=7Ig*fy1CXmy?#F1KuKOPm_v@0Q1#F4At$I<_tRvIw4cm!m?;n&Ok#jhhqv zB3R_zF+Sl73^Iu9o6A4d^jSPuZ9CtDn#!U9bJ-kVvor%Yh#IPHglsA|6)PKicG1Dz zj)ru=nD{$UT2hd*-gr|D!OavsGy?iHaB#qx9YEOn<`UdeBm$usNRi-bBL}$ru9BA( zOQXDqWZ0FK*@FYd-st%pEBY2$#sY?|cwmbu-T6A)n~q5VyHW4&jvwoe>Q?P|3}^3* zrsW%FF;dR>2tNe`kHGYc*xVatSGbWRy2v8U&+1%8@O0~P%&qXI9N zEp%C8^U&NQB<;DS=fXGkzX4huT0}~lf^g0S^Uf^r0Zev;VB5kQ^XKMc0yVAR={NHsjjv>DZF5m8jHl%l={5Kt}P79ZB|Or#s|)yksrLxL@e{ulC&# z?uO?R=*@i11$@k3m2U0uWSKVW@T_UczuxJOy^q_BD7~pv93mj-5A{N@eg(*jpKYV% zXV|bQXbiNx6GAi{>0kwNt9W=z#xmJBh{dhnE|g#tb8-RH@93aK=6FJZEfQ(C>(Oj~?-y<}q7lKrTr#ne~(Vza44mACGP zI?#sSMc;^~uwXTx93p$N$r;o8+Sy?dTaq&}sMXHNqp$kj=(~RrI`%=`%YE1wFoPpR zAJ)tVMA|VGLp%5ecWlJ?@VNn|2kGmTsO!fr^3-^=B$$&QXEE(#e~AEf?jO+Zk!ef> zMf%&vwWivuq-E1D{w|HR%mPuikMSj2X5S97Y#$TNzm`=DtBDURY`me77fohNCbEcn zYB@pzG-dDg^n-nqP^OR9m;q#Qn{afO9Z%;7NcZ8YaT&gvLK= z^sxe!93gx5|H%5vpg5vtZQK&vg2MvA0)*i1?(QDk-C5k-2`uie!QI{6-6gp5?fc#z zU){PtcKU4hbEax)du!&*>3*KLN=qcaa#gq-EL2F0HIA`#bRp;eq@dqg1oC#WEH*^x z@I#6H&Ud;n?|9jO$dCg|U(bj*-L#xe(C=qTsgPoKGiZ@?Q+Y8FubM}3299WzY_iF+EZzvCVf?iBq> zo)W8_7%C2DcwH{kmn)-wPf+gYB5Jw1K7bndzB(4)RxACF`@bZ-26|cW3^g<Mp@( zXO*y34o`2_pnSFc2Z33Q5rh(`9A`Xej^0LC%g#nh86qvU9)#~6eyvOM%kw>r+rLCXLG5TG_i($sglp#$ z21`ZVbc*0ha8k!?#qCF+Jm-(GbOW~y^8E>;sD3$hg9ac?OFWC*MA1MV`uiy65R&|b zOuZdxZQSq%ap&d+ z`HDZg4r1h?_!sPU^wG~if{_>z`3sT-YuQ6dcxK!1=nJZ7Uo@!6)x+y=TP1MK-W!72 zrXBK(_OB0zelt<>ZE$D- zQYB;iR1xIpA})#|sl)Ih?j@wK?jz0F7dOxvw$3A>HGtdHubs}lw?7Pk1aO|eW_0jVhqsT6-!M&Y}vkR7yzA$45gAlr(F*-9Yk?R?FrSO$SKzq z3%I&GcWB~H-K!#ni`7mm@$zzHa7(CY;k1&Z5MxUH23~8%+5jZ6#>OlmItLc<2HTwS zO}0Oze=wHUY%4V#7q>?!2kmq^XUp4+KpA&sm=U3%3Op&J8dND{zyb@fHvs~oY&)=V zeERYY2uSux%spQPsV%W|qzK1#x7pF6f9#r?JSxs9uv$W^`uBY$?bAdRnTt&E4=pyc z@QI9Y#Qitx(HGo*SRN%~sp^NL5iP`#Sf+S(ndO1|918BzXr8p3|59SsjCPpE-0l6_ z#^U$7^8Zw$=Aozo^TljmoI!rX8qs;d_+?`8<_r~LJ$Xtt7SKCi%#ntR(RduwAgg}B z4o69^!&|=LKq_E>_(heK67~}`qw(=vvz@c7PR$Ob(-5ayw$V>1SXA?s^adZ{&>j?E z1*jqTNlrEAOJaU^Iq`ob%evGoKt1V+CzrAK*6<|+0z{Gsgd*i+q)*8m$<8AeSF1T1 z$R`l6p|emlXSc(dFj1}Jhe#juF4)}^qa*}TrlaoMzqF3>gfTwlOu5g{WODSrWE1$^ zlAaaI9ZAEkA1aAO;~HlB!x(sFzbcZA@O$yU@r0Ru&z|%;ByNuTo#a5ZD^O)pv;b&} zLaG|+fL9y?a;M>d*~A$t8@_qMbJ}mD!A^4z*Ukc{bKK(S$A}7G@ijXguiE)o;|U3wkBGvv3~?MoapMIBxAjXDhOzhjY9@VSQ3C3iyD#YjrwrHS5|TT>3xTnnq%%}qt4Lw9 zSO!_REeL4HqJ9{4*O$K{*nb}XkUY}t!Q>F?x{z3c-uCs=N4)S`WF+M@Sl1FLGQEV3 zuY!t`k>x>Mei8P=KAwOmO__uIpDeyXs@{aq3#5TC9P=S%`-|Y01b8dOhN2Sy3S#`i z!4uBhhYpWcLf1?<@WgvgG}@7`lu(BqhB^$jMli30>Xsjvnnj!T^uu40{R#2H8~HbA zd3bacqwY2QuShYQBTyAiX%;7e2hQ(O@K;Jdr$XCGpu+1EamB{DAeU4>q+-TOU>G$g zcbQr}JR(|?M58L9ZshNWoHO!2K;C09Z0opUka*2MK2?lU9!N^bbT;P_DK3!Nmyx2| z<93N(M8s7;GxukA5RvpHdo!^OsvvQIb$`JT`ZAAT z-t7l&2MC%p4nGVQ6jDk|c!dBkjXCDkUO_B;&R-_w;vD~uu>n^Y%?jmvpe`L|{LzfJ z6vVD)BS^95oO(^3l*EJ}mb$!k4IlAzoPg?&0t1XlCU(Kf_xt;MholRx`qf5q(!VLM zX~NN#;Jc=oI9c4k;SIF;5@HEEPxqxJi3^P%owvG$ogJZ(b)9D7mFen`H?SA%?0RPjriE1V6x(VU-N5w8@2ZD(ZaqB)58biNBC#wp&6`P zo>T2eIlBupw6Umw!+(Mz)OhNE!)L*M48AapU3hfqH&P=>5|JOzz`(FVZ?xKZR6xpu zVE-&%m_#??S*|gAxRoRCx$#0y)$i)(S@D|UKX|I?4=T*J)O|!hxNd))E2`Y7ctISh zoPnKZ|IBlX|A6Z!pNTJoYY2d#*U!oCAAumwU=JY(Oxps}=1}qcRK!Z9K;uPg@ zjSn9vophjPcBaDw^Dm$w8_?==b`T{qp+>gi6#PY02DVKq&)RHU9YdrXpuMQ4j) zQ-eHJUOl@vm((P)add>v6eomXGN8Rwe0;zxFINl1AZg3`A^UO);V%rI_azB@7lP%) z{9(&;KzMYo7gE3*t~%i1O;Ch~qXokO8aLtYK~Th9zDneLFEETzfMhJ5sZNzpV}GTc zQdqZTu>0JI!9`1$ttJJTamqiHndfF4ouW)2P}u|e%;+5A*4P5Q-%(c!|7B>_@=NOS z`oqr6AMJ$Zg58u>2JN5V7TIH=mdr!f2@?7`;O{{%=PK_5j6d2qF3;n<%56iA`s*Jp z{Nphb^af4B-XYAn$aTiR@~!k@x@sj{HegQsC}#(KrQYUs@#nhx zIxl*3>?Y=n`~ACH8VAJZK~yu^Oc0hkfX$qr9WXiA!43d0+)DMY?t#J@fo=fLpj_39 zV_kG#b{Eis;`2?!bQBwUyh0=JNAJhBeO2F$2N|bWuY`ivjdo9b2}?Bs_`ipaZ(y3| zCI>^?Q~i?1{4RseEffZyia@r^W|zf*X&p4qiuqQ!BiUe_hlraI<|=4jfEgqh&BH9H zJcP+SUiSIb7P{V^&U`X9`k&AY+Q>6!Ua!cGPe>#$^!Sgwn1VJK%DX+F=R$azK5Oxp zzy`6bnJT?xa_wO)Kd5K#tq%cbl+<(lZyIX*ctXJYx{3HG z9EUQ+c!cxG!7oY?lz-vCFq9cPdD2CjN^u%V@~Q0>y`90_wCP-qF{{wVfJQ4nyrm6a zvNFJ(Dt8-P*mS-LSju))C_(Gqqz)*M@WAj zNC{EhTtP&)Q$?shFWzieSj&pf3K-5#E_6X&hZ=M)9=?@Ll!pfF;%}?{az*AI2#VYe z<0nWsCq#2cf#==6w%XY7;BfEk=C<^ca~GAU>E8Kr_(+N(_EnH#URIAI&b`{A5k~y@ zJV^XFA4)vYK7`!vCmqk!+V9@Rb-14R)LwfPq}SklJ}Wg;6#>N%#9}9!p3esCI6dy2 z5|N^=p^$Xv8d-hdOGTynH9)Csx2SITx+sY1+wafsKIwvgyRN2~b<|IozvJdt zvQ?w6pfa~u_8!siZeQSjOtfKNb6my2e!`6cin6zW{m_R%8`mi5(Vnz+-wEYS=*r+N z<}PWQCf;!?)7hRsw)E@G=J&jbS@&)_;y@VGsjxa@qArw-AARL)*6G)tD^y5!!|AEk zFV$v~O9T>Raf=$J>x&8iZO0Bcm0RpXruRJpe}Ux#;ZPV%teLroT;W5-9tk@TbiAb| z#$3ffF-9VA)0(Gw-5T7EfTAu)7`dM1DXA8=Ov`lAs6}Q}p2m!R5im{A+N-m!G9Ev+ z$y?hW_`%$aO-(yGRo-7vCmFn=t$$E}mz z8Bn}Mju(yx#ETi_fjV2WAq@AkNkp8(K3+wFZo?>xpjeDj4&62VtjvCx5%UgO z4q!MUeV5vA4SNjb(KYNa9qNOmT*uKpfoQknw484fQR3Z=`^-J?R6KbtViVuD{_S~- zFU!vTJc;q2BSJ(uOZa{&I_WCH7{eh0rN94MJYdgq0cN1TB?e|lw5l{FJlctxb~;4T zT*X~xWS{x_q!|TA#GnPKg45T@MJZfDBtCrBDAcG_D!rmui$i$V|nFGB5Wm z6O%t)oTNC&_}1KG+H|2Wc@$Zmc<3Q4mL&9??9BJGFR}o7t*2Rn%U&ibvcTB)ih}6Y zm+Bq-Sx4d)v9+f@YcX8;;R~cB z`ewW$b503@w$+(=A*MT7cA$Sp9~*kc@FkiV3!0;BFM#G4DtYxW+Mc7y_Xu|;M3Ux$ zRb}bSc0k;WDhNynC>OIsk((8q??b6`NRazB#ulV7P+s6fsxq6)beVvHYD)>47_kwg zc55kgPIW$hy-b+&pU!B^xtWxmbpXn1NDd)i!azQ$+9jZrKDbF1j~4_*7VPyW77G}o zd*D=quMnJ2$vZ`L33B=_?~=U)>XKAT;4}S_D%hj|MHOAJONOH3N>c5$wn%afVYcF} zZ@cQ}o=&OGjxfVL08Yl0^l7J^r@8gf*BZjq@g$4$wQaQ#=uR@jj?vzM4={jN^^I-= z3ZnTBoufcBvDsWYa(YRse56eK{31NqD(N$`eu~Fz7mq@&v6{ifb!MRVzb_lIW@8G{M>E z1aJbumr{V4Yh5mVNdP{f;E{4=K>YEm#;joIh^@C5Wj_tNL5BF=*K=@8HBAwl&-B10 z3BFX+4uT@IpYxK1v4ra9Bc)&tWnxjtH+O+Oa3&`1cg$IaK{gmi{tmR!Ao>rm7%F-=AViue%qWezjIZs71>34 zC`oXQzNJIx0?nF{aFjIp0tHn63?fh{gO_`cKl2iUXd=VE%j#HssdQ$Ij6;`1Z{;bi z{LzcL66eVfo(&%1dE_$~Cp@VwLP7*^5D{mV3iTQN={5_NsK0r(C-1mkel0<$#I1Uq>k!&p}dE%qO3Sc~>cUhVA~( zRdkhLleZm)#0%>d!DNe7C%f}zdDkl6&f9|P*Q08&i`Y7)hL}Q1q^ci6DoZSyLB@jz z`hR1AfEvL@wc8<90}mauzz_Z+9Eu7{T0iCIUfDu=>D}{A#c#G; zDREU@Q4q}EC8Ho57Hi8fZC{f`f-XcpcoCm43PYtTyjdTtGZMNxd&KCnE&=_MLVRIF(a zFUJYT!5*qDR8m`kYpnW!h-*Vse0vfqKjvuqdRN$rdu=@U_m-%uo^yuBZ|As zT`8kp9J&+a5AVxI}LhuJX4;$gGu>b4*TZEaaDJYzLn>U72GZfIg= zF>x?~%QIi^87S`R1j&Fs15xbL(k1;)a_#J^Trk*NstW(bOyTT}eM={%yn zJ=BZRO^+G;_^`H8b8p6b%;-Hl$1tZ;8*YZ&9KC`^A(*UDWf}eT5^tU(#;>xZA;$kL zap8E$JbNH{IKFZQHMq1BEJ70Pi*1PEKz1qC1)VF#kC39CPl9S2XEOj-op94>elrwKI5HT4nRl_eW~-K5aMsWm?>9kJErfki z7#*~dyG|AXC_rG@>?o0r5^-!X(><+>exfqi(_alE;K_rBU3zn94c5KIBwHJzF<(Tz zz+!2`1PvNj*2{_4wQYc1TxSdPUiO&*rjy(Mg0i%#iSXg#fYV2>rXb4N7h8}hB#v>A zsBVI4^{GHN>C=Te-kEhE!wgREs+K(X*~ixlAgROalUqBGBnkxFCs*UI+dassQ_)f)ehsaTnhM5`i%zc?bWjzH+^>9ZLpB0F5vIg)NCa7`t z!_sIUJ7B#6=z?>Ax5D*hE=kMGf__ZT34?k(Ia8q&M;-SLt+YMTx+u1?-o=$CZfg#^ zhnAZ5I1Fi30VhQC2x+Dqh*Vwii>yPa?2_%9t0rtEbuurO9-o@v47HBsC6pf6Q!nqJ z_N3vv9%1?KAFiGlnkuG+IZ64SdgmsMcgWZ_{5s_Cjrmh)}_En}cnA&HEEK-=>)_Z+1fm#oC{9 z<9mP(x58e{vqSAe&$$!LF&`z?Ubn)1m-e^9j~0s^=a|7qD~=*t`kEA?Yew|3R)Zj} zps<2lISrgfQ&FY)k+loKP;AK=OJmlcz)1&8Nz|Z-0`wCQdwdeSdVJEy&Kmc_ity8; z<83L~A=ljqg%|}dnrD4$JC>JZ8&!qGDIBmVz;8^t_E&H{35n9MF2()sh;mLir|!VO z3eN3!hQ;9tbKgRtSD1>0S*pP(!6u0XJ|?k`h!$1ZwVxQ;BIo|zoaC#pxxM;9#c~9{ zO9)T04MyreQEY#!f?1VD!#ZxE4^;IO7Bk~hVcwHkKuNaY<_DhQBF8A|037FkE$Bc8 zGT(5CR*Mb{bRMu6+pgR{ss3M>u#6%FR*kyh2%p!&J{MvMFU`dO;pRQ~1k|3IDXB~Nk`Uo)7yp_O^^sEy93 z`sdb6eI7*QM4R$7o`E=nL6`STkPhnxUo8%$kD z?UydInj~NQ(V$Eo(ICW)S&-F3-&+2?A>9C5@0o>G;ontQE8!AtlVR;Cw z7*Z3M&fjXpglMuIdC&{DBM1opEHTXN7DQJvb@R3=fR89fW2ZCv(kf?6NLh=I&x~+_c zcxttRP!QX3|918=R}k5wvodE)3wf}tNh#Jeb(7=Ob3#5Is>0RHu-c^7i-HxK=!!=LSJQ~g>ygu zz5eo&9d1s&e>WXM@}Gi(!?fl0&v?IG@!hJ|p1PYPv{!m9E+@WPy4mszJR56v+%!Xf z7?HP@X9=j@MC#u+b#M&6^5a}{ndq&ZPGC*#T+o1=@Qzobxn59*{I0x92qj)O<0Ba)s(&-9mabt%!_$MQx})*(39R%>lUjT2|LlC|sD5j< zQQal1Un{&x?38lL%L=3iY^YaG`HLlZGC*YClg`Mm+?bu;jT|Wwja)nV02^WN$Gsye zi;HiwU1l4eYP{{F|H2UWtug8xvyE|Ev;YnMbG6M#qoBRfm&ppw6VBM~P_1t<<{tj! z!L5_e`@|ExPZt96tDwKGb>~wd7Js(vIL34jAL*tsFXd<`*te$i##R8O)ZXx(MOj^W zkB97Dvho}j(inb`P4?aTr}{J9nkvdi0#iXhg^}+Fxu=hfcEk1 zgD7`0)9V5L)dKP?E4H*(GcF1qS>^~OkafoJetFWGOAynw&;oc2j;qU%ITnAN=eDjO zrst=JB)9AT4H7o35+lXG+RAujC3V?uo3}CT#HBSZ-gUa6Ja=7q{TAagr`-5^g-&6T zF4XF>MNZSq)oSt|gC7Mp@Iak|x2c74HJ_eOzKYqzqvo<$5%mhK`#<|-jAg`0y8i~+ zeD7w9wFq8`1>OCR#p`Lwmi)u1muIj$uV?}Yo~eXh=uV)-J>t5rnjrrn2uEKKHXYfW zv2tp4d)$Hc1^r!wM@qN_|bHa-(aoO0S9C-ShVs6Wxv^U zm@YnJdHl-%Ia=lxWcmo#d57P>Sf(dD3iY13L*XVprxU>FWA+_rUV2b-4}wdL8}%|g z8@f8$Hoz5~WdZ_JDvp+A%jg_o%b>N6UNC zhR6NeO)Ive4*%&lFF`0<>eL)liMx(VNv8aCjNCd2OYJ4jvyV zI&66-qq$$3YJi*jhb#G~nrgA+E8y;%7`~U+%?qEhtE-94VyFkga~*hh~C7OwtE0RiE{KDlCoxWPsfHQfoi>fITZbCAU`#Z%9UwKD(vEMv8V^CeE+mI!BXyz$wl zre>S}9ow`vUD_-7_OVUwmGhF}+;?0VyhC#JZc%AJEp9oHeeGUMaFIy2QSkQ8L~>GQ zKdz5-PU4)Hg#=Gjp!6xoUiu9D=-fK_t_wTq5d-AjD6s31BzgDgbfy2cJegVq3*E@e z$oplmqU~j^ZaavMsJGFu=lOM`dYe#viB7i-yW&bJ)yMHd)qeV@aclfzd`S!zeq;P2 zc8Lvk=us*)0F(I2nfZuaM;nW95C3EP*z`%J^MQ)v_+ek2@#Fh7VWlqYTjB++eMp&Z z@NZzBiF&T~+_I|UiYs;!nNph+1Ghg8xZ(2%-g`w=;Y{Fy*5KJ%o$S634B2!|UJ066 zHg0+k>Zdd2H+08pzJ6{;y2T`PO|H7Oqx|q$tO~e6r1O8Mf51c-VQu6u-irSHb-4}? zg(|(Z6HuRjG7{f`#da$uFCbY^f3L0|MbhPM6M*E4&vkCIs-Ad2D52g56t8@g zOj5iZd(RT&5p4GpHRclJCE8dV5&CXniBr7;J`8EI`_mb?CLPEe03LU6;_mN%fUzoD z!n1U8eV$!x$aZt1!)Mrr4rm;5eMLv9EL=pz{!4T{iIzK7e16g@Zw-7mkESX(I2=+N zDIJ{&)N9M5!`BsvRW@39&ut1EN}X0(cph2KagAFL+L%ip^M6mj#(p8@1EI?Gt)t=% z;NJ1xvdFj#Y)=LNnMs3%QHn$bfJW$@7IMEu#AYK%O>rB86_O1HR0@K_*m%4@oCMRF zqo?ezuupmd;rlvuttD9A)`HV1`nr7#B6hZ?!jjFRzQ7+a^oOn{rxx@;=g!PsJFXP; zAlY#M#f2n)3QCZ&{cCfV#eXx0*Gq(pNAr}$|J_e%fi~tKi_eoao#Vpa3`BH#oMZL> z_jZt~;3-e%VH9N{_!eG3Qm=AjN6O37@DD>g)^qb2Lk!r<&WaEt|ACmt@5{(^H`H9` zXqCl}es|W!0C`8+&+50Sc---MOkFYGz-N7~$|^<#uf8{7CR}$~am*Qw_t#3V(z3l) z45YQ-j%mZA#yUtq5ThFX4>*YMy3Mubo*3n*kioRMyv5GC+3b*kZ@10^KJeuePOCNt z>&TNBB2%?*p0F{mF*sw|x{QlMt;9Tk;paL2ZfKo_fAREln$ zWsBc^cw+3k)uxCt{_4d%DkzM&s2OOEFWU%%{?y8`mZ(N5B&p{B{98V=+H0&#hJLj* z`M@zjWUIr5FY1!eu-e27Pt>!Jt~}&=Nb0Bz;&ib#L+PoU`)&hP!&T1+E`ZZ`~M%OH@&0{ zV;#kqcb@p~uMVuaa?*@v0n=NfcUQ-X-=%(Xm>h29OO&@43r2*=uxkw?R~vE%ld_a6 zhi#A1h}iF8l{s(&E$b$;)1`)JhAkGSFgP;#ftdirBYAZ2=xfs?i3m&7iFbL43Aq&g z$=B;nd9eHDwE@Hl!+wN7oZel!3{NPsgC&19UTVSZG@Vl=HF6ojbARG2G}w?uK5N6_ z=hM58F03MWo0RQfh%@B=?&zz z0NpNjxA9(ec(?7})Et3^bj3KFHebI=?if&dZF*_(A}f~lWjn>k!@MJ|3AH0_!?z7-x8Vnnl~p4Pi2#aag%B9<^^4*Izs}Utk9J zX(X3qvW%H>S_(jh`lFgL$WjJ7pBRRuFII6F z1@5voCwzk?Pq~yYkd`4=%MknV?h}%`J*6msbDhuy%RX4iH$YLP7PN1x`v`1~(y+m= zO+wlFWyEUMZ}dcU{d?O7U-Vnmt4+mr&cn(!sdMc9cFtjxcE527dL+xYGjD>mFjf8| z@3a4!-(WLfzoYI$PA$IjG;lT~SHqi3 zU;K-H7G(FIA#emdF8_KYW3?9M(%Xa{xxM%w&seOsV%P33l6AQFaw3$o-@;iIyJb3k zaM^M+;a`xagjxao4fLpUhwO5H@dz5E_%x_8dd<-E>#k}Az5BXvd5RIm>5sj=?Xx{T zxt92vt8PN8hVFx;Rz>SF@oS0;Ls0l3cw0?GM~q3f+2{_f{?W}Rcrs~*3?&H%_q5AmYQ3G?^+wi)~x zRrY+=K4A2seiuQdV$F+b!^g{pc{ERV#tgpft*w|#?#9OPxX!)H7A#*W-P>_l{|Z*?Pt@Im#Or z+P##=6WsGI4@c{;u{z4T6GBZvO^pr9s<4V(MkFY9oWGNbU2?6Ue{SNpNIvO{*@|40 z#uHEytqjZB8}EPrXo*^1p0eCGb=R>6vN~dVK_U{$W*Tbbk$w^eNi7*!+03d>FiNsrQ^(J21Knc>~sa zkRQLA-iQf3FH|kWV9B!dXWlkd#+-X!BL1FndJdBpDPLQXx+Io8&0<}w!tBI>%$i|kUgpyE5)UsGI{U3V`CzM6TC%`!=RCG^3g+j2zScXqOvm^(lJjhHWz+D= za+E3Gjd|xN0=w+3w#u(N7`fE*o1i-H)XeyGUY%y^@j4dHo&L&yWTo<59u2Jd>$~pZ zR6ocYr@Dd4p-!Ii2Wql@E%)x`3uBF@Kp@rMCqtc^}84Mhxe07c@5&H11de=pIfgR&*7m2tv+tPj=;Ch%>$hJ&VQ5g?dwL5 z3!rRw&(4S9-myLsE#i-9&nIEGpI*o#m-5e5FV~@qq5KVeZ@Kq7sFkQdbDt;SGcb(g zV;USv0f%_Nq3h7ilOjjs{}uZ`(;^>3FFlFJ?CzC;lY#yHR;;K2YevM$9Z4{so!0LO zwG3VRLnk9pTY_6#xE-Gk&opvR56a%zXRQfM*}c)+Hn8RHV)ed~{aiKKy=$tL%Z@~? zRHfNMI}#^KuTIF?n_~knH10Z=wgwk#_iH!Atr#WQc~Undbse&^?G(>s$;-<Pg6*fQq+u!wILk|9!>jh{A??&&*u0ZNtyGdphmMiS$=?O|y zmz{D-u1|0{ArmP6WGT6+Pwrg*1}Xck-~d?u{*KmpIz-f~{>~G6y>P<`#;MAabQ8EK z;uWztio-+86^%1^NNi=d-NKJ}*DWxPzttz-PCS~Hv6tv=v%N z&N~dCOr?nUUy=S}p$~caAfm_uE*mvJ16L(CXK+nUyt;_4YaeaKU3EwO(9J%w`kt^m zAAruHMyE~B+RmaTmdi(UtwwBL8KH2w3Vh`9=}eVx`L2ep#tu=3nh|_6B|B=@pWh%; zZwbzNj+m}93LoJaXv*s) zZR?18E9PXf$+-RY;@hS7S|2h|FUXFh3%B!Pi1jS_(wqAb3^+s^5qd|&9vN6G>^}BRPRWo zIbW-ib@ghv53#z3kWRtxMJ2jW>pW9Q$sy9t+y8 zZ;GNi-V#cJXHW4&91F(qh3W5HM%NSiM}1-6PFM?El?3J_GjL009U!m~dPrJRISfdN zBXj$5tM;)QbZWU`G$>UG|RuslvRWeFy6rI zQzaH~{syEnC%XQXckEU)4C9$${a%%3gl)XYqpOQAi(UjdW4C7bL!VZ4Qo6}|qr@b&rY@3Al0RK0#tI zbd02HuO;7G#3R7B^O2NA0n-iS_7xZQs}t7^!wLHEi^57N+zr<31*zc*?pV) zI`^&F5@<(syDmode7vX93zxh8^L@GT!^0-)CrKNN&sB`uAK;A{ z`inRBW+}Ko0p=;fEf1ObD{mLk6^!qXe{#%R+k3C(Y@Q09vsceLeRjXFvYJuhZvHBe zsB8oH+g>~HJk~~`We;3GU+b1?IXrSo^|tr@i(Y~g;s2bH%Mt&yr$RmUDocdd8thO7 zKGjbSJyR~dt$ZFo)qC5y+z4Ru76Myebx+hlJUPw}U02yJBp1!RC7&~s^^sXhAe{}x z+0d>tVL-<@-M-WPK`O<7zAx$l{q1{<#IF1fXwPP}g1e&YiY_bhfzv&)wnv-MP zi;xEuehQc4Ut#BdAyyJ_mXftu)tCZ>>=AzwtS=4A3s*i4KhRM85wpcU10)|@s&d?T zT2-wg=pAa;VOMG&bs;Y<*I{S5nyTE3190i_{{f+Xc?}ekklYLu>9lwhcuk=I?}Y_A z#AC1blTl|*E;Q}Dwg=fs7@da4jnDH$!Mg)eBq>n$piqt7WWVsq#$2 zst*xccMdIt{Q4FT{RF7Cfh`*uh+S|1XNJFF42>9Ove)fI>-=H5i(h5c2WDB&rCBq3 z*GxMQoM4P~e`Qo{Sf_LboF83uMmuBH=A9$vDn1CDueu;4rv99arVdaz4bkmDu?eM| zCJxi>j9G3LTgBX6yFUw)!?#53XD|>)h;D>8Qm>&Kp;&^bm0s?-0-}$bKDi>dk@Pbd zful|0T{XG`D7YCgi*_QNWUfSQ2{IALXl-#DOdR3bbjKgK@sAcZ_%8hDbmzVR=Uk&T zhsSR^yJzgXZKS6$?ZxUA`@Cq}cf@C8xsL+p%U;VxLB;g_zam_aJF_n?)$VvJTg0}Y zYx}N_7$jeaT!ywJ$tOg2ub|tY(+vAk=94K*#J4e_JPQEZ9CTJqz(ZA&p+n|80vH|3 zADQO*yDLFgQN7uIq#uoPj4K zg&nj8Hw?~+(l%^i9kRj17e3WCA`Zb)$t9T2R|Z|2w`SqiU&|hOlhF+hH3=AKYf0zS zzq2)FlDR}Q8j)yko?OfmKGeNjo~HLPr~A3DafC9Qn12Q2EfNDj+AF=Gb%?jJ+qQj# z#X4PoU5!yZ$ACa;!)y-%lgiJZR@w`ryo+=oDyQKA<{Bd~1^gPVjI>(Rb3c3c3jAz%w-pgQX;m=c_g%FS$kd#qO>9WyGu4ICM$%9{tjeg#!o+ek-IQo52#AbE>YcRLI^3I1l5(xzK@eJu{1W6i!+_P$g4J=wtjW8QUxUneOyeR+y3Q(br z07=FY1QFJ3gkWzUfle|sFngG+F88v=~sNm#$9F*mD;36LUZWlN+$<{0T}4#d@)K$x2j ze$62>(Z++=u=K|h5Dq5GznGjQ1EGgNZYP|ML*R!7QbYZbF$&^5`l6^8)``|PNQtrnv6svd@+ooEIrrCCGydmaO;l#!$E@TF)y^i(xzZ< zNMI#OCgfJJDKCPLP;+J1Qh)8`TL!aGzD=8H3qBIr1xYqC~=o<$KcF# z>_-!#*+YWL7z9(cNw1(~1=G&YJfz+lJ|#WP-(u+a$-gA-swggi?>hxe)vSR6eU;&) z@$_F`MfNTNzXq5#mq_&JX-O%z7Mf7OW>DqD2OLF{C;W9lgtHYJ%6m4meiF#RWTgtk z<`%=DJb)+DlKOdx4MUe>hFp$&ZH*!tmW9!lj9E`hJ3?=xCOc3xYa@al6vta$l@N(- zRZmMdgjrTe7+Bs4+%48t(M6nKP(gP&$iaTes7~sh@);VJF~PC5dS7Nb4Rf_{Q3H#z z7A{YXL{wb%#6~A8kHQ&~r9@ckES zwuE(u`ZaSV;0l&j@8@q1W5$UfcOJ#U#%z8?3cYYOy^B=f&=2?_wr3b2zMsgi2XH%h zejcjf4__0eMJt?qIk~>7-HO;*Q6==wMBB#gL}wV2{!^TS$B${6*vUkJBjLs$GACLjPAJw!J>8iG&3_z0M zkDlsd(qPjCtX6U0&z6YwDID}S*7w<|t?s;DR)=$#rsa9S9yQT<#NHn|>jcatugUN;>NiVhU6EYQwz#eNv_T>*D4$S^IJ{#hAK8tyr%-vMJlmD8V zhcwqCCd&T*ngPr)<5kemop8Is8mwr=G!zyudr$2?`!xajFHTZo zOMad?SG$tS4Ylpg%055CaJ+s(mS3FwhEvPe2tH)horY?^Zbwh>B~VcPYFwTwWavw9 zSaqO#U6F9MKYj-%dfd!h808lCKpkXQ4AYG^rm4}g^hX8cChx1b z%k5dqCp>O8q~4#+I`ZC@79TqpdV3`(`Zw@_SU?%(O$+GvyXPhKLk;G7B#9^aJsju1 zr1Z|xhaSyURd>4%=rhB{aMElNo6IBA{6g!9p0j@1zvTg>ZxX$|OpNQt>`P+aOKjJ< zzZmW3W>=5v6opi-(e`=DNh^cfn9BI)8zoEgL#fp7lt#U*wUuwoPl}T9kBi^i8#hCP zwo{eKDb}40+kStFl4bHJ{%L1t8w{3O_e4@Yc3_DjlgPtu8s(X~JwuPal%OgTTh?4=M z3K&SMjIiQU>9UqX%TGRv)1{nY5y zk=OvFhGznQ@Z%bFa)Ww11dY+>6g#_jjmG+n*Kr*NS4C=>q?E)XN=QzrZ4MlnnQ z@GE{t+^tNVAuqw5MSNMQT1cGt7^FrzOu+r%O#PKpq&P*{D|ok3pyz6V)WFROy^{Yf zNX?sHU!?*=fl2yVmy_cK0XB}|THsA{T^oW!p@GWA zUXPh5r=E%=r(S5C5{${MQp%M_m~kInuioRcnblbHiG?cXo_>Jv>L? zSl_0?JGzCgpkN>CTj2M7nI$xCp)VhFWLAt)iW1OqXXpz88ob8X=1LdKrM7Wr(G zWwc6)jV$Z%`}@?=6Ayr|PvC==NE&NB6WlbsV?#>z>Vp=f4{y@25d1#0Fm$s1!=#%$ z|Ad4o?xfp(8QW%(xYL@ys!Bdt+exRVW0`f7nU5t~WK?kAql48foT5CQS-4@j4WoGk@^+aG zUl@mNy7QRI{%ApUq$~V@xoRuhxrTWMPm;j7g}Jl{(L&X6womq1Q+?uIna6Y8S=qBz z#^(6mqH_$H6<*?;m7d@#FfShGp2{7d=LZ%H3Y;l39^# zY9@2FWLt^;EKpir{jS~i4`bd=127|f18Wkhju{1X#7BSveT)}KtzQ5$eoIOtb@#zg zV|82YOyP(01DvA&IhCm;!zX%(quJ`(I1*ezVElP337+6C#jwi|8cGH}5rNJRBOcbV zITaH#o(%ULKQ9vi)eN68xVkp$?q=(gVpu5K@%v@+brUtn>Mb`oU_Sn{k+P8 z-&7A;q{fV?t|jL(`XQg9uN8k@v!b{Znp$03OCtJC2Cb^41+`X|D1yB{CClssk(w;? zc~vIHR6Q&6CDy4i+p=-hcwKuooy{JW z>(J|$$zF$MW11Z6eDtUFU-Xb){N*NY7kwsHP>XXqU0=zu%&6^JQeXNPJ~Y%9{)JSE zR^QC))SJL+s%fKBAK``S(*7Y^S+V~mS9|wVMVo+9azA}?>{Fs9 z9(mTeK8bad%m_P~YU3zqyMde%gypMdtyVrnt51PwHObk)(9N!rR1XlFZ(Y_B&dBqq z*Q`H1BI3a8c5hM5At(D@DRF;xB+Y@@iF;^|sF~Z}M!&=+K)XMasPZw3SmZJ71G&{^ zEcJU3OXXKhbMf{T9Bfc45f5E9?~yl{R%P?mKjDE!0^@8O0U-YnmvQ!`Yx7~4Gc}-x zs;x%qr=vV(DfL|^&TnW_0?M2yjCT44Lh>FRhT)0b!??H3;KySA)+tDmS+3m4%{_N` znn62V=kN-V3Tn^zjXvT#mY&XDdG*cDB?_S-fn=k$6Pq`BQ~p6NP}~zMD9%F+2_qt< zWW`YG^H9Hx%9;E}A-`h?wEuXJdfPDQSx6J+8W8_rAV+HylaRIx8v|NG`kYypM%ETr z7}P2A&5(>o(UyWkIRb-0(N>l_kr1#>Usr=kZ%}IR%`uC^j)2^XxE{s-&=LhRY&+hE zH9TDYX9=Sy6*+`81=Y_4qpv{}u^25_u5BQK@O>M+9S_1RUYHUy+h8jyuwS`aQ6M_Gg zRnbE4X^~RqP=(nYsh2w@{M?771WB;8_>y#6uBxUf-!~f#Fdy1v{bn=xEbpsn-V^>% z7>+2`_(Ado@_=LJg=aCayPf_>aQ4ijgZT3G{We%#y_qRGwg|;79%rS-_wHl4MRngC zg#qJN$JWU8@pULSE>I|KPRXISK%qj!Z;86_u^)rIWOc|GuhG45JSJH(cOb@_cS0Fb z@7RR`F~1~ZUbf!+;tc{*I`K|A%tNP6491A1Y_f}}w*l7F?h4PF`X?>JLi#c) z`!GpaBX2i@bpg)uQsLMIVJ3*|sy0ZPopK-Ext?*Xh&}Rpc;9`6wRf=b&U$vRQ7x%$ zZ;tF8EW0J|%4nmoFQ2WuOIb|j7}3DHx!0mGqP|2{L4l2KUfXOw+h3*=tIkf|foJ6^ zoIR|ur-3xmHMue0qwGr>$+gw`zZ&e2P7joH2ALZVL9tsE5%b9IYr}ywvj*eB%y)-I zhtjw#!ydZ0me2u%SPIJ;9q80HoO-aPJ7{!P0(uK-xM&aBD0Z+3Fo5iezD-9rQ49_A zjJM&$AKjiNyPg;N30`*m*PM-;eMv)%&(_3;J<31Mlin1K`ZFGd;2jS<_pF1)g0l5n zT>3DBjQ4)P?s4-XZ=-VYSD)E()Onv%B-L7jAjefe=SZdM?4}c1VRbsGx^; zc+J=Xs0KPoh3$z|3X1zYWm@X$jfEcYc^v&ks<747ioZQT_HGMm1kI zp10{wwY^$VZ`S4&cGfa~`IXyrn!Z`qBJ=aeg;O*rlXHx&L=s=?)_dWTAAom$7SG_p zyf!4P>w7ei&mVQaobO_8aFUpP@RF0{NDMrotUrQa@r!wQ=tnR-kbe0>nf=S`>}pf} z^h5Wtu=WG-ZP+0L&wG5$BKlh)0lWx_t{uK0W2|84gmeOnE8dm7+acXIXr}gxiaccKx6lc<(u9L1Z3$~fx zJjkrI=#Cl^^NG5Oc^>u<{NUrm?m_OMSb+?m&j=2f{BUDla_}-vgp!#Aak5s zLwNh+jtvV7>puK!mmapmif*GrDUSG8eOlrf@HWt#!XA#r-bdcrt^b==ZSl>{$1*;# zExviljK>yGk2wB=8i67v*dwXaWq@3ps_Z;cJNb*&I{7)Rvmgs4fd)vYjP2Obz)5Dj z{!oy|H1qj1wf1cC`kS*ZNN3AEBjElKNb^9%GCV%78=q0$$|yu5@i;cG6Q6NSQ2TO$ zVzYAfm&vUY4{#!G(DarCYj|%gv}k(2pj6D+gSZqSGjA%R#gswCnKhGi__-@bgC)Q0 zJBU5$Zrm*AXc3~dVFJtaHc+oVyH`b07KK@^4Q6@B+n(d2j=34)j09R#*{% zI&KneYP_X5*A(!`Rmda$GQk->7KTnK(#q4@VFm9pp39<2gak6QH$_eH~{P_pKc#2p+4s z^J!xu*QzgTg-Irqetue0E~qF;gw>7*ZcOmOD4;irK^ZXc(`1asmnEtvo9IgeIrKEt z_%ExO)b&-4QXhi|64}g=ueE`-b_!%`ChrSI-$9SP7jp^%(PaiU?}Qx-zFJ+&_A#Da zEuN*B$;9#iSu=mt;eJn94rPZiEtFu&b5T&Qs5H@BiYUO;ywK`wn!_3&>;qeZUy<QKNkz6B^bcq}z-_ch-YX-}anm z<^&S_1|y(McKbK%V*u46lCH!Tl5yy5tBZzGoLp}prt>-(ypf}2j&&2t?JJuV4q%xh zcIc#IALc34bM9|O$Z0h`QN-j;P*|K!On;aOM<}$BQhbn3YIO%Dg zi$2B25?x7h@vmeOHF)@Oq-{BQhZ3^B(C9MCEky2cw$ludUR`uSWCmJ`ZYBJ9BC!U2 zS|;@eK8C7?i&FRXW>WUKf`?JQ_cq@;^=2yFX4f{GV}4!dp{$x6Kmx+b}rE+C)G#8pD(RRb%HUQFv-gvA-k+x5R+Z z%!h-?!FeK_)p=K}d8a*OP(KX->rm@X)IYdWPzUR5Z$QdK#)7|TYl{7aIH0y%8@!mn z3G+A$kHyh&?*qGf7EZ>!SC73jzBZWr^YsYs@e@ob-^~$xqxr=$+EtLvUXm)vL((W_ ziD+W%baumjPh`0z!isGOz(i`wbyM5Fea=o@_%gX`KmJrdy8Slgw5ohB5h3K{aW3ys zl`3H_XXyNtD$qH5_!D`W8NVC7XquMEg*T%xIpD-puQ73&mPF2pFn2%amyIpj^WKnC z!p72;{Y1Kmqm?^Dai*~!i!M8eGcsnD@cbc3MhTU=K9QSxNmF8|DGN|XcN}<<-O{kI zui;JEOj}CeS+?&|pdefI zG%%D&_Wo&Z-FkoF-L^Z((rwY3nbFacu+}MlmYRBX~+QoIoI==bgm5 zdR`g!19u8>DWl^0Wr|>O{ByJZo^o^Bez1#hGM!=5C|g=$^mSJvF7V>@n~s@FGS8}n z*ClsGoHHY^Kbo3cvl6}L!aGd>ASpJyc>bb); zX0EKsHM4`R1tC<;Q*KCG`b9H~skt$6Q`si<=}P1F3KBpskm%-0BMm>-Ob+t?>=Eo< z>Iy&a^<77&Sm!?hJLjJ?gAh!?w5NX;E`S=$JuUwv~ofr{DgqbGC`MA~ZH9H9Vm!La}>zIDB_ThfUuY|iXvIar* zVE5uqXd`F*j2;`tz3{`-e5Vg)A|vasK3CTa2kBpZpsah>g|FGMGe3-LH}a05-QwUy zuWmMt)#e<-M19q#QstidG6%5QQ0M9#^C17gC;dX3u6~)LWh}ELdC0tUndATUPS6@l zC^XvoPU2iEBMoB^s9pZ+1Eu-$E(myK~6U5seRuDJPL-Qk1Gv`L=G zl$L`skxH5G`?_6vty_B4&Og<=MO<2MboBHrjiIa(Wg-`Y@x@CO4zrBS^*i)k_=o2D zL)g>YeP3vGqqazd@C(<3@l&cpQ(r!+W}(FnDQj`NjgJl~>o19^L&^`QOb6Yrx7j86 zn0UPEQJH@DsCUL&+_B=QA}_Z`etwhRGM#ZH3mW>g&mMR$xSMtt>$0S{##;*_qrCjZ32AeIl3 zN*ymanMw!!ABNHobGgObw5l+%m70^>@XO2s0mr|hiFcU=y9oR2yTbDQE-&|HT*r{a zI{&JkwL14xNTK!m0fM;cYNPV&(Bot!L;atA&30+;L6$xJEOD;mRS`=ET{O-7K@)+K z9P0kPiiu>ax$Y$kwjXH7rJ%t-k8adLj5XBb2c~nk`dOTh(lo0a2uFC=*=9&Ap%&hA zyxgNE0`2)bc;cKXx4&iSSVH5UvdzpPKu7*I5XcPOA~o|6Vvc2whMhE;X@-?1`@CfQ zog>6d7e4_sB!AIU?lo2T!xF83sa4aPMEmrF)@1b zuu-j^k-y_rB?SW6SF-77m4IpRh|q;%Ncx|X7=%kSCZ+Fsm*<>-dQwc>hZ=4nS+#UdyELUOnko90{V+el$xPNxlo{mHo*L&D?EVcEiaRxg(zE79td}_koe)}Q zL9gZ{6c3g1pU|4ZxFD_WQY(;s3Z7x{DyA-pT@TQlrvhBt={I3E9 zXrw3;4qTeqI~QC76q*b6O!A4ElLKo|TDYnI8@wRUL!A=ev07r{h`$7LpS?(igq^i% z5ol=`-a)@oikZ%*V#^Qd3f#pRPXuZyei^m1egdl{qn*3)%f*Q-zaFQ8q0)`n~X7#QQWaBP73=)&_`8J_uehuPi!O^9xFvb_9j z`fLkp^0&}1>eD;84b;%Aam{G$%2P!dE(X`QaSJr#lDL!-;r=X^p<=lJCRy8R6Q4#? zk(`G=0@zOohQG(22K;przfs?y_kHQvjY4XrbszNKyKOWU`BPHpwg>veo^>d(>-Z!S zcf;n#Mw&E%Y{-^%cx6gtBs}o*Oy@c`_tRo~(aCt?xZzZv)^*Y0nd^@7c3$hg@*h2? zpj6xGG}p1uE-znU1U}NNjtoALy3OtnwaQiOe%dtlD_I|gSMM8!cQc696d`R~CL1@M zfgfaVxO%v#65g_DUnT=tx-OE*ftSes!dJ+Q$XF64tGzG3t`pMvTg?SfOHi+RY7oRr zaqI*YNpYN~0{@U2`rY6-D}?70Z4IbUPGS4aG~u)0h~Fl*+1!lp8&D?O*#G$pcdc*3 zMd;jO)bZ?&uDkHl8(41xLxzfqNA45*?@ zTxEP&HDhRXVmhESPLV^$nP^7ls6ywdM56Gkw~9C^uf3l|!iwj>rPW?py_Q(CJ^a5x ziMIh;SRmcD35bB7*)pDnmdsuNot*SKK4t%OK#NzF5Pk@dLFXA!*rv zgRpuss`S5wAR3_lM`_3{K?>?Wd3e{L`~PHvA1#dKqtZtBB`21#H`Tt(bGiGGNe(HO z|6}Y+>~~qP0*BDY*lU8g??Z|rbpO(?{?esrcj>ZX0Z6&!I^1wSECi76j0FBwk@omL z;}H7qQq-4!HT-ONds{#T-Ez`m(4*nm1S%6__$*l%5;jgOSw=H4rfs4I|l=uM0DoEhg6W7a_!CftqvW%L@S6q~kIA2Y8-*`nRx6^{}WBSv0HRWx;a>KU9h{QBH^ zKVEvIWw7e((}3q6$w#(d{4e>0{M}MBlIlGKgs5}wd4#BuAJhObyq>9m7;DcHm}jt% z&<|pe_sI(A<&1N&um2bKVjoRj>sZ7fmtY26`L$tHc*MUEwgTEW3hJXs4Z|Y3-#SMN ziK!^mdo52{^HHK~g!pt8=FY&36cOO>FC}$XOjE6T9IPQ(qs*2+{tjG#HH*8`2J$W_ z);5A=d1l;C0B}u?Wc}SFV_x~Kd6^0_>mta>wVb)i>AnI1HM!SQcXf2#Jg?92`qmh4 zyU|?Bw5Zh~Pf?;5I+qg#KWdz7F8|hy)k~ZWVEJB}xVYEL=Xp|Zgig5Q^27FVY^KQ} z-|}sB>ejdNnA_g@!KbccBd_&iBjDbTsy1}gpL2u0^jRF4=6G{yNPa&`kwtY`GtEHk z)R_0m>zki_C`lpLr&zh<*mvE>TOP!W!8?&+?K;LCb39h|NaETT-d6;hQR6s@)%7DG zVyHE|y)5o@{8=LN)Sxxjk&c6K-jxZ*TeAslJGUxiP3=_ z$X)uE&_8!+mIng0*2(du!;hD_AZ~X;9wwT$o4$V*G-gMy?x%>RA$o@gzWV9rEF`S6 z322E!-w>o9b79i)b7hBENG?1joIj^`TFWSU9sYCz2|9Hs>GExa$p0gIVET>(Lu^l7 z_2DCPeh*~*wIud06SCN~qzL+Z3#(n(eIU(&asNC2JiG(R9G{10ckBopTbx_#nQ>Eh zdctD|zp`j7FB70e)t+C84gqN4i|r>monS4 zMrz~()8!=->sNs0m!+7w<`Yyn7zsbGM5dnmNXNDS%^EZDr&x#Fm&qjN1gNc~Cx%#H zIF%E-sf|F;Ndz29Kek^b6p~fkM4{b^?Z44-!64B!uGh1Up1{Zg*RBeVThw8yLY7e3 zV+QMfTO4QUHP7ez@FE%Wc^yStNCBHrR-Drq`YHYl`~X;s7oGezjlD&epgMOFQ%Cai&IGO*;fE1^cjz_~?OMn=2IKwO(r8tB50b4X>Y`}`*`-}G@nttX66RC(I z=5c8FtjxIC*$sG45J>pVuI;o3w36K@2kztjZOY-|F5#GO%5mSAx0jS*X2bDLU)ota zaReoOa^(=b7mp8q0Jr}de>jE!L10tf{<70~Dm$yk4FSl@yO0+d!npyrzjd}eq=e+k zLa>-HOcUeDaW$o9E-hQY^gCb4HZXQXqIHAZVjYz9!w7q2d`&v2@`J_ceUE9Xi)Wu( zziH}yhE}Ww-uK{#K96R?$r9;Px>M#F^{-LCl zJ2hU%PyMF+5b2nV#0L#tO6$~d&+<5Sh0#g}35n2g*Q*;a&HeFp{FKRTY@84RnjZZ% z8D|Q^9}srm5_+Uq^YsnlHf4vT)+~ZIQ28Jb`nLs>1rnw=_>dOyrAI8{aNaan)zf2+ zic}K`u)8|<#6fvK)izT616xHl=MHzz!=T9E#+sxz1{YP&mm_gv{#Dc?f4@kj?w1ML zu{D)G(NQKF%(vs7z7QKeKb`N7`uciNO47@-IiT#A?>~i$n?vYse8&%@({QBn&`z?x zp4uks6C@vdzedGZ{rFEQB?)8a#bO;imDi)TQd_aiYAgQisBP3#z43j zeG+#&WKja%@D(&R%YEp1*53?Af^sWuiG~<=O_;(JdfDQ;rxs!v0Pzxq1_Z_RgmZFk zE%h9A1>Aw4yl<;5j_{%ur@fwPgrM9eBWWFkm*1hr;GkUj45YQGFD16(Lwy&a&O)rz z6nHbO{Y}%TPr=Tn;1FlYbguHkb6o?2)yI)lgVj#_GLja-ctaceulMsCrE{wcBmYxJQhv zX*rp{ye5U}-fqwMlDpdodhYC*ttZ}oz^9H=+DEa9mDO7N25S-dIWzHa!(_y%BXJqb zJl3Q_6vZ2wl~T!kG@($~zSe^jV2b*Bp(qL{PfYa1OR?enOJ23j=eUBkrWYKcK$Z2ql}bq*%NKhRBqhSCYoFSEVof}{ zOomiiFN{S`ik*>If`46C$IdAKd&Wr3q=s43G^+_^cts5B{p|23rZbdbfbt_B#f6=sfpx*^NOz;e2`F#B z2~e-ODPr;5&SmjG&t+lV%likWjvr`)#y;=*{go(hg@iUpAb#@w6{G7gkf7r*fCqsg z@<*PRAA=y1d*Uw0drNcgL2@U2fCHkw){Aho1(+r=x8smrfl&*#0CNmG0{tnaBPgKIJ z$K@*nJ&st7a2EK%CirFaEYLO1+C~k_dV8!|s;*w&=MTdNiG{g9Y#*i;3O6p9te0D$9Phe)BuT&a~C*Iq}Ivq#y>l<)D@sFmddaQ<1jp z8)4iW)qeMG(rrpdPj-Vuh=BQPC|F-z0-v$3@R)f(eKG(;a&l*BK7q0X;#Ov7@P6E! zMH~HX6sS35P)s+7S9?kSH#(u%vK-ZvKAO6@AZ8~aWT(G1TfLc6OVY=x&fsFR>oB!w z)V_xgR!id>^z$1qW{`&-<0) z_+a}uJu?*-s6Y&t#{j_#3|%`E{LLf2kQ-mEgyIX4;N}zP9%ZLTVXHwnLoK)jL%TRl z$P1(}rW+EMLSu6809=t3p|d)K^S2x)+V z0sGhvlNo+tW)nvc`Ywdtz4uT`3i92iWg{jxO86RWWZnA%e1oB;;fct|#19*PCjc9d zoh9}{3O)Sj|1Sv_0R1ft-6yv>|36_2^j{|WtFR1FxCIlJw2;5xuLR^?D1b z!6o??hGttB4<|pQcBB-tum2Uk>Z~H{i&^N}5{ohx#iCppXTH zqhs!Siir6++F?>3C5<%oMzBGYc@@=nL+&utq`VLrb0M~f20#yoU_{5Vhd3+Vdy2ru zJLFZIePFyLuZVs6SBeHgRDhU=4&5if^-x={lj{Z=nS}Q33e5GP9r{}c#A-c|Cf*X& z5apkuFjSR6!1sM_Ok-h?Ak_w1PDke5tkr^9> zU?F`ME`9|7jZA(9jeMHZ+!5U`s+To<%UrPoxqPl5ovMc!!a?=MQyV)B?bsR*!i4ms zpVuEpp7?7DX`cUlP&2Dvhl|&OWRV?R4vnlqtOXaJ3Td1%NX3M*w?UYidV>)Q4~BM> zpo$$yBmTA*NZyiK{N(>!>%s8*8x+zzlG6OC-&`OH6kDd|NyQz}0Q)*hfi5dacd^Ac zGJmQ=OvC4ENXIzD)c<^bjn=u8&YG1cF7uIDj@W?B1rMG zkalS?#}f8^*`>+0@d15wOEE5ZzPj@B<1W9BYFT8xp=CH2L!b;LGpZk_x3^?}xULN2 zOq1);UOyz}Ka2j(p$!uJ@qx~utc_=s`7391y?QbY8uIU7kaKjMRf6CY+P7JW&XN^r zx*~ft$xxjmXqIq=qU%2=f4IRN_+IxA)dDwl68(T7_OKOQ@!-VaDgnm;$8Z^dEp5ucm@Of8~$bD#5aTjZiFFRwI1!4ciT;&fEt(c zEd)Y+-QI{;(k%ptS%e^FdDw)QMd;;`70BqV$kKEE_<7*z)^lWRx&GRx#^?Akkr%Q4 zS~wWwBmgL&@(=>((>%OUsF+%VH<69XCN?=6=6HTEh`42u60S^q>b!RP)REE?JXZ;)I!33AaDsqss3*3`tr-w_t1<99Ic^eM=_MOE2 zz%12I!VF)x{)qDje~~4=7?y-X!4Wnppo!piv{p%~GxGLI%nu~1 z%VL;G?JkvY2;D(E7V|s&7dNsq++>tyqsl~Skf0A^C4IdQ0Ze$L$gD39CH&ooQBN1Y z$ipc*xU^S@j;9d!x_jUT=^UQJ z(3Lw=pI{BOK$_ZpJq^bW^5kw0z0_&j-4D@j-8Ho{E-w?T+?^@ouiQSpA7Y{@O`rE~ zRMTmjdAQ2$OJLz_gKQ|UJe&*iy z+#(v#=SG~OA*B2sDuscFlI+f;-9+nrh$)Vt(Nv$cHmHT}@9e5LSgyL@iUoS{iXZ+Gi@mt%y(V^- za=8iQTVfS;OEN3vBvdk{VJ-GsVX_MpT=<=gr)Zvb6*We6eS%Q;ns5 zUOET<^ySBX_F=6h{4VEj358;2RJL(V54i9fDBkKAFGdr)4|W%hRerDUoq5<6mt~s0 z)upzFwyA2!sN+C(CEdEynT8{%I0_Hadjn(BO_OU8GdHBL2U)Lc-w_M^k%|TAmAbYAiPp^rliQkmLEbi&D7p$T0 z4v7>&>*(AeHAV8rRE372RZ8iug1|wC$Mr9tx>E?4_HS zMlkEIWjBgFZKtSrU5rzP^sNJ(yciV5#N}+!V8F_N;M*`Lyk^JTc&B)gW%`Du>6?)p zfla)W8bW`sE;*bhfVkfGptuVC7jm)&9U`rl4^`XuoxJH7t#`y)HyY_>ZBjQH z@jAE4*=^~*6~eC32gQLOa(-rtXRJdRPO-|>ti(&17|Vl&hfs8H1b$FX7o>xzGs1yW=%k7Ff*!%OCN}Ne`8l3-PQl zI6CX)SQhZjd~FkSD##}gAGAnY^(ZqDk|`=hSr*3SHf%D9|Ru1`zD1Y4mw76lT;T404E(X1j7i2aC#^$tO zGd>m(^CPv;6E}Nw$@@zkVA$1OdD`JtbyZsCeFmpi{qPwVNqsN5wd_C587TzwCmx)B zP&ao^S^Z-wh&hmF=U$ORAI|m(7LIx7BSIFVD+u=y#vtWF6}enOsro!=lSL$h#r~0D z!GiO_U^IwC{Oc`#a=TdpaTMh-?fWB?%}a>K*sT07&J4I_-O8VC+f{dGB8r;|GxCe_ zh!LosAF+=Mb0Xf-Df3WTD&p9 zXG0-m8NebrLc*C?l+!~` zp+F7HmV$|l*92zltI&j9?7Y{4hjkw{&D*> z!e=W<*BCT8p#!{rwanl)-2cq=A}BeV=np@Vf4uU6@@{e6)DWZUTu$P1-bW?MI82bd zeUeQZCRn-|ax5EZFF!8W4h%jR?O!=RM2qt>?oU(iwA3XSOxzf%57(1+RBf}~F+ixl z0iG91(ARDAJz+OX`FUm8v>r(2a{WRaIB@!Sffh04PYI*3w|M=wroYQPqhfyR(#}~s z4X^`FH+wD2NC|=Cyp@vChz?C%!a&xMgu(Ajh+2^apgfZfDCu$yvJqZ}_EA^TEVEHb zpzfH2uVNng&jOwr@Q${13L-qR2y=>eRh7>>@kazp45)cGEY487Pxg!MtNwTus0ymz zuDN(t3GPH*MT!oIq7|a^{)Qk=k)lWc8?orK+2X-EVa)=;(CG$jvt4|AdiGr%io$e6 zKyJzUeCEG=t%kg+6er4f;^yyI?`Wi1v5%R?w)9JveZNxVVt2hCAaKAooZv{ zyG^f{`z!4mF9kqd=!gML#$&M3dqxE(=SQ^W(`0B6|1IS&+?$I+fc;GJA$k1`W%|Ch z&{m{m-2Hn{eS{`vM3qp!cg@DqC!0gb7t-lY^Um%J619SD2^*sNE;8qp4w-EgoZkbd z)%T1+clGprC`#SXsws9_4$v$OysE!GTRuHky@n`eMqj5tsyqgSjRws~bt6;_eEU60 zAQ&mGGcA3?h!5z*mUe*!}T(!zSzv|In3P!-}b@CBQsCgk>o zH(Csll>Aio0i3AB(=hBm{&Nt((~@W?$u)Y&qvQLK%{P9)S%(r3T_Jf|(A zt>nxj|F{8)qTj!JEAtxN-tBOhpBI{jzIu>hJf?c%U_C{(LLMFK3tvP1&fdN|2+04Q z|9uN4$nCMMJLKrSWKK9zf5?>mHv%i};cGEE{^|fiyEt?qBdOBI5$un4e75Fr-#W1> zH-m+ApkRLd>7lrKgu;8|U+{n&7S+_xG#}!PS$u#zx%Nq(4W(oEb}a-8TJET=(ItBa zkJWRUMvBpEzltdQN|cF#Vdg0qfk4Z76RP>WRWCDm^IX}Y-;Fh5D7^r6Ls4loT4T@yoG zGl9B>u5oR4-LBIOcHQ_yhiyWL(=f7A&=IcGd4-gtKvq>qA@~%37Q_<%@s@=eMP!|f z>=1q@=+r(gyray`{1j5|b+DI|GceB88<0oq(2#?^(X)`4&cq3WZWRFsc(8c%YL^MF zyskX^u_Afgc5y8DDe>NVeCC+~p%HHd)TY2w6)UCkv;l82uVuOAtGlfdD2XKXxoLOH zFvGbtx~=g(BFH5wqh0?Ie}*z1TkzKsUPA-F&@iBBVEou*)y}yHez^Z{I&jVIc1!}2 zesX&~p9P-uE6Y`7E^~1kN(YUzUH%T?;B+PNpGV9%WgUha?Yefe`%RhQ(xz zFNxW@e*X+DzjTyW{6e9-mK0fZ$Rn=V8inx*)2z%i9RqSaVwSA9K;|TzBZ2&{?X2@D{tnPRf@zoV^w-3p8q# z%9-*S6AO2fpR1IMZ#90iRPUJqk4fwrb)t~WM`j#kAkOBh*MMcgP&T$|_SqWFYr%lg zqHC7QosWI7ZCfYJEPdtV>_WkI;v!;Xjzbbo|3PTq?@2>X8s`-v&7jX}nP--#ZM zZz;BWd7Bp4hf94kJnDx@Lf{L388^0t#~&t&Sx^`Kn8)yY-a`yaOiApe&fQnK-d?<5 z%trTvp#NsFI=S-U^CZSkvu~s5OlC{MplsCHg!G7;PhXF|)EMd0JtZpfVu)kNV6cz6 zpq)|pDkkL}FJsdlbPc@$A4ZZ2+NZ_ITlq(Y2K0y&*51Y6+=)y4rnnO&llXs3eRV)o zPuMPpy! zI#foC%l#D7s?a0F@cdrY4NQUuB!{nPL-cS2fuq8h~56^=aC%2@PkWhFvrWd)u zc`82mohy01jPw5P0x3ifa+yT#77}GIFARTCp&*eU-KCCq z)e1y(-`92^}jyjHqSBJhOAyB2?c3o=}z|rJ(|Vhi-T=MDSiWbRSIM5-tx-# z9hx=Y1}PAIKO|t+txGofE>*oExw{RWs7~f2464^n!t5O68Vp%$*JeQ(tp`H|x=F7T zv#yy3QTR0LIlnHqI}P#&y4cIB8%tqJX*&)}Vq&rSckRrd5C&-``&F%#N{{Oj3krG@ zC1S2pWt`+sjfMOQm5D`Slg%h2WCsoSMqj|+c~t99;WDDKhK`h8t{y*<{M|4u&dnbR?n z0I*}AbwVo~`ZL%?gUp+zeNfjD1=eI)O&*x?IrGb}JeBq;c~sFypE0PUG=}WHTG|mIz1uS`t+cIuYhi%b8i- z+9U?vOS(}Fap$&%QCja{iP4 zy?Z7=qFeH_%2C{ZOFQg>rMsWANVsm3@V6^(73X}jW>0Z&?LW;V zpt&+L=-jLS5`j(and@U4xYz3bZa`J54E5p8aY477fx_#~9-p9do zd!dy*i?t-l+zUS{99U3y!_Sj%1c?wHM^}L5$M_a9%gnPA$cq~qnNjmWeU$J%;dbo0 zML!yjPdTxa$@t`(8KKlt6;5B4-zkEZfhToqOcA6H+)qWe{LAS+u(!8|6UT_D+&T$F z_I|>>JR8zz`E&~2-F=L11J7>=YV4fgR|r+i6j}-w%~Vm*f(4IA$(kg&S%SCF#-;}N z_Y#}%5;Javu220rt4C8`Tg0v1D4`mdR5N%odU-i3%Qz`HbV?t z%%Gf8u_z4(kw}-wt;a95C%!n<8Q0unT`DR)uZ3Xs9o;{!@DGwLvPP|Szyl)=yf}| z7|*+Q`hZY>Ow0Yyp*|aVY>UjZR#i^4?9F;?zq}$s(Mmuqhs(F|lq$A`9EdZ6N|tca z9p%vHC=!?_X@8F(k&+*?e7R01m#rp@F&S(f6H$oUO3=VI|B~s;>n1uS+R(SiC~0px z>Ax@(GYoLnA)xFH=(jPh-sn{a)r)XstG+}GoZN7iF?%X^A-1ZeTRy;Bda&wx5VV7= z{%&L~lsCBGhbe*_4g>d1rx<{8ZHS_h{1i9t(|ucE^g2n{VYQTub_6%#4hB>?IPyEj zvma<77;eYfFB4ighwwE$(^IM9My5k3X(G5k;r$ZzwQb@-8E+W~{NbWsE=rK|OItp7 zwm)TOFLfAvx>_%z+?oKIT$yvcr59 z6AUd;7+Tw2VbTZ9Fvnz_hGqPCAeLNba-d_jZZGJz6k1tfgv5%sVEATEOA2hZW0uRr zdyE=m`t?GswMd@EJK5^J+~OOZPsSfuX@-%-1eN@4%E3daq+CLCjlo{BkpB+;YP|t} z0o&K1_ImG*lI?YDh9?k(!tGsUb)l3?Ruq~|v65x3!GAn*7Nk?pD3_!|uUm1}>oFVy zTy_<6^6X0=+S+A2Ii=3%jal}_XRd`s3bs!6V09{8wN!jz^@a^&IKlgsnm4rVnFmuq zZ{o$4*X4>Ie>;ahEHUG1#^2{i?Odz@7$-lWOdo#maGgF|-}|*Q2$W|is?ml$LbSnu zx2Qf1-++D1yn|?8#}`zunZ}J|K-tr2?@oS8;ZPOP3AcH7m`jFo;|yv>=2rU=MbRsN z|9;I~Q``FY!z_!vZ({1%!|8{anwhb7y5jXN&G?nUCfbbw$rsz~w^8k|3=?69RExZi-0n4}*Y(vpM#FFkq8dn)$#Z<6lkJN(e zM;AgPKfG5IpYB*L*i%=aFw2lvdRNc9Czr2m6o`gH%Na6H{%GQXr$JuM-uL3F^<>Ia zPunnKhsSUWdb3rMwGdnG?G1p>>ABj89ibk!;dY(Ahk~cz_k{Ln@}q%7e1_xz*gnlx z&2kH`7aCfApV^42Ty?S;9u5WK#fT(Q%_8`fU*#b=|L#LT-U*I zZ>UQ!EHafmQWO{JWB60bHDSfl3~=lx*3ik$dbdTo+A>`D zXL?F7P{)h(s^&wtHcqk?fyN5v%nB()cQuy_<19Kem^2XT76=8B+K#G~N_WKwC4;%i z+hBmae1Yi7*Mzck>K07B7{OTy0j)$yYNu6_5oo3(9}mDVOc#zxt22PEjme&6Mx$R< zL^e1al1%G)JBBYJwR%Asr&atTT3%54Zw*d?#L&oP5bqL`!uCs>`Jpe*Y8v#1r-=P_ zwmsy(3vb?EmF;a7+_vl#`jY+J$1vz)8)3QI3;}Ryg!SIcX5G5ZTV~T9@uKq}&A`U2 zqt7Hz>->SWN2XQhw~iwd^W|rXzo|MVRBDJkF^nNxy)mWsYXZ=iH4`Aq%|U=Ipe-_~ zO$2=Y(irQgy#0m{cG8MAxdlZo?)x#oqn$1|Ru5C#8fpNGcMQ z@gYo;onHUhOTFzj=D+xM<($69E@5ECUkbu3gK`1(=XY4oUyL%E_%k(FbhhIN?^NbE zoaL%9{<(VLN!)OXo;|99k#;aeMU}@D?wHw#-Fa?Dn!*kk;btSvF*yB zXhk@Z9fKI#Q0vIGwkak;trV zKj%RC%MkxSIPY1EX;Ug{AYR%cVQ6fq7(N38U>1v4{-yM`h^J?Vz=@IRC=9vC-2wuR-puqz}Vx6rn*>-cjTa_I*`Mf!`L=UpCT+ zQ-J)GVQIaHxxGl~-&6617k*u870y`Qi~7&oNE5hTgqW-0ym~C->86 zN4)kVEhU6e!I#olmFtLgyBP7X3ZPL(H6D2}ZgV=-7&OetVtS#h+>+}*q-`w=um*Wx z;&-2L^xg>O?8|*4&6dKKoxMpv`ZA9hi4Hg}@(HoGO#P^i%<{%)p^O9n15_;P6Jd!3 zBqcE0+X|Cm8>e=zuX zLi_kv>aP3ouKe*j^8xz!kol$(dD6@9PfSh_PN=tjs$VBK>sZ(d+5ZO8p(jKbH<;I7;0h~Sl zE4iKrF@4t*?%Tm5bjWcGwM-uCD>4F}2#7|5x-iip4eE99!`+ls!Vz3@0c}ch^MOHdw#TYWlAo!Z$``)q3=BO7uj3^))a1a` zpg|Edt0X$JM+QwjT&h{(M5aJx?z7HuPChGLFF(4{Mk0NKh{A|_Y9>f!;?2}du_2`(ZB5|df8mG;c7diA`$K2|8b^C5pX`<;Rm zFi}b%FRa(urt)JF{`}gyteL{%VQI*Fz$E&pZ<%L|s?$$&poT5`<3KN2^kH1RJ|I#FL&F;ljW_qJ0X`|sEos%sB|YOe zLqkAI#MoA!vqgtw+48fg1-}yeQlG-Ab z`(oIc+7ZGmvIl_W8ZVW;w#cbVIcFLHDfjEDwe-*lE?>*FBT5gF<=yvs+9D8(GEQFx zd(O1krSwouh)Wq9Nn&8zT79C^ogO*^fpWHtTs17zmSW^~Zoem{=cF31`~oCsc77u% zP}r<89~8wIv<_#4!e2BNbX5C1`_=fuX)b_Z2HN(-+SzwC|&5N>LD84ZjIWcvpS<3L01vsBm?s+eARRdtrl6|C?&M<-nSvR zszG9c*O6K6RvCQrIlrLNzw%iCq)`^#ujUEw$Ws|8qQX^BAitv+L>W%W{&re&Kh>5q zLU*AZl;;@f_0HC@m|NY}*E^TKt7>ddh|Uh}_xHP5%MKytPWiM}6`;UL$}PIv*66HG zSEe_~I274MrpYZDEwj|H%Sr3DkzMW56B|9UZz{|kwK&H?svlvf@H{#PSZ)A6`de&d zQ|Zy<#wtHZj2=-S5at#If1(VWK!UGo_IlsIQyvvprO6$|GO5Y^+SX?$+f*}3sRrq4&EWDfM#|5IYI4-Uw{ZwEu7{$Yvq8L3=|yxg^On)A zXlzBVdavr}s3a5KD*>mm!v;=!#dPA$0l)B=uJurj73AdmT-)nVjc?pO$as?YnOsst zgqP5Xw{8v@I5E7(XOSc0120G38Yb4$i4*EJ;bzoNr#i7 zyVl4^)P|CxpKl`baHnvRhKj6A6ZZ2fiwbqgORRToKZtTi@mn06-at3AJFrOaIZ59X ze)HYPmg^EDW$sCj77f|Tu4prCw0e2E8XKKIf2`+9^0vt-S>-t@IbiIwk!@w~yp>&z z4ULWFU+<5K^`Ot z++sLL<(pv~q|_RN4UXzBH#ta|<(mG%TD+jafK?M>%D zw`kZWAK43?$*Jk+l*ept+~qVcu|qa(b{VJWO^;?6y@3xggY8#GV)V2p%pxo7cY5?R z^mtf0F-DA3p5Pk?sd-Q6=i$jYN>X_sYdA4FDA=%(t?PH0-nZwF^k`LZer@~0Eb!Nl zhqWTGN#)Pi6Qj35B6t8{eMFwC%SrtuIMwzxJ-7!zz`Ym4KA8u1N_T_HxVWqix1M0m z;6-e-g^2+;$s)wyy+uFGgX$3}J8orreZ+5dR42FMZERCGbg~!=TRNkBF*Q zj|gv-kx_^c5D+jBo=N&?q0ZF{Sbs)DxaY+{U`?hEL8MQnt-{2{#za7FFGjQI0DqEQ zL{J;BMIM%>9#X|0Qh6WhpT9uOK?K%E%cOW73sQUA6X?149y32>oX^}o?ir5Gw|Ebe z7CasSkI8uDK#}(&RJP4I7P2dKH-WD>K4J)XF^2|s@fip+V)c4*X(e!oDv`O-v+L3E zKTFdozPx7 zK6`xStN2<}Bie4C>-t!N-k=jtxa+nkb@qU9cjwkQI`a-9=B)iNZvW-$7f;3+A#~FV zk#-4^FEZcHZ4evBtuFCtnED_0ZW(?2#YkxMJ*@^|jvZ=S=f=c}(UQtaZ8TBd)=nO6 zeIAL5tA&~(3Va5oLYkj#P;@7EMlTwLpSEb%1&@Z}Nv)hJeMj(5<%#;poRb4jlZ;OAFsfs^_QfcZ= zJZ8U|ds$!8E|J#iQ zw+jQAvO3ZnA%dN4Oxg_dOm3)02X}$8ImPIJyS_weCJ>wUqIDZhU6N2q!Mey^X83cZ zZyOLb^R?B8ni1bXCMWyVdyVF14j`VN9fnljE-2~FXk|3&^Ti-@%FrqX(eAKL=BB=;|xuAU;8-nrw|x*Gg)v>LzK2FKXU9>cq1Hq3c< zrjw)GYwnEvtO!yLaZitDbqrrm_3_5%>2kbHBtJXes&bn$`Rn@=m4QTa8ChC3WhcSj z@7l(m$M(f~=neJYb!j1%k-cH5>DRY)?Zl2outf<^*@Zew6VdY*6|$v4Z(N7QtDe0E zeA-RfG_pbli6k$>Xy*AlLb@t%UG>NH9Bw^#PCY+e)JpSAFeL~eZ+94@1V-r>WkoI< zxTC9fSQ0-QOo?0*NLoiVTWEn=L(39bx{N>nC==E~t?6$UTci4@w|D{@!<3d?KNqMQ z3~F9!e&m4~y05!DDlP=tO|<3>K%Vvi7&yO=f3nSHSd2XQictC_%*j91N2sR?J6Nf& z3XoQfP`!c4iq#daV{5vElSpV)A*m`>aCrToUZ9U7PEUNbQLXctbizDJyt-uLJeJ+Q z&QaZ_3aEkg7Ko;~!@_@g)Qc=4#oV0~SCO84_gQ9XDGRQn_L;i$RV-V3&oAT-EXPM0 z(n6Q)+PO8B{0BpsBEDPPNayK8vzZ>DrQd0>(`IBVE*x>&Bvyz*zl>xAvnoVImw7XP zXn*bc$0S^Cc5cB!f{Wk{TkO`Hug>hT89AdGhsyM0L}y$rZ=3SHWuOtat`#)n@Xs4F z?Xpc$o+P!+;?yCB!XaNnElq=20XG6&17Gd8ViNVGfZ{ujc z_6fJL?yV$rWlLMeK{vDwe_9TL&g;XSK5O)ib9B%x*KD%G_|QvUc(x-1AJ2jRWb0E* zWNST(7X?9^EGODmxxM4%0_*H9g--f!>UD^{(_HhdYa5l4KL@S#Ad>#K0Cv%$@rcjZ zA1&3s-UajC2fn%KD{8)_xTfeoEZ+^qDNF{-M{{@&ZKcZ7O^n{#x>rw0e6xzNT4YG+ zJ17grgLsjdtyLy{)|t1P@Vnr0sf$=%+?4x8>pd$?Mnf`lm7mUFyRV|# z)e5a(94no_jF^}lR+kmAY!1{w*zUct%8`ad5^WHjZBiSgQNQQ3GnQhZfGX?MeN0uXn&UX>XU5?bk{&lLG~s8)BG+AX|pimVGLl>pYUfpm%tC{PLexSO0QA7noE-zNP1 z815VHI2!vG!)r0RYvE|)l8*8Vdvws6pZXJFbf zY_f6vYypQ=nGER!SS%sw7MwTRBd zu3Lrw{)DO^SUxlb%~&|<=);Gk(@VE-(?RDWG*tcF%^$L=n)5P;N-W)|3-1_E2*qZD zTew*#g_j!zxlaxG3Ww33i*27@ducuQvR-i)RvW7QP-YMU1w?CVPsQta*3xrbUC*Jn zlF1l|hZWZ@j8LJ)*?>&9Rl+Ax?2KOCV9kVY`iIXAKvXDyZ zBScnOs#2@hObyhVJDU8eNaC+Wf>gu`x+7`_96 z${;z2MugautB<7RwY znbw}fL#CVmH{$o^MPl-yKeVSEWD`u00R>s%S2=c@+EMr>edOb~8f9EG{N3`OdmcMl_SMe46|~o@QJ* zjojpgjy#u`@jBy>1r4rB366GqGMt-cLL^+pk6{CtnpEmF1d!=pMms5vlCN<` z>2aexV%%Tf!VD$*KwPNfkVB&DCfZUygU^YE_gL>e1@_53_;nDs6BL7Y*S;JU{+Ps_ zH;o&gutuCbwK9&O_l16fIPb#aHcrz8l`Q=-54kyjEzHq)A7hV}xdZp|Ti1*yS7@JK z>TulPN>tXySpKZZcHl72U36DzlVqKFTzj$_<)@#lEt|oMb}-j79no|hYLz;sjX}-O zHv5qa8S-j&?7d8cAB4Zm}yg_(SeHu5yx

tC2{R(=QJv zPmsd%UZUM+imbupyy5N%#pN=r(m&^Yyj^H`l=0Wfr-;k?XZkXR>6BEp-KDYJMR~+x z=?6hseAc5QEM(s%S5k9M5`HZ8dH&8lcTYno<@|cN$4Y~iz(m}vt2ifa39yi zcXO<4>P)S6TD30!h{SY8;3jn%y%F634zR38cn}87h#Z zhnGrdN??>(Fg7mGV1Kho54M$arbdG-F9EHS;cWsrjiKL*_? zE^Omj#6Kaw+jxqcRvh{_RyQjf684gVgZdGNHRpm{xle~zD}0bFST(`OWGs|C4FXX4 zciU3`+5hs^N2)(gjE-w1z1)Q%`+!htnuf)-2WtH>905W8X?<#@a=2vmyhli`;<;=b?rN_-=wQ;GM4;)H$Ny4Jv1BjE<%3|KyT>qhS zOr@_zv~YerwjtxNS)uYDQef%DbWs9+pHW*{8ngKG;9SHSkSW~3n0M^$^~HuW$3#T?18t( zsEu)y31Y{YWxBdh*|9=f|>R;vlz!@_3GdOcJ{z1RzSNyH8a2PaBkO(uE@bxhbB9oJ0{j3?RLK`TTj(hi1NIX}qDd&Vx7(zOyzDwtyRL@0jICF)nXh)$1CKzMwUk8a7*!v4jj6+#{I>3f*x z*@xhznRP9h@UY3j$%N!!pnTf?!Pyl}>V1yuax3cw4q9ZIXRP0Ct;#7@cHo3po`2(f zz*Ja-4q6*|=<>BA$Gs?1cz#Q`-0lG_nk|<>c|{+K?(0AuT1UAk3Pd#%5a=gl3zmJp z#GFxDpvXTmR+DTyXesZnru(Jix=5&0G4c0ze7do0fzpgv5Y_9jb`Pw0yPV{*Wtbbq z?`WdFpTw4r_YL?n3k=o>~1j2oX}d$pFFaLi@wY!-Ujb`m~zz2O&|xR;%gru@})!FCc~ne=~6?R5AJep3r=x(eO zQ00+kuEf4zzBbJCFP;!#nS*&G!l3dbDgCT}5gs45tH)LjZhV{{+HNw<v$caL>@Up!+02~}UcSMH`;{<*4;MF2 zT8nL>DZS+ZfM+(-ct5d0EZ?B$RLh&$<$Ctm_aSoo7Sga-n2q^ z>+&?;#5gFIudaMG7;XnH_U~@@fueka+IK3gHhHPuQ#Li{udT3?420g0KR20TomGo04q6hWh1;`>%3AgW072rJ(}h}vGZe3G@|+~(oFg!LA@ z>A=Bt-z7<89rEbdQ z-eVgDxjT z#HGENFa7JlPzwOkek;}To-)NtGH@I27e2>&l-Qp-gT)*8fk|HBQ7&)F|4Q!7{3xjd z_EDa15UFpx{{fqJJSO^&jl#kMv1hmCJk51TX#_ zr&wyr#p^>XjdC*sFi%a^^&W2wYR_LY(gR5bo$aixDZu0Y)HQ7C5#CZjn5*^a=*(ov zbCAn+Lfc!YCZPDI=4ny>lH{@OsbMRH$9q_b=BdYo{Z2dSVZ3$yAT-7O7QcN6!hw^b z<$ZB?pTnBKrsgfwQ&w^QTA{0E!CYPF=<;WBAWkcVj&t|e|H~yNn9N7sBX=CeJyf1SWyU8>=W}2-ypM1a!gc!?+#Jf@~z8R z8S?EOk-}~cecp$6)f%V3Ql=c{Vcx&YD6Vu8yK7E}dEfZjbYcl3%C4!>*>?z@)i&p+ z1La&QvWAEg5Imc#RICDP&ipP+BZ^zB@6&Ba`Hi&Owc@gCWbl60H9G{ah1CDlT%%g$ zk3S+AUIg+>{c@}_`tIJoe9nghw2D~kJYap#Z%62_xi3OxUqtpLK1M!-M&#eq9=ot9 zuhlG87daw*=MJ#2OqC2k$|uZ%@u>hjtK6eD9C{r2v{?ar7*z0+SJvUD-1D)4yoZmd z%x!|w6H(UBT_{C%&6}4R=?#OB1d@c&bA2RKl}u^G3HB_KUyg*lM8_zX9!wK_4Wr$5 zp$Gl0I5qF;_9(4JLiVV@heV)beLoIF$_Kz6=t8`jhu?~zVO)YS|zYa z>#S-pLfu5ddw?_a>(Qb+1?2u949t`m&R$ukIa^xFll(5ew}qN@6LbMbg1O{)H_y^I zCF>?m5WqaWHu~lG>IsR)+7|K%V1l5YaJW8x{=l(&PPt zb;9wyYH&?OIvV1q0{X~K6OOcBPwK$wB!+`Dt18>%ps0&A({v=r`~P*u#!pm+zF_T% z0OrZ7S$3_*sFGNf1VMMryEZ*67ZL3Eyywq*5v%{1d*J!2{YNk3Z7v4Rwk7sUrB5{Vj1k-C)$k>IJ6;mwK)vQjHON+LNpF^9ynpKAYdj zeDKllBfITttvNSgXJ~Pm;3jpMYZK+9@f&!QJCjnH1Bz3~E0!qABf9U2ON-CIFGo@tNQd#%^R~03{R($35^1B}u35ve(cfAaH$pJzc&YA6%fiKadJG_BKQ5pjCr z5u|CkRywR&^Kf$Kp@CS8krE&*yBEjmZ)6(+-+~J;W$>Hh&)Y$?D90t1EX|)Jj;t!- zlnug;Xxzw!%x{SOMy^TUR^3^(2l`kV27vddq!{}8!1da8Sxx<{5J2V{DPobOGRA$H zAiX9%={Ik<)+>~%6WEMmDc~)1hHxeJZHbkjtp5m=xk zyE#R1s}G~xtxcm9vCk5kBOtTFRx&J`T;?S{{!~d+ws)YI)U`K3o!{lgRbbDaRvcO6 zSn_gK@!K(&J81miA@4=8?YDjiN8Z?E2__lf&AdVMrd3pzvlXJ747yJ1xJoOeSQp$T zv>NXv9zJwWa%huO6=`}WecDf?VM+DQE9lQRkm%4XM^VZ*@Y8AW&ZEcCDDP=$RcWPQ zN7E>;+0QT1Y^K^#d~Y9aVEyt?pF)Y}oG3>#FBOaRR!M+ZwVqhx-$F(r(pZxOxC}xG z=~A#*rFn@}13o=ld>h~WUSv+hF7zvE$H#`U8FS9i1Mh{!cMWAzW}Km^0Y4q}4S!b< z!H-pS=!x!Hlk38Jky2&5XK5l-bzP-Rx3ubc6kzOLOY&9QF&7b}QZ9r|~H& zZUs|Q>rW}QLv%#k1XcXN4@+h6vK-b+W<|Y|U7owWH=h#~B~VuUz3uFJ{;681($Z7!t{eH;9wJ&edsQI=p~qG7bu?#<*_Woe{`WWc@mZ3@rY{1x9p0bc~MHj|` zWg^DB^4!OFWJO!lyLVcJnz-jui>OkcL?-B{de^w=sAiYF=BO4}R9uRSyEMDyf*IH6 z397~2>U0bZtEl1QWZZtz(Z+65{)$ZrUqw0{`2lqXS0&cZ|R zhJ_=vG?EW}A$;6BzK-yWA&kYQ0W#gAmYNqCG#eLlIeckW6MHnkwtmG=p)yj(f-B+0 zE94Y1Z}*0XX*Q*>dVIPkQY)qKY;wA%dU#q+9b>`}$3q|B(r} z&yJM~t)O^2qplIcQLC$u*ZgGKNt&!h@1~IzJ-*1Ur>gY1b)psulJ(ix=76ywR!E^*|X<9XDmvJQQ~DUN0Jb%CjlqKQV*a zln=hTiIvSbA@LKvTVB6pXz3G zw?>iIkL75$q`fl*v+8ZayGJ4CpRkwP)>=SZ)#5RBjeE)7#0jMlN8f|R4o8q9o;7}3 ziI@e%bnq=0btdxP$Zh7gCHNPdshSl&wh)wF-YQYvdQ7HFX4VG$ie(u)6|MaGNbzBm zmCknkmJv&?q!&ZniyW__Sn3{WU*n52l2EP`W<{aFLMDpRw@*Ls)sWa;$8QWn=@8_t z&56#$FzD3xhwonn$k*EYqa!js%QFJdk(gcsNrJax_(tlC3oC&Lkzeu#9&k~V-v8Jt z@JD7U{$mEm6mQ#uFPz1iAdpUd)bzX^@##g&;vFTOy7%6R8c1@KB!!t(SpRI!0YM%| zzxa_!I{Rhi&I?3No7uB8gr^tca_1npXaW8vF<4?0lTN+q?c=Kexqbz}{9hL=J{g1^ z*~R~=j;g?>OvR*NNIKh0v$h=>@u^VIzp8bNS#X$9ke6MTa+WBe)@$lM#RASswwGp> zA$h-z)I1clQfBu@V02xOQ*$*h@SRQ+K=C5?gU`G`7o>({Ia5JPhwF0Eax-E2sDBhg zc>-wC1SpKHbr?6*7&-0qE}wRQ!O*{IjNvsFJjgK#HMb<2nF>lNl9Oft9({O?Jr7z; zgzIEKIS~U?fS@zm0+;dVvtlU6{M+}2LC1G+)nP+2ZP>P-B$#BHMaiid>)IR zL{gpO5K>ViNae>El2^p@0CE6H(O`@U685hqg&JNnb-YVS&-sRgbjJtZ*t%5YMgc>a zGP(K@zY8LGdR6dQR>$p<_N7uvnDil(J|wrbGEge8qJ}r^J{&)ZWuoVS=B1Vn=g5OB zhVo#iHXhhJMd;l`AARYI14MdGJ1MG1>}$oxxE0}KOTLRusBTV%fgIYdp#fb0Z!T4{BW zAW7+LX>#8HdArtA#HXmw_K}&eYL?KoCNo698YA2rgvg-1LooOejh0TmqVEbJdj230 zFM&<##y3E&^B`tixAai*M9Dy_Dz`$;v z2ZIc}QXoSh^9C|!@jQHbpxV!pNQ%T9 zxv{lT=cBY1#TBv?eiGX9Z@iGa!17b%|D7!z@i&1Lf+qw1bm29Cy_&y*7KLXF9Qm*V zM+)B}8(XhZK1$=xoHsqx6zl5n5&|)YDlTCw^GIEFLT@bpqOOP19^cL(q0(@jUu66ggFz^!OVFutl zX>cCC33w}vLwGB37`&C}9em)HkKlY@j?jaT?BpD?gVPCthd0y|fyJ9(sPac{2}sjyq{mYWEjFhoS6U?SSEm|F4|m z`GfMm4{fVZsfUcMS&+C zkd6#^S8yI5MNAy=m|KGJV1+0FkYYco4Wth%{QN#!h~b6zf!rP02^@HI>PpSn32YQH zct+}3Pq-Z;kXla>NWjU9#EDhAgp8~1v)+IZ-SuJ@krNBZug0!m(<2uTknd#JKniEm zDu)*#J0Mn59VDorYX-4kGbJK>LT>47tKPpLS86ggRd$%fC;$d|VRAmY0X9I<}}BG7W-2tZyUK<=~t0V7jPsTt{u zJRzT|YM~LxSdhnOS#bVJIxERSyX0}LS4*z?*h}XpG7`YBIy_uHB(G|{lyP@>UnzJ_ zroWkh_D~Q{1sO|qgSY%6>HOOEl3yA_S+;#iQHw$zQE&6x^wIBt92`y@Mj~!!rC`r+ z5DxHquQP-TM*N1;gVUFR6P&)1T@beYO?cS&x{n@*(F6{^N&(@#Iy><2{dFI^oybiX zSh@ZM985P`K{OSF&SIZ2Trj4i2_9~Qhj%NY(;&swk8g=ma+zcFnlY5SMH=8V#m8`( z@!LK+kp2Nqe}+u^B6rO?1ZVUbS@Lxm{ zRN7r6CagwhL?Zc`W(4p_!>))=LkCvDl${TtDiIYIg4v&RCpA*%b%L^Z!+;F0Lt%;dlfd z&hY>lp9g~sf>I#EPv#BKMgOT(=p~$2a0(us+5vfSlt5mq=q7|Hljdo~w`98g;EDOq z)_$Tw898z85owLBclEEpOd0-G#I>HvxCDLZJjXXA@2BQA=vJUY0iZ;A$AD=Wdpsvd z3S0ga4i1vz4jufdc=e1{jy;YF)-co9r5J(yn5oVpuw;eq$gH(>dD5xm?1FM5{2 zi@3L7hoZN5^h{jFoAZiF6u&$DLh_8?!zbLIU3jr)1uSOJf(O?&Mp3BwpMBkv;xO1WHu~o7$Q+9<1Q%l-#S6VndSi1J}VpU zM+<%d@<)*yV9jj|r8ms~7B3{%m}nKmA6%M% z`5 zeFnHb1cPWgzbKqfvAJIS>q)Fm>?$*?Lmk%zF zcWU5b_$hnsXOei$Z}-4^;NQxFFp4yazM_{Af76 z$277WxW*zukx-xPhljgQLD^U6`G*#QqiaUs;oGy%8uO0lW;kGN4>PD3Vd|=+FLGsu zCPAqo3xs<`j|)6JZVb8)xXt*KG3LxF5sfh?FScLVmFWty9zGd z3vjyUYe;NS?o@E7S0h3+#U*&%2r7CKT+ux&;odmQa98Isgi#tiv=YfH$BUgPPCZf$@qFbYmsZjlp>VcVm#0 z01E_@K~KQqI3c_UCRi4p;FqADf(gzA6AahW3b0#axgmIQ241WgffpY@B5)altJqi% z0tOV`y@2a3m|n1K1TTuuz>DEvjz6Y@*AZWmc%6?JnPS&h;kHnG3=Y@y99~?9o6#s9 zNDaC$=+U4HgO?>Ixjl->G-lumra4>X7(iF3{g>8xTDUMboPrWv1K-#az@>0t6`9lK z-|qjdI$W%eKl&zrhSAbV0a)H-tAOj~kDCbida5b-h z^9B}egVA4-a5a|(uTqe7Cqdr1S}A-Yg^#EIq_uwp6k+TKP|7-JuRswy;f9N(Zz5d8 z!HpIu;{KCx5!bu~H{fo7&nY+$I!y22NGZ55w#>m*eB}yM<^OUk+kTJ!62gmh0>}IC z8G*_gvJO|u<-c&Hl(>c~t ze+UKXDdXA*Oh6v)e+szQqEOHd&w!hRk2KZcBhAg+6_`3<`-VQ%LC5cSq^aqZ6kFz)US z#frNYDU`(u6t=j#TPd!KTx4-84h0r>cP)#%yA*eKX`j9K^L<{wKQ?C~Gnq-wo|E&= zBvCPFzA!*8M*z9XfZ*O-&wJrMC3G^WE zqem3Xi02Ya38|5&?L=Imd<~Sz_t4~ZYD0Eg)|!0~&TwG)HHwsRXzvviKXCZ;biE*i zH%q+J0&m#fNARPLW#=`<;|Gw8h0?3rkHXJYT#w^gksG9DVacWy)!yCv=<>Ft7IS_- zqfC&g?3M(0vdx47Au9P5)k0v1P$u9BEfbnI8ZEnx)RnC8H&`(rO@$f!M!+yhUu$ev zO38@9qfGF^RFo)droG;%a9Y+*0!9!>g3_4tC?-GADiiq5J@=x3aZS_+lxh`;D51=- z6V;Q*K<@aB3egP9jK_?^iertdj3XVjiesEhj8^GinZd23na~QY5Llg9pDm~x6q5z# zm}?7cl5_yR$^=SILSR|96-FS5XC{<+#Uzo%zgf}2Wr?v#z=5FOzFsjaP+GBkm>EbS zn+aHc=Sbkr#IKkPGEZV5TxWI>@HNNp+0X$Y)d;6ZwsqFt@}9=XCXa0B&}=CU$6vro z0_%iR-bsckZns2s)}om>b092Hj!wnQ(gWfC#|vX6;jKQ6v4omAbNp*qW@l~m(itpn z>de7cb3Z^I2umP>$u&Uve;h{@u*C1nl%p)Yu*yI^xdi}NX8YWRj@|YVUnZJ z|5XTK@--*tT&0YH`#)J)Fj*-CzUJO=Aq45FLSRRjMtGEi%(bZhPyR9ntkC~yIPgXr z0>}=$pP*N0Xig!0s-st^6DF0e>ug8+dU^(fPA@>*!2-S^KUY>OTYsA z6Ef>P7lT4$Gr4p|=VV7j|CiJIFAPI9%^BYcO)dmYQ9vqk>J6ThvZrUvhZB1|W&E>N z8e;_E)8Ci%W9fP~T#>-{MK|;$Voa;6v2^_#o=Bzl0}KlFo;0$jmGbdU7ZShi`ZxTD zYC)c~Fd6bNO+LaDK_n|R+^ww%n7(-wq1G-P^)9CaFtf5*Z~ql!4T9xBm(xFSPfQS1!|D$H zzv}KG_55H)YwJjDn5L+_OJHqx59TM5e-k`CioCF4#P9DgVilelQY$K^o{T+ZD&X-y zVgD$@a*trSu<8v1@fWzant4EPeTRs19H%y8SH%y%1|LMhc{GX1q(fXOuO}|f-^Dkj$VqBam@0IWit~_cQrn))s=pHBGyu~wrW4QLeRLwg_j2ywZuM1)Rzh<7 z-B=m{6<4oVBlF2S1dAAhFQnh6ZYWymUr;ZFMqt<33Mz%=A&OA1;`ie6GmyR^a8qT1 z*h)c-T&yqNyeB9CcBOVT?6`V_{?@_Kd+V9@TIY6%QB5@$9lGDq!SSqB(BFA)X6yVp zb}zU1B6gs7TL)*GOC%-4t08ueF2Y=NEu!+O)KY%u%2Q{DxK>bdaLdMTVGd1wM|PAt zCiU;0jo+8`X)l$r2EhROlzY3h$px=zM)@6A?$`nA7?5Kc1vki3pvz*gPKlzfNwAA( zu#ON~d-}CB*7ChjjQO%eL}6!sN9@4jC@c{>)-qKKMo`p zj`q0_^X0-Es?l?44i6s>SUd_AH=-Wpy4%RC2qaVEOu-V} z_ekUN6Z$ZYg6jEf^CemUb2-|mjjqutdUAQ6X4dHr?sn_ky}9A|&y0nAzK-#Z>PboU z;@!q!1+c_SW(5*>6D|*bKoy5DHXDMNwuZkgGvm4{fl)JRu&oJ)TElO6jCsbL ztxzA|IXR5_o(y?Oa8jr_#iJb6*E6ScW5%SqyW35P;bkirc*%*nxgtdgZX`V|lkw~! z5xaGSHFmJ2rw#WK*gA8ysiA@N47gy_;H2xjOfPr#X3G9@-$>U4x|dtNbvWx6s^~QN zO}m0=)SD-^rAYNGgsBYbN2T8=xO8KV?#yf z7AkEUV%JR`%;E-8@hchM-s+F5YqfAnzPtfFD^b&E3r4Xfx%X|g*dJ-WGwkEAC^ULX zMYd1jDAZC=AV*(-cu>g*%{FMDWXRDxS1cwS#}2N8Ez!W-7P1%w*<~A|*deOuUvX*K zvrKStGY09w zx28h=NOCqEtC#Vjt^h`C-KeiGzGbU79w4?mHPgR|vuyyf^WyW%2@ z-N~bAI95~Uz*QW>Yh@79^Zp+ZjPvC@(1sVoJ`375!2QS|NiJyOlP%HZYqDq$zxMu_ zbTqIBL});RERHL=GRALVPIS1IE*JEr)|MzX$(bya)_VJo=yNAsI)skBl^?^)Xc7`= z{VI+TPx|c}Tr1N5?lL~YSYV)dc)iMZ59^WQEnv?n77g;mVGr=qRpRv(PxcfT|6`3D zc}(UvuxE{!26@O+R3wlpJ){||1A!wK1`V=E{h64y+JDu71bTlG$4GOBLVD6ai(mxc zB>j9Sh>*ajOB90yK54?TlKLTV-Ug_s@h|R|LiqMXbV;XV$U{nEs{m`yqE}%WoinSRp-5{fC^yX*rquV zUEHGsVb*&3^$%Eozog3t@fW!ey&Bkk{HurWOJu?1DF=Vk0m~B3l83(@#>JsSefzJ& zq~umkRD<9Z$z(R2!A>e{{Ken=Pg;rdMs#y|{U! z4iBD4zV3aKju`R@T3bSHb)Uw)-mMyJ;RR-#r^zPTx|i?(omNWa4cO#6qRoeV3;? zbJBu4Z*Z`kGaGh@74oUMRS3?$jVE?faar+c6Y|)Ei{&Vb8IVfoS@`x9vX=tHHk_DN zo+LpWQ|Cs>?$rw$yzinso}7iwy!=yb1~IzO?SEtFG+>%ISGwI%*%pr;D~K66A8?0bUcs2V8g|xw z@~NwjXgL!*3%|0$oY{F--UiZ|- zf?6{IrN!n#O;+-tNX==otXM0x(a6)W#Jmw6ReA6Y?frsl->ERt8b*U1W@DK?MtE=# zd}@%<#sBl21@Oy2r6-r#E`#Sxjb_g#;gs{o=Ng#w@lczCXKtx>eX;>gCP<)SJBVXQ z=uD?7%w`Zl7$BAm{mmUt|KUHA^Js9VV+;LD`qi-xmBfDFep)Fdz@G`2<63EWk&Rj7 zQ~00Br5bkVw#D1Z3Sud)+uY#@YX6yR&dFLtJ@S>BGaqL15j)Ie8~if^PfVD}-&>hF zU>3(wOg7mJ@_|eNz8x0ijW(&nSt=_s7rh1k2q*Zsq9#7FuGh9D`$}4;Pb&Bm{ z4OTN%N7~G1Sa9tuk&!jXF3KbPG#X8u5eH0!yC{*b6-fu)mA@5;Uje|tIB@qYVLPQF>PgL>wz>^If*;rm1OV4l!*&BrHJPf zK}C@ZAA(Es>uwE-A|-pYW$i1+HKz6EL4q0$3t7s`? zUob+8BDJu)blKQAGfMLj0Q$1B(vHMGnEJG)b2a5TiLv=!iz36O9f{xIe!$7d7KSuM zvYC{DY^FX{q(p8z$48=Eg{lzG*W0Sg%0x9qe(6yIN+b(vYNXX`YScTc5O>;(>9dtB zqZdV@R4Ut-vLN(@Dmb=Fbr8%gPKDMQ#j^q(oxiDH9Wyu4@3{!%Fk% zkNGrjF*Ojn*w~~5G;dKji03bOG?N1mH8r|G44G_t7JK}f4MSOinz!&>YtIDRr@u~rt+p#KNtqDR z)EJo80v@$h=I5d6%ZA|87v|IE5}1^fV1-xaW2CW_J=>PC{6Q(T!MhpJn9lW{O^F1d zP#Y-8zK50Ju`e%qb=H?HjBASAK)p$cM1dXBTv|dCm(Dfz*WtmM1Xoiz`T(5uiD-e6wLP-{R3jJDhx}vdyllTqcM__d%Mm)EYtTRkkVnL4o zx_4Y6>YZhY&3UP;lB{c-r4ESg8P1rPctHEN^H(5eq#-9Uv6+0Gh6da+HWo3lZ2UT` z8{nadyc)M~frc(Qmfk-ZnU544+hu=rn&D_rbPkY~bf!oWb2XFA9y^V5{vcgieEUB8 z)9FH>1X4+&E0lsyBelOHrgnT7<$LL8GOkp8j2E!lF;%P^c=m?F3kGqKufe`fjrO{^ zq!tRpJvud~jPFW)_VOkuF@1EIsx~7OhcW_DG4l>V%r`pI69!S$d+AIPR3-eb9D2#_7GoPg}%R<*Pb6 z;)2&D`)vECMMscz9ywymH#eV#>H%?x@(?K*yMx9bN4Bd_(%@*7iJK17y=0M}W`!T& z8pTN4c)qSHaG-y|Ia#dQ9sx41`Ka%v5l}xmWTUZhp#+?G97lXMPS3;js$|ouSoD8dm?j2oi!pHMiceEKD%j~|30DD zRPe>Y2rX~>MGTtFX*AP5HvuKTL3q@JYDW5+{hi`XI*-e=E<6S~2P7MEX}dQKyq(H{ zo)@WZpI42INOC{^snHKC&jJF&pLWU zOGIv_HZ3j!%s-Dyj9;TX;K7eOoN(Z!b&?<$rycwa$a9^k$^$b!#B=gv_dEL+m0t+I z;?KOf;26u!uS5bp#oaOkuWU4h>Ul`XUEqGhHFWO0gY!254a#(du#o$Tb5X^i!@A(~ z-ac+HbZ5Gc2G9a2F85TjP7nBPTWkysL)#t3`Z5XdjNYafZp1qzrjUcq-IN30tv6KE1D6IiOAFoPM+ zIc(0Il!qCCa5}Q4gXG7uy86>Cv@`ro^dR`UR$_Fu@k*Oro$*SANBe!PTe!^_**{H! z_|5rw0Y#0(;G>MON}C8$YbRL*H&=EFv)(h!!!;p@*;E zbEW3OV+485=~a4!pd?}KfP4Vc($4JN8H4M;;#Ird=O}*WHSdmJ;g^Gs>ISV3r%Od8 zpV7)BBr8N6{g8l_d;{`$XU)8lxMtClA!IuYKUq(j1tozy zNBfWB5xkPyU&>=Cb|9pcnWBd^xbH7B6MXSdXE^Nzz?(hAmq%tI|>cxm)~(LuJ~bB4T@Y!QuftAKyBJCy-Zb`m7Uq}K1-6s#B>EgtAtWnA=B zbr<2JXivGf=r@*0!g^62QB+wXsz`MANcz2jF zZ(r%f{6RQD>xQeFQ7J-?7{!?oo#y&hC82}N(M&pW&o(+Mr+BygZ2Ai&K0B+RjOQFW z&GHP;fdRIGpOQC&9r8hE8^_svIU_u$nUsaOjcXxZXVTwM1;ZgOq7N1^s*__Bg@XiW ztc#zHx258Uc5w#`;^Rt=uq)SX#iHjz3)baAR8=!8^1}N|;)|mCY0eN?xn{a35(~?j z#8-S*i_G8Y;Wd0YBVKemIRxl6;Hdz>R+O@d%SD1$Al`m*XRCmH#C{bD$`oII_5Bkzw1L;z!Pog)cEk4nth18Rt8 zekgYf(z>{w{(05vyFO@058n#Pg{#zmruX5TOf`eCMCqftQzG8|fBYHVC_k%r~FH zEa}nB1#2ez^E4ZT#9SA%R?7F-O`HwH&O)eO?sxV6Dv8`bmx`I~7{V}TpPyUlnA%|o zo~z#@DDp9jsmus z18PEO%0AR@?vmvwb9m+p*0_PDt(Jr~a+);^H)0raMkmKWV;nI58gb^)HTfXlcs8hQ zTe;EuGo&Z9C7f{&nRM6hV)%5Yz9lZ(>&~jL(2QPNS~T2EKb_UC{ZVaLS(8++d-hN<`ER6076pJO<^V;<<|4Zh>KYXJ0~vuq3?jWN=x+eo>hK!n|MT zUX{F5ZYz-#7E)n*ZE8wyW$ogE;h0&-%qeZ;(9l~hDBVc0E#criQlKd7h zu_8ZVaY}KR*!L7Lv2@t~6T2`>WwM(L6DuZ(fv-1%D6J=Sw93ekbVbeK3#!I zSbGb{usYTo9j5QYgRg|^f%G5wmB2xtz~l53*eCG;YZ(x zQx!>T#;u#=-C_iV-bryJ+nn^qxspF{piY8xaA5$)oe6yk%@#V5E01a=heTRLA?i!P z$sD3CUPvYC&Dz*Zi2s7eaj*E#`dgEnBi;Y%y*!N@lJmh(KGV7jfz7BZ7sAXE8xGr&}6bd%g6UAoAntCXXZ&dJcKGTIL<{@ z{cD2bWsBPbhKRk|tnx`ezg=Og<5EwalPgCC8WBfoo|XdOp`U41eysd0BB z^#BG+m%<2DOr8=w*5X`xDZg?;P-}`^djJC^57Jg09soW6Z6k7eYn1b`WkBmcapFAMa311gfc6S7k3b|?K}u2+*YjO<}TXU1{?8q2?7 zmEKLwc?v1drRVJ8XWxyU6ew4Jx-1%?7N6y?zaCwcP{l3qv%Y*Q7#h`YYYceD8JpS+ z8TaoN)sY}$t<=o+t+Ym(9LsOb#eX`8Jq~YPFA~H>>Fu~Jk<@@uJ{r_>{ME+2R3d8jj%US1NK40%ZG5&(J9WdxskyW5 zR<2xWwR{w?@Ok?Fct)&}%dwivI1TSH!x@7;DjTFZ9z`0GKx=Gk`WQN04 zV_;mMi^k()8NIvps0M<)TVI+{3s8AY7*QM9WTSWEnXRICA3q$QxQ7sfwK1}o60{hz zbc0|)45_ZFNCcp>I;B*V8T`L9THy~t?9sfqHLL_*jtpn0T zQ7Ul-;8qk*0DBNlsn9A?sML{0&ux-|sL$c`;;GaDzRBjGNSnUz%G1qG{!wHdaYjeJ zJ*lFnCr5wX`t(qL+Uh-Cs`Xs=6lvEK1}%C_KY0DgIzfmvEWW#ipo%aNJ)CSMsjXE9 zDSdM3KHItfoa4EUgN}$SGSEWnwILscgf$x{Pe-;BfX9+X+X67Pf!_&m8taYuYNEFH z%Sf862=_G>gf4)%gr6-LPk@F)%~?g=55sm);Y*}|;t`gz(c8dVOo*40&KP#V@0hKB zI#)a+L?v(F#^I`{Q6tDqSA{dMKaGj@WK?A$bGAirUcieiRBxW^v9gvA_xoqEY`i@ z5*l!bxV~#6WKNve@Ed$F^6LpJ=gp_q)iqxg=T8yJ57C=?2<_e$uen#-Es`aIDaP0A z(c#!Yp0YDax(RNTw)#J#jWR-{WlF^oX*?~l{NKIB6hw8M>QUsM<0(#t2Gs>UXa(4` zZB{Dy7(G1pO;s2|WarA#FWd%bqdI^xN4+w$xCT+NbdTwJINH6XqHRdfcnqL0bd|TEW3!hz<*fIhMk5hXSk!X+K(ph zxNGFxD*cZ8fGBwJI^|Gv zfc4?<=JsS%Q2OKz`wo~=LN1yi!~V}Hg%{-^(>c^rH}EEN(+lBFC8dK_%xm@}Q?60Q z|5chwT0%-k{L&>pV_wb%(Gi|3%&pN1h_dLBz?{#{9j;e13A3iLS&G z<|%X*N*&)h9acZR7ITp6d{y&v_=afkS5LwrO0RbImsj-{ndQ)5?#~LKzsl+BrpkN1eEx0mSkeM)&iSW`YP0>JrRm-fcAEs=4-wr7JRc%DBgruOF3`xjDe$N* z;8@VfFl0PhkpUpor$JLBLOE0*IiDP=qCd}v!=oSN;J43G^vn&0V&q9h^#~^%k)K&G z=%~N^yvW_`NoLOwNpqOG+ZgfX`UXt1vp(gW^1L=4OlIfY+SulA;7zq$y9?;`CeZwg z`J#hgpX0OH8tI1d0B4Cx1h4*AzRwJq2$}S^v4a*)>Ld;`^^X6++=7ry3e=5 z_c%ABlyV(BEq)04gxsHP2rnQ_Ek*DaZH|RFUq0!_efdOrM9kc9x6An7kDBuv{5OJ? z*z;fXuGHv`-0i5^FKG~$##TO|cBHw=c7wX=k<%}xlW{*{41fE@+HEyfw#(0V{FmXp zUWfql<1c+RB~`k&l|4;0bi!{wpNUIF3eR0Ktc-cuFfNvf!oggI*Kp0*tR~dI@pGF3 zjA)+bf|J*mqzPFY>q3~n=3Ahu6wHm_WDIdi5k3t{6SlU)^7WQYLoBLpqX*Is-t~~L zqt;scwEQg;oR{gj>62>3iFZ}J;Y1QIRt6bh9n|*zXN~Hp)Cyct3h4s<<6y}6QX>4S#=ml z@9L~;<+$v68uc08XS%ZuQ?#;3hBxraaUEAyJH%vVc-8%(U?7FOPMX9R%rtY2iKaG) zB#9m*`c&^{DLtFji5|dad|Od@5t2F@WzXWg*+_!^^*E-(|hW`C11TF>r~ z7P!sskrv?09*`Ee&mNHi$b=3IW}tYk6F|yw)%81uEl;S^;4?mKPfP5^{Zy$|n(z=!ZhCRNte`oHw>cqHozP2M6zi>B>Bt|!v}eIQCn zZQ|fa`QmO!D%9;yN=T|5^ryT25ie|2fyA7^$K8jJL1M}=K}YrUi+>n_5uF1zA5R*2S71Pq&^ab5YRxEBhktx$YLBEm{r?&Y&DPmIv{ zs`!5;^x$7Xg>ic((f>(bK#6P8VPQyfeW^8!o|f|smw%~Eh@K8OWixM}g_1coz!dDE z5chhaJ5idZDV+Xe+4t<>+?2hAcj+-DD?0iO^XQT>SLGxbgw;KJ8G})`pkMfEUUR9R z$6?+AbfaH2wn>WsYz$7L)G@}<1g6gNkb?a{MPb7V;Xgy42CGo_KR6!#75p5A6jux6 zV|+}DxyJ8hcTDbG@@%<*YYJIqAt&(LgY@Hg(tPZCyOJA@-&zs=99Ccg7bdpO5=5!Z zVv9O@7z5|;?{vhAVvXdbWYrL0T(TZ0ssA^9_>nTkh&Yf(0ip+oCt~YHP5X&6hU-!l zluOFx{4n@#Xs(5%Cstdq3KAmx9DsI$0dDyf{fmNRsbQjm7XMcZZezzFc){fwo=G z{|XY&ZrL-KIl+TO3s`xyX-zEmq+^j*!UMEIGsA-v={)^9Ii#vRCR#43?>2iT-na5c zYkLf32l3?$li#C#$#te9R1>cGs-B$lScc1NwtmDN_v@%#o}Jt3{g}<4VVd4_Gr|~> zcU?@Iu}14s0&ohidEA3k`I*o|c+dr^8NzHfPraT9tEy8X5&WXzr9A>4`gP+10L9}M zR@kdj8*OrEeFSpy)PiYL3raO6@N4Ju2Zv$z{@?vyqu5`BjBSZfV6UR$c=bv41k!5p zV~Nxsgvy-P^Q#{mdTA%OIjZ|ePOF9t-_^a0jv{>44d)#C(Iw}QSb|HL$q0&6aZFko ztx-JH^IW3`3V%w1qLU@x;yV)be{59jNP6HP=r^cSq}mQlXN*l&CMZv7YE-E3dDlx1 z41}TYPu9%f>x**5?d)EMghx2z&t;Mn4hbxnzI=*v6bsB?ihO=WB9k=6g{%;j?d&Xz z1TSOy28C0Yf8|CxkOXYD4q9fkL+Mof*THgN-jQH_OHgrc6$J*_qh$iH_g6HIi^6z&dyp z;rUVu1lZG40;Ap9BZdan1hv6o7kyd5v=D-MneJuMT23VoD@(2R*trm1wfO5XAO>bV zV?u9puJ)O^q=w^C%ib4XIG6nT=Q1q*xQ+fEt{*NKq=2@JSO(8nnI#S~!2I4(e4#6x zWlz%;b&(~@Z!GomIl?)a|Mo#Mufj9)xZ~m-V`M<}<`k~qrwYZL%?ryUNef43SJ-Ua zXD!?ovYhftHUU?e31HNS~$Us{6H733fXT+?!>boPsZ?S*I8yMo% z(D&ekm@;f?L+X0CngjV*UUx)-Jw4m=upUsE)%~lelkaXv<^eHj+ysOU928#+8fM+j zT?#9k1JzXmh1KQPaw+r$19pO4sj@^=fz=lpzHEmaQbKi4WorFCb=YiEd~p2^>|LQ% zpz8aM!oii$dXnSqrYNrQQ@{JL$pqn&&ecoK+a}s%P@ualirMociBCvmk36P`+7@j% z1KRnyWJAfnX(Izr&F*{^v+hKd{1kQ`#kmnwW^f&3g>htPg;BC6mEZ{@6EIDa3GksYiF~))4@g;jTDg-;<6qI2lFmS-EB8)}5@o9B3W^6d%(hrP9$MIY~T{r*1ApWyjrn$?y)q<`vAGKGy~upP$`C8y=cT->^BOYsf4a3PwQp&%tctdi?N2Dq=8hqm&p-ofO8F^9sc+arMDRhu<4c3WER)zW$ zWQkk)i>qQ2q4l0_>c%C@SBfK`pS>hpiv^fSe&tfArDgrwu&j!i(_R0QN8GoQ79hS9 zBv15yF|Z<>H128|RO%RKP|3T*pe@*97Mt~|P%rO9zc8c%rD!cvoz7s;z7VMr{H|Fp zna;3SrFNQN@98&9(OM)~BKjS+Q%qb|#L)eaElQ%q_sUqOI0JRhDJszzYypN1^1A&n z{e&q>RxK8d1eyw+lAu!|;E9hvB#-+VI~*hNJc`tJm;Rj`Na|L$3m+&8@Q#izA^EJ7 zN=)dV;H;(*pPz9V6S<*K_bWeGz(4Aal9U)gdnkwi;l7TEw)^8*rDX$StOZJ2VCeM% zJ4MxliK&SJa?==b81$53#I)GY(dvx|1;K~o0SvIic}N*H$x7+@Mt)CwahP)`ME+hL zw<(?%;_U`mb!=$UP@-@5iBHEPq@v!^pT1zpI=+gjSb1jM2c_YB+K?OZMJ_WFD!VS~ zO?(ooTRB)m^R9@Y1XJ4ndP`FX#6;zj%V7lqHap;$0s0Ff}(M4d~mf-8Cmj%u)!NM z&R-E4CZYr2;!}_kQI5GtTpNM*c>-E?)fA-a8;$xAP+O$8^tOsE>q)~0ENH2NincT; z|AWRoG^qsAAAl1KqWaR#CUG2zYJFS6PTlw$oBJ=;L@G`NvIhd>DK)GDSqnjZBXy$! zxj`nQ5l7v}zeoSK7R`CU&tm4!Q=IHq`BtBg)}7%r{f3`mpW<2`mjPc&w#61IFH*s= zB#0H?UaxA6KW?ALz8QV(7p`cYuS7OL1R1<3^|_&}@qX?jia@m`seAYtTn(IoIgc(g z$xqUp8oI*v2wC<0)*Jrbd$WrtZ+kHITp!*-{e3&jK4||K&V+V zm;VjJVg=FwBGj-KbT~jH$duqWPq-p6zeNLt>4@ZvJ{IDM!XIl# zByVM8!KsILUC3zfKX}y?(Z0Kk$cPJD@T6XLy8=CS+Gqq#)!n~ROFIGO0tO9|erjKJ zmK}M-rPv6LHg$DW+S_{18DgpgQqZc5@!3QHsF&`i0uY`$r3Gc@kq_0zc~eEZN7!s6 zLSESr@5@Sd{sPVq#Xc*fD#E^aSXm#=3`3v?bqwNz!rgo))h_xS0_W0Uz=0M zgeQLz;q)Y(o8oH?^5@pZVR)|8MD^FG#`*yW3Ft2D{aJ=wYnKf7>Oi;$d8)m?_x$%x zb8JT#!hB7x_tZ#ayiz?wdS=#?`e$Z>vjOf`!P}!tjJJ65H%~$3I)ycNq%x8{YJP3u z6V0^euQL{V=M1`1w0V-BsRf5Gk-tutUrj>saW>BFg3oSnLd)#@X5q_8uFv`1>lisn z4MFG%lr~5fxFwWcqaW-yoU=V|B2Pc_A#w9;`@&c6=I>u4vgBQ$QAl|QHJvyc>jjHR z1{zuW1m%|gt#20{{rcBKJ7pyCXC;em>^9AQ21mS(X{C-Jw^lx3HH7mLH#qg$P z1J-EG$L^>zY+_m+*j#kXH*TFQ*d|8;Q>Fe1YiXiK*p7rJ^3yU1%rD0LNlR)HP6)W< z{d9Gx?W595+IiurZ|rfUc!dgsV{P@_JfrWyfI z(_3jQkA2K;63DYp>UfFY&%TmBN|``+X-Bz>lHzfehT&HL+v}J8_h0is&QD&sZXi`j z8o~9wTvdzuq$jY(*0T+vhwLE)m8U5Ervftezr}5dB@&L0Gpivbi(@Pk$W}xLxFFmK z(f^*wE-3tv78)u?Ggq>H_i*xl&1mwyAGqZ1ivUmZ{?OD%Xko@7v_HEGxf${K74`8u zSPT;g&YYXhUjl%(-b;?-0gXtNi{bWC3q;C&?}ZoR9DV>l<$shLL5@cr$4+V8!yFz$UL-C;6OffLftY3UM^{*YEa>{k5zH@ zG4tyNGp^0N#xpI92*)T*r62V&NS|(1Abyn>Qv~1Dm1atOlKe#J^lsjXN!13vr1wg~ zcUHF2{3eha73_W;*~UdeXhUtl<^al4r#%JzHY!GE`HN=a?V{&#o{`~2>A0%S(Uv=z zhuz5WR}*woQRUsqLwdDZ^&8IAKm4GmEw&PFeFgvz4HXao(i)AXFdrMPIoakPCbNX) zfqfK(^)pKJ(l}KrJ~(o!_ytxoYe_`a3tVv-n%m`L>GBF*p>U`;=;i&I0cg6b6TUaG zfq?=l4ze8FO8-Srb$AQ>NVT8r@|BlO?ealfj77{jJu?7dh5fMk-Nk`+Viz<5dbfO1 z_Ay0X3IAHl&Y>`152JA#jciCuo}agYlotclP zCpehwDt7r z6vV004f=moaS}i* zCy<8IX=|nD*+oJ*n;6|vX};zX(?%up&$|6xPgB@~um!7*b4xFNb(aNe#uKu@A=SO; z;Exmaq+O&oj)DhgMhO(7%uA#hOei}+yl~AIW2ZJ}kDp_G$TkUaPn2~&8XytRuXK(k zZ*p_NX~Noy4trAz)2a*5%U1MF)Eo4m;dvwv%u1b)`fgsx28U#3LUXM@544(DDxLXM zzk7RfIUbf95KiTD+E3Fy`#exdkEa=R124j{UxZvX8apGojDIh?Bo@hXOAXX_1+vV( z?C8DQjl7^KeBeMkM9H0Wb^lx*`udsk!nvVlVzQxXhfJ$FEX;rVLCgYk!5%3y(Ng)4 zosAW={DbqeE7gM(r;;4jDwXNjqO+R>2~~9p+A?OmCP=HoN=3t2I2F`^%mV7a(w`_HrK}1${lo=@LSe5EX{Kb2asuPEAw_>^NKoVcsSy3G~lbIi3#S zw$+*(j6FEB1WYw2^9VhJk4l+nb1%ZSJ|59EVG&CdJ_>Z)-}+FL6UB`1H=# zop{W#_0Ut(ZH${3FoAOZNBB(kv8`g-LCmu`!wx1XgWsVZ&)++~fnd~nC`7id_16pj znqdo2+Tb@eR{lR#j(&KVoNXeXx>Xk!_`5ZbNHzGne<1x!`ywqGM)0+XyEd^3*}5qn zoVwTBLPn)f#Tu8QQni*FwX56zRQ2gQ-aj)bG?Uhl){5AC`{UBVOMEJLTBUz_xsccy z8TwMom)V?h<_=PhKfhWC8`P(yaelk@I_cf1M2&0C5#R8+_d4m{snm{ZHj>!zarNqB z52S^r7ki;6_y%e}v@UurCwTXjzl^~fWm{}q((f-9iQW)#`{i`fOo;bK6}}gn3S)EM zxnL}ti}6>|cu(2=9_Z-Uq2oiJnGN{SmH=}C>C*AJHvz@j=6_xDxCjh zq>0O!o=K2}-aWtOZ1JuH53-z+p+ai@QaRe)kcIl6n-Dz=Kr;NU$nHG#K%N8C+2qLV zTP8#t;h-Jgo)r<1!1A{DiCMaFDO1bLBK0(!RUyEJnKWR-X&P{H-*p_o$C02aAPfE? zV05HEwN^MeSl)(;=fF0Nc0lq?GZjRI<-eU^fLM@_g>Er@$7j&}k2pCazCSHJekap2 z&TaMK=oJkk;#L_x`0$RJGed>mCj~(Nl&?VV4XReiKUrvt_4r9PaR zP5s!D3W&zW1Cv>8k?`|eG2zRQ1L%=I#se@?>A(S0{V^R-it!_qh9u5d zJ2__LDU6w$LGI6Z>@}}hLt42~wt07L*XkKMgh$_$f(m)Ble$*PRltdS>|C%zL-m^Z zK_3#{zHxILrq6t0cfwsmX-bBm)?ib2hE=kw>Dh#y#d_OMn>WbD0sS$?tQwf&rc)&3 z^smSq`^_6PB5~s z=KN*V!OPJom153(YzmF!^S;bNkmuv{@xu_Z)58-_A;`Ns8-9uz1sHMRDH3aR@aBff zPjMY^wzI`a8aHhqA?JEWHa>4IARITn28%q9ai$L1pwmE@e4Bg57r$#&Gbn_u8wNNJSk>z+FjpQ9>d6) zc%1edx_?PpM;MC_DA$(wjW>&aA%XqbZr_jP^lxQaOKS`EIS zA~d58{V+v|GQqyO=sGrT*zF)6st(Whoooals_WQ4+lVT=H z^0@P7DIQdm*&^j3PW_d}#MDiWMW?AB?-nS)9};dP5g$rsYL(@5)1!m)+Mf`TvmX)% zij(~Q$RE}0rqEk~&mxhO!5CweKbrTVajOq#^uz5(O2i{9W5Q!F&zaM;ML^Pjf9LBXGPXUfJW%xtO!Hb4Eklm$7Y8;D>vA5 z6jKO&2bj||OrzB6(4VU|PvM~NNgxA-bR5l6SolOhv!8BOvF3S1G#^E4?%|@INvJiP z)g@O5P|p?i+iMNPb5D3GnK&d`A6CUhRlmA=5ukWItM0}}olJNd;u)X`V+y`HZ19~B zzn0STs_8!sxVgR0__B;!6Km{{U|#CqH&UQI;Q`#BG?j02jwGd{`Wmvu9(@-!T*jIO zLV83qeV}hKVCd(jxDmjT;P+lnJrTY)VD*7d%|0fS`2r`bk(N~5$UyXwCTsO zR~RwscwW(?v)@Zk#o;ywr>n-j4zWa)WcjWks27r!QR=@o#++x$uH~&AUK8aW#mUo( z?mF!0`ooBC-_zy(_P+X68`_+yyrgWC>u7nJv{&8pt~g{krlnnaOA)8xN47HD(8Jkt zLt>a?JyWyow0|Bm-mO<)_*VIxM%>;b*|DJKctdz9#l6(y$l>+Z48w8IM3hCU>a4}9 zb(TJwiPy;D$?zosa2)tM_B0R_3`jXHD(8#$3l(5fOaH&0I)xwg%&w{ER6vSu%&dly z|GO}J>*sxpXpA}o|97~s`lXJXK1-MrBuF4{`KyDApdXkt>RCDRx_xh8rAvU`uXpq3 zvTtf>(jkS;XYLZ>%!|r=OQw79U>q_j z+(mC7uusFcF`w%@w(7ZPxgDpH(T6ESBhMX6%RZ39KIMtRJ(T3N!@Lp*qq)kmYI@Tq-EWBo)TO9$=+J$CYY?q*6IWOL|5CP&bIsgIBDRO~l(V_W@0wJ@ed zjaC2Afy@y4ku`etUL-4I98wWUE}Nu-dQ5&evVr+$1{J`K<`nTx!)J^TL2{RLN%$b7 z&az9ZFS7b_={uWni$h-sAk_zAm^IOG@~H?<+qJ50(Bh8*T+`Mcd{_-aPA#@oU%kx* zZ$;y5nM`8^acviuq99LIqH?1xpFRsZpg$953?be^XR5Z`V&iIy6a&P|s{7T+W*zBao4GSCC3y#8H8~z(2?C~*|4}h7!MA-_hEBEir zE987SfKIlfLt1&^ziRm^EDVX^k>nW{7T$sBeB>_>UH6!$e^(r*ojlA)*rJ4`Yu{2< zAmi0D=U;j&oU3?R&GeguHzp$YxRS2Vk&GuYJ~9cSZ(QHpQ^~vy^j=nz;zREdnuUuU3!uetnd(JR)0-TcVDlCWO&wOp^m07+T z?Q-x~`;+a>al{ucv@cITQj{+$ynpHgow8Vlj;!v=j85PRScA7nPl|enK31xET7#`+ zPm1#W;drT+=^=96JNE}qWROTpJ{ZoKe2gBEI9qQ~m{fwrh}_YDfVyhQZw<>5vf3p%6=mK;akayw|S--42vuzJHtc^%bw8FGk}sm>t8*sz@XNnlz88i^{dK z`*C#Ig0m=Ot+<&QuN*^k(5}(`-+2j2udTQro><6mQwx42f-v3vHieT5evds4e!d?P zk_v_}+1#0u3$~4lwp)-34g)h>9!wM57ZI9#_)BW(F8ZIDEL37`(W+IS-jP;+Ij=sm zgbgAsBwD4N8TZdIEIXt#=~|BR?q>Fmac51wak_keWagwF-ho#VYGq>K|8w_f(Pwj5 ziUN9V+|pa5vGjUY7ykB}UkiRPRB6+3A|UbHv9c7N37*k~j2a(1d{-w}i7xu>cA^v3 zYfBG8`utXoM|t~3)c`x(oL<{V5RwNtIS`nO=(Q~bA&Y^NEdjhzzd+(x6bcMk?bbXp z_*lE~8^+w^I1mMas%Fk2PTp_{(X38RR;qbZMV~b6Kb_s*64#Y1 zOLZjhq@gUuI}qA9lpBCd)&IrzXk>Az@CiQbb8_w70<|qj`w@6FY8-W9d!#{C1;nGi z1H1N328pPi0|A=IUfUwjKOzre*h1Jl7WG03GO-C4Nd3@jiw8p9lFprfv3dP*qhUlt zx=+Ff@wFniBC3Y7U!f=PSmm3W-nL}9D@em2Uy*DVu+AL3&TV;P&D%)b|?+L+jfq-!;^}*7WX1drV!?@w4^~n$KPz z0;5LZoJ)PtYtak;{@ROPP$-H6KnU*o*K?ry`8ARMGIr6cQHCuagxr5ejPxPU4}g;j zA$L6;Y1l@^LDf~C)uP>S98wg0v~<^pSBfD3(39I;h>%JqX{t{4HH>;E!*SkzBl~uea5e0 zAXfdpDb1~D;mo=}%m$@qBiIsoSKB{iYTdw$1lb}Vg*W7$5VTY5-i+5rP}7_-hK8;T z^wKaF<{_CzCG2g(8E?n0?=y5DICg@#--=Y^s@|PIaxR$FStCr)ol1TUIsz{71gIq~ zs%maFB(*D{VZ~>IAqf#KB98XV<+rn(JKW1C2&bFTIdeDkrI zE9PqH)h*+C^Lp-M_s{a|Jy!783Bpy-BdsOcx7iAH>Pg)%eO=l=(X0@r-q$yYRlam` z#XtJ@U3-;zWDq!d^P~dc2oX5;oQWlRP}|ayX8z>6e@BgSxcSH<{4} zct||m_p#(UdQW|&HrGKfe4nZ6sPew_AHTj5X{GK{^rT*Teqnv07!GPnS!zB?3SBTs zbu9jn#@5pETjFP5-P%3@2FZq5W>Od|5#nvmvv)Cw$j`4ofb3Am( zLmiN&EYhMh{gV(`CZ585FvTU{XxuD)g{|gjG{|vDcO=gikzG z6=hyT#9oqLM&Si2iN^a(H@TMv86L+39;X_>_;^$=m)7PI-%Q2pqPXaE2I6O9{O%i@Yl?UOEj)VR^ zDWCWGVcF1<=f9Xcuvr)d$x5-V^wTNoGG`8HDuk~2%b1G<`r}%>9OCSWOVNx1pI)0b zMTpl`^l~aB#|~gqz*+JGrBJbQJqO(|i>tNfnXL}ZHqM=MiH(=u8yCI-u1u11w@uQ0 z04|8WF~FF?pClZM!Kp*qP1+BQWP5wK>yzyLZH{JJiqFbTH7&t4%MO*74TMPx&X0$B zSck1BtgM(aQz8Kn4nJN#g-zO^8M(S*il&4`{fovT$*4c@7v-nwz9*L9RNWJ-*5vA0 zF~`Agx&Mn}pFWAA?k20QR6C*i6yF%4DTQkZIX(DHeW_0I5U(uNYzbNIIyWVMqXIl( z;wM8ww1As(`W4U-Fe=(_LH;J02y$AKO5J_#Wc^F>w>QzC4Ry08_^x|rpCDKddd#F& z+W8I(Zfs5dp699(FEuO0c>UBj+$>`JzP8T(W>H=2wZ8C8-RPAIqZ1=BacdCeCbTgU zraIB9Aydg9YNg$JxUWc9np6jqXJD|eHbgF*`4=W(s!Fq?eBgsB-78712;jO&&-I5}@ zsRsV@poHNmpLu=N3y@LEC>S=LmSn99TKy}!Q;t4hlBU>W-;0D z?1|n@H@uvZ4G4bI=9AUnJwQ_s*m`aKBq8aSFzzcfSXQX?69g2_T!aHMh`Z#izZY>GXzdK#??ZM|^w zC4`sDF~Y=;;?Xe}c(LnN_KTx8lrJeoF)@a*_(VUElcGB$3qAp<$``MJvaSSi3r>d& zax~~aUN3yzd;>{sd6DDSWKGCfj5SmGn5y%~ar;-KN+AK^7N&pi863Su9-&I_7F)

mwL?i&BreZef8dm-NS(W24N;x@gtr3b=pOo2OgQ?NNp32ON(=q#4^>$VO~9h)i3pZPAko?w)m9-rodT zoZiGdaILSdEXmHasY*88_bpxeE1ZG3aNu-HNJ}D+ahc^eIKxuY1}A#cmmjmg?I`BM zd_*`-ZsF&M5$dBBvIk7`Q*2ST8|j?@8%A2R=5PC85ef8lV+?dCANn_;JlNwKG_FO} zf?_YBUxLe8A}@JH_Y0Rb2CwhRuTfVX0 zJG}afAEnXKM8jB|kjU(?mQiZPhq|f&2DR-YJU4EGqd#XAXu;o&?Tk9k+_f#UmskbI z#1N_OZ%wj{Z$I4KyY;Q|>L0tZWy-(uQ{izU{gk%&GSoFM<0&;MplhAOkE&7ml?Ee1 zz|CC^BNgo9toC?FPkTk;Y^>5Pd_?YS;~uGWVqDy03KHuY(Lv)C3JeEX_f$RD5k}Gj ztmXSh7I8C|`bGaSJgZpP5%TJ^Jfzil5h`nAf`*icRAsX-oF&O>%r$imLX_)bABx(K z^VoIh=M}}<`JS>!W7N>O)`GvkNy3u9a1v-3)~@c%q46J%)F`!YvrGvNSi4}jL0fm zT54vxqp_2xfjTj-bFuZFS9&FdtJeAdt|mNlMG?ur6(dDI#BcjU(%^Y&^|ThAgY0hh zy*!Rx_xAahu*x|9)5aEYHRnLjbemSz=!EH7EqUk&Bp-OQXoGZW{VRn<@?Gnx>suZt z>x*VhwV-|dc#hdk@XA3?%RrVvpzc{*k^|G*?fjDaM(&Lc+1a+U_qQ1V{ODg5=6gv* zo^{)1w-4TMVm?6Y&Xf0Q8=2ry+^yoppVelaAHP-n%I(PX)`|!1f|kbFIJOV_!RNgC zQ>4GmESj;)9aa)N5T#e{WJfs@%_^mOeq_ou(Wm?2X_gROa%3k)G9s=t?uxcPyAIjJ99 z)YAFJ9^br4FTtiPLa?;jsHYnv!ERV(|64?4mQ3l1AZ)Eq$3Z%zeKhbar}v2~gy;&suG1NH%RrAVy?stu)rBW5O)NML^+ zksdq-2&y)p8T&I|ez1fQQY+hRNJe5kyAmeNojX_d;5k45l)HHH^87E1!rzM$x)Jy+?bhk_K(j|Ft(pECct&y=wcj8%*x$|@Qtz`7BBl)c z!w5n)yqSqIf8~7<@r-^s%A0(l{t_{xBe9d?38AcWVQKtBqf}Y^O6=MhqPq>)Rah}qSigvCO@M?gX zLsgS;T{Jd!OmXKGp1)LSB`bTxb{WkF>%2C^9E7YX4wKCu7-B|hFay!`0L}9Q^eDSF z=7e0_xXf&(#r_e+;SezsGELZ5&0kP!a+}9+qM@P?%(?Z8jau7#88sfE z5OoIUO3H{#?Y-S9ML>hUOgl7rQpb*aEd9YumW(yRnKN8$T<{e+cHh=+9?Y07;vfFU z(M&e%270T42Q!q2OmWkjYj}M|_^N?}eaF=1NQf9*DXbpY|9Y&Nl5cgKf?qsM!A!@; z{UQZ%Y}TOUOB`X2&+qQrsv z73yfR*;seO3(F+N+ai6D9^A~Ex*hZc2e>r8;2k9PyWvSfpJHr^46q@K$ZPLp4eRL? z;;>13$QtY>YLIfFey==FH`dv<>N{vUVj58*#m7ISr%v*9nCO4Tb5)i%D!!Oda#KRJejpESDlS&^U|N(J@o+iC7_k>azQr(g#j51YiN1Q+Dq zMPAYBS=*J>K@$eX7mgFUzFp@&JzSm8iv}a^*gezyPuh>pa<(h6^}1PNPuoQ=q}U$_ z!L2upRxEBT+Ldb@FIzE*a^V?$f$t7qrKE3eR)gC@5K`{?L7gCR|CG49&{3(g|EzQHn{-x>&o4b8N51Jm z<@W6#sumk3zOO;`+bU{6D|qDGCsfG&rf8=ny zYBy|F#!n+7)CA%QBwk0Y;m+=R4XPG$*-Zvky(b?3 z+{}glQoovk=TDW2zJrH-qA_=I;yPfiLI$=&|4spHG>|&COJTg9>$F+R>xh0t1{X&g_srHhfC>78tSKW;L zI{-T6Ou~Q=rAVUx4vXk_hyV3Evb37*r+E&c#DK-`GatrIaqVJSf))LD=7Dqo)$Nty z7<=ty^mG65S_b3bW0fo$1^DQw%+fC;BA1D5m$pCZSF|HTS^t!9=CK|4`228p6#OXq z>I(gsQOvgQf)+W+UQAkNvpmif3}arI0MP}74YkYy!BaeGznoLI2R|7~+0zgOH>)Ud zg}@`SHS+sDz8=vVvlWCeez5Xm8KEe*r_Se1l6Dtp>yr0F{5>CU;9t$6SWbmHoj>-+ zzA`#VIHneuAN`xDS@U3dqB#1*l;gZ$iC}xN$t2 z#p&7JL5~_mIZ%CruYfU!n-rl-_X+?bT)NCzC_=r|V>xqsjsS9s@Ui}Z5z=WGq$hg*^9m zPQy@dc?vv4#HAN^0D?yT^g|)jXfpfTLV!l_FGVW{FC~JQ@dEVVd+`?Bt%Y`UDeXUU z5uKbxxZgxkX5>>D|Hw#$5Z}%7OfH+Hd#?l?cfb3Gmyq4lYkcaw{AoVRgiMFl&nQgv z|8;@q(2z<*L7IN|86#hJPJN`)a^fZb{u+?kedY5w_G|_hotyLJlEn0C+W%gC;Uq;L zY6Z6w(SD}ao}Em->9U7O`uq(k^8XuK<*>}X0$MS`lE&@2!}s?N_W{)re)Cc9Xx5kW zQ9AJ_c1atHJI3@MjXhn%qi0yBPeCeH6UFXk5@ga(B+^SsY^h3T!T%gGT(^8)ny8J5 zwlbd2?~%t0pUM`f&f*HgNKxv!fUg_Y^UdS-&^!oi<1HrSaD|cGj3fZNKJvQn-Khj( zEl|NW`f|*f+5tjMs7G`l-EjjddHwLk8s71QW}^HtPA1GImX|FjVoe01wTF8%LexZ} za*oNT3*xB&%j{rqj1V>8N1TVa#CF`DlqNPj)l{w8R^sc=WWbm?57F0v6R4mM{g#s% z@ghNjWw6S&agEyZXubd!5&Lhky1#?32y_v?G;N#fWVToLS=^|LbtodWg|5_yOc$z| zX0Pn0+qgKm;yF*RO@7=PuO-vw6S$%cOJ#sM`~`5TzvU9qT>~}Z(9;X-%gZW!H}4DTF5;8L^aA$z{Wy6@3Ha(On0$S-iQXpk%3Z&bZPGoz*ucs9sI za{(|oycH=0I0t{qeC#%gq4W_?alU^+{<*#|?_fZ%k&*x;ncTRDZHB>koeWyS7NbZQ zVg3gOTsUslXB{BaRwIp+&a_FkU&4-u*Myq8YKJ(c&91yc({q1al7$U=*5QJc@uBcl z;?KnA9hHixj?U78cUl2cA5pP`CLY1I8+*=go;iE(!vWB3 z=S>W*TcXvf>L^AQ+lnaTU{@m=U0dA}w(RrN>Ij`-tKC(EPX}@kW8(^EIJIcLuyHd` z+P8xHYCylwGc`<1*oUE92*5{j%|LjjF3RxjL~2SD7H4Rac8F9%Bm zmFw8wyA_9m{q)Ei~0hF%r_8S zEB*xTh~FHA=BACB;gv1{lhiL-^GhXzIgtOFv%9XHpQ#V`Th{W28`f`j+Z&$#-o0#4 z^%b0(ei)3eB>_W}E~x1HEj)o0D*A2<&v!t!OA4ZNO-0{n_U@x(lzmy7b;6wG zKz#eMN&-hnK;->Bm%sWGXpOi|*9~wB{gvAlueEWT?7NDM!ZZn8PF7pP7Ql?;cCY=g zhJA|#w_vc%aJpieL4}kPjl>wo2F!?xzc-8xknL^S1Oy==o?)7DbPyp!hOL&(0Ji&^ z%qP1-{0%)QFtGQ+;^WpJDEaoXvJ3khH2 z_%&7&W7_Jg8w8ppdg(mr^a4)FM+R`4fEpaSm?X>!OrGLua^Bwu6x6xXU7W+%<_TLw z0Yt;%YyVs=b~t3nDv)d15*@^DkqKf)!2;aSk@=MOA}AB3?5s2@#W!*Q>KuEK9RqtY z_27PXM}*vSU;G93q8|+~f+Qffk-Wv}o_%9^m*2~axpcHp8MWp}WKJ&T5C43?j7?0S zP9sTnv*RHn@~SxlR>r_KgS|L%L)b-U%{auh<9#q<-X9eRrjxZz$pJM(u@@~j(myzp zmE$$2Vc|7&|LS!_@+G>!3Go^(Hz+(O=2^YvYAc6%N4&3`p4v#p(U(ey&!9^C3$)Xt z&G*Qy($*~!-b(iTianPThgWmV*(cb7S*m8fL)m65ojo3llDs(c+2D7cjo1C4Hl>h{ z1+dTow`uvSvdfi3(|GOKXYJp)>y17f+G1}0ifNG(uO^!%*$%;sGO;O5pHnDuw+CMH ze$cN^bW08e9C`S;QLb+oEJwV3bNGu`<|sD*k%%W}a0myHplTKtAv|3t{KzuAq4Tkl zI<^uF5Jp}3B3_&kyjp479h}{gF5lH5T1nVGx&(@W%3mit+j*uYWMjqnjBJ3^CMBO8 zR6};d)gIOrFIr@Ej&rMe-E+>tj@e6>Z|H)TKl2_P&`POHmJ2W8J- zGK-J&c;UJCNokil3oz;jb781c4T zh0gW2Kw%O&QgMo76GXb(Tj_|;hxoOazQ=qDge8OiSgY{s(yZ~Td|V7xNeCEZFIQbw zBZU!(B63vVq6jj6P89YMtJ_EC_=3B7m7KazQG|K!9BYiB6KPmPK=6Emf6^1Z^Zla2 z8}zARLA{H+2uf*LKlJjv$9=RjK6_uFy61xe$VqXGg^Ja5&_ds7>@4XGMV+SRe-$d-=+Z#h=J_1V7|$xiPh19Unn$%XIB28EF}RBCBdNFY4hzp7Lckwwe(sI!rEw5 zlu7>2)z5AA&^hEUeT}sT_v+WMZ$aMNx)|d)H0ZOKdpO1=mLcQ>8E=abzQV>7lTb6i zS?y}5jBEJzRf#K(hOsF<>hYLIK#Tl)Vt6zheb^-pccHk~@F|;oyaj`|h|CEXx5!>P zRM6mE@xv`w$c@R_{^)rA+dVe7zLTiAn#A%zdG-~k4o*O2`87e`&lG9y^d&8kWa;J~ z4!i*Xec~3h(s&_KEehJv;|}1Us5+EaYSf$xSN&#; z&!61#Jv6@h!mgdpW7pxfrm*^Aw@D~jx>(ryf9vUwiJ3>|sV5aW@&Z5t4naSPQcK=| z9LsLQTTchEWa)t9cEj7$7gl~cP$Hh5=A)3E=_|9WgZF#bZer-(HzKlit(Og>oXqLO z;b}D?O^;(Z0Z06bb{z*h{M^1@r_SwgN2r?E0)|_3+S|=yBH+ANQk2I1Jg|LLf!0oO z9Pwr8=diZR@Yc^-YmZ5T+WIfNvxeZd>%q&%#PQs-j~q)Z?dJ{qA*LRau$ub4<{882 z#>}7XiO;h{b@dP0H|+b&&E4}TZq!OZD|eeOvxgItv_HLVBgQ~Lb#VF4bRo~hZu_y%_qP25I;Xj z)Y|Vla3F*85nnQuP2wiKW$vpyd^c@O1}O<-6l-FonK#d_D2OuuDG#wSA1}LYbH5!q zq4KLdE@XPyHjsOmpq*J#Cuj%DS{Qhcs*0l9v@rIy8qais@5O8|v`$!CGPUdlmivq@j#9rSfl6e*K%Q}J zUw+551U#FRjCz!xV_fVDfj4`Fl5-K+Pj4Cf7b*L*`?C29{L=c4BqRLWfc{U-nB$$& zGCL`c<^Fs-y1h$I{3+3wqTg?Kp3i>+7&_hc!~bLGOg5oHCpQ5V2D2U#OyW>wMj;6? z81$P%f~OULGkP!tR?<9WJ9;@sbBLgVBctyyJY*Z6L|;9fg{k;J=9g(79>|N)1abP^ zZM$KPD+)FAIc_9(<-wK1fsQK&=zwO%*Kwu%S&eYjczQ3+8~pCt-^^tmGf2}$I??Ad zlJDL?&L@+jJ>R3oLh)3`jy234@6jfDG43b5l9N)dB&HJQdM=lAjlzK(0WYy|I*KGl zcrc#o=UBNBqJI&CrH!h;_>qZ-thab&_3o+J|^=uF!MuZ%akqbE&0 z!<4(A#Bt#ythaeH(I)=id#Kg zb~T2r8p79e87G!>DLV_oM6ZcI6TGR!XvsOEKLXpfF_bDkM{$#Am@pn1S7$RN(JH@~ z?BV+R2VEyPKUE+{%#$f?^-6!dg|jHRXesz7wuKE#NrZj1d6iR92mJarn0PM@NX*dI z(H4fbpi%A77SjElHKzcI6gCw{7y=+Pt~HT=iHykyiMqYtz7i_<>1~+>&t4ycqse}( zHqSFzV=rh-h|w)aeM>zJol~&x3t%qfPv&AMEz8Tn<9v{?;&#;|n!G+Mi?(d9z`Tzgn8>beqR`Im?|NbC$ z)GBNcjNO@R`c8wHY#*>-Nqs%uvz4rHdtZK!B!Cors>xu*Z-bDgv>YXMb8Y~uUIg30 zlEU{`VM#mlR4$uOws^V&)K5ZK(=-YEW~1!y`>fxkA3F=B=8lRYiOkF56%vMRwdh3v z-vEIq@|oSo9&=$fqi4?{*eXD@1*6BhDtd*VD>R|A;0Biv`2dJ#z`|iD1RN+sCvn98 zGcnf@0_z86wscunO|SC*tTuLplt=U9ixsc<3>17Gj1HLK&^yVT_>(!l>)fl5`pMB- zIQfZ-zEjDl==8uQAV0B?fGzS6)VB)H>SNb0$XpChYyNVu1|sb3oI~H%VWuPW|5@6t zPuhX#j8a;C==-RS2Dk@*L?M;X05^l+UJ2rl#486rfrxz(p$G>lIMEUQPdA0LrBgS>%^7Ip z6zHmoq1+efJ?~|5rsRJW#Z(urX^uB%mM{~!Re#z_y^pr$FrXcG|LD>%BLZaOF9;`H9DmcoPdB*RL#6C#Q`QejmbyLhF$h!Z2lD^H? z_SCsa$_xGC%cxY}XLziduhq^V`>$=ake|5V{g>@^4u>OrPmQ>|tahF_nNzMf>EDu? z@O_f?UY1W^)eJ*>S=|qvDQCzrXBQn8FqHZSY|V+Rnq}zj_`)gBHyO~2@IlNkM*J|V zt-m_lks@3lqO$JKD@w#YXD>?XpKB8&HJ_Fc5l{I*#ojMS@}Z5*5-t^HSJF2jpRYoZ;X0w)gyTMs;m$k($tSosysOaBU9_uVPW@YUU;?o1 z>p0b?EN&!&^C!7Bty=w)Px#IHJnn_r^!gm6+4m+dw*hDKuCMQ!-d`Jad@F=5vjJXg z(wOOo_W>&(7`f>TpXCA{nQ;TGl*7Wa3KjX1xgI2NCh@NZ4x9$hN)(2 ztG+3U*mRA4H>Fg-C=(3WIw3!oh~~R@R^7SbwJFnPch|kA7>A1h-}-263E((O_nke3|g0B0- z5KGt|*RTAN9yiT)!yY6y9cURAhtG=-Tz-NU!&-3?O2cR`w7pM^T0ln&y5LSd_~!m< zQp*vdaqAvy?r9MI-)dY=6QXKNAbwUI{O7@~K%Kv_Q9j}!1^IFz5JKta5TDA8bQWH6qaiQ-_UhR7=q)}b} z1Jh0?svMSB3I#9eP-8R;r!Bc+)Q$C`mkn9;AyozS4#WF(x|om>MlTJEHT5O6$e_gOZ;&abfAkH zYaI5VwYrhzXt}vT*JPIVO4s|u2hV6WxTRn8Q-9V8xT4+^W4GEw?4EHvgL;Y^@v_dx4S>s{5>)L$<9{Q|nC-qglQ}=A+A6#b{2n3tYPObtllMa}RdP6Z$G z97#N&``^p+LIJxl_$b8>8 zG_~@r1nQF-frA%+FIZi{>b5hnb!4*(Ic(p)Yw&yN{m2M>`kZ{afP_q9%14atEq7u0 z-zvuS*ZA$c23m(r6+v;!PMOc;9Z5b_8b&RTHC-ic#x##RXmg|JibQ{(Xw>ldVh*F# zpr;IEsX_SLcSf?UAULlB;}hejhOv*d zN;7S6d$%P|vS0oek6uX&Q(%_<2CbSQ;svmd-)yBC8X3G;Nlyd%76hd$>P-@)g6mWq zHPX}#1-^b-zgs^U$@}vc-Z-=9Pkqi*F6S!+RjsrgkTU~b8uPF+H(5QO{CqL^GP z!*XdjXmRm4W`xh=IOZt%6@uG;{sL=C=!Zg1PoEOMa@SMcAG)Ko#U`Yu+PeR{8q9J9 z<`xlH)lBIGa5c-7leKBr$qqNCc^haO97{(7>IYQGQ>Z?;iE0`9@Q|O*Ucpy)J~qGF zKY0qjVAoumzmnX3?SHq#u);jX{qD}eJG8j+^JHCuDRS~<(wAh?Kc~{;=X<9pqjJqm zpD)|V$=JerbIA5dXMyR$vxn#f;qNjn&Et8L3}VL1*^NX}Vg8uI7#GjIc$1(W7cJkb zri?Id8w8Wf;bUrichR9yV!>9025iQH#-JmwR;;-4q+v{;evnxCUZ8f4DO;NHH5r9c zoO#PM~2=X+``7AXqb?*d((_nP~4MYM|Bj`sLtbU7Tvf@)1bZz$DX5=Ba64*y}kVI&*D;+UKNZ+eK?e%|}`# zWzz$$xgr`AqPGB!1RDL$3Lu_@id2gP9BDJy$NlmRBv8ITZN%9x%$ZqaZH6035;|UB zREcPGQPeytQ$o2ImMNK)jt4nmw6YM)y#EJ!_|EDzgZ#XR>;{7tZ``Qb@vk_wLeXI4 zKh(P<_A&u1WVmKXk&2OIk{fWJ@kV=D^C81ExI7BkP5-dda~}ll2P2a>A2ly{xFT{) zhu_q>B40Q!gfT0=7=2a)|9DP^)V!dlYR%z;2k{}O@K{I%7QCzKf*mmTdqv!nm$J3# zVdw-$GTVfL!uy_b$PkgHR#fR!QUSgCkp2sv3%<|%ekGsUO^`cSIw#Vagb^v*BCY3` zsvFl1^jNG??~~;WX3?le*BoN^>zFJA+ko$Nk^62Vp=qzA|%93ePj`4RNXWNwk5{ zCPTl|{(wz8y+`^m`?6#Ju4Fc~krR+N>Bz?PJTxzbgAzc!=^J`}Kc5AnCD(klC3+v@{s(%a$T?og@WYU*$fuww$lN6%5kA`?DPm%2)~DJ> zySo$eL3Bd;dCzffj9uPL?Js^s+*YOKb5A8TY}d&-NtP1s!C=TN|#;H z=3RhdWaZa~pm%=amd~$Ec@G*tuelRhtKkAlUmc##hbzaucMtr$*DJPhw^LHLr%lhY zk76oRp1*r2bDTqvVh@NY+O@S#!U+sVDcZK{kCfDSIlFwBvphd#uI+3Rx*em`f*kjL zL?zN65Yi9i)&72QG@d45a8VeJ5n=1i^kL zinKqNjT#WcO49`Ulv7hcKKw^U*eJ9~1BzkpyoOjg?TR8(S%8p8_m2wdVLwENHTir3 zmQ~G;kNZbKgI~1?S$!0N>JbDlv5EefpW@Af1r7QxXTO(pxZ4n!zCJegQI$X9Q>)RD z`^sao><_1BYi5Vo@e(72;X5$b5dmBxbN&dS6zcBF6-SR!CLCnd5iznVf&|$+5Y|of z@GlwZc4!50Yqo~CMQPd(y2??m)-e3?~D<_k##29a&H0vt~&OPj3kP)T(sM?-G;T2CG8;AbQ-lt=G$ zb(mX;Yf1nCreJ^NJdxGmzy|rle$|=*MX=2v8AY11249uX4;?_*RsCNvtJc5%s{|cn zj2??|OSQ0tIG_T{nuIE$KQMr>&%WA{`@0NWx0#bVTb>(O>fv)XBf=UWFNRqfruZ~BMESn7e zJvS8c71gu)9VT|D>Xt0yQBHN|iViEpEk>01WCLVc#W_~_h6)yOQAE~Ls7$t~oJ4!i zjAo^|L6Deg?o}{BzKH)0t|d4}^MGdZ>HFB4;^lKbgN$!=Te@k_NFxSbm%sgG6;opl zR^@xLkK-)Bz`W}8eke;B8hnL5hudZu^)cB^pmg091(+q)6C^)~xjiGu)2eyQUV_FJ z2#HL?4AB#!qRf|~eG+M5O$f)`IQt(daQs7xLLa|`K315*kU5;0RDdZ$IhUM^6*XAw zURnMq+=pjH>D@1~Yo%Z437Shpzs#+ZRk9`teiq^Zi_feyPo7+Q8bqAyO+I zzHAwxAF%)6t`~_16v^aXuG-L9>O{_5i~KAoeNR#NX{eGz_5Q|9FJN$=U&BX<>$f(} z1CTG&%3Qb%;YNp>|37TKWmp_R(>98`I|K->!6CT2ySoH;_r+NV9tiFdg1fuB1qtr% z9yH(NdA|2O=Q`K<(LLQgT|K*V?aowJ)qPihc1vyDF3gDD)qcIsFDC=aOM9=Q6dt&x zDbL8unXjY#+X7=KQ5?Y>4=`TiES05#kq`&DDa&{R0CB;p%~7C0sLXYwGh3x0eKOZL6TKeGW*}~AeVA8n^@mz;$5+IHf zx7un&H!|~LtYf!}1W*GboaZtc49)q5O!sFf#4yH5Sw%#do|N_H&d@2^w7S>*MJvfK zbouIg6gkd{@p{>A*_xCu=@_PQSvlnMmbMjVRi?*8&7yg^Q?w(fG>edR^ zUhJ{-4@{VaSAuMFbP@!=po7_BIZJAsave+*;sIEzC6Ri=XQWtqT{_N^s`H&yehW&> zLJRFLLM#Mmh1T8weX=i-aYQ%mFPHGdB%#X3BuRo4V(M@e6K$uvJQZC7;>@pgd$EZe zKHkzcGQK`Y9$)lu41cLSiPJo9hy0mIy}>2~69^6D<0x?)*`vbOFk+=`2?TKNKs+Nk* z8vaseE!FtnGt|jJz#EHl5n|zP%LCOB^3vrhz8{%%&CvVSJn$*-*1Qv|&!D%Rf>s4U zcv%;AqK6{Df-apkR4eCh^lYgO_3&o|OVnzRy%c;xb8Q=6o|( zGz}w4)2lgrLQMoxmpK73bZ-%)_5z#v)6^GGS#v*zHTdX%uWEYRKOL1jUUW+fbcSU* zAsKytkLbE-j~~j{))QbC-xzyFs~oQfDIWf#Lrh+Kto53kuza+3iI?UM^pD><15k0> z?>!df(@lMbovvJ}A6@)gcL*06$4tRJqis_J|~0rP&reD<*Jx%^a~pq1vU>o!C=0%uH$c%K(SEB* zWrRDCn?luy<6TUd{!rRgThi8*tRiWldxcM}5$E&I7RU9hOSOl%YsP!<0%JvbFrnVU zed2%Ku?78Gc8JX+WAbfn6ba;bD~?=s*28j8Y4GC7AMQ2O_^ZIYk2BM5(;>GflhaK! zn(O&6W4FDaaUMi*hU4^pT~puJ+HOjlG|d8nzBWC~;n1$+rE0oJ_}wOMc8V6|z(e&g zPF@QTKMV3vJ?tg?t`|3BL5u1HhEEdcdit-${&04U!T+MA=>P92p^ zLA)&6E^dvrE5d3kyQ25k>PF^MemG5ea~FR;Xsj4o_WH$>n^2dnHtR>=L$6x) zun(Doa>!HT4Jmq>2y2+HMqE}=bYyj6R|F4A+y6zL;n#IACc=I)ufQE`rcwLa<^cD8 z-9%6CE`1EOx+T)XQ~D}Z=Iv4|ND^w2NRe0aD#bv6mTgQYIUc+zBl#m8QbtDI`Ep5g8-0M3ETF?dj+ zit>R8{Dt7hm_DYHdc{YA@YNOR>g|ZfUb;0ZVekIWlkK=w@fs`5eP7u5oBO!x2@2zcv?0pubzq*E1d%#dVup+2d8u=Tpa%ee@$NtvL2$LZACmr z8ITs%03^LYa~4|13r)%e7i2DFvw!R8{juZel&-3C!ny;XU5pj(f`14Hz-pBN6aXmf zp`u>#ngA7G`}w!4^R&8b`h0tEd9MxOm*kKNL1Vq2I@fFa<@C4;659wGvyzCZ|Jk*2 z&;&wMJ=I8ixQp7?kfbt0hGn6E8t2Gk6@YoP8V1=E!(J{iFKMi)3_)6-^kDkRd;)6 z3e!@?1N!y(Uj@_N#$$FWNi5;rq5!fX1)){WNY#lTAwNN4DYjqFdk$bmt&dbE$h(X(a5iu5fbqTQt~^BYsm= zRgIi2`5HQEy;SJ@ZI*fQ+vJhNCr{rtVyXLHhRj)`x_;~GQPYO6eXB8^ow#4vksO$H ziSwE9U#AxM7xzQD44)zXR7JyXx;2KSH2ljlTJP2vRnvrlpL7;KPa1fTZek0-mY~Odc0;Wt2=_QN!O^M>RW*7_ zQ1w#0TT(Ru(2WMwK-qorb2c{l53Q#cp(V~^Md9SyA&QiXlZBMak1ta3>x2YvwN5X7 zf_iAFUYjRG8v*6zevg$^2cY$4zC;H~!^w6hkEZ3v$|+L&amR<1HYcv``8KCwxXOUd zlbT&a`IHKMGXR-riBo9}pc6X)bfS|7#iS`tXGp!G3xVWiC?U0R1i_cT!|hIk9ZwrL zgVoT1=#u@*+?kknPyA=crt^Z^k18m_>H%KSFT0N|v``o1ArPz4pu_%zoSyvf(1o?; zs%u%4h8}6GWA3X&fXKe(DqBo|y7lTyKRke2M>k;hr^1|ejKgy|CHTveg}zN0(;-He?yiaL zpmJt)$VlvKCe$x>&?i%!_wFw`_jOB9@^`B5s9HybF(e%c%Dh6}n=gR6-Qyd%@I-eD z))%pe1rdue>6}Ml71ywTEY>n*6XZS|o19ZKnRb)&2n}Ni!1q{E4#i)lnDeul(6nAB53Bh~8dasdOXz?ZVEfX8mW~ zUEj*r8$`GhG1SqcBowr$u-G~iyP&Zsp2lfS5)fKb6ex;pT)(FOwn+g0%#)z7Ou>rE zibSG%Ml5rbW2EZEic0$|Pi0oX6aZgzaPyzc1a*z9>IQ*kDFda<(+sk~BR#r7ymNbnz?^K{WYTGU`r79KuAkb*@R3Itu=1%VO6i4+ze+sN7 z>?J>_B(VGvC;Ow~Wfe{`-<)5Cmk8YX z!I5YbXw2vJv%QX&7=yg;vHj!aNCZqaw61cWRvt&nm}D}^g;(AM}?YWZWoyY?G3zK>3wuMUb9Wm>@-zQU{v zth&2FFAj+|tK=|=!fav)eH+YF*s9)Ne#u-e@5@O>v#|gwzTh^~F+pt%;m^pmWmTAL z`&GsR$?d$ibgcW1Qxwq#HNdQ8D>$A(iju5ju9}T1Qnq~*$3A(`V~f;odXf!@>~o`T z`6KDnMtH#PnITUOaqVdpPVH%h1ZJn&Kvn%TUHjAn!~vw$WuNZga=SH+)EQqNU)Ex` zDs+l~US5(ky2i~>upFs)Eb$_$Yos~45EV)Q71CF76j` zHw_Z5&;>rX1`n4V217@nYUvdv?~zQPfw0COpMx+YVL_fv_s11vTqD!cD4gwKCsCM9<}u{;+mmL4Sj$P;qCWB=B*_-SO1$lH%1gK=5=`GB}b{^NN^YyrTFCEm6}~ zrrtdD5Ij}ja%ykY3%i293mQBbVN2BIVAgIYb^i>>R>*x+D zbw|?0=}yVD$Y6KlELt3$Zm@GUUCzbHy<2UK|7JWQ$H1ME#d!BaGsJNH{L#X1gqE^H zmxCX($<#-2BApwl-pk4+aKuLkQN#N}T`cglf~``cn}7e*D4Rr3!hkT+qQu}$Yaq*r z)AOPhB@605EgG?7e(r6G%O&Hu~G^lv)GjT zh<$6yNEIhOKCb%K;DLJeN{?cOIzoac+1%$%fLOA~yekyqqw@DW`Pq>q#g9R!X|-@`Ise5a_&Gv2rzhlz&2sH2uFhKD7E$pRIeF$u=% zxArN>TEXUXVi3^QnS*peDp~Xrv3LDj&-0(p_yl=tNP_Vr?q??-S(6_{8ZpKpZR+tA zZ0)-6&x+-T5hsM@lGMjn%nmBsTBCL|uKT`u|NVNQgNuqV`&tXG1cNEVV)=pvBHt>x zm#!am6`x8OcrLBw$fYS85x?AJJHHO7An|v<{-^+Xoj7(H6}*!A2!^EUJJn$It}S-m z+ETQHF6VIpR*an?JG^PL2H78Z&vhO9eZ@!VdspdL~lY zm!`RNEXbQ(ul~2|>)Q&sRzb_T&bCtF9Bf(b>Q{_$mfi$9g9|8EVS7)4HM@Q3-}Fs~ zi@$^F4_?Cu>7S^%8~&V{%}@oJ=b3z_1K2Zh4k=po9g{Ut=^167EOA5)06m(}D7vE%Bt z6lh$Nc_{`eGv9r3ML{cq_z11dI#uSro&zM(U@=#@?ADaWA7YMUC_Gy4J|p=Oo$LLn z4!F8~%a8U$%bxxfEarq8Tw)x= z>i}hohO-g#kEo7{m}E6gdE+_%*f&9{+ceTI7Z0QgRNHdGppz^Y%j&&SA>!aqXP|!h z?mg0lh;@WL&W!2Y5U94FF$O}=$r+SS3)(+LCH3fSZ!%O{%}`en&&#pLV`1GtifZ=K zNBRjbl%g`?2>_ zKZylQ$X3h{P?ej`Ggs+Yrtp&;{ToO^D()wH@3lUiCSZH9?;Tf>+Y+xDv60(~JD}|e zo@BXnO7vo*A#;a*3=~S*Eo}=-Q@bC9@f-q=L0(cRBKCx&_f&nP`8?RJyoCO%%#5an z$%Q=_akh6F=D~;N=ihX{JfF|@)m+@eLlAC3_PJHb2}34nLpR-6*_I1eiU*)3)mv#F zifI!$sII7(`NPaBM5HGg{hcet5~{6C{~SWTb>AND+DrJ= z9tXcNiTXGP=59)I4{P4+AYH!SWes}%d(*d8 zUOmK|1Q2dlls1qB4WM5cR&qgf=SN|5%kaD=Xhag`c9cyjWT5oMrbDVLw%W?JAGPUV1zn6ts|1cnJ6j$Qm{?7= zezMwW@YQ@-#)z!bDuHkcwcsH$G}%G17+Nzik41qm`Y=%(OqKcJQ$?^pNgx!O%&RLz zVmopTdQDY?+BvFLF+ACK(jXlv2s1)R@IHQ!PBp{;wKJKnkO2HZ1{8)Jnn(pTMC~HP zfF3l)byS1^KFD7hHd`3h$tcWDL(7)-{z=+(u5?`g3hb9VaT>qUOSw&t%QoCMJo0Tb zK<Y5$e=uO0TMfs(H5y0_SM%ooa7}VmCp>)zp}Hr(YV;Qh7=7zKKdkl%RHpt#`21OJV4Re9 z=Zk(+0f+0rc+)f;%jyF}mkqFpUlAIMUjg=2-8mB4A>E{%Sn?tidGu-IaHw4zn}|_U z3a}<<9{wSnh)m8m5`&sa3S4)9?7a-vtP^i^jDu18BF4uh{b1y(aOjSd`TLDFdyHm@ zuEAVkRA9K$O0N5kRrzw%qQHC;EB&a&e9eMvm)Y%`YPM1UHe;{gDFrr(m&ok|y^EP& z5WmB}-k|~w-^Ee5!ku+B`XqFNrFB#O8%P}69l5KXM3Fw@%sS;7dpzz%$)oPox#SyW z6n6HcQrcmyAdy~;^=;C1xE4F1v5EFAPY>2%BDC8Ef*b@z7WxD>Jp|@784Lxf6@mmG z1UYznlukfiG{MqcE(Mm-3Nofcb&-jHR~VA=5Sui{Uj^tq#n(BC+Mg9v=!?#PKB3er z1}7vfVtzME!KnRkTJnOKMX;HG`bM=BQkqvYfNl)23ogW+e6NFD$F!DlMM%5{&K%JQ z*Y4!+zPN((sxG=fNFlX$L5IpNe;yKW`&t|c5T$x`?oq$zy&a@(fW{C4wf+23^g^S% zyY-`cnIY4h)Mv){djz`cBEX<(Jx{Q=gFG$Zgt!jT`89)a{ZFBQG!EW=Bkg+^A~#ES zy8N+EOLKB*v6b4av2onLo}ysr$1Xrw4e!|sl_jJj7}Ah6C0;uI_|%{%mhHzsu-uhe zMtRg0V5-t8-+JQ_oMU?Fhg$7o5<7gpq)Rzmmf(j9LIE?CGI)!wf#f|dLsgPo+w-$% z>xj_rZb;1DJ-y(IJ@#16_+#D%k#dfX*805M-5pJ<`O1WdrKP{L0ylz=isYWTU|iWg z`#FHuzcQ^%@2B4IUnx|xY@(`{CodOiBsR!pNE@2`J@IkNiB#&hx*uP| zp0A(wDf@}28jEH_fYIlf8S&u?fMBo6A^=9Q7AjXZH3pRU#mg%Qm0NqZlB@vlExSUD zU1VO{m(an4DDK}K63kBBu=|h}X0F7}b@2zWQ$w01x0?uw;#umj?`NkYB&h<;JUk;F z&J6X4R#FzVpZW0~h(wb6`&Tt0&2=3|xsdrSs!01{YC!*bN{&!!kst)zK?4J{f%sW+ z*ZbZ2Aa1;qi^~WHs6v|VB5btNm!50|#*xy5brgkH*A{v{*g!ZM!o1928?hZLla&xB zxDq=mVd!rGJMd)BglP(1m&lKLQ$2SVQ!yzUXb0(k+C%C2&OxB)_Y0F~rC?!6kQeKJ zO7j-~cHsYQA0EBZKeIA)0;|7+aI!-l>;BgJ&}-?E_-W-?HGaL(Uw0xA){=LP1Y$SU z`{+C<;w9)ydaBdux>MQ`QnxJ1#Xi#SH$z1-3PTF%1@g9dw6<>{b^&Mk;!(A_U1y5Z ze+Lfc7W|67`B6%Izec}Oy_#F_*7ZieqJHz^2#W{1>hMH))~HQb(vJl6j&tttN8LTc z6lioBRpBk{Axq`?5XayxP?;X=XJGY}QY&Iv;t&>Pn=qBh_QfcLq2N_Wk`qSw6u|0f zU_1W4P^xf8WuQ`4f@nO_g+iOmv^`Zi)jmsKU>h6Hoe`9~KgNQg;LvPR+=^h*2xk^0 zt5eBdgHc)+YxLk~0fU~>Q5?Tqh)QX5-s}CAWpRv}p&zq&k|E*=!EE;7n^^T`?_?gU zBMkRdN#^EfxNoD&v{EsuXZp#&OOOnw#D5XY9(%?yrc@`WBK7UqLlbYlhsqGh{?5Fs zrJVEGh&P^U-J|-azJKk8A8RknBPzDvj5i<65*Z3#tw3{8dlJab%F5&mB~`~x8F?b7 zHhR?FAp=Fe!A2ELTW;1`4g;30m8-`r<5Su9NY4}7!Y`VYdyWU=IaqmXAwN z>{H%a&%K;v{u2)h#2;xXOmZ$Ts3r#>(E4{5^(KV`4$1Y(OA zIoxr~1iZd{iv!8U99E&sQ}dEvS5+*`g0BT0UwPS@VEQf9)vTsB!4R618lGp#WYVpS zg`SR*BX$>KeT`UOE>^lNsY9tJztQdLN+AJD`0s|J%}4Q_?Zew01+(XzKL%Slnh7Y6 zmiiUpXcUne3_xFuc^hH9mApOqtzL-p!NxQQ^Bq~rf;2}5lV0V5I#F~UMPsb~xCUUz z6LmGE9HG>L6s-!*At#JNAt$^;KU5@T{`s{%7OhQL7Z4lxBI&pIO@Ds9UgqOWjwD~$ z!>4)v4y*jCooqzHKCOa`YV-o$AkxNZI`Q8R5O-V$MP}z9?8#uy7%;hgP26K{q}nOL zn5z@01@yg+I5@(NLEaLR$2p;^%hkgWjbawsQ0B{v%sdjSMw37w*Ci>~rYvP7MQu); zVHM%0J!Mf{Y{J-QF^0?lxKbYSl*&krCQ`L!-+wYt61f=z%mp%jC*&!y!{T>k|C#tt z>LHz!EEJj1^gLEP|W4wab|a>p$2(F{B|8iL=#!JX?9dM$@O|(i4E@@rJt2Xj^k&3L$QlOe+k4k5KSLrK;Q*WyckUB#=?Bu_b(>80 zDGU(E+oVMi6ta+jI4~nk(^(Us+448&vpIA$V&`~6MK$RQ*?+62xUz=w4nozGAQij8 znMdbB=tmo)m1q>YPnpPiROvzMsPY}sxe^Q!skgn-N1MuL6kr_f(Ie%n=1^lO?ElAP z6yEYlSry?rgVy%;2VGdAPqdi?U^g17v56k)J!d5jgazs0m|X+UGvDcGl%2PVXENF* zOgfLCM!Fh|32f?_f*yAhrsyKXxdMs$D>t+HIuybW%60Be(O6H=Kj`Kpt)nS=!z>Zi z)U1shdcC44+QUoeu)a)S_u@#hqbaKH+;8Df(_kpgrcvM|MOTIB;Sg|Y&rTwz>)yfO zU64y*FM`g=(Qxo4MHe-O`S|sL7cy22-{P4lGrnN$vhnV%>&{oxUebab(@s*F^4_92 zU3>CNhoe}(0|bh2w6A|LkN;JQESQft;DD&#WxmTB2+Y~@X%|^X5qAwQxyF!dV%_+oM#vBYCMdj=vnw43DzLO;+1Xd2lLmx1v z7Xo+B`D1KHNw4Ar?2OWOz_ez0fP|*0(RbId1!8V137MIy1iV691@PMl2Ca9zeMb); zHBcA`(A@%02V58P465l_dJQYy$3n_N-1_%@2@d5|9H||~-$qkCrUQ_Z2ZO6{WN^V<810qQEtme3`If*U0Dns`6e}+HWbN z!ta;ie~_*7kGdOYJm9WrVjWkpq#c%lHdQ%vzIzY_vh-Js?JcWX1r`Vux^B$o2=T3- zm)#*I@#P3t9F^sbJsNC+LJ2`uUY|o=?C)L$OSG_XZ(PMnV30nMQO>5$Vr%j>&Zr z<{f8mej612RIcnK@nt%h{>1*3d>@>m3xQ=mBU=P{%VzZKu)izP>^gG-qm>$|fS|16 zyP7Q?U?hmlyEOr!3Z~@>H9LURuju1*F=QX643)L58KNZcA!ijYQBs5dyjbvv zV##{Sm&tLGp%1H;{Kn;3$*{WOoZy7w5pk}2X;i3~naY{ilaNOPzXQaN$g*7-2mG@w+ zdAfwK1`0+XNosg8hTTgH9F7C}UFK&b`E3*%obNljxUO~C@CWGv6cVDB1QMcO2_a`RukDT*n8i`cB^uLz2 zS4z*XQ(yn*X!sJNhOUSnRAuc8Ld6*l50+m4vX&tCln4VxO8q5`W0SMSU378douD)Z zrtnCe6?J8xjMmV8Q9#F#l&d_yXA!~q*^bw|*%~y%9&hDn^|mrCAS87uNMG>M#19n4 zf|o^OiN{7P$%c1|w(0;WdWI%=R`d(-MZ46=Y=C~;QJ3XHMySK+l+P| zm)Gs_4!%FE!D`G0wXn(}cw@->xPTHkLz=rQ)tCOWJjz+lA|hRC|yEr8uQ^DNFas2?GOO-g}X4Ilyhyf+75j}9hh{J*Rq5O zyBN`03#rD8Qth!K{_&X_2In}6B!oCO)qKX){sdCTVVl$&VvAjye?0dpTh!`j|2Wa?UQJ6)jcxZ2cG?&_01ZE5-6yXf{cA$R==tRJWB3NL; z&CbZ<41m2rSnQf(EXMGL1utNeC72TH?$@6=!`w$~H;IKY)LmVPEM_cC#it zKwL*X+IRb#rhoaniIN@0Pxu{&lHeqxm6aohN7~a;fE~TPC{92N&2s3p8(Z56kz+Sj zUQpKB!AVpzmy*&AyR6cM6=ToKWl@k{=m=Pq+%WcXYC;+YngzsP|Fdl@tY2rN*aabUVACWT)x zV$idjK#Hq0o>fZL5v6COQ<-ICvRRpa>;YXw2i#VbMl(06HqPrw=5F9=;AWNa=`~dr zNGlWkw4%Ae*84ow(UD{Dn9{OlXt<-5mtgWdNze21pheq|?Ikv#D9f0opEh#Z*gNge?QUjEQ zr|P2jKfNqGHJ6Oy9gT&Kv%3X@k0~6yB6)u(XLTPr2EzCiF@(x;Lw{>aC|G*|g`R?@ z0S6OXFB**aKp6BK2SzMe5@9dx2B(=g_gnc&D3mf$(99EIV%tW65w!}A=CLrb&p3!~ z>jfbU=P1m?&N12YR^LC=PIwh3U!#6~il54cx6%iki+Dc&B<%?HkNV>?7w~%+ZsMl^M zu&-dB_OD>CGq4#h*pNq+IqxG5Z< zv^k7Ih$&wJzNx$>4bf`0^e?Yrcs={6g~yT1=5-Xakn*0id@AI-qde(bhE@&1P6+~t6xn%?Xy<5w6kLS1hIO3tQh(Ovhr&Y z5($zknNaq8$3ed6H)O=+xl?yOaxC7a9+j?v2d_T7`bKKdVvB=PgEh)PqQpH$ENYI& z;Qm6sFQXQXyPJJlbtJvq+W6;A^^E}qU&ZLJp!vdLC$(~dKgQ}BydlP6 z?*x2p@kQwGn=4TRDEOo%B*;L~tbiL)`_5;w&xDomW`1QMykt#LdFVU#>!JztBS8!P zyE-UFY8H2BPx{3nd1v92wnpNCiXB+}*fNNnuK`ch^1PrX*mj{u9zcrQ=HlXtRE#4x=#`QD5N9%6GrA`ERx^6m z^6|4S6fMYJtz^inpXLCu(d&5smsppPmB+C)x%MQb1?;c@3-ni($tcYit?d@jUCDCn z7<#~*;yC|2GBRZ&%nwB8O$Wdk$=_2lnpTn%StHBnJd{t%kQIHjpTR%ou3fKKnYcvB z({UpvD@rD10z>3Tr(sh%&gQs}zwL%2#a!x+J^;#L&?X8wLeM6f`>nn&Cyrsg4OI2p zv}58_dQcRecHe~=dw!8@ST1}DZFfCmoGH3)mwIC)*c!~2FVA2POl=3jX5hOpW4qrN zZRz*X24-JV*Ph@~!lQxsMtwjDJeZ>S_ro(jSq9dGYDT9r(bE$8KvK!iO}kb0RI#c;NTX7Gy0_yR4W=ncPv2Z?fIHO?S5-PCR0OQ=!5Q@K?)2a8cdK!n~D z)L-CH7dLqe^M5D0 zd9oWhWFgPpl+#d8PMCJe9o_1W`}4}Rce|7@Z7ePXLVbGuC=0j^k;%EcBi3HATyFt| z4ZO2qo%<7TD#Ayuy%M?J+Ko(BlXL&2yoUZ{zYz96L(N!Og_zu%Lf_tako6Nl|6Kr> zZL<&wca1}5n{Gpbz**$=WOI!qB(Q~zZ-gK2r4SoCYtgtldLN7TcWs0Xrb2f?7a|fq zzq#K-RWLG*ma~|zn|O+^9B|h69v>B)7I^0XRj(+cKnAMC!F4cZi+@Slx#?frGBjj= zQK3zxUcd2D40$^+2WYEHNN1Lx4w3$@Oa)7gKzdss&sUEri&uj%LW<0ElH`KbO}mrK zvndzapNopdrJuR?>J~8tFCk41T}AMqpI9)jXmI6HzQ)l5Rn;fCln+lWV%0iM8B^JYUyn@6)9P^zU18mtLa?hX6f%(< zyOY>vZGX96Nac|ZB(YjC@&KVI8B^;W#Kbwktb$9N&Y?XAhqQVw_x^}D{jJ+1Xd*&T z&v@#2P0Z+vqH@uJTg15G+qj;jh(JSjudOIo55Bc-q7R0kNFETiL3Ws z)N&w(2_obxmYJZAX;xCMH$`SYpmmGo{*yOdpuO^X$ALG)EoT+`Fyt<|6+wBK_~uMC z6iZJWm1r44V*ZQMHO3NKOMa^-F4zU=pS=!Xr+AqR^9c0@LQ@Bsa8rSx!UfIn!~DbO zdC*Eil;{c9woh46VRA%LlM#GL&I`SwsDsh6sDFQke)gv!_E>vtpVFko!rl!bR?`RG zB#C6*P+vA7P^k*PArVp&zUhsKvO#^ROcRC`zz^hQHTT}@DK@PL3JC40N~^|Z_ZLZs zTr>99##vKu3~}4{i1P=TXq~(`H^>0&fXfuJh#eL4>>}KZ_w)0qnJK=ASrzltQKs7# z#h;ar6wL#9*Ax#f#Nv**Txl7(%)^x@V)5(LPWfF)5CM7&TEi18fvmeu^eNTOZ@owd zBae^PPm2)U9egamIgIS>%%IFB5;PEI@SEC_R84M{cpLgFt}g%ZObf z-HDg>JAbyD!MCk|b!g5diFuaW#L`)x^wQl{XZ02p?msbOB$6cZ(FJkTlB&Z=1*PVr zs`Il#gBxTJIR>*gVF)u;09CZo zk5WN{FASjtYtDj|uXOBj+9jnH6h_MB_=C&WP>Z;R%JtJh)nj)MYx-+;Ew);Gg9qEb zM1>p<8xYO(h|ZZkFfED;gj?TlNVb}&(cplXlx83%MSqOC$KhfT(h-PBX{L{IF82!4 z@1$I_jRrZF^Y&GKM`+fgShJ0FE@$eid_ZXCqgXp(>8pGfTMIm_(=n|IHn4Ycp!7Ap zBivM{@B121*m2I8qj0V1^B2twhryktkvy(;DygdWObx6#%k^%^P|MIZG?BU&(_ohs zU=~ynoud@tyXddZ9xqre{Ws!llY;m9RcS2>jTjW9z|n_ik;1( zsAu44EJ5c8C*=sU2?M*35xYO|qj!X-d*VpCsVr-koL~#Mbta{-mg-sY@Ne;B4MiOE!(jM5jr`CYPw?Y zf+&r2uWc)=E3v%_ps@R8dLEPq21>n?wyfA&)^yc_x|jzBL`aOIqC{Vy*w}VRC^x=H z?AVR3Q8+}k6WYnILF(%7RE-k!DA_rW^FAZhIAvBtXaxlvM0Y{yeR##45!AKfGAOBlq}Y+R=QIfwixqJ?Q-dRwaN6;yP%er z?r?w8#8EY^k#Q%8Tu)rrtzpc}~Rh|uC@wJK3nno@JK%}f%f9T|x1eZ*jiewON> z;~U3dM4T2*PgBsJ6O76!VZSc8vbW4x)||{H-6BlzR&_6+GQ!Cf`+4W%FBCi708+v2 z%Slk*6Q<+6`6x1ov@0CMfIlR!G8RQ=rL+bqP#wRQ_l*}fI&Akau*nyKRC_0{))>WS zD;ztKY$;Yf9|FC@Sr6M{su&FKn5%k`a}0U)rcfPBnZ}EYbcIC7y+;v91zCVjI46nY zleEUY7kujtj+X^fpl8jJds;<%gSP(Tm&(%kk6+5wn91=9g*vtO(L#ntOyn2rJe@|{#x^{jwGD(sUFtFbupg&Z-9x?60}_~=HPw*ZZFp` z0omh%oNo}_3G|BsDu!fdKR5|**IlsaiQ=|QV zlN-t>rUlhbvra9#S?L3Jf{;!c6wl+e!qvN*1%IBgS6pIvi~hP)JIyZ%4slv1Lwfh- zAidiDfbxAn9ESo5P8H1Z+e$BtZh#G?00Wl|tVR`%5o`X*#Q^RiYFP%~_BXgSTYq3} zip$LLkch3h5(dO&KLFU%@*-l>R$C<}&etdpJck<8UBXyV7?3nC9w~aRN7?3(i6Z$x zg1Nl0y+A0QpIIxGRi(5_^`o7dh1Pqm%_nsgPImo$mHJN_a}{@SYr{{k^X2ml1FojT zUMyn-i~&em88a3d=K|HYML}*|vj&fo6 z0cKe9Z_s9`SoJ`g$(iioXp(Er6tB{mo_gA3P%z~UXvr2p}2h)0L|ki}i!iYDVo zFoV0-{4v5;jzf6j{|JGFT||7zrV6{!cd&R8UVZOHllkeG!Rmk8$&_$83^0c84HDh~ z|HvdP5acRn7;esvr%K$s0mNUdchxw9PH^tp*Yq#n$F@IAvQ+7lo53xNry+s-B@fWV_Y!7zhS-ueFSUH5n2b?^KBan7@!=h^3+z0NvopS?d%!wx?J!wGVT zlBe-IyKt)sq*B`F!|@|C z0Jm-pbcJQJ%Tu*#4st%#qK+h}-VlSj_r>Rh%Du;WbvzqdypH~9*n;!WwiD>LA&g;! zQ;*U?&qIiyh95n>6(6di`~D}1!V3KrAO1uBN0(>+i!io_jDIb3zOM7n3P`x-UGVC_ zLU${j$k+k7ll|aj+;V@U-q4F{K#hT+??nzj9Mf_jZbe9)!uo;7&XFpkOvbi&Ga(~W z#jZSrD43K>VhVLPn2Ae5lI5msj?4gWAk3;*LutQhi9qM9H?++%z06Yd4m;l+ibz#b zrf{o_u;Cv05qsT3`mX3J0p?yc$cV)dVbWwwk-+r5`bpQ}C*6WYc_CzG0Eg?daA$0K zhqVx6P=*)l^#{Tx7OpP+J3G|UtZXL(TBIi+&MB+|g5d|g9#OIX+y>zuYKDUw^$J`q;}}LN!mg{bG6{N=7)DRw1v8s33q9^*bZgPHsjjw#+ zo5o(D;4R4jjhM^W-|10zM}Tjk39P1y)nYjURgTylcAZdc)wA z964#-_uhp~O&g-&)pT8T(>p1lejAWoPDGQ!j}{N@Ymd`j>T53D?`zvMJQq24AERoF zhb`5;c)90t>2`dGT>|pzWysm4Ms`w`18V=W%eUAsxs5E5_}~9h0)U?g7leY6{-_O> zlntQd)oiQyv6afB2YTot-M~qJB%*t`)4;C$d9Q9kGWgdcqjK*{wY$WccUJuQ^!Y}5 zr__ub$L6PArH4GXv1%s1OVNN$dv7%2eKUNQs-d-4LMrF&k-|1#NjEmJXvy(Hcm}p+ z8-F7mw)#+HOHgg|B(R=*Iga&L708=&k6egsA#WHt@(sUWn$&rtNQz$OGv+_$)0y9p zjVR%zC0$tRZ3-y}3C(<}6(jYIY)Zrc@9yxGugP|`QIQl9xHjF2-XD#Pj|hc-j`g&(;AOG)1FdQhdh^Q#l9f77hJ?r z|Dh+{dlU@BJdFHs7owDF&4j}h)=lXtG)7e=(1JAH*8VbJR7fTTz-+iM0ctT>0UFcsmn5|-8~RakHI`w7EsLx z-+QD=0_H?M+BcI5=D~4-c5}Xp9iwlb&q~Ap6y41Uh!<{?V=J(fFFju`Pl$^k5V;If zyC@VT;T2;i{xRaeE=kOZr}9aep#Gks4g?pfR?0(@n5D`|mqo2i;Q-+hd-N9mR*|q9 z*r)YB6r*ij5(_4l8B7>d>lU*GCE1X*C9RLnh1J+lIHavJs}Pk0;GHsdUAcDaQIM9b z)7!)Vf{@aLj=S|{@1?VfUzTy=P5HWhNf2fpYV)>!F6)-`H6&?OMA?sEgd#WLT|GH3 zOBO%-n-h2QYVhVi2Cx+RE+YRMhPqNo6W13tRHU;?EB9#0x{{iFltgCgWJR;WO%YCFXz$PJJ{ze@HC*#a z32{IJ^(Tbp_N-5zdUo1@IafdN;r(3jI7zlZZj>CgGc0iial2*^EYd3Njs__G1rlwT zUP4xfJKBPtrL}VEZFwE+T?wdNUYIh-0WrTmFtG)sC_WyOjv>{J_(KrTDEZY<#FL*V zIbRNMd?Ityj8n4me&7bbf#VF;SjC%cmZ66YBI#_@uAV}cNGDg>sm19oM5=qJC-C81 zTT9ye$gvtR4B^Qf@Vt z!Pvkde=j(SJ9=wcdUJSHTF8mHp*c`{tj*u(&*@3o^Rrx8-G69I-E+o2#s58QO^Z%>X=ik6bFRF6 z+QEX2nVdXOp}04j|4qdk5TGXl&yb$P$NKoJ{rYpT!|UDc70x=Xd$_h3^Fed~>-CZ> z($U;d!L_9y!@o_Wt_>AFHh)>1rv3XOJEyDz$?8)HV!2RHQ!re|D9}{gm(i7{$y#4N zR!aFbCjxyrq94Gi)jLV(Au={f=t16{(CB2#-2Wu%AnZXCy(!In2q4AWA0V!V?K*as zxgR6;t%Z`f_a*HM#*Ve9Wzw&HL(v+9a}}?*B7+ah`lO3MM6U^cCYE?oKe#$3Y3F%G zV?Q`3cK;|Soj+GpIy-Go%3_gi;xvFL{hHJ-HroAuq?aFACV2;m>5M}N=YDG;iLYt+ zV^7nj4^vFRR;q+bwZInU#|EpoYCp|G6S9N%11`53ID8{d`sDep+M*S<{f;@)`O5#6S31HK0fh{b1E~eyZmttdJ;r zhWIs#tSa!{b?uhC%&kRPYTd2nujJK_CNE6UbypsP;q(g}DL*vCv2MesN$YuUYp;79 zc3uSUKrejvQ2H*(6AHEJ!}X;QUzNVp7P)ofZ_5^$e72TnOA#C%@2Pr8vYYd}c_qo8 z=Jg|v8G!788K7DFIEg%nZ?p6pu{ntfJ4u(;hgZ!AW*QMDO1zm|Qi;71l zjxnyBCEG-v|C1aMd6KztmK*{9ixU2ma-CsON$dY2_iMims|j%tKQm=c9v4`Z?bu`X zU}Rz?sNh;2#53A8e8rxer$h)ARSn6&j?5|a?x=o_DYjLK|MUz*{p4oT*s(U?Gm~@f zSHi54*29Hy`rKCFoDf)HL-&Z1bhXQck*u}Kee1@~Oxp(1io|x0f0|9ndkBnvGN+P5 z?;c8rAql5(;EEA;eGwLqn)#oSuTv=z2t_FqbU+7jKQqJ!ld{tEKCq$b?UvB~g9EPR zVgWx=WdT37B<&xnr*yGmSV%X0V>*M#s}K9K;`(q?jF4Y(cpL~O)Bh~>dGwB%U*|m` z=h!&1jHJ$pE$k`+&1Ie&y zq=ps#o77I?7(N`UvkaolZq$y8@FO)@IL(k-uUq7bKcEV5_8YQkz^*>9EfmW}-7Gt> zE!CyMKF@YCbC@vryfs4a^^9rxP&uGRDQr7ncSYWdA;(8- z%C1E=v4E0re)2--nRP1f^@j8b>dUhOmD;^f>r}z##1&?b3XSCYKzexKy5~^`KIAmt zFS&@Mg72#~DINMG!uRIcrtsQoN|~UkII@PX$@@WHnv`BpA7xbwbuCd0>j)=wfSZ)Y z{#&Sz#$MEbic{R9o~#xFPeN!CXxV;wvuX!-m+fgv;~lP%OwslLAgG~+>(!T{zPh_) z#t$>vYGRD74=bJy;7OD5*H8U95!c?cRK?hE9^Om>by%h+hfF?{Cf^_K(?hrJEt%l94gFX{;N7|Jc-ia&muslyw>UWn{ z4okGfqYeLcMew2SVuR)!3)7coE2S*AFC3Z}DWLh9EkTeeW-yc5%DH3@uj;Wcfl7Lq zCQeKxpzwH`(z?6i{yS}#*qnT`m~0Np=?^$>%xO&iBI*eA3Afl_CGvfAv6ZRa$bILk z3HpG{%&fN~qIrMkXF6XWy5`0^-`~3%pnzA0`-{0EDVL^+XGj}*NnXhCz(YCvzLA&m z!wu3VV36zC6SIG2%p&=>RBSX`ib>?wEKj%1frR|%-*03ff;^>z8$K&#c4Q+a;OlRX z`WaXevk@iXf9FWu%>47y{aL9OBlt7_35gl}2^pOATL~yW+dHSch=$lNP91G1u)%r# zT1wW&JC7dz4g}q359}J^(*VLaI(JI2co9?RgXla{8h{52qI4&m?wu&_TfYnB96c0;s@B|3+ zf|!0x8WWedBmTAaEHg88JGEt$VOUEKFuN>RnJGhWRnzh*wYHK-n2&6U|46`D*-V=7 z|9%@o$2ntvI#6)X3%vd%Dl61{u2IY_^CU8rRaZXa4>xUP+K2daIx0EhUllmA*M42T z1F{X-u>1R4%sHm?`3=1KeD+0apftQ;!p z_Z<22$Th%sLe*QNK7KoefkQ`qdW%OeTa@ExnI{BPXtJ8040vgP3V+{!04g*tKWK$+ znpVWW`z&iGQb>*HSAEUfaWa{#K{oKazia_kK?~<01WipL)im5{2$u8$u~{*&+nW}< z65{&G=YD^8Qm!sB8`Vn%ZqHoJ5u#F`qTqC z_sJWs;wWK41Iq#qOWEerfzw`=u7uqSS2JhVp0Oq&x*q<}CoGJBYQmUyzH`G)8sTRl7!GF0vW5^f~chUZTX(Re)mse5uWz&DK0ZQ{8m|8ZpQ5d z8CrZc@l7hk9*uy_-d+OV@#%YTRR~su`)!&liKqvd=CWZ|4Q3N>xm1c$Tg5Ys8bkYW z{1mvjfQ~S&@yPN`VILe76Tj_T{jV3MS8ut?C1^{_p(Epe0i8&mKHw3Yh6IDZTd{Kr z(isYkS|FRmyQ7-KQ3t!~n)N^ZH=Tvg85zRM9-I;_3+?2BX>;OteV$%|P#IfUR5iqa zEVV&Rltjf}wFX7{Gp!Ylv7i3tigbV*!$=ZL>f5DQ5KpDd4Oy!e3qIB{asIQk1+F9^ zK=?-vm7!`VgLP57hh;urF~&wl)Ep7FOZ}bZK1L6;F%ksvfOw*v&xCrgmKnPex7txP z-TOT_zQtQJr95Uyhw9#W9pKp=Lm<@bHwd}k*throa3Z*{sOyGh=fcUEqeo_s`eBq} z?USCTR^Z-pF=!Z{?EwDYH9E$iLe4F`_one%Wt zqAOB2z&lQF<(=vc29N>(q5N-gB7Z8oh35VXGXL_;noxUhxcX*3B#0_vy6 zAAWDXLYvU2VbGW8d}r#OWI#VX4i{24;!Sb-^_c?)OUsNzRKLug!|VD*eV(w~GoNn~ z0^HkXe&8P+)zExDGg{?I4fFV79Qq^VKF`Qx0~?OiKHD*}ngM&T9wSMbe^k7na$Fxt z(7abBzD_W>M%OkjMg+r#EQS)ZJBY834e~c*fM9biT>SN+SNphtfH-Sas5*O;*tZ_y zNDUe=Sv4n=A+Er~5+~|;H-QC>027$g+9*2j9WL}vJ2#CO*>g_a1qx*fd`Byi>Z@Gx z*`S!GmaiUAxrTbVj((?fA5k!n>M`c4I|%`}%Fui^rg+f&ZMn@KBigV^ThdP6vvEXJ zuwj=x(qVckIKv4r?z%eEwm5!1=|enSFH-b$t*U^$ZN^{tV_~B|?#@VW(?jG3-R`kb zAV1`blkxP1#i(^Hd#|n`%xv;4`TEh-Hz$IC$sh1?O8nDly`3{_!Q6!&2yQopn1PMF zRI-E6eh+xdEUBFC>!$C_WX6PCkLy&3IN)yji+jwi6P&x9B`)msI#oKru|Le@0Ijqq%yjVOy z_}vj09nc9gQ`r4o0~dLG$}-|M&0>w+?Csp)`(APc$@ORHL~U$o9v(t2I)XwiF019Y z>irHpKNY97nG?|h!slV7LQ|ooqc=z}HZMoHDFw8&lmx8n0-pKgZC=W!zZS!eK5U%O zYBPKTz;>73rTz?He&Z7KTYcNyz6mBOOSm{%*^!smQ5j^KS5b)+K;IWai2mHH`aEzY zw;B&}ST_G{+uibd=rQ^v)=qKom6LT7+6|*=l;lA<=FtL_X`|58hK|8-Oj3of;v_u4 z;my3!&nw@dz4COp2xo8vgV^z5E7R)<(@BrG`!vsg~823QgdFwFZZ zbyz`t?)7!wAto$jJCtZ&<>6yX33}QdDuEcTGTJ0+F^SX9f=plLc}g4M+F`iAOZrMu zzAj~tsQ~r)Ny+|dk2JKF3TAU-l6{AoVWv96Lk8JJDk!wu1Q%v0ZMq$EF)ZCUxWUUx zx4iH{<%Ln)Oq*VUeCgN4@HZDQiYB^97RR@DkO`?tV>AaS>OUhccBQF&E)dweDUQ_rQX`VHhlf(Sl+lqkiTm-?a2O6{qOM&bPm&r$Nyo&M#FF z!oO)|GZQZbGW=TZhYXl#cQ6#GaRiU(t!iCL)SiaRN;?P16%T)ZIBv%OuABL!sdRD! z-C+jAZ6eZ4W3VT)$W7Nk9{E?zj4*$Spyv0Z= zj#Ti)y~TL*o9D>zG>+c)wvQFPF9KFSnL^AV-OG0%3!cej#w()MN!RNO@r*gLkt!CqpOS}C*L)CRmT}y?A5UaDAciz3haG= z3Bs0bFL-dsfAQ>#(x2M*rN9?rJndZ)`7)lPqPU)9+5erPQ~H?_UM5GxMcIzT z0@b7Kp=w@wU#dsmVY%Zz8U~F%YMVg(}XR3Ph!d17rP>F)kTh3+-DD~=OWrZIdKj_4m28ORY|H$+>k*@ zAr=l!5+Bnxq@4BXo&y4oTBBpH!gJky^8^N%{#?T>t+kt9%L7}jJ=3j!rm94cWUYkN zr$c8{2VaU}{9SVoOG3OLTfwDL>(Od3rwcoOQ1%YnT5xXH#Q@#U0j=YN^0qvy8{O*PHV?mq|VhaeRtAO zVUHUYGy?}B1d@&rC2*xnV{_A+60@_OGC#BfJQaD~)zGylDI5aD>niW3Ht*IuMRO^tVgB`Fj{@y4Qur|*97Xwx~4US(jYwQ;LA7~(1SSiUZP=&J&E z8VYJU$K&aMWnA*Mcc)2B=bAeP7v;IrntKIPar$55(R`)JB)2Zdg_aR=7PE)85>W9v z5O5Y-;9!k@G)T74bUhqvEnMgkLD0nt+y2HRI*25>L-D92#p5kuR3~2(!*UP_a#Owh z>RxsWU=(0kc-JyC%H}eN%84x6a~%A&7cEWkTlj|`>)hPJhohhe_!A%xX|K(Vk$QAK0qAcjQ#(UR+r09${7*(RY-U1lpsiyq{Ve+Whb;XkC41}QTHWE<`S%Hl zYUOM*6P?VQdx@!IloE9w32OG%$c%|Re((DyqgMAnhi-O0Km3u+B4k+rAvhEf!SlPP z>iQ6^p*~^9+5>w_le+56i7O}?PEu)!0ekp)*|N_cK52`(t%KX!?B-gDhUMAMYWI4j z0arG2AM*p7uNhKSSa$O6!qv0|6s)?!V-Y`qjJIiR}(UJxmo}Wa09pT@Gg6vmyNlldief;M;?K zq}>=Ic7gP~-qiMsk_Z_}UnY$rl`G7+GqI?;+$%EL6Tvo3+#4qpV>t*&s__i!YZ|%q zGW)*9A&}dpLxNw5?3dP%_`VJykQ37EOyqF<`3e!!6JeHA(pnqtYCmHoWjSMYyPVh; z*JmXB9$(JcoI-9td^1`%c*d&c?TppD-HgK*)fpag&PJByReBR!cm0t&=12W(rm1%g z-Sx|F!zy$`2n@egF3%VO|1|dLx5`rjWYWX2T1UzsZGw`z*h`Eq6OBJcK6(!=Mt)~a zwQ1Xu ztnRwvm%X1d_}gso!-+rpe)?}V?F6=l9$45R$%Iv-&C>utetM4o*ZalJtLz*(Y)>11 zY)@}@?CCffbc&lVxALuxoC+$V`NJ6B%WwG0s^Bv=Wk(u0&$l(k%9Kh3ia!134Win(&}D=8 z87Cg=8d`%yO#u5rT{!zmd0i>Es@&1&F(Y|O-AD}`e5l*_}(i!w1$R`SR%;22Pa>kg)Zemh=_NRA_1|1ifgg} z@t5^XdC{0$)t|n$gv(sC#svN^RQ}@T?)hT2K0x;g@!vG6Ydy@KxN8V6pN_kfC z4wcDB+C3iYXr&+y$-c9VW-qrnR5#K;X5->_pp;*lP{4-7QU}`4)N3v3MC?nKYnMqW z@Ma5fb@|amu;p*N@xfVRo}e)LY*lfbt;#!DHxR~i3ra(G{0`C^M#vXHw47_aQqCPx zvmBnf5EHNA!w_YAlCIYnuL%3DfvW37LC3(HHyF5PMc{SdKbxF2l+on^Ol|HukOSEj zoY<%(anpRsYGk!2iV~Z@`dG9mdIr?Z!1b!Cmn-YL5Fv0SFC*$L@BBB_K- zhv`+)_oLvnq(g~GjHyoukS2bsx`Ev|SY(%Q3k_CMXF~6m zUZkv@Y`lEdDfT=3ZjHRak%1PqVCTu{H*%0dDdI9KF27iOZ{6qYZrTAtkd$30?)exZ9$nQ*#> zvx9RLi|@5$dMw9~#k4>O+NHyPRiQ|`LZ-vNRS|(-Ybwtg;9D21aHmSo0|EHo1Oszl zJqfG)wXt8f?B5(2I9KA+*iGxkw}qaphBQCA#<=8q#tGnEJ9wE00FLb(`tVJZ;S?B{ z!?avGvsAsL-(zL*-V=iX&E>}-h}k&1HXGamwkY0>Lht5$LB~Y2X8#D%I7E4y-WHpMlcZPJG{cxt7|ITm^Ylfu zbdk#Xp1-~C#3zYcG4g)bnv!ca!(Tn5o4cjzDvz#$KFtD0L6Sp#*MCZBmNKe#J|t3r zw$PNKYTw{){>pThzmE#JPhl(H2NsuL2gFg4%)GeTNDMtl9H9WOSRqtDKm4b!yo0~8 zc(&rtV7a$hu9^4U=r~f4s)BqwcKz;nrh#4)r@PHc(}R zr;$6iiXX8)?omDUnw~ z_`Bz8GGEKod2?EnX2T6c*U(6?tx>_v1Ayf+-E@gSe+IjjH3w}x$@*-JpY}SrQr#)% zb76Ju6n1Us;X+x4f4j^%3;)B2(hM_3kGcXNwLU9xm<%^7_^HHFGT+I~ zxJ;vaPpMw_#Ff~A{mtXf^kfA38~Dq|(hCr>WGx~sd*X`4U-|x)1OV|Dvu~OPenUiN zKL3m3pOyg5(FbE35I4oZNQ?}xGWv}lRAomM?uo&kx=IN*;mmc@wA;r}L*!-(H@XNP3KO(wh5uPYf*#$y40s~bc)LDwjc zz2U(sevX#h;Dtae^BowhV&-UB!g6Sjy}rS!+u(&u1m9RA=Uu1y$>_geo03^M(AIs5 z1Hzv(>|mQ9nokGHkDH1Ai_v-9ton0?>6wiQ%_kSE2HTAZ{QqE#@E&A(HY{3vTO<2i zd(+LugTbP18ijTK{FmlU^Fs;eWz;JfNlNjNpx)(Qepe)?AdFm8JtIKu`CCn3|Epr~u@Y=!LLSRlP|?SAVB~zM^|SEEN*P(7n|~2J(&e(fagnrAc1Imq zSqmZVFF{y8>ELncheqA=x?b&x^Q5g=9>@+^rQsSMO_g|+>r!3#O+ zxXXvE3ca+_uZCFP<1cH12W^H*9m^g#Vxh_ebA&2* ztoTH<+hhQWRKX%6ZSVa)**oT`KTB^?yVxs<%$4PQY+zw&tI}ztrc7F9teLQoOtoi& z9%OSG?-*R+hj&|HUp1Gzt3QvYexWdBS_%s!AWqT?L(2d-(2`ulR&)!&(bk6Bl;!-Q z-aG$z(>)58 zl6#Y*aJ-r34qb_Pd=IjE>eVu#TiVWNyTZ_r?mvXb44o}qcMXxstbXW!MZ_kGa`fvY zQ5W*!cq_AFcOh{othYF8C_5B6wMbZ}W^PU0&wWfp-7ioo0{wj`C<1M0YX4WV<0Js- zuACCICIWp%rXIjKvAE`|oE>%;_5kyVdNniVjCT2kD@<|QY4t3K?ZSD09P`iO7PoG~qi+$oW=BP1x+q;NAl({&L2%0tUi1O@tOR%D9jA^ELPB4ZW<0L%!FB@7l~58?W-6 zzWNv$=r;l8KnWaK87{h7PA~TKRAZu8+76|OD)`(jr^iRJe13rSK{nNX`}ExzpZO=X zzn{m~sxm3PlX#)*Va5EOTyBPsLe5B>LT&~m?^*l#PKEIKH>ybHKB_0#K!CMzh{a5= zmhDXMy6w!Y8sUu1o@Aq6D%Lxf(oxon_Kjq-5OaY(vh!~!VA|$cc@kf>oK5LSdOnDX z?o(_QRaDJzjQO!!HpEa6;q|&l@kz;_ZMDcKX_2QDgWXt7Zn$+7?rm%4NL>`*Ot_UY z!ujp}w0yyp4boHLwFDIK2W@dT`WI54560?mv*I9BzxLzPY?h{!TasTI=F=w6Nt=3w ziK3z*oGWQgp(Rw^Ip)1@m0NyGwu6nSS8Mg|l;Uw1drx;h^Bb>PJ|A-Qo?ZYIfau$i~MgI)^ukKdawQ z*88!U)`ZtO4d-6O)89Sj1wR_=&023q;#Q8UQKGIR^;QchRedmv{ajJk9wP3AP$_xy{MxbeUC;7% zv8?yI-dl2Wmmb33C0)RLs*itaK?`wc*ANVtiCe>%zf}ccp(s`3&y%eaa4v)5C3cdT zOxg~wW~ZX~nN{Wwh6%#e3tZOUzad1eIr3l@zz_h+y$+Dt$UkwS6ofs|yYP8a4`cK# z4g5&rn%sz$k_*C`|BF;yT(@z~hk1$#KVbfiC6W)A^#V0O%Cc(OA>y zg7(XYbpx1}eUn&VOJiATUi7|&T%O&m$6d1^8o#6b+H`e-i{G1Dd~x~JUhen2P^MI_Dvn$-t;2H%RIWCzT7K87Pkj0o zrn_6mQQT8z4_zBVw30<#^+r=HZdad()FHcD4^X01=(Cj3i)Fxf^1CtHLjS!~)&A`9^!PGv?VzO6g2y1})?uFwP!wtUc+|CK&_RNcac%lod$OhG z$jk-0#Au}WUSm=p9L(iu{P8>h_yhwLHvr0)nBD@quV%-OVKuvUMQEloaqh2XupmAS zxiR{}#wa9zrW^B2a;O)~$BY;@I3FcFd5q$!{20@+VacZ7DcL%Y-L+H>#>hJuO>d&3 zZtRvS0`c8A3U7Mnqe^RzP*z2Q++9(Z5=Sk6df>YFfF|XYu;jlP;Jw3GEpP+wGDsDn>aYmeoVBs%$8rR%4U?)RwwMA zQOrn4s?&aI$#Y~rMx?Pxf)Ioqdplbfa*i+s?2bNaziUkjdeAfEntd?Udy%ATM>S!Y z2GC7d4$@6HqBD=#^4^-$tDgi-!Rs$C zUh=kGQEVX+@`&rd5!~brSrkFa@20p_DPR2k{}6+dhG!7XR(%WN#T!LKuDzazB%~SLAm$>z83+XSphQesxz6?ca9DKu>$J}ip zPlkzxBn@0mJGhCir|DFP+8t9G53mafT=sx1Uu>>zw@zQyce%~f2*?F9S+*ua+4TYja5 zIX4r4`FA5y)tlCsiEi^uH-c_%`eyyNkdL2Me}Xx-l>{bt2Ya zTUL-#b+?XIr(EPFtHIxg3;}{8UZD16ggh2Wfz3WV5#+sXRP3y1F~g~X!_xw^0?uT* z{W2wN_M~=8cvBWJI}*TB;GEMUMsh`BUe5y4REw7%!oHp>-t4usgHA=Yx#vO53iH5J?SUbu8iY?9d?)>SW}p7uB1!c`;^^F0p`U3Lu$Uz$fS1BY7+8B#Zsfd8JuZPz&uC_!PgG4G-2 z2NQa-g7AB`$if??Rr6g%TXLQSP7l!)9OFR}DYalH2tc=S5+qqCg4UxyrgKebO*{Sx z*Jex?^Qc*0On`h=hIGO^6g@G;-tqwPb>2aeytlTZ=*rinxtl}1?UK6~yR%)zb1tdL zH5SoJMKmLD@cZUcLMm&`LG^a4o8aa;L=Hs(YvI5|VP@gTpx%$1Sj!zUwjw`=6FkH$ z2S4^Qh?STS__7t)f8ckO&A67jRsvy+b&KOTx8FwZ zPWGa;2>sprAQPxHbkF%FLiWta06SU2yvuH5fcYuvNaF>&All7bGepDna^&n!TmB%A zK4(Xm=Cx1ycbAswe?};Jgeka1>fUl)-xvTqU1)<_-ZP{DaNo`Yv$%W98+>T8#nqhF z7hZo5W&8YQoqG-#S2^KNQyDM3km2f>l<)UQRkoBogB#*9q$pGLS zcv%66Hb%$Gfa*j8>?K}^l;g7T!Kx=5Ej}Yxs|FqMCTt+rv#m7)0OWdb;^EX1Z=wlu z6~?qSt*$kTq}x@%c}sFj(2#4-vs{{Ng)aU!utFDlucZPFQD_TvYXMGYY$D|XwLL=u z1KoD9$y?42_qvusx~2zxHtzx04-e5f$ImHsb+a6cbU)3LQ;fQ_ae%}n>wnzZdIb|1 zw1AA~@>8~YJR5ybhX>$2>@<+8)d`uNBV7>fMaqANI72cOO6f zP=3d4Y?{FqUMe%xt)rO`Vndp}f3JyPR%)M~#+bC;E&b4uC>)zh07F7#LugC~3foM3 z4-kn1!gp8Sl*oSS?R;s@U>8#ea+a|Z8d2Yb6lIx+H0w-5az|K z+`ja=03@k3v4witvEkmK;O9^Kbi$yb)T0XDji5!IzlUzjrARVlkgLEz9i7kl>X>kA-`meI>004V(*5?TYpV7@0RD#c(fnx%qClI0B}fSFH#Q|LR?10J zfuvo4RT~;Nc6eElPssOdvFT?uZ^F!~v!wKYVwiO(q z)4zhd7}9O&nVY_8IoH*GDUbKf_T99bA2R~K$~Y;$wwu~2Z|c}T&X0Z3!-^-WOxGenV(KOynfDJ@7k2^A=8i!B^- zt6ph)Iz^R-cLP}oP97{3%62=s^ZxsKh&((B31&YDcTgO#|3?GefXAyqmeJ*jrqJv! z1HN3IRCl((6Vb7#p`o;G2e#|w;337`SnlkzN4k&viKEiOlpjm)Gf=c2pnw|}#i-)2 zUODOD3^<%v8-kEoV5C^;<*Kthila&;RG03xl9114x~&yGSPFJUDlL!OD=;8~|EfHm zv{&#(cK%Y4o$T{%sB@QSTwF+x zCQkPm=RApRkPWzF??ZO%4@^b(pQmiDRZct@FwS|iiJl!a&auPl;dkua|I$t*(u;at zmLY2`)rsAoFg@JCnHUcH%Gi@(T+7hsiZu-vT;h7@ZIxey=wB-#gv&W-q9vs-TD*TV)*HI zW8Siz!x>6L@EAZe716;A!)q(@;7J-nMgccegg{r4#{Kj|;zX|P7rn1Ybm?7b{uJM+ z&}0vE%qzAkKgw|r&+ojrrtiO9CQ=5zQ4ZmM$l|)ky6}|lDfrE+`u?kXFGb%Awmp@8 z97y_l_|DT?{gb35DGh+G|5ea!GY6g8j&@*r%XNbK7=pv%Wca)rouL0O#JMO<5{rW- z@Fu4`W=yc>uBS}$eIzY%;1yGiisUU+xycCB-F;w%xt2SKdMsH4{==ST9z)=%lNkI` zBQtPr&jD7dfIwje*S|(IEI8dJebGo`OC$e4#0F%;=o5Z(i)S@6zp#{IhVa>O>qvlEXTHvs>vFE^GGqs}|9M$HFl4r2!-?7gl51K?`zw!0InoD~dy?St= zEug8&koI;qcN6#vs?bu$-TMx2jQ0a}o_U??tH1ii&J6!^FEILCK;~@sQ@OzLx|?(T zk9z7!c-aOYxmnsKV*Vd9O_E={vR4(NViva3V{z+IN@FwjEh>IRdd~65e*6Jx`jdN3jJ2AyNw~>hbb0l{eC5vc1Wmdz z9p-|}cFQ9ABj;njGIP$Dt8=(LCfMsXTL`-jE=n7*2R37j0gLUbcF~FuwF5x^VC({S zuGd9tpn-oO&fds$Zrx$%q`_x{JG`gZx zb1T+sz1=AXQJA&_8YZqB&)VzDa5wE)-PSqoje{?Dop`ug5N1tyGU$PYlFlX>-a=AmGF zG|>!$S$HbQLx)ofo(M2ND_~L$Z;I#Ml;s@dGhS_)znMd`z2y1COjw>pBn*9Xj&na_!vh0PJZ7qfuGdf84s(Pe@10_<)R!{#cd;_~FPI3Dgoz ze=r$Qc#XYZT7EE>F&RnO2=w!)`Or6PJ>~hgMYc~ z>pwK2?iZY%QTSIdmWUchzicG+>g&%~e*}t^@CWw9%;1$Ef6kGY+RXhYcZ#W?&&tVy zIAeMUfw~uRlZ17q*S^XNVWPZmU3;+TpEzF-=Y+~%cjbr3^n);?exf6#6xIO{^a$K8 zW+>ZfbHg>1O4Zfw`oge!EkU^yHH)>NQ}O<`JtGHUL*Q)viP9H$ z!rOj*31FN6XLk^APx#`|P7{B^H^4?Jkz?atzpdKRSmn&~vyWqoclnUMhdM?p**=RD zXjP$P-Ua_Cq`-O|6VX1Fj92mLVEHGCboYss(jT@#kWLIW_r4>x*jNGuw@Vp`^*`{k zM7oY%C~p@;EztLiVv3y83)YbwMpmyMcOE(#i_G&s3mXdyCg7tB?V2yXzkp(7fAI&P zF=xu?mai{U5i+HwMEZYvEvaBP)7!%bI%#SNOy^V5+VW;DpgzH-}n9f^?R>t&tB)8nK?6OSZ3yVp8LLs2V=ZGd>2I>oj}M) z0tR3A_jIq3Krf~89(}d#@v>h%maLPGtmMKCPq|+sL38)_dP3Y}E z>N_2SzLhGn)`}djsqCR>ws%qU2tg#>#SIx0rG`BNKf6!`RPYOx5HeNBy;{R?Dk>%) z7c7`yfO_>w>XbF++bwCD9XfUK_qo?E`BOl%N_6)Xk#GvL}`grV3)gs5o|^KmffqMR@8Rw(u(3;UmIObK`}F z{Wh zZQfPUNB#aHdj)H*h1(Y|as%ML3xCl}j5W9Bo=t7q9Yoyr&uy2^yDBhw_k0i707yi|fmeL^<(aUl4of>Q+I}?ItD-X&-Qu1fe=Cehp88+{534%$41|2k-`m<0BAJ`;T4TDyBqv1$;n2 zrJOc9kfZOn-NL0lJgu#+X^yL29*?jJWTBx;;;8sjySfDW#6WX_VcY&-ou+bha{2X% z^hZC6_{Hy@HN0KpiQJ26w`Jz?9+$R<*mL8RAp?(Hc3TFBX#?^|K`2o>by*JlesP(ONBz>E9ReMEE@OH}^ zH55^)a6yZ{txIGxAIJH~E7d;}UBMMC_Rr1BcVe7CJOYFx_`KswqkVvkMzdZ3*+ljm z%;mzG+w-|yaV;xwrd(ddgXJJ_Obm7PDZs7Q`?MQkx711RfGcRVVCM#Us8bL;dsg0KsFdj>11H>oXL zZgn5gyNZ^oyE~U(ge<%&n?W~;k{(V;e~p=eit;2inV6$7%aE+TtQE}Mnwj3Q`P(2n zYLoi7lI9XO2Kd78g~}Wy=1l?5(b|;y=|NDm>)|9{!SfvK78aXrc;1Ki%A-~T4q@+7 z7m=0liyQj(*ZyH50x3@t&w0!uzBhTdFT(0ZQ5!MG7o9k`GQ?3$3xCCmNa(y-8ytD+ z>&<^_O*Tx1Uw!JO1i!lT^g@p=&YoYra8WhF5*z#-_G~BgY#`wDAU&yrU)^u1zXw^t zx3YXZz3+37jwZB7PvJB=;(;c|r22bUbsS!q^vzLkBcx)4HuNM94N1IEzA);~tL#;j z|A!vxx-q!Kw>Q=W1z??XXWy;h)tQsvd+7`v-HbcINNrj)SR)@Xzwe09sYT!4|CkOEC+daasbs`7I^`*lQ z^Mv+NV_;sawed9x9Gk8Pcl*c}vUh)hFkhv3wW!97vPHEoYD4aR27bPSHgm;@jmZCv z_3EpvVWm3zBd^g!Qp$>Q*g&{>&ba=57^d((vM#|lPs(U<~w~0W@#74TKad{ zGU`8vLDvW!LDLr0N-_8REy*5XzBiXmGRBa_P9ydZ{)EFNQZUJY#i8*eC;9H)0 zn-V`M-it&EHJbD_&rJk6et{*~H)3fq$Vv;gKgYm>Bh+qZ(9GUN_CV#n%$iqS$HzAI zsefh#Y>khp(Rri?%05-)%>4od5_EF%FB;+4cWX;%v=|Gn5pEP7+MfqBUtK6T{$9-- z)P3AoEEC$Vg8Y80N0cC7%! z;Uo%GRb~ZQ3x9?9Hph2r{$0-c-jy(Y3J6_6#0$-PRSGjZEg9H)Fh%vv%p^+R@aEC}^)W<1DJw_9ve4LYLTMNu^U#mZFw_|UtqB(w527GlI9@>gc|`gm`r!VUblK01dh%rdQdN%+ySD zP`k}R8AnnnyIb%86^4?SJPkj!uH>9gdy3?NzW$sRB%n4cOVRMpI2s%{o^a;VlC9xY z`w6S7u|-kI zJ&g5jH!Eg0{i5+PNFr)xEF5h9*(HO5NKI&7`&pCtNAGv4D%%k~PkZjtw#zDiH5>kg zN<~b5$HZMVf|y+Mm%oZYvtHafqiAW&fo4&00kdR_HZN^e&W}Uz9#KkObE^Ku7*Uyz zWf(z6zFk(Md!rX+;#Me)n|_ndX;!Hx{Od@0n0F_93I~)zm*&EAgVPRiA0wQbI$Dn( z{Brf?Cm0jt37s(WTQ8A4sCBEg&@&Ys@(>5Sal!3^cvvQC1lP$F4CYc-o*K&pQUK%% zik8c({fe_su;udGyXi$~ZNEdM9B+4AuyY@Dr97Dqet2-LGEyRDWuXqwIC}D8zMDTD|uP&MVWH}AuDVP z)lA&k1O?v>?^-yL2PEtq>#eZPFj%hKk82$k?0)XX{5bMJDM05#x=b{Ap|ml1VXZ$_ z8w9)l$4_~}Y?{uJ5dAvIVikp{+RtXFY~`4cruZKbXH__CMAhR&)JVM0F#cD(SzdXR zlRB^6Ph9{oA3DgNnsvTn_Fe4TPTv!qWh&<~!Pbf2y34PeH&zRHQa{G5QayF-67Rk^ zXh=~=F8j9Y;dLLK-COJMAc*cYPu9m6C{H$<#vcPD;gA$4`W`%>9)p?u6FXDWzkLBW z%MAY@p0&mPc9-VlDI6Z|!)jo3Rg$c=9KQFi4N9k9<$8N>CDqBX(U5EPy}2yBJ=FiU z=jyn`Sx3(KTR}(E9^{|u{TER69y=nL%a8d$s5pUJ*rjcKz6rD954{Snzq3P#`5UHW zvPdqiuMWiEUN6dY+=!Qfso04x#vlvUFK=}P8ZUh>_~h)K5#|)0&8ZQ7ya<2qZAr05 zQSO_4y{jeV?jIc9s3RQYj_8vK?iT(@wx{rR37q_uy8Ka$b1&*9^Y;ddsbPb@&x*ho zwqnJVFX!f#vex6g8d3g&i1*=^29h26+1~fn+dY6Ad)_I3+(1fen;R{_6hc-vsU8!V zgRTv5CUHo~F>ZDmx%|CEa?L$dwUK5sZo+f>){ppDpy3mLS6|F`O62S7h2d*iHn<0h z@UA`+PfE9&pmg#-Xq)*vUB=AZuQ4t!6$92@u}U}A7|yc(mU>_q+~@o9bvu0uZ$Kd% z?+w6%I6X1Fxly^0JT$)a;~Lizgg;W@#Dr4CxJB1~ZcL1AA}GFEcu~;%`tVCzNg49r zao*R<59r!Nl(6T?C0ygTzL@Zuq(q*}LAxNg1}z^fe~owZ8jQ1ee`7zg-UGlV zgUWwl#`H1Q9uMFMLY&E{a3-N=r^h%xvD^Peyb~VSFpCQ7>BLD2M%ibu>|9}2*8Ymk zsY074x@bo%{?|4SbEbek-9)cV43nG}XftcK{WIOK38942KEkvp)ceE*(K^~c?sypY z??(6bW;-mtAGkKC3=aiDBn~4_cEd1NL?7&+kg8k;UuI#z*i_r)>PAR#4JFM+{IU`T zPDOF@4=TfCdcU&yW0Nb+nNQoHcRJ>z}{;Vbny)+&RekXHTGkDq-#E3<>EHju7;M zTd*ZlKUO>Rn|p#a6P1x(ODfGp$Gi53xj8$*XSn(kU9Z~?$R{(`%oH>({PlI3GyUE4 zDhta#Woc(1fu_Lus&%=r`I5MYbLeLTxJi3UIciF}(J83i!cBE=Zq!JL;eLyfV)LiY zpDH6ST9kD6d^8vdqy7peSO}#4@JP0Edl?-^)izb%DWtvih%Q zk?jX}ULhd69Z>{A{%{y6=Y@zB*Rt^bBVCTogg@YfQ@d*_`Fuym(jJ79yhz9f`Q)QZ9EnEZc~efQhFTw}JGY#a+pK~K zW1Df=jDJ>5v#u%r%fIy{N7Uw~DW6`#EuR{36dpD z^C^&Im0lfnp8XkG3(&Q+sBla;q#if=JU)8{nvr%SQH#-Y-DTacR>b?tju%2(Houo4OS=zEzFF)1CSuN3rwea(D9F&6>t zF2Xt7uo%MMH>*cgA#E!$8(ZgBAGWA27L%06+wu(d)g%Kut5=spT28ClT53Qz6`o(( zOU+T*t3#BdSvkvYF>DV!C#WvE23N)}JV${DuC}S37fo(V%>}jVE3;D{)fR)W)bRyo z$3DBO3jRKss>=Lc_=?@*z8d=AiLMt-!5oUuV8@f>Tg^B=bmB9}_3Ve5wldm@?NYXC zs!{O_K!afbY!4YTF1?L!5@;HcBpn62dY4jCEmeem<<4J$3;gtGKhsN8)~7j7h%>|u zJ3)jz6|#%p(>}x51p8teS5|K?*e|-aCoRI! zUVo+hIozNirajE?(Ra<}=4_p`j=t+;gF?i=lOMgk^OXwO@(0!yQR$_=Q0om%#Z0G1 zXOO~Yi`+~d38lWC6sK29du!z8dW-3kC%L142A-Qd0z>Zp6x=U569UqWweyfOz?JV^ zhtyIbtt}-(sADy+#}C3XFS09_;Kc>~RKXCA==RTs4o`x0p)o67+jYw-Iwi&5R4T-3 zs#GexKlp&TW~1Bdl71}x)>+>FE6llHY&`LQ{SauV=sPp-==`5gSQLQzYrG>$`Lsh{ zjk4$CbVcgI$LZs5VXc{W^aF#u&AhvU-;9!b`HBZ}SAh2hP|UOv3@7I-;x zd0J##Gtgx4CvqdBmW*zu#OAi;ChZ~bjp|>+CA_=cZ`!2-MN;xC&Bv1j=hRj>r4CbD z1#b`HkhEY$(mTzw7j7vGm|E_-zc58Uamd~Q#d*IY-o)pMFZprbw)C`>jN3?89=$Y)6x zxsMlXvFmI~yAd{*I$b&YPCwDub_IUEui+ngP1xt-HS=+MnK#8Frwnz%fw5}LNd6Tb z2eTt!lJe{Ng5r1AD(?`mzcMl!yh8>j`YFHu%36!D_5)cogKL{H*XEu_GOy6SRbE!P zw)L{3u$(+4wwtnUnKk&X-nE05Tl3=k&71|1=jSn1?L8kZacV0}Q4im|_fLsYDVSFK z{oWys5Ix_>lMm5pKTa0A zUi3l*zE$>Psc6TJa-YBCXWXBnX`Jkrs;(#ZYOkhrdC>Cyp0T<4rE0~cz`8V-yqy~e zrV0XC(SM&l68`&nkc+!Q*auU-7~#gC-j%Cy<7pAsbm{FR^BSLq{G1;XmpsOKMn1Wb zANSrdQp(jB6Syb;!}PTRIbYNRdF`^o1KwBSGGr{HU~DIUAC&auUr+b$;?P#IxtZW& zpkPw%zXx8>$|r=BUu8b$WEA{~JU#%lp`(G!YkH)}yG6T#`ZR{l5+tUAHYiQ}rK~!o z{9QrAPdUP+286)m7Ok(zb2+|jZHyVCfoWnb)@jWo-cNaThqBHY`ws_c7)ZP#%Q^b# zQV{((iPI||a$>y`bs6E;e$wHrl51n*ycP#^U+>?x5m^4Tc1kL(R#3Q1v91qvP$EuA z3EB<<%emi70uZmsG2(ShC&iN&P6(;$)ZY?3ZN5dPzPh_>DYN~2HK{5#0>R(Z_uV5G zCoWq4e-c<;D|i2(`DyJQdDr6g9Cfd6$Q#Y|c=1#Ah&gJC^pMEAszd6gcq2~WiNkkw z*@%0)vE*J~)C=|OuC#^EcRaWjN5bPUFq^jWSMq9rsia9)l?r!8zLS%1HqPvu5tjCa zv4z~{VcPT~l$Bm!1iVV<_sm%4du^>&hPq1{Tg_`(pRbAXzDg5Xzu)pKA=h^*?KZ|y zYgrY(>*pK$;LZw_Q0OssbCpU60HF>Qkv0iM5n_pao(ZBnRCX$WP<4WzDR8DCIYFIDE8UC6>$UHEJxr%++ck&5FNZ znik+jd4V{JE5h9j<$5@*j{U_lm4$dWgM@hkk9qZ{t0vkVZ^~`%?g=lx1L%IXNCdvc z)>+8&qdbVKrSBAe8dZ3Mg|e`#rPFtQGF%Ib<1xJ;X@0rGVM@Ni-Q&I1Gkx#R$?XCs z`G^yQ0_W4l8$k8Sx{|GuR1@(0eMpUUsOv-~tU znI-uNJ~?x>WXB#GCWUtL}Doe72@fel4KNpc@i1BPQqrBqQX4d!4y~B*ntVF~k z@N)C7`qT8C8;OiNv*daVCMHjCgb&b)fvk#eMjrMc60f!T)PM@W;c2TJ29@w1R4y!X z-;DVm?_j6RhmX^A#*8EnSM|8b+B6GA)+4tmmfUhyHn$c1|tL~U%B9~d%li~b< zdt)K@K9y+_{>PM!E_moY>QjVVNs?duuDkn+?K@8GEl+?sMfe*h6PCXcF={$r%4pD6 zx(bAUn^RzQmy6$ijUoBpYZ?{R38SI+&pzk_+JSnK=048~a+oI89fscD7*I_?^39AL z3+MKZ9N!cj`Iv*;5D9^O!kZ)W7IL7Ep0tN=X7LIB>fJ|%ew7r7!KXOEM1G@a&?^7; z)6`pm#q)tlA_7C0>=IwE<|{NJj}6q z?`Qvd^g?KAVgMlpeiVMc@;Law(rN0Ds+qEA;T5ZMMH6;ekn832yC{3^CVXJ>TLe&~ zdcwYN``O06GWa`ag5FMocWGf zcU8cvw%TD@;HQ3mecZe!7%jT7g3}TJcuS?5_DrrUTondPYQq3sRh2Q!#kU+n1m-+A z3Zn1~x$GuBABs{D*c^mqzaokO(T&(|L=O`z)>Yw#7-uUIc|*dY1+;QF#?DLIkgcK! zm9sIKVAN?h3`*w$?ne%Xd)S}(Lv)8+R?gox7oansMX&pG0fd1$z7zlk z7GlO&h^TV%>iM^SL6FDmy79olEf{bhfWQ=>8ZVSP5qK^u^d_J`dCETmb?@A6d%x!+ zIuj_GHF8Q5?#G-LWS@F`JxO<^p>_o2$Wa|yx0BrHFp5wPdyQ1y6;q?5zL1>uo~x_5 z&gIXgIFca;8Sbcms;6e*GqY%A`{?F6CRa?0*GtOqwdnTvb*{U@*HFO)3;{W{d!dqG_e&--ja-qQ{lPFwVRo4Q*g zgEI6?RsSn*gy>9T{8;5re4pEsss9NxWxQMJ(Jci&$B%hG&w)jvehZN;j3F2$I3qUy zZ228b)bB&lJ1a#S>*pQqI2^UW4Xd%Uzv!`$#=mN7A~Vm`B1&s+sYc{Z2L>NJGXvmV zx{J@G)nO6sXL@FCOag-mt1I#~mpP!0_*O8GgbG3W{^)b-oa)o9XHq`Xs}*B{O8-gb zjQ|SHvSL%U@2W+JN~Fh|05=mO4?k2kgr1#Dw#AQm5#L&6bcUR%cDYgun374WpN>ka z`wIjghWd@&Is0Yb)rYi-l2$j1>*_(yy-6CYv*Lix5cp84A4AUctS5Wi?1GODKG2&U2}{QbGMvK{&@ zS=#c6P8+DUA%B!>7bqStZG`{^AK1SV=L=RSplM6ZQbO2O7re3Nw}e4Gv*5c6cdSR8 z-+1O2q-f5`Mp7cu$JSasbKzs+Ctupc1nS~vuC&Z<-w2T9sFLv6o5DsN$=|VFx;zo| zwX)f>5R|HVF)cArn|?u;pFX#mThV;wDdubCh=N7gr}pffE0^Xh*rGjws?pe^JxPC- zmU%mh+B-SB@LF|f%wNe9s{_8!+@$e7$&s~&q#4y8?FRM+ByBd4bWyc79MM&CVoB{) zzd^@uu02Jq2UubkEov2OSDpQXEA&OD7jRjm-FjwaH)tj8+g(vHn0(RB+OejidFwnr{WTNT?? zmx3i+_D`fOUA%OpEjM;Ly$~^|0Wrt+q=)I@_xLTDg8O?afyL&!1u^9Jq=zhEm@fDIJ*~Gu%x0lE25Q&?;CsB*<(!$ed7} zeL!YgUZ=?b%4eo)P}~?2tb{A9JurF!Dkd@}RGu&-v;n`x4GuNp)ZS>s*(YlhM>1=? zy*=P#*k|Mkra5g~?b(u92lP$b6^dw0ZiO zf!b0amL^CzwmiFpD}KJvb=`3JWw~n&sp*ZUSZ!`~Ai?Psh@ozlPk6d5k}$y{sCsKz zF7|G3ENwK6E*C#FZ&b*-EeP-$HN7OvJNTD%5Mh1rTUT&ZBmM4rkr=$0ek^}Qf^D=B zT=K_pX{)K^`EEU1c>7Pv`gGaru7$wM$W^o=`-wbr!qx{t=07>nIrW<2x?Aj|x^&SA zwH#ysOTL0CqYvs}0OZaJhrHp`_PO&%TpDoJ=x2|msgXR3SDQ_q4vE!W*ye#6FmueFP+n=%h7?0#>4ewHWyY*RxtBV{X zk8xa@QH9SCG#D4*6ud1U4s&>k(8mBQ9>?*t9MdZL5y`zYtOe;roLbgubA!oyMErm5 zB&*Pr+2xcD{r=}sd(1VM^Ld4l26ceKRxO`(Fsp}Mgc4F-e=hhk2tuQpCIfgLD@oA- z`tAO39?hblO(6n5Qt0)P55MO7luh!uQ+EP5eyheE2##pc$mb#yt*D=H0<2&bHD7+e z_gB2A?yXE+Tclfg)XUJ*n!pnWv=X_cH~%ASatFCC-imk;V^y%wF0YWQ%0n zrrIi+xtCX8f4kbt;QnA5_+<1yNRdyDEM9llZot*URwCMH?Z)%{`704ztmA zBfsETp$bs$Hrftc1WMKpL#(hIiY2FVUIt9JU^!Bo&Us$G#)|d;EYn3)?Dt?+~=aA^$hoZG{XPGl_}(0APx{*!N4Z8_*mUA3_q_$4FBMcUGDrn}FB zzEcE>j;_ks?>E_h9EeToCSoyeCZKusBT z-Li}~n(tg+!1e2$4+CIr!5_fdf?UDciXK%PE0fVDstf)3l$2@u;@j03eHhnF>2h1r z1$7{=*|QV7{+Dk(z&+LPq&r5l1p=ZTnb&O51)Eoj4o8{LqDy`QacZ^P?N23F)153cOp|R4m`F z#nOc3^poc1>ejXntH%_baB2UB{AKmtuOAy8$}Iegg_%zR>Z{Er&zk37Y5UE)WTRlt^X7l> z5?aGG4_hfO)@vX00hx?mb(f}v;t?5L%Gh(#7^zs zsYvn*`QV4A5$?ni>AJA%Ahq?I6ywyu%cFxgW#{-<;@NkAqjPR*>=3^{)>1ETQn|@_ z32AZ*Q6FbfKwtaNZy!oun^F7R-lygmwM{v9e$csm2Vml`^B=~>ct-k#1;0RW*m-uW z#CR6$hS~dMh5z2!PCxqQ`Q5D8sy|Qc0{hmJ``WTl5&8{C9d)y4`Js%JCoVw{QqA=; zowJUy^qD{_GV<`@)z6~~szd1K3SVK8-~zigk@fXkjRJ8l1Wf#0pxLeKzxW5OXvM!aD=PFVHg9urjo_|qyARtuabEnEe`D=a zD=BCn$hia1F@h#aP5Bat0~yu&Y2+`;9bs$?^qFACKtKHIYLK65E+OBqsgx)lU);6# zu0cU5-|ih%bLP|}p%Zk+XUb2V9IyYr)$%bv|845#0q$EcQ*#tCBR+5Q%EtdzdEMoI zt26X2$u{4uw-X>TO;M$SM&1=-*hdUgtkkKx5Y-UU6X8Cr$=RTKq|^`vFa? zU;?gs$X#BjGS8k$S-Yb8Rj{BwpFP7|r7tFA=u1Sw0O39`Z6?#yUZ(Vx^6*`zob@x( z+`1nR-CbS6-X2{+H!0$_%15ec%aYw7SU^DYUR(@UL-UY1zDK!Pn4nhwajylCg_C{c44iyO6TZa=1BPi|)E)hrfSiLE=uzurW6vCBaWa(@lY`eyvfU+(B zi={VJXyl6D36eiD|GOumv=B#X8q9m#D}i=(Wc5Y zh}H$TffFy{4{B)&O(r{*O-yqY-Na1v_~&7YbZ3qvGLBXUVJn8VAZEn?N+GZ1JB5VU zs>J^YThYUBe8}f=&hdMr$^kV(UR#A)i@!^#i*W-p9IaGcS$ZweyXijWR$>@O`#e>+ zIsZ_TxYb5<6$m=;W8-i0qgC{wW%XLuL<2)wTsaZTZ|==0tiG=G>SeH7n+AMJra~H3 z-@Sm@A9LAZaageUcMeVJ1fJJ|%!-VULN>r%MNPNJHO1n1;w)(t8Tx#P;FjQPY8#)Q zWA|)+F&luU=h1`B4(3V_II*tNVw&(vipfPYMWdWS%O8Bo5wju<-jpb0p|=Js?FoQ+ z^jb8wCUFi*s?PXMatVO{hN1+ZPFEZu@uXAl-0YLB{(0++(GV2NpLSI8bX<62Zu;dr z$bzB-tdy=8ryM>MIE;}r^7sIqr@(m6_n$7PvyBY-+P~&~Vm@9AtFsFC6CZNhDuYyY z6a>{6r43sn@a#`qy3wzCK;^2T(|2Jed>sc+wQJLG6D-gC3v=(BSMslBq4e>)s2tsX z5O{BPeN0sXR&iw%LEMNyc&bAl4ODbQ&6l+O4)H;QRP;!%1GSp*4pB#?cWH}a4c$}F ztBG20^m!|)Oz`#7s(itYJ)W?R9<=Qkc!RYmV3yMSOiHuHS)%Who$z%;}<< zhhHoYzo>h$4K*t+jZoQRLSmi?)D#3_JcAv=s;A35@C)j5M}ARR=y$Ea0+QQq*S>a7 zlX0gEoLe)^F95~<<1L0(_Ug}TyBXLhD5BYrvp2P~5Mw|VTYtiH+FAP=-5i8%#8STDbt|B*CqfISNhBhqCC&*_Wy6 zSP1&D*Sb)Z)6YoQ#EfCM(H&=zP%zZ58u~BPKLe~BS<50odbM2I#lRQ>N|fMRKt;_v zf{M&l${Gbx2CH!v6>1;R4N)8D$2MoUI3VI=@V&g-S`cERdiT8cVtRPcUvaWN+TP=@ zssjB{9QEmfg%y)mlf9X4;|#p^0$rwG@k+POSSwhvJ#G&lJU@mi8QAUE)Vfi^7pYPP z?1i9{{6?}&sTv)GP z^K#SDxr!@fM5BFHnilP%?{xSpUR1d@w~2T=>v}SkMSW0?k(i+$gCnNB-COce*k!H2 z7YK{`lij;wtg+8c2VGSj41S{;zxC}UU{Kl^Rd2!M=Rqj{nHo)f>_@qqs21#M0ytw1^D-L+SSqj5}j*|%YkoGMVX!R7gWnFIW4#4=E?xb`Wh z=S6tX;e_Ef&Y_0#2Y%WR^)_{uZ253!BlM@P%t{^tYKT2?XjwPG#}$*rF^RGW@(OOh z1aY(UGy-}-KTtC^B%tz3>D{xtH%PcaE|y?~U@JM%AyWgCMni zZ^9#2i}Y`ub=c6q#8&9v0XPM~D%WP73V_dL_>9oXU3>=KK5D-Q62p?X@@TI9I@AtvvMf*i z@lpSM#?W^={~C}nH!l^NwP~X$GIz;_O3LV`3$%Pct~<`LseR-lVD{ePtx$Aah(L5z zqa2R_jMwjpf3VP+fHS4?*U;x+kT#PuF{r zy19|dx)5MxexJMgmUt6at83LQ>u0Nm*xrLVLD9KyOZan7=F1^BMbnOsMZjC@JR3P$ z#?SYq*KfI03yR+cQh6tfZd#^2n^8YJo)diPudpH>ad)n1C5nA}$iOpE)ec`1- zV-FC?N-oc6ujIO(Tlkx9l>3(8j$hON6w(E46pcaIgyL-6mA-q;y4;L`!qGG~?=&bn zhSx}pMnvMT^nqk{P}de4AGun54HT9NzY?3!&K*5~{RCFR%{q}ymnR!rzR*N!su(8E zr~okh8c@*r%El|z^Rk>O>3<8|ODARdb&V?hk_<%W>sJR*RjEdujO8zA5M4Lqr~X~2 z>Zfn96xAPYEv$P;Xvce;Og|$bAN+xtpwy#tyukX(Q8%U z4I~Hyy6l&W;fLN?qf!s)PL4o>g;;kFB8i+*cNYbl?zU)LkGWv_O8-9rNjc_jh9?r6 znC^Z)snU1RJ(E|}futT`p&JP-CE@>0b^7RVD zkH^m*X51JEz2&0+!zV@1pV(&QvnS<_e{5Jh`SY<*g451BWah}%6D{v^x=K1%6Mi!7 z+y*SOox?wc2d}f!0**c%&5-!jdP*K~mV9}Xw;t&+QuaC#SfZ!DV98&QouV5EePy-r zclHjgr7D`mT?mSuW1kQkd$G=JUn+?C_Fnp2|90TlPj_POq&k}Xon*VEJ07Q9?@%!O zxLt3e2) z*MtbI-+HJs0Z8ds`g|4ah^eYt&SBqm8L1kIx%l9tQ_FZAZkVCh0B>o9ifWHN&57aZ z=uz5d<0?Sgsi(WK+`n=7GK8L@By)L%{kn!(^`>ZO%pm3ppI?`ho^IM{IIdPl=Kb*L zof9%4LUHFAW$^In0sZ<^%6A$n%rsLj%uq=J^MrHJA8_m$e6Wd#nyv#ep>ew=_H!$o zdOtIjy$kds6)Seq^$xDbij9k}TmF#`Mu~^V)s{Q*CAs-vf&>b>q*@$Et8FG?QY|}P zY065oe-n8bsQxvqg=&oB@AZ%YD%`U&X_m36qU|Z(7MAKq!Z89{Qf84dh-InrbSH`! zglT{0JdjllQG@8wqMp>gn^MQ@g*P@=cpBgSbagO~qAYaO(7&<(o!(z`x+zy@A3rJk zl=ywpL#DOhxfWh1d>`g7U@(?u^r9me-6Am5n?|p|6vWzsP+CYV9Q=Km z4~WzLtUt@}P(LUUB?(;Q!LYj!{4fN!hak?u@E8aI1>kmxI4nTuhZ1+7btg#J1>}Jt zImHzc5)v8`DfLKezA`5LMhX&=FRUaaGC4wVq(V9S)wDG1mRcreI?~SmK@TlxNyxh@ zsa*dZIeB)ZVt~kf-mAX`-@N>jEcnt@;|UROxo{@3UmwkTJr-wS^$<4m5WTZM_qQMY zINRHMzZpP`WVbKuhMX(gs&zbf3^GY=coH0r>7U?Dan%)c+`c1IlC$YfHAF|55!G;M zoIYSiEkxq!XJ;WK|Yj(c2)^4eR>+^UI~r8Ca!l6QcJ$jBYJu}E0yU*)E%FM zlF98o(HjgR3+V=Bj#(so8hK&4Z>Y!VmI{B*ZuvayD=!054HRueBxlBiDu0^pQ|yde zJ35Ps2&JjfJ`*~}N#4^)f4+3utzVGuNJ}xYhhFFR znVvo?O*#ckw!g071AH&9sV*&b!v8igQ8#~LQ%lOC++pKpYrgg9ha7!wZmfq&CT%q4 zZt~&P0{FNRbLC*>%Bh<$iD=-%?L0B6U*cq(8?gQZOnV-}#HvrRt;DnSE#Qh6TEibh zf>TYH#Pmp*Naee)t;{PJjCH%$IqLM>v0SKp7`qExS>|EYqO6_1!XYNpO88Q#yM^V~ z%__`N7@018>n7>q@_N|xA(+9`+S|u$At=JvNs}z(o6qhdqm&O zkCC#y?lP^{LOs3xT%u0!j|$Jc2JPMB8(|NbiZH(sDqAK+FQoKtcNE-Xdf?r<;x_Qe zRUZ)u1-u4@2A;>E?v!|X#d9_=sr^#?!}sLzWqA>6wOD@bxt=FC+&dHA7zIjuZJ9kE z%G7aS5B(aIY0b`b5@c6!1=-pgXu4T!(TwP~bd77MJnXmP;yv?cOC~5dIygGHHvXD* zTPMSNMuz6%d!S7Rt^ELDHt% zTT(L5APeU&=gAdJ4`7q)&_8d6|j-=2{LvD#eK3%_i?hRWcE!Q1%)KnrP zjGgNT6|;Z|jdvyN7b8Cfi#(ecE$Ot)7etX_Jk{rK`m8^rwKCCCSJlS#57YasxsUxz zHh@Q&N?%GY3vtUHCjV&msAC!u_5Es4i)1eu$?D#ytZx`yO)|jI#BL7y-)Zige_q-Jx8*^b|04dMkxmjE>0VF-gK&Q`l3pZzj@&r8NY3CO6$1bRN3|c|5g0;G zLP~K;N<#AA;8=~fo$_k+<)?vTt)9nkCwK*BJz2SQHpH7XT}L7w)kzdUyn{p%rqzHW6d_;zye}1z%G8OS zLBWVWA?i`sIPXa8LK&{?HzCs#p?(NaJIaI+4&#Yq7#IQXh}+DA5c4h+A;c>0C;IBs z>#$^L!sfyjYz)x^8{1pptsj=dYlZrq{lo(m)f+JU4z!cl#{|KhycmOw(3d7@xxXn7? zEC)d#G!rqHe{Elb;+tW(b3`9*_&sqQl7b+fgVPc?HAS5_02lZi;H>OCPX;*0*M(t; z_+cUnD*&7w^+51w42(!nL`0mQBZ$Lbwv~S10(^a(3~;vO4G=e=gl*vCUhpJTzE0GoC(QwQKy96NDq-oy<|M+}rWgTNhtf4NQX zi$&rfEWC4>NZ1J`;ss#DBS;h$OWYk!{Sh`7h3`p?WW~`(9m-=|BBEIczo{?cAMLB=NNU4gwHb(55$gaq6m55TeGp9B5-R&914Ql zABGUm765Km{Du|rXafbD5z)l+5h5-V;DGredcC7IVdsy|Hr?>4CnIA=iV!Kp|3lYT zMb*(X(FPBY1n1!H1PJc#?ry=|6C5VE2X_nZ9Ng_AxEzAJyB^%-^51n|?^^xZ)m2^9 zGgDK$cRg47U)48_1sq3dR@otL?-Z>NBjqZSp) zzZ5!=`uq=q=uB@5C2`G9ck6GjfbV-CUN^l^5*ioyQ1wdrlH21lON@;H9L+tcP~eWR z=@fV^xu4`EemezsJstZ`0T*HKk&9%X0_}2}SY%oM?y=R~{dD^hP!^5HBRleQKj3aqT#lf=rttv+H^K~`CWMjRE z3wX5RKwRZvyTpU(vf68Z+J0pJB314Bl>VtST+ZKnK7j|(p=#jK%-)|RQfauE6sHyq z@Sd+bAjodH(q70MWagt@?}~cGF=R9qz3TkRn&cB*?SAz; ziu1kgd3jg#g+d5q*AaE^_fA$itPIuDh;8+26ZU9X3={6OlQsN@7QR}N67P5aF6!_$ zC;tc6TRK|%0kC`*R(Vk3q4@9AN_o<)m>epFmZCg=LUFtKE<>~3lKV}yxqDXV`Ot9M zF-UoG%}H3=_uaO@md|28?ItFKdvhO`ZV|bo-nUn8lD7ReiuCm`_x+6AbwBw*H;yu% z`ng|?eK3$>9D!SSAe7*lvF+UyT0URz9W-*TC?E;#Q2JfLK*wD2T*AP;e&)y9m(A{e z2N2b&&{V=Qtm=&{uAk3{5JP@K?pmY041F%|f4N(I@0%XEQ@LfDyjA~D*1}6&fAIed zsbcUstzSN1*S|ELY`o3;Fk2!TUv}9~$RMe4X|r|TzJ8u`}lGKH3LvN+Qy_(_~gaS{wMnO;W)sh7IE=SM)rk^)KVWls9 z1OuTz-ZF#=TMLeq!6qle-)~aKCJ^xeY2XfCcWrNIZCCTf&x*O=F~BFQfThdri#0xV zspDDT=f?{hIl`|*pDsr;yrh-9oS;DrtJ|5Ygm=MXlMAYM65S^ABwSLz?`f%TO<;-I zJhkTwcT*19~I54K8zPW^~?Muk;=~s((1=tS{ajq55e8du(05 zpazo4rowFgJ+soJV>wBscfqMZ*j;V6Th~k{pVC(b>F2+#J17?N@u6S7eu&{_8@X=*Hekx-<8Q04&%JCAv`^3MlX8zJ+>5!g6dpYaaPYqW( z0OBMt=CJaejC@5<>V8H3U2qcvFwSuc>8zu>l1i1Ih6v1Y&)7xO1g!mH@A@;`@gd`t z(0KsV&MWpk=^G@x7!{bp`i& z9B#eOZ#+=*h2D3G-Mm*2STp}H{+N$%d|lS`;TYA*Z?lr6<0hoJ>*E~gzefr^V~OJ^ zbHDgXmbx3srY^cUQ2%4E-j!vQSN&XvuHA2WRmrZapN0@|GcF#^+9zJu3o|0tZrMHV z;b)qRb&0FY@BUb0P8|<1+}$pF+>qxz)^4w8Cp~r{oA*Waj5UsdC{sU^(_fYjzbvbO z`jqY>(5abE!_N`DBtySc!fx;YwBq8)^tlVKdtv}ufAM7gEQH@3I{+=Xc>4Yyc;mbGf4iRcXu+55BaSUdGTphoPbycbP%XZrC#Sh_9+Bb2H)7k@C3 z8*?I47ThE(RRo+mT1&atiU*WoT&D=3gDOrPxjB{1YuW>cofO*R-Z$?J*>oSusBhiz8>9tD28X zI{H=^@u%V97g*~&M-grRGyRCN;-dUGN-D5?+mP!6`7h?whl72?9PQZBIzXf0(hnsjMs`=lJ|_l$AVwl^ zk*_jJDQ;tMl=f%YuqR;BBWai;8nEb=yRnE*ww55?x;RIsK5@@Wttt zY%q|n>2Z+eir03T4BdPkUy7fYnyx%Z%UrQ_f(^!(OJkv@N){CTws_s~hC#usq?*~n zw@)lH_52fwO=L-(DT?0vQ`?f640`@HHK%cO2|M0|4aR@w7o!vug{2hh2o3Z7K)MCX zr0(kp#H#4sKlAVY*?RYn--c+_0ix0AogE8?G&E4`jph?Wp(XPd z=Gst~azp|)8!VoGt|~BZ4bn&*jC|;(<|5D~Z5Uk~IP-0IgH?+Uq#G-R^phl~Z>*{n zWxFTi8s(}B?Ufn@D+Ke$YL_x%0HcxS&SEi+;-id~5<4n~%ok<^!j0!4o(9g4I9I>=!z1eykowb1{Jcbsv zAPRhSQn(Nr9zfVR=nT};JJ=e71gwgn3yJ{KNW|-% zoDp(d8x^B{invrOn|8^g%zG1_>Tqa!#`W)t`g^ioUkp%8%R^)OV=Rj#NOL$P zIdPP;mF1c7O?CVaP;x2`a@iVI?#2a|M<=0oRH>IL4lEfWDXy-bDl}3KWhiFUAqAqs z!u}POxx=%S>6iU!y=*Z!YcbRGahkhnvq~;O(>-ICcRSbO-Ru2pVUY|~CTd}v+g=R2 zK3;a)(I<}koq(9~US?XhVd~4@W{<+bj}zI1k#~BAc324B{kT$jc36UANor~AHJwu| z;c~DNsjPN}R~n>TG`AUd;nFBvyvNx`f(yqC3!W(F^u`v=`}&Cb~SLe^Vh4|SNL<|)dE_W%vPx#9BfCXZ9>!@oQIU3X{J zdSXtBi@xr$M9w42<5X}&Ogx>i`ZHg7)EE3Bz`CTd|E*xXT6At9<9n*br&xoI?~e(V z7iIqZmcOfDoTOFZ{@w2TtB`Mdk`8HA$X>W)N`21GuX2g~{)P6u9QOnm#%BpOhrMfS z4+Fho`%+-&DQsYI!LIrrcz3J3=_zqNI>YyafNct^$}pEkGreu<#RB9oA(hYS<@Vgx zOQ_4=wFH?H!-@Zi>-I=P4x2)1pA0gZ_*r1DrbKR}WXz^oTMJGwn2E-U1nebRI1jUA zyY3=h0NJc&1D(`Z!V~_lt%nP)<|fLU6+6{nvxtba`F2PhH4=v12x8iBh0;zudkk7awhP zn*4~n`jWt1#E9dK_FpiYX8M82`u87W(N7PUT-NbL;Zz(Qy+&PWb*v`1m*4fs&9M&n+Eo0}lbZ;*tlLJ}= zr>=PRn)_$i0!i46Vsrmnb<3cz`O61*L#SA5U0O?X`n7-r?zun>3bh5wCZo9UsgfTL zLJuW8n6&D4F0LlouRhf$Ji)4c>>Kc65DOQJ_2J^R!o=OLJ6r6d+?VDw(Zi*%C$BiT zSoVZQ#OEi^QQ7(tNNdVn;A6!pyaX6BceX;O?b>MZ8OHdCuVcEJE9-}ge1-tVg!q(1 zEh*me)y8-B;vE^IVzOE7802V90yGkZeo7X6F4y7cpZ-vy%YQ8}KKpnxvOj(|ET+m)Be&Wr1i(G$K5K|cYF-o`b(y26K|EV?I*FL4rC zsXD1RwM_T!vM-gKe%db_ve)fb*U9dJbpHraN-b(TU&PiS6yxCtZoyy`(k*C}MmJlA zYZw{92jW#s&s%;hLY%+Va4e@x36s1+?@*?{pj^a&eREXt%K83?>QWD|D+bt6yWv=) z9J?MQZXddCtkf2|8^ocb5V#P9SWNkk<<(;tdHA4kmX9ppFq*3giI%1xcK)emuCxBg zR*4i(Y9sn#(FK1`D8~rCX)nFgv4eAhzMr-I9d%W6Jf&Dw>B=a0ZArh|?A_#D5ojY^ zw@_t5zS^XtbMc4HumT@&=wgm8bhT$zvBu=hRtR|d$VkfE`Y7F%$m&*dN#pU{Sl^)` z5roE$_p?3`t@|s;Y}>=bJ-J)rsJZ zbLkCEa;u`itCwUUAaUVrq3%HUx-^v3=y~Yi)zS1^-X6D9KP=tK>}sU|w9c_-~T z&i0BR0svoeLII;D8tQS4t@`9)14T~KKH9R4qc@1+3={5My=V?0c#s;rAW~DpMGuQ1 zd8et9QMX``Dpg99xH5_r+4pr=Kp0y=(zRCshQ&uxD{YqB9fmg&6jy}9jv#;zGCvg7 zuQ$jUP{3>o>G=n8W00~f_J6+TSF#{Vc6Oj_%=~w10obQBJdF^I|F)hl#770ZQW#2T z<1m-y8NWG(FrGF=Qi}@3<{k0pB`;C#Xjjjqpm7ztd2>z-6;qw|d5i{mRgX-g-W7{~ zwcNb12YMMsN(#Cwu9-K9(2#jE>{RdajX~%6a4Z&aX#S_=X_)H&B_5F7>o6mDh-$zu zUzFbl0Y;)8A2CAEkmr9&pfZ~~LnKkf1Ifw2S}iYSo^mZo3g**!2YQl2uuc0$v4aUk z-$A{cPUe3ITkY_ztO}7a69@dhfX8{3HAtxZ5&KaQ5(ArZAb%N_GWSs?T*XWN6UyEix2~SE{iMaSn z7uOHsWE&Ldw5u_pI-zQ^_ck0~T7PQ-STrXNSOCVlw1}sGcze};y>E-86$d$XIcZ5r zMrOMy#(oT0b1OGo{cA2ebt}vysY~BS-lX}R1yd6z|NQvcUe(T#Nc-wb`$PCYhWLs@|s@Bf|qD4WK3%yQQU;Jh9B zv9qp8bcBRU2jf_tDAFq4Aq_`dh*0SsOz0e7ULc^Ay82xle25*VAhaUaVg7^Xz>{5I zAQ2Qo3{ry;B=EKJHgj!`j<>gikQ`D71L z%;8wvH!8r~d=&y!KzxJE(LA343I&k3&ND@ThUMs~SBdVgaEN44eUa?d2i&@E*`#i= z$OekTVwj(&-ApOcpz;X`f`-P&W2gG$_YDCLB#mC;D4FDAsh)(rhf!z-!7lqp`C4f+ z#@iR>i6)i;4~tj$mB~3bQQvOJm{%;Sxoif}zprOx2A&Dd7tZ}Qc2LU(Mko?w+?daj zY249tv4&1y1T-2ObjU|fDE@}C7hE&;(dwor-HmU{zN##IiYM9dTXnhmSvG1mpFKzC z@yR=yO26f#$y~&@iDq#hA7) zr$XFg6L_xvb(U4UoA#_>fauw>5~ebVuSn?|Nu8S?td)iC53<*lR;wL_{eHXdqzYBm zv$^@e`SQ*naY`=|xbc7M33=qB2mE!KoaLry)KkYByQ_?f)-4R&UG8|XyL-lHssbOh zakOuV-KwED1M(QV=rDXk->=k=FHh+d;PDuKK?I1({af(gPr_4bF9WN5*hjquW2vdN zl=Oub3Urd!7c7pkgg@@@>~N#7>1cjOJh%{~hqL$Gw>@{b^Bsyje3w{D8tlZSWUJQf z6(<#&`_H9~x&tcn1ng>e<#!h|*~!(3ubs%KsrSNM1Rh}u!rLxzVWMYF;w+h zK6kS-C+Be?RO`F!;2%}mTDB?0e01i_40qvsm=o?G>I0mh%T1pQTgEC0ZDB-y`N~Q4EKKRlKA&LbW$NWC@*F5 zt7v{j>`i)!RjBTtrKc3$glnHV=x*`dJx7`W2pj#f$4Z^htztKDe&`AN(LJzVAHb%{E4y>j!pj~ zZ7(xH@`IglEt$$9K?!?CYY<7!i1}AR+t(5DULo|oqog+l8WHPj1x7=)XN-WeKSAbx zE}bRuh0rsHA4|AFe?H-9GvjfoHh%!hZRbKi&4XgQ0$0v)G`Q}*S1W?vmPtS zAt9f)Q2<@pQSHj%&e$RR{+keCRL|kk8GVeS_!}<$&Q7849dNlN3dc-_pG(2R8-dlt zVy#-$xRr+TS00jmg~bI16a#xT8ADUWsNONHUBc?HIURzi?OKn%EZYMmkU1`>$mV|F z)iY{212=@V>X#h2Nw$PKihAD(dWkovP-F~7`kdCKHsVN z^xYI3oCs@RO8J+CRJ-eFTu6+kr1vd~oF*G1$b}Don$ZAy>=lzB5jjgq_a)i*i%Vn8 zFQA6wLr6%fr2(TK%N2uHZK;^Avr`r4yr__xFZO@sIoh2o5yzTN@?lQ?h241CAB4n; zzEMb&|M!sks51;bJU40Oz3CwJw^SY-L%)D9SKeTYia9<|N7*40{ZWX$cA<-U1BiP>~&_S;iEraNXu}8;u4PQ zV>0D+@_1ROqWRzHvyzwdGN)v4j=R}rm;BX^Eq%swjQO0V<3#3zf~|X3K_2f1re8;> zynJ*=o8qiGbQ`;orGIm%DBhe=wgIXi*mH{G!~sm%xG%o$>h+rf@+DsWKDx|Y^Lwzy z1!|r7gP^2`?aiwaFY1;k85N#Jzo9AA^v)&u`=jJ3L-)3Z9`#Y&q);sQ|AvA5FzVa7 zEcLSP>3YUao%W!taWXTk$kP%jrb0@5U0-e9sB-S3#b(=)WSfec1NC&RPXI%43Zz4j zb8Xf}IR^EZrRPGOZJ;Ey`Hs6M7Wgvpo6Qw-XKY@b`X4_3o8G@&Py&J?(SL2rwTxt7 zS+6?0^TCr!w@4-0*&kNiaX5A3^Ll4;O!iocD6x$O4;$C$s@s2W7J6nX1L?|{3#?MV zg&~L*b4VjPFeB_veu7La=m9Q||7JGuA48J*lS=al!#^-SybN&BPa~(KlGgnx8oj9# zxQri9N$OB^6ITKe87Vc#r=YJHi};;2K{T({^lag=m86s4Nngbbe5x{Y)NLqqMU}MW zH$S_=7PkItiKV#=SDlwo(hA#cwdQAD?XcsJ-mR}cX^+WS2e&&EKLhzI)cheC9Ju6A zNsq~KhL5&GP8$Y!v}!YRiG5}ovmW5jj85GhoZQ|1D;cIw#N2LHPMXC&bWaYQ zpl@!5O;20V4?yQ5krNVT8AU*Pj=<+9*-R8c-&d-AGagV7GgX>4jVYqtxT`sux%@9I7Fkl^YKzUB}^n zM5f^M*i|29kp<2TY^4yTRT6(*lcU$K4 z*83feS;O=!R8PT5R2h!(Ao|DKU7Jo}P}`UJ8wI}O9^H+iXM~&nj1*#9x!6C;N~n*v zY*}WpT}~TZ-G7-Ixkaj{?^251%au!&GL=qkE|L1rk`3tLU#)-Nbh7iK_0FoKUzm`r zlKvq^Ry~lm3~0Ite-?J+-`DF3n@l33`acS2t3gTgVy^JEJzO-*?A!jg$$9vOjFlFm z22YQ~^wACyF(qDZ{97salu8Z7Pqmy08Cv0ojk;8W`rs<-j<>XN&l7`eSzX-mGAD`4 zMe~o;?>)a5-jY1vazNjehzmQ&dP|3H8-ganDtwQ{d~kzpffdT(LkomD(o9iDU&cfqO!e_1*c4 z5O5NGx4B3VWgcw9kF6f%TzI%CL-nL$po1iBf-B6&gbw{B#)TQ=hTFAY_{a~+qC<8mnVyEic70Qkn$x4s1JkLVJ0iup%OtIA6{O* z@sLGC25ihJ_|G&VfjP<|XOpE?Pl}*;2++R1X+|%nzf9jyQ;gq(r|CR9YrY7@goMkq zq)`56{2?EGat1I|q|a3k`pHoE`z)bpjYYtMkCKr^K+QVMmnx*7WgXJ^Ve_QLS_|cs zVk)pbE-%6{0Zf*Q>97`0tm#)7H?ApJRc_FB)2&x29D|#QmZIi#t4X2})ill$4G>2o zgqkgdxG-ZjIo}eOBV=j)=9*>#NP4%2(VLW_D%0U!^6nAi zuZe!k(*B@J&-}2nM^v7qM|JOSzHcjdozM-o2eUtyHDk{!7rabqWp*|-ba3# zf}M7dc*Hnfm8{jD`f}Uvl~Mi_P=}`%Sg-QlXOB4}){}P*=hICRyWW0Mg|#7%T(ASz z3wFSH>%G~QEXjXlnP?VrXNvA`wkg!|mztGJcz!={{WOA_0L$0pKT!%zw19Gf{D1k9 zvX**cxQeGISE+#=f?z}keTCUB6@Iv{D2G2k>L?%=cqx{#4|NgDdG4pV`N7X;x!<6fnP7!DYjqjI7c4OZ7;e> zvP!*Qow&yF=Z7l}s*u%P$^7@eXkC_1ezj&6R`(c_5h#!>SJU+uIjrW$+w*_Zn)=S; zRiNwd6o}y~%Qlr57Q8u{xDS`EB5%gPiO2#%D}gKmY?hN*EmHdp@Rs%_?+8xj=XK#- z>T(8a?Brfd!DNrrvQV4^{C6bRF3uDL!!U(WWcL}%>40(K59zm!;#SdD6th3Axz<_9 zadiy}$_o1ScAxRK5a4)VQ3MC{+{iBMG!Uea(ow+QaFvj%StMF;l;qfw%E*QW z5NGO?3di(gbng1laFFg5-d1tP2Y8CzuU;g#JlLPa5TU9S1jai%H!OHHn4Vyk-vsDz zJB&jgc)wJYKSiC~gQ^MIgJEPFSYz0bR7#xdIGa+Vt`JFp&)Zc4`+`%~MyH?7#VN6L zz#1EmrnK!oexf}uAp)wE+Lyl8tJ%h$+ga=)Cl!2zzEHz2Uvsm$<=0vk%DMcTUCIfJ zzlr9#@JryFYi%bQ#iQr!{FL7}D?>o*jJ!ZB_MTF=&7qZU{M<^Sw+*pf(U0M;xM8j zosp>hQ5iUU!jdIuXj{?L_N>&}NjNy|?(*{F7l27SNYB8xH_DBzCB}~f)6aq7Ia3#` zgLW|!9js*s_CWmy$tiyJj?#ZEBo*bGc1Wdtb|ZY>5t$S$A#xD9JIg%F@^FDZ3JLUhO1UlO>RQwAaW#5o4Elk%-jo@BhO zPAwoH6g@TvAM995kPK``-o$7vuhu_p&?WQM!-1;}IT9a`j3Vz&)`w2?Y9S3;i!Z~R z6LN<2X6)5#ZE5%JdqbRfRij`hqEuw1YgY>^Hi_HM#Y`NN(Ph{}v(0h}J{a}IbGJv! zg3~93!(P+qPPgCv#P!Q%M)&>w8VGfLmRf)UcnT`w&ZeS|{QDxVirx-uCynfOK8=*~ zgS#X6Pp2A7vq=NtBj4jSQnOPS&KoOIo8*Tl16UMmiwGJ zKBRP%hV%O0ZFqR|wuXNCXOJU)q?*7Jw)!%4c$3)YiMRX|Zl_V@Fn*(?^i_iE>o$Xyk^B3Bs!l;#v)5C6XjP@{Eg8?Ot+Tcx|Q;>wPam0{OS zvV4n+pHhOPFGh-30@O|^A)!#*6sAj?>E9k@`;G9u^PnW!1$|A$&#+#Q(J+p^D7tNW zo7EdF%>wPORki2K+E>IAjp}tE@UX)J;+}k{2TPP10MomxP<8YwhF214KDq*IiH-N3 zRLe%2x(`Q1e6WJKLdErz7}6s+Kf(cm_R@1gbgMI9A4$T_fA6m*PuTmW>U3^Fa8~Rq z?)xC5$@BPI4lhC>E%i2YWwnnZbeCARhMbKqQcyH9dyU7J2p&cNJnIGyZoUlzW|IG; zK*_@uDDpDGP_-?^%8c|MjRO!Cl0|&3}`L zEMCzE=l6ggO8q)E)K=Wa7m}3F==<9Ya`Vnr7dgAJZ^RR7r@87dv(@p~57WWf^OWDZ zCzmc^rTElI;!3LJt%FlNDn~PtSI+AcV-B22K46R+f0jXoE!r$Y1^D(mDs3DV7<-yD$}gj^S=o~<7wBCa@Kn|v2@ zSD5NC7t|`qlJ}-=u7||xaIkpo5(ag`w z`xjYO8TI|j_*L;P=3Ecu&PjDE#gOLPDE7yrm|aq{jR($PWiGfKJe?Y= zcm5}si%Qku5+Fd012SH<)6?Mp=Y9Wm*z5E#FT~*>XmXvY9=1d{n$^cFDFz%exwi*lJ z-s{yh%j7pWJlax#;I|2&ttD<&)~*Jn=x0p|E%vqB09Kr*RS2$g2OW)KX4vL(9u|n- ze|6L^SfrSLtbat@3l)rFi2i#V0a+}ZlcewV2UuyTn!_KH-Jz_RC$V^q?u<`S&3dWNlPqdm0oT_8j>++^r;ORoY zjI(9jsl>UQyXGx8ZROwcktlkH^nb0g2G&+nBEo)+Gu>eU`PCpGKwo43f$ zbc^*|x9s^cT+@s+d`gjxR9~OC1pmc=J49_3C>;6GJjBHYvz4Mv=rQ-9lT*ZsQ)r0{ zEKXbP@cu^i$Zk|wTl#e6Ow@ybvCdq}b>C%s?YkFzGITOqm?MsG#G^`eR zzzYj?v4;EcKPf#G+MvX$pB@;Pwgxq0(bw>0lKDo&u@p|4oV<~P2RJZitX*V1#xa0A zw?f@P+Zh{TIL=O4e6W#bm2HkF*Xb5&Re5I3=;Ca7o0T?SnC)~IWRT{>h)5J@QDSK= z@OZfK5yeSc%)_D)BZ^~}7fi_el$66)u-lr)l~>*y`p(y~s=1IdXZD9i`p@ikjQ2pe z*N1fLXq^S4Nf5u#)uTwoFuq~70t8TP8Ie>O>71<55Sph6x|!2{FioGB3MU-v&c)n= zu0Htv1E587w?jd(Em2ASzt`DK>C<%)Wh{6i?qh4VDE?4i{CDBs-d6bIhM?|;wpQ^L zN#H;GGQpn%Tb>0LR?%aGl!=dT3_sllp&iIgsqlt}I-?_!FVykUh3Jp6O7egx*tk-} z=dvRA{S#oICCj>5xgictcgXfaEdORkpL1N%B#v)F<{i-hW>gEVsB7a`OS83#IU%XT zu9m$@g|8aL9#l0@dH8mvG{hy%YZT&vGCN`F=v81s4_TGYh0&FP%4v5_&bEhq4fd!4 zyOm6_eY`yQaapQ3Fm+y@SO_Hh6s)qEMvBD+x1}Ihp}*4YkouphmlzL^t?qfJn*Hjy zrbk%+o7y_((aJuupP!xB<7QSaXjpE@$9r;jq_IMY4)xbl)J6q)`KJ1q(PXjKc};!6 z2T{(Abk^QSJ*@AlISNjRxdonjuVrcri5oP?)2G_&U2Tyuo zUDZCEt39}IWqf*B1}B@%o4)<5=x1PSX%lkdLhF*y9R!zcXd@MSB2VrXg%64DH)(vI-ONaAy>A{ktJ1QmTPeDzXl}bI-vvz zlXt1nV^#J7yXX!1!nT}eSe52laT0WON^-8RZ8YW`c2}^v=|9~)jI1;xpe0PL(an{F zm5er!K0p;+#@{2Maz>JmQHvS&4bt-Xgry zV)f$r8ty7`l1@9aA0M=ak)<`vQ%Hc}20c$x6!@v~V@%7aq>iQ8pFy{MgJ zAH@NCCmT=iuDp}UNMhTtkVt1V`TYGjQ_useb zr*FPzNnOjPYTvH~b{uFCr@f*^R%f~Y6ID~_k1DC2E7J;-Ey-BW&ozaPCH zo&rR$d;UJS%wHJ8QbrlpU{2Z7w0+(#t0 z!9#rm*|S1z^=ZOeIFykv6k3Pl`My*_42S&u#Z_l&1 z=A>R090#;|?D`N0Z-rVfk0g0#_I=Ck5(Yy1uKU;9e&OjbBYzR$1l&6qSjI-v`|Q&N zKv><4zEGbO7$=w-&LC-%s$qq2eqw4gs?jd2|4!G3sqEQG8HP2gbzJkRI@IL_&0{?o zg<*YIed&cIvQDBZStSZ@y{t$&gFk{x>y)Chi>4L})bjI&eH?b=|LftZOMnZ2Z3RHt z6{)aq{Ivf#`4l}(Q72C_b$L~mnmR7;b;C9)uFu35@6|ot@dB&9OY#`~rg5m;%9`WN zHvpJFs;ru0_hgGTo$BI?$16Sc2K8|V4^KLo8pwg#EW;62mhok3VUIxSNAhI<7@Ziz ze{h8I7Z#aR?vAWQVZ`!SM=+jA9xxD`HggtXu=zV#edGgcj5@7^7+66}1iEO{;XyBP z8ua%8-%<`;U6WOib8dH0=nTR?a1!&fFUNBRX3K<^ZtxxBTKyhA#`k8*5;SaN#Of-v za57ghWd2Ke47YGuE2#C}UxWp>1$Va}ir64{Vf2Ky*iA3NSa*q?pU&OG2xw2z>L?Ct z`u#DOFD2GLXf=ou-X$#uZPWf>EjyCGO)dc;-t)$`ZO~wS$WA-chW%rYOu&ugp~KHb zopI@0h7&_u37%YY3qeNB1?O^}vYy7Og&9Wn%X5=CS<9b4l*fU~d1loKFrzk2bEbx! z+;8Q8U*^9&e?2$sZI5KU0vCcNu5O8nkxKipKb2AvjfRQy?YL}Iy<;5s1O@!kUA=`otIWcmWK>^uBV2{}bOr{;TIKS_Qrt^e z>s_i>A0C%u=_i2Qc=2o-Ly9&>)6o0W?~6X7{_hV8SnG+l~0ry`DV98+POIzP*oc}!vmm5HH@v$%+a3UZJR3h!A&OTQ`W zcQ{+D(FjIc8ZO!hQ3+<0KL#yl#y)7(vX6I=!KeOG`3#fS1rKkc;eudo9{%ayeZZHS zxGedw$Hp+}DDgP_Gr(wy7G`4mSr-fzg4CKT9!hTi*t}+HLYcbg$})faaJuxEq(yb; z+L1uYlqP?nWtAS=iv3AeV6UqYd&<8z^A?M}Hqqg}AH8hXZSUNPp}Kf%Cf98-J<-Oy z>vfA%*uSCO=sBJD6L10Yc8aIcrMBpsr%yqu2b^U67|fW20F~)X*PpdEwkFXB$ARER z4DB_JpP$PeM0yRrbE8s=ygb zB*rZ(BSna0jI?U27VQ0SDlf++&YBVpQb<~-Kxd6@%t|zaRaTgwbd7hhu%We*U^P{ci82P4<}TtIVJIq z-37|C+hJ?D=aAFW^62fhDo6Hc(NJ^AeV0*Jof0@$e;J?hed{J`)eOFx(!bl&;B#Xz z{tHgvQxAUh8Ns6{iDr}GA4aXc1(!Ywqy*!Tzn$Tu+By3b%(~y4=;2q5LXIx=Uadb% ziFW{t7PL--jG@E+<4HpYdRrJ8@MZ&nb;9{Lk)&TKqd!V87i*<=|2D?%Qj6}i8$U?> z*bs1U3T^A)Ww|@-7Mf)6`KeIZHD_j}^lH}|`Mj663Sz+x7mOLnQMF*>W`zrMewh2! znz-D4Gmno269`X1{7q+%*`v&_VaalW#O?t|7VYYaJI>eG9ZSEqjLqg`T{EbmFTglW z%}0YXcgFp!Q~1_E?!TaGZn{h%=m-3>rm`3{f^qsa6WtaQASW5yKG3ypI*F#{2-hwZz~3l z`KXr!An`T6C#h;- zvVHlf1wivg@9QV^60Fgy2U<3~jFiCEWJsQkUVwk5ZSpdsAos~qdvqB{gu zj6;pl97Sd(y!P*B?$HGWcN@4%x!%Z3R0rBF@fRfFRi^@|`{ZKL2SYC?WZjFbtCKMJ zzEhFW)v$LU*JozE7uS>DKLN-R+#UDa{eztGuxBHVj@@4p&<;Eu`}UaRm8C_6-S|<) z+@TLjjyG}8v(y=rv)^CRz#Z-^Ddf-A1wCsM$zrT}<25*bw(*AYPCILp;7w<#2|k2W z{^M?lmvh*hbT>YUiV<&w8iW z#ld4Qwri%+u(T=90ATC50+;Uh4U$m-aS?E=|F|z72Xslyf;-x168s|R(Oda*rD(a!R9!5_g&trRV^ z{UK}lcLV?2_omjdR|4ZmK7AKL*X^H6wF$gu8|K;o^K^y>n=ll^xcc{CNfR<}4)%#Q zs(@L}s}KIiw1iybpVVdpMOb{Xm0O?kf5rqQ9;V!;^lOcC`Spe09%RBy>u46w=U$&?Kf3i{O=$JW|!P1!Hv!6h5JnHy{`em6?G zeDDeKGNB9)JrVge)3Mqf_<)69f!+BctMH2{Qg0w_k>sY`cYTcq%G9VwJR| zQNN}|M5{oivWI`w5`630&@H+L9VPixTwL_Ce-01x%w@mwoyWC_?lA8rxXX^;uJFh@ z@Y^?|i&Rna|KKKRP)Xht||K4#T)P5P>tGx)ko(zI^VWIHbOxZe(1Ots)*}OIY%L zZ#UNF^S?$L>^g4d>DvFp*jq=n5w-843GPyg6}O_rT|f$d`IO4>v?$!j+LKP{Xac@OZw(oTvh|oN@rYsqp^rc_9}@H zQ!ve9{-d7jsTX;%VSahD(ppNFes6lgCkj2S~^8V^Y@H!HkVXcTux3fpoS@efc8CUoC{v`vJzw=tM ztzazHlMtiib!mFG z8$b28ig>G$2`F(BSUpPe3>%Wf898z7w_du zo@v`?t(Vwb&r}b^c{}ZEbJn}LVYSo{f5MjJK!*;jumf+#YJSW`%gZKsJMm;X*w1vD zBRd2IfSuDDAtZvgTQc$BpXf{cJUDO0gy9n*FI+*^I&M)LI49J%-RA{^*kw*JkkW(@vW0CKwOX9yIu%oF=Z`++@yA* z<&dVmSO6Mc-I!ln#H%(sMAWB1SjD$LN?}NFz0JtdP+7OZ`ECsI8= z>8A*;u|K!sC^&m+q5h=&YrE@-{W0~t$|cXp%L8B|HD9iVp2$h1X+#Ol^pKx(-S!XAq9v&SfQ+o@zoz@+OYoiCorma!XmY@u`bg8)}A>x%VBjN*5nQ zX-WcWWiM2Rtvze@%g&b6tiy*W&bPwdPrW}e-yf-!?Wbf&aTWb5V-7bJZ?dk5G=1o) zYXvU8}zO{1|+N!e4tvZzbV(V8V z#l_h9H8!RfZEW|?fi*07M&yB;c$F{f6P`d5x6w?!fk(m3!_99Bm6*4qJ4=@{@xr!B z&C@pzozo+`)wleYuSDK|uWIy;%5nITV(g~0Wi;`o|J-U3Ew*cMJ59fBQV8FZwh~W^|UrBlKp8KVOF5vF@Z6W?Z&~ z-b$@`6)ut^&aa2zfsg5T021*cvKc&QoZ3>*H?Zv@0qNA4hMgRIb{Et$54>zO^|GUZ zy^9GR4T_eLYzE7NWAzCd*-MziUNLp{a<1R#f7=MbE=#VK(%#;$)+fqyi_3D%MiPF* zG9;0+Y3BZ$GV0bAUlnaKZQ}P{6Z?}|uC7V%{@M&A$s)DY-jph#f<_OYbz_X-t!SY! zjceLSldHCr2u3v?tXyg_IEjS_9S_(D&+RErAj%$jNs+;~U}0W}Sksk%s&P2j$d1V^ zvoqaL2W}z+HB+RlNIh*WR_+y5>Ki8{WsAt@kqOjRw65aWV!OZ97}J=fCv~dKme*T^ zRIx2I%Kc7|0@joMon-ubOlo_)&3JmqX#00M_H@!@`tPakx$Dh;It?|gJOFEd+y1Tf zJ;ia?xnDNa3!)Ntn>(0?ZrCE&I%96d&7-8QQ(}ptNrRjf>~}=dPS~7Zg)xow3Odh! z&ntJ&X3x9u211LF=r}h1CWzQzjFFh>1 z8^DjlNXzo&HKUNq)>|{Bp=e-qdYhL}Au&C=ui$|Bg;HA_d8HRsbUSOP!)zoF)eJP? zAuAFSULx*P80kyQ?(RGfM9f0sukVZGRS?;9;Prd)2v+kdO2#XS!chn3&QBr=Sdwa9 z(O4X#sgN(z(FZ&RM5jOA`sG?P9B`=LwL}r{q%$1?xP&#b-4X7F_$09_Es<#f8=sry z=f7+8IC+hI@cGg~=mU(oq5Os#-dOZnjCG*@vO6XSQuu~|%p}s#G2Ql$jZHGs87hck zETBJPTy>QnW$ffIU)-M~p3xoL(%`-Z0VSga)3t7fX4ZU_(uB%*fxG`*eBuI{-HpWTBj^jq`5-ZHb0&JU(Xl2Ie0e;An5SX?BCbo&0YY{2Zz42}t9kMuG=I|AspDc;2*wWXH+R=| zkGBSR>h}#rImIwN4)lb^)T3I09LRp;0+m(?eb3-3m-wg88k{});~Ysg*k(g01Yr4MscBp3E{4s2#TMbBlUew z^2Fh!RiLGY=XdL0GnqKAs{Ol|H||}# z#WI5>Sy0lZj9tK=Tn~B!jyKjYj6KPn6^pnyG=)3y5$&?hFS2F`%KWzM8m{_ z@0X=PH#4H%N2rzrF>^s>&p*VijW0Q!U$=a$VUSz4lR=fW2`IBOde>%5&|+J_$ki(eu}llO_6rDyMV8)l%J5>newofCB+ zgk5Ep%g$>4GurK(8Tq~{k?=-f5_r?xHis3SwHd+_ySZ|Es4D+DHu~cpZTU1MK2lEY zVC!5;LRKOaNzaJz&*~~9-ge(1)6D;4YLSJrb;|4Y^j7v{v;w{&0aeD!ioF$nlo!+U zr;XW#4AOsJcKp-UBkpjL{!0v=NRWlN+D#O`*CDmr{LSUXz%gSuB_Ma~PzwxVgp~66 zucemqcWPCX3N+@loH{(@muS(K0xrB>M>8Saz@|+(PVo|GZ4I%$eatb5Bn$QuqaXJ7k}x4e?I9hgr}5=IlFtag zpRnG5UGzMgJV)}T5%<99o&Rq$o}<2Hrwy3Q1@0pZC3_``YjZKGg=z!V-4za#y8E~k z)vAA;!!`4`GjdKf{J%rVIo1Dy!{7fK=l{G6_#bck@5g<7J9>+^^*-K+xncK+! z1$h69CvuSI6NCW5xT^BKz-`+r9B;hRMWVOxOPT0j3&0glVqDBFKCIb{70}v9fuWr+ zloePGs`sGERCD+ML7k9*K=Ol8yI04AX$KFAzhIAGwi7wNt_YK*D|O-*9;3)2~{8T?H?R znUj6ju$!t);G_^n+E9}SaK8Fno=8?@Rrf^pMg~{fVFdtLLlO2k7!lgYE@E42+Kp-a5unH z3&(+}3tY+b8J1swKg#z+3srK-NPjtnTibgNlT;4Xc(ofSChXfRSzP?|>r8p48XzSL zT;y0w*lTsBe{>p=#~s>o+1%A_heQK+Ky% z``YTJxj#prl$(D3&xT-4)7;e2r*ogSXqVP1=zpPTX(ZoW=;!tV@0YhTdiy>fKE0=y z7_GCKMQOu$w({RYO3v-bgdzqn&h35(WexS-3gV;+W~MrHbz@FCJd1vtYbBADpk$)X z>{r0{0=Mg1IQ@nXMZPM9`e>c`!4!Dkhhj@rVbSh+?dt9{TAIVqCT56R>zf>ARFUV8 z^+x)bcAT`P^_{c&JL74-H1i)`U#|x8$||p)ywL8`jEw=+R2_VppACW{Lsf?6oFdU@ z{Z+5V!b!%|EG6C!V9&nA1ig-rXNY%&V*^YG3?@|fTgLy`?KkO||FNGLq7+5m4xo&3 z4#~6Z7KC6kHDEI_y{C1{+DzpVR<6O_Jm;Mx_`_Oar$JC0aRnPuGcvttwT}Ub$8uzv z_NA*1eX_YXT$4#VCmvl^OV=t}9kcnKtW^f~MDhovB9)ie$As|^^pRY~ngUaaLYFBm zqV#faf>pzv;*nkd(0uY(laGs<(($#k2NzM=*W&&=mq=Ot!DtO;XcXjYIKLpU%{h9a6iB7K^X5*p$COQ zs`Sh+4k6?ShM|$@u}}TZBjc9`XSEg)Q1mQb{6ox~o$5ZfOia$KT~y=yXov zp=%_|%l<=BWq4*saL?uvopx}Uf9G%<{=lkG!s~}3Rg4O^g3iw~eP(*EPkPjU_}N$$>`j@6ziZGZ0zt??BCN0uQJ2}K2?^GpvU4{Qk%kuk zl(k`AxOlk_@Xr|s69H<~2iT_j**GUrBFF*WW^CAnc1;fU>?>}g5_a{fxmVua83HOh zXs^dwK#ND{CntK|ap5n%7loz{q`{eKG1^%nX5kdp&i7QRe*Wtnlu+`}1mK;uWxbQ&h=hOjTun8yz&c{@4PDbq!#Sf5uTKbJ&m1>(AW%cDd zLEOwliWFv@DyB4>&qO;eI`d$qu(+<$w-YO4NnCH(AX3Fq zKU?MMrQ3~Sb^f>Z^e0+`0HNVLM}e!6xv7d; zlJ8QWp~AsXI07e7oBFK{aleeJ=ru}Zah!<=kt0@nXB}pq4sBfHK6Yhc9JQn$&R%Yq zn%OJdtZFQkEV}K`)@Tz+u6Ar%wtXILZhYXk83CMumMU}Y$oMB%lxZgDgH8jnpBq^H z72-PRoW$zn|3A_K!?Z4u(C;^NXESkJtRj%h{Jc$X3uwA(XDF|JC$vH=e>Nx+i2NlS zuN%w8!AxIAho=KsFEYqb$Cq(7L02RQuW8Bocf2TZdyg@;Obo+q{i*%sg0HK5(Qcxv zsYGI&M)u!!p}fp8M+zNpclm;m)q%*y#^tm!{_I%scKpYN0_OoOE-rpuRtY!}#-i%c zr0ynXLJ)KW3Q3L?guLp`r&Ko-}zjh=`uC+$Wsz^RiRyILtVIPXQE#-#_ zaPIzK!|+IQci(vK`j201Ed{UGS}Do|Wb-#Y1?hBGocq%4@f~3zn2A#6gbAy~X?gAVXe zSEb~k+pSv*+N@tI^l!CAS75E5YGN_4@S(cbr#LiZu)0;Dzr_)lr^`nunl9`eEkr!Qw3$bH52#3$@J>=l?jyC z{V1t(R2{jbP^wc+j2-dE{O^yj++M<58?C)Re+;BQzZI;oH0ni4MT?Jqt}6hL?pOpd zkK2l-vY!Rx3?kqxH1PLHqzi;+FkW=mczW{>t~w2tZO zs2fg@rYYiuIYhBeGWJdZT(u0+TMA2o`Yo4^z8T}Bt3;&xMueOP!wI7p@2a)P*9>vx z>XAhm7QtzRrPJ!pQ(yW=u{~YxYRIxxNKJU!6(a7Dh1>Lz#f#;*z-sMB_DOiYKh*yC zzPO{~K*#v0KV!0YeGYlU{b7UxLw+ubIUr@mD*zp^H`|;uRBOWp0P1#WizWrnz0Mx+ zU0Nfi^L_vy4XEM`DRIyK6?vvSRsrOzHZcTtsz7obiNP^HmrA1JBC1YL$+DZFVd}S0RmD``V?XJh+4Enj5Y;3A) zSjOB{6)UjZ(8UV;vO;P9GS*Zq{`QmXjA!!F;WbC4VfhiK?aCtlphkBY7s<)RyMmgS zk|ViaO&xosb&dNaN20%)M)!^+nxeyApRC^6A{#F%4lOzdVT-l*n+t&%dBmC+tE-1) z??}_IH^G!UOm$9 zB*xaa-?9EBY(rc=FUj+a@Kp9=S$}p!|7O(kuU7bwW4+c!X9yEDwKat&xi2sBOsf(` zc#?J|@RJ5@(+WQL{u|i!iwJ4)xzJI0s&DD4Yz25l*v?_0xjC*Lf_!0BB-==k{-ucD zS(xe#h)W(o-^szE*rC`cN5lVmp43yFYJ5VuVA7=KS_$ter?oe?!QS!B{dw-FJizBt z)%p<3&{Rwx_jB_{1NleM^gP613LfROa(t?aUn%dgcNe{w6#-ih|f=|u#CzrpcNnI2l*D}#xqxpnxuf`Yf z-A^K3=R0b1nd#pZm&Ks7uzrSHqkdyluHH(I%lq>=Nmw!Qv#G?oWC7RPILqB?!eU0{ z0zII9%f|H5=J=~;3jEvP8cw;gtv&Q0VLl{o_a6pS3~i=e?#Qf^7as6?!jobMQLP+} z&!9Z@%W_z!E&iebtK-KnT2GoShzs(j3>g-wmHmHiED>?Y5eJ+unK8(gc>KPcA_ny6 zDXj}+bQXC0XV_a1h6OV`{z|=JKely+sLe!hYKz zMq)n>ga8wHF$cXRXP^L+C!+);==UO)xO&#$i*9GIrwTJ$sQQbcCQ16&|G?2oFaVVr z)R!IY2r{Y`m-&aL1beXm_O)WWhu0Kb+S^A}gT``n?(}O!pv)gR(|cU#n{|C_=ECil zZ$~bsIO!eM->iT9lvyM9;r2v3#!WZZ_pufK=7BcG4Gy%f2MOv$b@26bVRbU8QsbQp zQNOr6m230oYhQ0o3(M>L=^_xRehy$U9K?LBfa1a>qro5EAW7C&2sT1?^%Pzd_AvNP z6f0vBT;FOyaNxgWKN_rA&5x(v>a8h17E*-gCTNMrgTtjz6{XtvJL(QWMiOoPCQ zVfYp)@jR85)HymCLIBqSk+k->_#5?0Z{2;o;L$8=2Kgi5B)jy8zg_R+I}OdSR=P{;qQTFmZ=P^YZtv7NbKOEz9*eR0=n_b-P65j1P zW1u6M3%sq}NG%gWxe?RbB5E=3D?>lB<@Kbw^j?bdPigKN0J5RDAF?9G?JeDcm{~&k4tQXE)AHv)f?a?uY7A7mo7eJ zOhB)zRQ1pE(r3TOu&8(s)yw4lIT9(^`_n(AdTe*T+JQ?jyW&btfbu;*DlsSFdnr`k zru_7`n#k|PrU!G_3e-z?^Sv6LnVxsg<9lpY5Ny9 zMo+(HguyKz<-;m?F%R|W{y_ebT9WxtY*}EHe62 zHvXqa>Zq=26KVjHPX1P5O%@Rb^iK-Qu#(c#RCm~0hnT-GwdQ>|kgC)|ow1j4Jin%P zGETTVrPMY>VETQW_1xgc*+X!9(7jO%kaSDz+DRI;O(Tzu{vLk#p&k_OWn@ayx7&VT z?OeYx3%ly_v`wt4^WYZ(Ou66AOT7uwk!mY2I`2|@GzQXx4Z2vya;YxIxkqr+P;VPl zp~YPn)R^g_L$1rtclKXA#buzPPtM%_7))a?HPsEMKH%NJ`{wMG=3+E^6PmZ^wrJx8 zSf#3(u>)j+H~6g~p~VL~0&I*)dQ!%1Si9HU$Un}{SC8_~_;DgKJ$I0EVD2PSQBaCj zH0$QB1Hg9Euan`jmNw8_k-Y3zi}-rQT6|ZA&6DKXZ@;4)o9{t)Jkrl>)Lerp{}y+y ze>$kB?vUWE{AgZff1dp}i3FeLDJh3mji**B$@eqQrG3ozlR*>aDUaw@QV8F%u?GWA z|H|Vt&c|&L{PMmb?ewhq^l@O9HCsIOY?9N*ZBpE{UPQIYaXM||vR>qjHMrm?m1dDrTT%tZ8Y&6o zqYBeGoq0d@J#Gn~3og2Di}JpA?lOoxZ#F_?4d;SB^HW!S>c+#do6f)2I zh!-l=7G=a~aVgK4B&`$uNwi6IEQJ9$e<+X*o@&JHc=E~fN{8`TWOOg~@K#275H`X! zjX1TOZv zCYW&h@Ex>#Qu547UTAQ`Dc_@K$C~HPAOfbFlQF$xJ$b*IwyfqbTp+YHNkMtO8*zKl z>*Hl1*}{{t{Num-|I3}!&xKBzG{S|B52y)}&W(BUu6GkE&8D5D5irw7JLazZW!ml~ zl$*^sJFBKURufGuddf=*>$KuYw-na!OoE*M{9TRICdSiHMxzP-3^L>AOSLd>~lyNxXbqzGNEuXu z{Lx3uC0d8N-UhXGB7;Y6G~jsKGPUo2#pvt8dvPn@jBC~ZCcjxvUO~%Dm$rQ?rhHY~ zlI|Zkud^Ro#OT>gH_Y)Q%m1vg&S2kNV_`7UH9p}%^J1l}I#FwhOG8X$ zkGGJH6AMe$fPsDJO2A(AI~OVMdigZxlA#9)RnHfQ)A)?@&P`oHk}pj;0_5}cUmdDn zGf*UX?)(U$(^$`vT2BYq*2a09)FzItv^Sa-!_GVhb9TmZrTpzF8%*RVIOR)|*1p@o zEX6HS)Nb#+HoXi03Fo>4H3{d&1Awc~g!25G{)2VfEuofId>3Zi`br+mCgS`LtM>v- z!H$LGukWUh868!yyY*64?msY5!drv;w(<}k*C=_wN8cN&I0oGL!rR7J9mcq4sN2f6 zQ)>$fAKEc3pr^>pnp=sq^Vk5lCf?}2MPH03q#dnff`R?>(;fTFjz2i+o2X zY~`OKRzkWR7~^}}ZLhx^OXO^~XrPUt_g<-t0Sv*?l&_ROudg#AW zLq2GZWFI;;1{WiR7rwC#>-2GV*o!B)^NmDq4dtZCytctDKRJ4>uU^v58t5TJ*C{>Q z2N#O0-xgcNKwp(M{`*!j^V|p~n-K%)g3JJzvV$o}Z;(oBebkbGv^k~)8a@X{m zbNwB+DWh$rb?_Z=+k=OBiwpG_dbvLph}O1lxrcbBgq9aWLeFE@3b)+fSTcPRx$qWb zxhe#g1Nlz$wNS2Dm|0?KRCblJwXX*uPrmj+vJ@A(m?zp1`$nlKrbgX~Cc15gvxAw|gj>`o@v_nPTi-t4 zptU>oVmqR!Z#-_LP!pu}VMpAKUpM_4EheD)G1E*_&U;P#b@#`HSpMHAVj11sU>{97 zsbRC)RR?jtyPa=TTUA}o^)Z*7AaMXZ4Ah0u*dQ^ijeOexV0(P{K>XXPj%Dc(C zLVt2@QF9Xq0wx>C4=ryXJU@w=8}JL>HYettlZl8Y$#c4bBD^cE*sb%iUhVe$*+3z{ zpgng+(Jdu{e>ytoHmBwGCbnR)l%lyh#3_55)IXJgEFvgMV+@(w*zuVEt_+|me^d2a zM3M7p#a$Ix8dFr^*7#28cnR7R7pFF`-bkAlx6I@_a9;=OzYJ)_annUDS`q+nB1gX; zn;fKG<+gBf$Q-kZC^^+i*gvbtIeZs^pDB5K)HteJLzEbV>j;_>ey`Zp;!CIXI;9&N zJ;Ikl&Eq5r3JlqRdyo)d(gJ1op+uFS-d8H^?2sNUD*;fM&a{KPUgaNQS8V&s;&c8! z{k--DbD4<-c^I+=C=hNTbqjgItfuZ$-%_+2)9K}50(I!V9=~;`Ukh1_HO?G?2o7#+ zNi1v=SfUN%UN`?r`4B&R=3L}5AA`~pmo_m8g*#zxkX<;H7kg1u0c`qvG-1_*2e~C( z-`hJZJB>)fzA2!D_ecvTRgRjy3T;DP_4&Oq{Cn3Hl6fgh{z@ht`R1K6?;odHq17z1 zp@T5h%ONDuHffUL6N^Ej!sOCy(ZaFW@6^ktC8w(e9@XF8$cglRrDBQmmK{&!R78D_ ziqtnG4*g;apkKW*WCeyzZdEWbK^MzhnJeGlU}h4uzi*yryjyqd2b zZKkFuHB_zzo2;`HM*jf@ijlA#y#mFl?FEjauY$l<$1*Hs_~?-KNfa>r$PLxJ5}f-h zOoeq{PeCuhNix6XUEHh3hmZLsD-dQ{o8Dg?sP7tac4QZDVCdg6wz-LjJeS+mKaCc? zv7-#h`Rv+fy@1vYG8a12f#{-UzvM6z%~M7~8l0}KU{t6wQ3C>7;Vf*6n(869A=?hdZ4^-kr0l6@=qZFk5m91C}7B8r} z1gYaYmfF>-r%^aEPf(lAw>}9I9*=+;Nu#QU7A4QaICG-^k}c?wa=T~WTjY1AcAwH( zf!VVkKsy2(sfKd^yBuf}L_QF$)fqCJDpPO}%X4^XyU@4nh=Nnb;uYmT+IZ+$*+U~i zNoWarq!%Ak4XJMf)fe%YdE%&Kx=73SPArcvw#^Y4cfCvb;Go?Q))##?MnvUfAXZmH zy$Df>yoAZhEmK{`UDZcRxsvIPpGvUCmk;o;yzG@;1imU}aYHgI( zxh52zF=+{A^(-L*hy+N)kKfYfrz!35VTGt)j8gx;2EO!m)ZD*snMmiXsA>;>UV$7x z_HEc3Hz1fGHA(FLkqWTpATbM!3Vd>61aiip0a5N?Jz)26{p`-WIRt4v2#RB=^l+(| zD4d2}>I0!G)@1a54)ACRAb1q!tgzF0>ChEI8p%VlPW1=P4dW2~4LEPCj}$?-6Z35+ z2eowy5>W+oiXeJA<8o&|!$W60-H|qdDzd&(fGc|QFX-Oy%SDgNPQo|Ifi^JXofR^r zP68$h%CRJbjU9tXMO7)Q!GBov*pSRerk0v>7%&;(V!4B5#JbsC3p$Hv@jh@ccllSV z51XToF+!0O#JSMaCBdohamV^@Uvp4ofc6uZGqKOSl^RRrbP#zA$k9=%XfPH#SuyEI z1Kw_F{hx36+xedK*MP^OCzwQu=ioY?FsQ-6MGAQ_gE`OYydC-Vb@4*p6COEmXwJ`PXhOIHjF z_m{4o+sn@$Ik(}oZI+$aj`OsrRQ_+Mcqk`SYyI(VW1{@=8geqXkXCOIfeaIYA(*Zr zxdLdEOYCj5A_PH7qgoXA2xiMoH7R=xN@9cW1^%2uNpOkhJ)LAC5PT@!1@r4dIms?a z!I1<)zZ&i%lad}GHomn%vM!&xhzS=Y0-evI;=J$yWqewF1ETFf->J4O=0M{0ob+l( zI7zCa^;uez#c|lO^Iib(^)0LYh;`L;6{D#7i5b^ph@EU(qT?5t%#_ z*%jN&D~K>0Xvq_`RLZ^t+43yF4LQCXL3wMh6=Kh z#A>O#Fny6cv(0yv?v?ERMNNeShtX{#$dJ^GMN=z=%l*54=2Y^95UcG^AVp#nG!bH* zJPuFXLL2q(oJ$3J!v<`S<%Pcr6>8hS84O-~mx}0gY<+5o4cANOJA|Ut{{&UK6Mn$9 zAG2-0@92MAXpyI*Q~_9(*Kiu6Z@ph~uxcNQkf=|mGjN0#OJu;aB>-@I%kp@-8e}3J zU55MTcjzj;Ixf0?C+0W4Vk`mn;J@qfzmj{pT$s zUeRkOECV8-FS1$Ydm6Ft-oIBm(~pd8<^!oHQV(gwHmz~F&iBhJM&2alGRo7Fdnq|} zzv+Mi<8>{*u`Vb9{C3kPxz8!IQlJ-12Tg(+7=%)Mqnw$O|F%IhMK#OK{+C;qNDAKe zX@)dw0<|pfO$juwm`gBXXuX8UFr|S8llwhr|8&Sq8`b-g+0?L2_xx+GoH7Qt+7CrO zkPFr*^(D2_HFLF7;<@g>T0Wk$TH-%$A78FK?}K`U_K69s>*9iVC5oKP%>*+BkUP~< z%SV1|wf-O-XQKS0C8pk1p&b2`rq=_~*Fwu<+jQ5Xz_)l2f8<|SQT!S1q~g!ZGr2I@}|xtNT!MaMCxv zqMebgfpDzS3fabkyk$0yzD``7IH_#e+waMfHwdG-l!{ZO(tol{2tPGk=xYN)PlT;X|?y z9=*LI2UsVeBBin+P;I&DgBVEQ3{QA>Mpd&$(4>h)M(OQ6S#pAqNsF~Ec=&CO*Xpvs zLg47_1<b2H4>ylbr;rD*Yuh3oi?=n#$kz=q~( zLiIZG{@O--WY~R;w{mF1nYLqt-34;w!fUM29?D&iNS1hvRq4f@L83bDtxq=OM>VZf;Kb_*BH#X!6ZeXs!gU9#OBs`IbFADK8s{zrU@H^7^4i z96J=1?1 zf9RV=x~j;fOY?f<*=cpt(4^;88Y0?TRfIZvD0|ydV}co-xOu3LcE73FbNG^FTbezS z^;On;G=p3M3g&$5&WF!*##3jtLn~lo0-X0@+q{)KENe#UrLr!7#?U#N-p7VTgjjr- zA$7#roxMk&Q^Sx48EL$&hEXY0So(Q-XA~N%CrItymP)|+*DDQ!Q{Uqae5i)zPt{k? zsk6*@F6{Igsj-%=MDW=Bm^SQ)wBldfhb^&vAF95$btN7%(A;}_@?)cI(Oavgs-5;V zq6glkh8?+iPXFhw1&Ryr9J?3Ip2AP|eqQHe`&If3pEV9e4Sm=adTZiiX6^dwROAsY zy-mIx;|%7*m+&uNbyxcT15#)tN>Dl1^NVue_AJBX)Q)t$7wG_3r|GoU^BPgsq!x@uNY*$wjVLyAn^EcJQ!DPIG0w{%Y-(PRPaS z@F^}Ah>w;&yqPFrV|=*%;T!7;fJ6Yx$g6#>tuj8+k9neM(v>PnCos%k&ENdMPAn3ou75hAe z!YZ4ahYaBD{rZy^2fj=I&BC%C>zOa{{<7W=!rqjb78=d1d$z!H5+Pt z6p9D#J36fbP>L_S#ch6j`EcTMIh@8%5(}2_d=e?CQiDp5#u+?Cw{XRFRSV#!S3ryz zXUI_PwE-^hwF&CPp*P*IVG`J-;p z?_a0U2$#HUK6%algU~DDky`Cj74>$M%_bWVe&#b+L@l1%)4-`f1`M7?3ht=^tJwy# zZ<-TF&Rm!O-R=3c zU9_@*kYmX+Z3ppSWvNd#?9K;}Nq06LY)R65`KN`qG_tsD{7~S#JoLAf?SU#V>D>C| zj6I@^r6eOkD!bfCrxam*K7Lcxu5clwtw^pYuAkkhx`j?NJ4EQ3nluL76Do>?;9cMv z%70|9|5~4uos2-lS9OQmp)emH6il9rE`tc)fU$&ESOSbpaixyR{QqJkLU`%Bj#%VB zf5zHDuWb%LQ(g9irkwMoGqQZtFCcLW(Y6wf(d6#0d|~ubhAiv+=iTr7;g#!iY3X5t zCznD=uLg!`dqq#iFI6x=1|p6~YafBpr%gUQ8PxQ8P(L#cuHV`bG{E_znr^op}!8qb}dX4mi5%9^*9ls&Q#_u)>OT9$OahAp3{(LTvh+k70I3LqDdro zcGEr!V0$jdkUG=Y9vP%en#~y4c%L`w`@}6da&0G^&WJ@x$SOF*;!%*g*YQRaIA&iW zO=}DM;}stas{^Pd`~1{LVUhji1>yy#qd{k}oRU6Q|CrQpp)_1{zyHL6H;XjX;5N*% z9%NZ?d8mw|zt-|?FSHbycb@VVdyf2!t*kEr-K(p9UN*NRhc~UbmZy~9fv3fSt?7kl zCY9!+F+#qkFdm;ckAqpK;45kGJFUEMr#A7E61LQZn8qV@5eW|4WcO?qFe zecw#^ioxScyawX$NgEA)-q6&JacKj33G((hz9wT;^X8ZX*P}5yQmsb?{))RUohI^R zc(0F~@RC*&1I|3hF>}PaDvD)@(Z+iYb=2n*1IR#U5!;k&(zb&Wv-o{Zc!Z4eA&`JG z$6--+U`lmN<>Ji+sH&L_v75~1kxTLVmLFoya~x(9?lriinSAv)yo0Hqeh{Z=vNa?$ zXh6{HxOqf>LH0;9@!N$Cz?YZLdy@R%oiXHGJ~st;4Su(~HVqfL@m)qdtX}#q|FuY0 z6QM5=0cH?W-+ZReP2k9nJW@Ns1uNCIzBE{XGUlP1!M4z+P(nF1Lj^`vAJl~HT)D-H zp{iGowI0;;&Qd;>zppaIH)NsHFR_gYXy3Q-3&=#DT*p{CHyasaJw_o=&D37b zJ1?q3KmM!VV7q^1yF^WA!=&0V7&_sOZ+=NT-V<# z3iSp2T>md0U&)6$haml zDSW^jOL`0l-VfPCHBH=pSQ|qMqi9i&=?vN_xjC?Pk@O3Cq9RdQaa#}ETE)d^$a{Rz!F@8BZBskJy%0AUP#;I z(-CdF)UdCu6co}kR6cm0>)5t&$Wf9I#qOM_x13SYjj_}&o?hp| z(%Ip-vU~V`o`5!09ezsGt9l^Xvrjdd3W2(e%r=5#jhfQY7Z?4a*dRjJtyFN?}3 zR!WdFzbwLDxIcXOqsX6Q7c#t@z!qFuLDYCcs6rCpc^ogJ*E6=}C z*T0ipG_S0MUGqKu1)~>wM+sdHq>03d#TiB=u;zPIc4O}A%G?eY-LGnFUJ%`KFY*5N z+pc5*09BF4*7Fs&+A!gKYwA1QG_{A%{aWR0h4P>9PG)9_&N;>k7Qdh6#=kqShDG&} z>84NK`F=bnxqG5e137WO4K@knwotxw{%rapfdTJ!@n2qy)YMh=|3lJK2SoKePYFkN zhj$!GN=tX1QVJrHf|Am8G)I?!2hu4aUD7ShQAc-ocS-;5^ZorZ``+x-?%P+hv$LUz zlA?1tkGq!3Bq6DvHftpd99t1XMsWf>UU3>ccaG{meoFR(#s-~Wd0%Yjds`C)s8JQv zUL!bX72AhYTgJl!&d$-L(`V(M8)mJT61*f88XTJUt-ts9P(u)3 z-W<$%)JE<Y^Blk*CZ}^ik9| zO01c?CzcwmzV0dMPO{s|cy~T2*EOMj%KJ@$<$}$e@5e@Kb7};qKbdkG88twbpr*`C z&5o3I^Q0C^O}QPm;tEl5Ro~|MY;z4llEPGiIXCLHADai<6gb&g*L(U?VOFWnT|vJ;xYB z`D^J9OrJ)6y*KLpIRbE^pFJkMcaD?SUNO33crab67T=ktNwnBzD`AZ{*_HAB7cD%! zjk7yG?8^kT&skj6e#2e+>xY&`KrT&2V(6up<#qvVk(Q2lze6<^_^VM6wp@;Z=huL# zEEI%get!~8k4s1$9L2EC==el}m6okU)X4XRA_{Fo)o4Z*oTZ$d6yqRdba7&3U^Wd! zAV_!nM;~gyN&#Inf30P6NK54X(t1BQ;cG&j){#epm-@{c@9Ej*-~Ia% z=(=B_kK!8E!o2)_$t5#i|*f|(+Q#@o-{o)*UiE~QU`G=|iJkiTl9#v9!7krq9AehX|d8h~s zFIlegNHTfDJ-No_b{Kd5kW(o5J!_0B;VcE0R72OaMK^I;6#{PPX6LapqHSp=Rrv@rAUf}_ zALwnTzYKIqd;F0*>R78k)(~r4CGz>SLIcvJM3wMHuea6dL+j_$TStp>chMuA=2q!h zP9t^YpuT%bo^9|M%VR{M0C|jic57w1F%LtVmxpxgPuKF8l7SPOI2_K+&^%D{zT17o zpvT`^kKWG@@Ob;y+tuA5qla7A@O1HW1o`3dgVp@#9jGwqB-#7X4O#%XKw z5SqXvO)<(}klWyrTjkoY7yXX=5APpoHgDppf`$i*o~Ocf zFMlkMX~mI$d=Plw#kKwM0j$yGj%U+R^jwy{$KCKhJZ%>nH40A+Q%Jeys@r>B2*loB z3euKdI%8E?CYH$&TqQYLq(HxYa9|jtG+$kgru2{SeAZaBKC((D+6++^xWGkcqbSn< zON90@!4(bgbYX)=1bWu{b>l=dJdk%5;k<$VA!v>Ri=qIE?qbLJp5BL$^g|Zr$~*b2 zB7TO2el$A%QbsgXd^m|k5q;}KH2MQ~B<4MHxBXI*M?Pwsn7UmT*myR~8>j2J6P|jh z^XF~)a0yN(4F_q{(~={7u3yIE{(U$fgc#f1aCRf13g5 z9LDh4E=<0H(PM>aZZGXBtS5Q% z_rPMDm}jy_kN_JgjW^pgv+S1ugLSF^3SjaT{g;Qbsuj&I&b;tkAi6MwKc-}Iid`hq zHlC?%)m>?($&1km1HT}Wg0ICqBf+bTH0otZy2unP&Qf36*{)gI>Q!d%x>i_#-!9M&CPM}{Wfh|zJCZz_70g3tv5ew6JxrR&YPlglV(x%90CA}~? z&T*lbWEFgmC{wbqL(bFex_8+}kw`?{hV#<1l*swf&&U+*w13LHRAbbgUgoTWbLn{b zV#>p=S==Bghq(bR(oV+|a(iAnuYz`5rKR}>nOLa!z8#N>kSY-6r|;~27$;WR6Fr{v z?)5rREkDm;MnGDuIm^Z##D}dkJ$aE(n|=lo!iMcA%Sp*;h2^75P>TC8rn>T<;xuYR zcFWhlg%^59M(XREx+K>aCGWmRoY1f5=wrj!8?iU{Re`EImXx=ov_@id7&u(Oe}A`K zaRAkzb<`S&UF$uypn}Tl6MQBJ4Qb+wSb)iO2hF(57i6R|9mEIlhRiW&=_3E1+~8E9-1Gd{`W>SI_RD78s_MzRBK@sy5<01a5uZ`Rrw#{MlM)v z_YHb11y<{sKt*Y^DdFyguhREpfZQ0KCocvv%bqAbuTKn{&qvM3Jy_O=&)$_=C#~M}F#UEn$2{d8I&EWvPfr!o zpj*c9lj>)X;AHxT=XM>BBaepL>K$MyDp5!)zxe~i``u7_snaj$Z!~*b4$s4GlKq>JT*uPop<(r=} zl70(G)EKpibGtgTRXu^m-{ZgS>o}xS2)VWE9PDFtF%zCz-%jC7D>G z89U{a029F@QG7?^qT;;z=J#xo-}+PPS}#~q)iy*?J5SR3>S6jHlRIjV_XUjzC)M%y z{*0M6Vs2o}b$Yy|om>C2+#gl)fSt=X$H^{Q+JXP(rRJ-kqi1*A=w9&58#Pw8=$Bd;y>VkH)igX z#?MW8zcD1oF5y7vtS(G#!8%0E1plIO_yg@Q{c8ijjzO>^$Y1?%W{|nNYn6~ermh3J zhAyCzh97Gd*Ie9TJ6e0t^%KB*|GUgbahb67z09u-eitg_Lb5?J!>6h+EFL^vAlPd` zA&hz-@+n`cW2xLMoJH=F_)^0gue(#Lj7!2l7~6e&8@*;dlKvn=$T!o(8q#v0#{R+E zk=9*70)0E?;N=$@hly`K)m&P~UQi4J{xGq2aAAmRelyq)toWL7MHIR6HA50`a?3+j zNEh2L;H~LTF}m;nPnawO_F_j%jl~M^;k|WkHja;S+iX!wZ=gio@Q4GZtZ70Hu|KUr zA%5ZNhQYHjY2Ed3z_E~E`f#)3zYUUJV{Z7FdT(r z`X@-T%H1}kG}S$|GTj{ocgaCsV(n!Fw~>bl>XPD0W(K>ohPC3lFQ)hmbTVgYFFLjzIz6>QuLOTp<;5~k^q*=N75g0Bwo)Ys^`KA1j${Duc!73?Q!Lh zX^dDI;%<^3Zhg}ac_nxmKm!SAeXh<@qrab#fg<3l-*EzxVQiS@&j23z)tICgqRlGG zA**)^B2PVDjOX&h248YJvFj+J>lK%=HD9dZW{DyIsS@^WWboREtw!f?Yl&yGKP<~s zB%I&TiGIPu`XhmvT1Tqn<|LOs`bt5bpUY%R^sugfd}m^NHI0m#OkK|Xf^JIYr%K?j zO%bxiH4_t>Uf2~ncJ_R>SX)W0%EWxD!J# z4!lR`!~JANVGQZrM1BTK|y|2DL%I69fm`+Y6VfF`yZjUfI~X0;6+Kc z6TY{2n#v#I3ns8r(-Bv%FO5aUDn$J@N1N+RzHQtvNN{sM>;zsg2La^_jKsWyc2r7g z^SN4{#u==B|MK2{dbN0nm|!MV2BfBQ$Eb0mCBT5JgUZSzO}r8AM$Vbwj9tqmdZJ>=Y74wwMXn( z6cwFr^)Jb-@{LU-YAc{I#(bc_>JJl%iF;E#e;kCwEEC?q;#p)N`HU-i99n3oYdX;(lQ2F(L2m*LLLQo6G_ z>94-KmJQdGvu3A119Wt*{&V&H_43p;Q_FWtLc!otFd9L!XLVpYAQFZ7UIT0pdod2% z5&v44sq}-5V9)9zY_2#%$>I3cN`cwvL9i9hMS3WB+lqZ_wXuvC57PlW2;QncT#nE1 zFsuuzD~Lz=&K+{*kFH*7NJdqhk80$|-`igbLdopYl!Uo9YR1_}rR97C>Cw(k@9{;892Z$?og9aWkREcm7>$TT*|FqKs()oY?Xe2VO!K9CE|FcB z?+8ShE8&{HD>4naj`VbA{+PlTyHCCLIv2mVOq-mY?ktj0%k$kT@b}QATwF}Y2f0k^ zouZ3|kbxAbX(rOd$%ZR1M~Toh`REp1$xpuNXN`icWvtmluLZ4d4Kx%|t=(bHd(P>h zDy9F?D2a7Q7CNV&O5`D3>5iD@48Ud)Qq#DO3X=^?VPexO`j-vSHFVCBq_$;+Ra4P=(#)Zwf9QdnsE6)uM;oL15X=pU(^#hl{U;eb#= z$X^leoGOq7V_|B-S528=2&=(4c7fYzkxGh3Yl#)qwmb-}-G^Rc{gLKe_J5Iopd@O( zp!fhE+b;4o+}(qf3C}jd@1_<&0WunR(6ol!LgX_c1nx6T`n~#;{H13`eyme%3S&p} zh-Y4KU&F|-D0#8mkC5TqTNx9oDJi%$9!uq< zIhnM)l%)7pWXc_EXeq~?NGwdi+S!~~73=LnNp!DL+1$T_B*X~S3tLL;j;XkhM2-1_ z)tc3FBRVg}X8$lUAJ%V`rKA`ag!QGE917=ys+Fas#jhgAL|bAUYinBh-a1BwzE89! zqET$ziaLZ_mkqUiJ+AXL7r)NTOK9w(*|k%!i(ut$kzSL7Juf;~{Y9N9)%sa2RR1%C znS}&n``6{!Nxj1Lk87~eos5GpbqG-NL=Ns6HHm!}a@pa}k~3j#>aAO=2=UU{NkwMA zS~OOkNzDj-KGkUT8Q@fYm`JhxY56v~{O?x~aaxjtRmLu`#FvtjUI+;7sQQYN^!wtY zqCy>y_w%*G|3$%xolhJU0wLUR@RHSOB|I8EXvz*FhQmx7xyG4AXXGa@a+3@S{`H)hs*0L|1!sw+$x~JB(zc{jD?IsB`ILz^!zMiy0QJL^>Pc&s5qsGf2YP<;3 zSgXC-5sOmj*3?TGzrzAA)O^0M1r)eY$=FN@Qx?+$+V#&5T>t9a3~hWT-fWI#+O`!Y zm`+T8N2?sRMcDe&v892(*Niv87kl#^oWIj45=!HW&W}lW%*3!{_Q`m#74*Rt2t%TC z;|vfzk4e;DNBF)_RA}njSP5(v#9!)uaGLJj3dav~&s5R>@u5B=+kS7oo2`aPgI7@1 zB!u^VQ~#J>HA|atTtV7i1GyX0q3`Ji>cp)68*&KjW^Q7FJ}kr}fP;>AvFA zxS%TesGuru)>w@P>Veo;CD&u{1D5ae-xD#adbp5p8cA!f1pgD+;LEf=Q~>Tt9aX4q zz)m0Ao{J(eoHO82NVX2evMdrBUTu9>u7qk(ME2@cRQArtX{s(BJWOsY<9; zDo&?E-cYBo-I6o}zoXiNm-^F`Kcfd**ON?w5Rc!JWZyak3;#wkledfk%y~jMD21pB zM!Q7WA`tPWURzMj()kxxM+}H|EZ#ru_R!pMT1ue z`hC*NLpMOkLsk&gi=V?-yN1)yFtc*eWEKc!Lxd}OTmtPB0#jTraO3-`WfD~JgZiq; zlMm6}be*?{y1i{OQG%fllf4PTk{gSKV$RUVLdD4vtLJ0dat$|F4ae+c(x_vhjB?~^ zR@8u>&_D5s)!!KoROeg);sU=7fd+O#1H*InJS?yNSB=`iN8L)e?frMtIn*8Rs51Ag zBiAr=B2a6t#f$g;=IYiUjI)=#k#F7ySYJYYR>3HpVIv58NL|UWQP?3DO?>GJPpkUr zse&YYYq-4ue9d^e_KK2`Z(I3ytt)d%NP=^nkkb%)BDLy9nXSW;Q1(t3_49Hh`kQCh z%`q&FL$7~Im0c#2sfbw5?a;Hl9WPlR2^=Z>Xa^=L{ugKK4ICWy8a`fw_aG5Ha z#Sv>5zbGxBD;$j2OGZ zeku zfQjTzq4g(4Hf7zc!4p{kokyDQecIk`o!K@p6 z`=KNWLWQWLp#cmL$zlCdG-UWKzzFz4sgAW9Zkda~Y~m8*kW18BHjbz&5x9+~42xbv z;&P&zJ&FC1KCg7-m3)iRS;S4pC^k%Gc+LuCSDeXnZ;}l%L*ZA=p3L$FtQl4ICz~ew zKS7Gf)LMbYjnaU6Jwsz7MCUzvOh)H%6Bd%AWSUl@+a~|~?;D`2M-Z@AVm3=;<7x@| znwN6*kR*U*c+&)uc~ntPSipk&=7+wpn30EMYT(94Z))06$kfYv)+Nk7N0_66lT}zB z1-1R`H(c-Bl~0u&gj6(V!?fvtEM}TP?;?8i6Oa`LP;BY9%W;&M=iQwjH#m6V3@%5t z>Wc8OK)hbd_@>fy+lhvG(hlWx;b=rdS!cMyy4{^cH52I}iiu$JADkRUjPR8Yk zs&(f7C6#tAykEDoKJx2dgm&#O*rpRD;$4<^YCzWQaI&51D3hnPk7}8fP}S-v1F~X9 z8|I@0)0-5PfGEJtfC5w~5bX8~x%g4F@c*e`tl5rrl#Y2QPOuuvwp9m|E;;{#1>1aE z*=;)$+d}8M!*-9U`gzi2qfI+f-Ot;0r0=x1?N*n|o$KC(>@3)pi|s8ODhM831)GLj zF4&&WmmhA~k$y7Vwo`_f#W!{TOhPUS8Ju#s&6559R>P@YNT={a6l=BKB`CQjm5)rQ74Wa>utvI_nHFp(`fFkJM=iOPFY3DsP z6BQnKMNk-X3iSEz2PW+%X;QViBNL~hy>(HiVOiK6meb^xQkWe5`|3LYP)GYvDsBH~ z(c*R3dEU2cWg>j-rsLD2JUu^Rg&H(!M7~9D#(V3(vtA#7$daLCNnTQ`!mi%0U>#d; zsH%cq@ToRD;jQ;(t}FRxTPxCTx3KE$l%}^;c=~s0fAH45F3zjI4zs0?)6-h$@6+c{ zOuNHqTpPCh-sf5`%@8$g%`J#u`K}`((PbBa?>A|M1CGeDH^5U0U$2R|;TAvQY~G$2 zp?3Qg93-mSp@B@oNd>r%8n&)Ln5TGSeVtWYRoW<5TC7ni8>kWoUiV<6#mAJ#9&;*G z4^`}$U!kL=wO_|Hj4ECB02#@ay*BgAwT7uBAMNUCVf9Q-SD%OJejX3Ew2pB2bDN^B zpg&IV8Q`kMnq9if&!gk%M=j^0HamY*lXoEbPfzPA#JhACr+nb*yP)p0(G||ZN1X+8 zjGGIU)|*@Vd8L^vim)Ml9dDjSfa0~_&Y`zuo7$s{<1K~fG!&|V-7!Kbe?sz z`ir+m*YD4$dy`zd+$1glxSF8%OQE_87dMFczbwFL&!3P9IF`wwF2syVn7AX2`zf{< zM?}DL89ryHSSa_;L`)iBY@kEHQvqwpp9Z`inTy=R+81>bcbRH^ zJ~ztcz(T04_w_JWv3m@HHQd@~*Uh5xyCXA@1fhz*3lovk`&*aKM1}k^{O4G4E3i_6 zs7IJZT)I=Hd#H6az~cPM=5IjI#<7hL4gZq_cRI21dSe`walFmI z)+^N8f$HE~9^m~uxhvpLX(B93c+5ULI4(51iikxcC2V?w)d@@V9D8hc$@wA&zYs^X zoCk7CJrHS4)60pWT$x#?8pM5M`9pjSiq)w8E;+*<6b+XHxIFx9ZLb}95dwTYqu`w- zG7lL!oltxwuFcK5wT7BdDiJDp_-G5D>Ay|rIFpc7YCEYol`#{VPljPMD=>oubAE}R z+j2B?aV7g#U*aYHGR?8Xg9z1~ePv0?YkV04C5hM`c|uo?^OxaKAN%lkJ;9tFa$Yk1 zj9aM69-P5GM4y3gffW+u$4J45LDhg-hY>T9c1+^_Z7@BlVyc0k<=>6cY>Wb5RTh|M zpz{UD?X~{1Ttl9Hdequ!k(LlA`^~TZErwFp>=V2QGHFsQ1y4Y`*NqKp6k8ctc+k7% z6r8?{&7VlAM!%vYzp+0fTiuMcuBx zY)D?<#Z7^S&$!2v4EPefaxTYwZg|8H_1RHYJb=YND9-HlkqIoVyV+aMkIYE9g4+s& z_@@k5@?4jD%PzF`B6iZgN9+JfmntkmSPHn(Sq?G%Ac*x5=zBRQJ(z=+a+{(6IyheUWm)m zI_Qfo?Z)XR8d})eG*O?1O4MazT9c^~kwbH>nHgM}f_F3!RmSLml>Mt82&?#IcA#}T z^!yg^cyyA#B?NvII~=8QvR;+O|3iFz{NjQ5&V;za#)-G^%4cqxUOQo|;zwJ%mraUC zcZy3eGxMvXujEG?ONf_ERB;cY1r8^$a8&W{AYc{p27oI5B*>yBk(V1b8Hzv|#goxB zww7`GR)7-|=%Gss92C-}b-@z!eUtUdNpEtj)>WBzqMwZm9`E`L&|U1$@~4CVK5}p3 zf%R9&MM)!Gmv&{fUY#>ATF~5#S5rzIrF}5L7&M8R`kV+Py>{t-;b2qKIdXKs zh7+wzi|9yCJXl7O;p}rW>(iNi%oY()6Y8AOgZHr0IaDRtIw3kE;5i!MW>tDJX zZdamYKCVLmWl|({Z7_-r=xWv$`1<$_kAye%T&xO9=^h~V%ZF_5 zZiPyjJhKi3OC=SKyFphu#ROc&UCd?A)x7$Y3>260-Zj7bO^pU z=4dAuIYvncEWxa!Ik{k(vUO3#A~DGf1768R{;S3&Qg5m~NWDv7ASjyRFBkc3G>P@x zvkheqkfjC8 zF}g3&aILm?@do)#n5|Gb(X^z(o)zQBDN)zpMyp)FWE`v*V$vA1HKmm?0X4XHc|UV= z9pDZoi?m)ZrQbTr-jS-O?r%sOIIO!i)v~@bzz~6Sd{`Ue#8G&-4DC!r@QRB8z9Z+{ z2G+3iKeS~h%cWQR-4*Xr>4IT9lHv9U&pzJ(c}7Ksj_TM-%)((L&psx?FiJjX->|OW zzKw^$mckOln4es_;4nDz`$X8vO5uRCiRt|0#&clfJ?6ZM;iIgWBnrHhH+;keM@7AS zLL1$D9&NxnATIxio;@Iq`F=C=eJaOOBvZlJu!7(X&hq{lF$s!ziT-~jZ5YQ>P)va4 zPnh4%na0Ty{J(No=EF@sIOJXcMymEdDPd-%1JW`s|3hLbB*I>1_en!8P~uTI3sB1a zdd`a{;7J7c6L7p31zbu{pza6v6Twgg#}iPsh5JcW;phLje9%JfF-udqpH@ot&orc8 zqt1@x6JaMk`2*5Y`ez!-1UD8o*4mG!-LWw5Kd_DGpSf;uzS$W*CWpOzYDW3I)gE^@ z{_BnxkVF{#vq2(^#6l+#=3TY%ya3Jh#)3KJUI0Zy>w&3#gVRrk>KeS4hL5}18K5Tu zQq_1^2qL>*I!qsBdb{q~aM<;KXG}Q*(qSSfz{7&C5D!zLqSAhBf5<|Sz;w@u)$_QY z{0c`lpXb(2Y-Y-GqvYmlB*J7ATyAg*U!s8Wr^NqtUZ#coW4j58@MNg*o;={i6F>nW z?T|9Lndx6}MqFFY{d6+N(%bC?Y!D1FNL!o%6y1;ag@B%1+EC5mQc8r$Rc<_Y*V{cK z{=&0+rcsb^9|(gBY9R6%r-WxHn+)~8h^BWS(pv96vh!Oh$GWBdg--q#&4&exp_(S`S)vkcg&oyHR2W*v&XSX(q@-Zrjx|7 z=#}5rLqtZ6Y&fwwiDiSI8^y?wckCE6q||;C6@{guZ7MKoMMfygF6Ey*2#ev?tS<&wF>#lS*D$5Mtu>{+)H2(rQQxhSsJ{UQ_?9 z!XCR4h8ZB`0=B@Y z7#TC9x!DX`ZcrB5=OWGN_fd+rNuWy{3JIN#mAZv~t<-gjF|7(6FvpD&aY&O*SxBD2FyR?^0-V}TkYZXDP9YbdkP;#j z7*mTKIAG2wT1l(w74}2p58UP@QbP`J`cy+95T{H{yH%KP~^6CGkz%tl(Nj=&B>CaY^T38IG4uSyvN7ginpn%!;Xa}R@O6N%4T4wH7z{V-LZ}_U_ zsO=^uiEM6ZaYUCsQ(=ro-UWO&w#PZkA;DdyG?J;9SOMa!N*P0 zz(W5l{>K?JjWtxs%&Ca9j^Jmq1oNk1ZJ6I!hy~)=8mG3(FHjK8K{G3d@^bJH@H3Cj1!@-eRoRi#>fW5OgO z*GQA9vrGn{0}W5{D)O4EQlG#XrIKu4Zb}#rZKW`$@&DY0SNR^+aXaw(=7ZDEjbJsd zzTu_A#$MBrL|NWo!-IWgFbo4^Cs~bWc%Z~Q?pn*8*1c%WqQZ>jnY6gys*-$F{QS1w zS|!6OfV6|)*5Zcw?Ny4Z#ZwPl8|MW-QxS}Z>s#Jd(&piJXy|2)@Unp@_J&p z(kG{8cN({BvA|{kxfE`!K6zZ(5m;}_diQ{QFt6s7t^uQeSL z36KrkxyKH@@CfHh5?>3xsPiZVOU3b4>KWS}!Fe}g2))$NGofijdGj@WKpkcH2SQpU ze8*#jr8OV5{Ic&-WN)6 zZs5@a_IHKK_;1GT{F6PcFwshZD_L%8Cn)44hfs_R1cY)ylZe7bEXXOo9WEiC!_A1l zMA|*JIX|{V0V#^@D=>|9YX_q3aT9lT3K$LYO-4y_AAhM%jqn_Lm7R>wBw7s22S%LQ zEXgo~GC;p4YUUoeVi*=;Izxj7Z{Uxtl@#2$Pd5NlN2tsvyAwRva+=yY{m(ja>7{L# zW#q%H$(44z2u09U8JP#bBtZvo@aHy!g4WdMW5<-GTq|q&3sX92FIEv`mMRNbN69e9 zDR=ZaH@NL=%a94#R3B4d2TpUNMHr2To^um9CJ7y%IV?Ss#U}b+iQZ5AU)jA0X&&hG zpcc+R8Tr!hL8aoPpx?FoFrL+TuvNJ4o z3nQCE{!O9=Budu?(eWDnwjrGK>p6F;Wy*yu&y@2eiRh9<#MC4b#B{TSfPe8knj{kvvmD5r;VMm=(guxVnzpcRntof74 zd5DCntBUQK(FrODTb6D6WDpU1{i<`LB)W~$X;C%V%5(wJzg5#jYF~B_H3vB1KRbl_ zwuM!80TAsb*Cs)U= zW2ji+?~=y!ncwx6+KJ&`$;0$LbEA2QH?cQ+@*peh9P%z17!YZhRQX-lSR^#Y=X^uH z!ivD(bzTY5L`+{p8G6+?8e^pKw+<(JXA$mT1#41H_&|dq_rcx792@pzjPK29?x?viSD0Jd$djLGGj9+{;`vkxG0O zt#Q?pU5Z_KIW9K;(2pMj`&L|EH8XSpFdewON7B_=?4?hVt|QMN$lN7e^3fwM;y)~T z;AxzxK#cLdR|9Pa(Ij1ab51^quuqZxF7%ERr2NQi*)we$TFIA80w!Va zzUyH#`TSCOa$MvuTrMfWX`uXj@%Vr=W$G6g>l`cnD*K6nU?+PTCJgD5J)dgWiT9%O zbUL09CJ}uZdpD`HbW0u{RDqzBr%8q!V|-uor?IEs{mV@3#1x)m{Jm<9DJU`{Y`e*etXT?>vY*aAK zw41O-XLUyL$5zSGX-*hURXIIJdzvvJYV5U5_oJcsARU!ERF{4!<{z=F z%bK4q)5UV!ytYS0l{$r;U0E)>0J&Zfg*e#d;k?03a07Xg=>H634f@g(f9l22d;w?7LXYE@zVIt#(O23H!2sIwNjD#Gi`kfL}H$JYoIg;L4f^&J@+U zYw*!)ilOae%q{l$Qp+4K+BZBh65otVy7$}L^!yofc*R3ajW(7h)VG3D`^YI_`hu#V<*FcT6g{w9Fxg2py2u#)iYX78-U?&*f$6bpLb!cDu5||TevDU$W&p) z=bG?k$#4WCTd#nso!&x~mR=)V0nq@%cn_PwtUYf%lw-u4Zncul9L+*#T1-TN3x+JE zvw!gA@aYOfER@_v_Sg)ub)4=C%w5Ou-gtnqsgD;zYTZL5` z>x@MiUtpA_p0_t^dDfq~U!ExxQ*L7lP6_fAPi8nMFC(2={qLhB#}>vSK35M+~(+Iv$JaCxv@gPTAw%b zb?HIwvj#PkTTg)$v%b2j^1k0#GZy8F!Ug5nKJu$-<>%tu)KXrlc_qLkv+E?eLN}dZ z-ZSNl!z2J`)`@*(D$kgSK|nl6p}5|Zg%tE;>_J4ynHJH0W3AiJK74FK3uDar63}|t z6kqUZQxMApDom;JMMsT=wBw7e07rrdz0KrBnL8EesMh-l%<5ZP@J+{GklQkV~qu5(Hv5UvJ1-gwR;|j*0;Xt zvNe!Ipg;?Em#wL6pEbx%9;vS=(bTv4S(v=CG`~;(tvaNd9P4u1Cv`roXB@g=#x^(; zt!&;%i7QM%j~C5=N_Oj^W{L{Bjm*EXT(}&vSTC~&n>0bE-HxW}_w`+RV1~=F!bi8^ zme zl6LT4`8l)MBhiO7#I{#VU}YsvL=HFOqBuaY?Ge&I=eF<7NKr0@RR*eT2O>s35gf~0 z7bLn>hYK_`M^PKu4(>?q7j@}cVGxVw6$uSyOY4-Q_+RyZ0?@LZiBKLbOp^5f z9g>mq<(bGAIQ>u7M$wcg&awtdgL|&@J5dxX%)rlWX@%iF5}55vPKZQj?|$ps8UiH3 z{+=H(vOP{D-8LDd$f(|3ULt}XQ-g}I4?E|(!}in|O*z$UK8#^v{o;+Gd-X^i;I?fR z%8&d^j;JK}4AFE4g>k=`HM(sGtbbQIVoxrf`{_vSLexsQX zhDRpU+r8}>g#478x^WxyhH~CZ4To&@+s}M*{fKr4=_-=M zdGwhR4={+t_yjypuq9a}~hTmmDpr5QJ#h%GBQo#N3zVaWO{2Fga!LnM|Qeb-DNCE?eA@7-KLvSzTE? z)ttZDS9;DcyFXC~EnVZ1wI? zZieYK=`3dJx~;<0OXQCzi7@-(3@zZn#Q1DTmprYMsN_k_c*?p@rxgq$P4S{ii@5RJ zM(s`3LC$w(Q9=;M)$Hsai#V+_dfJK4yYzomHr)R`fVcgYb)A;Fh)A6u+L!)Z(<_^D z39HMqbnHfl10`OjGAec3pbQvSp!Zk_i4}2zX3O)^2haPd@tx5cBCTg4#Y`yevk!^df^|f@pRZC5tL6cL>ddX`uy)-YF#E+UhdHvk7-3hRjb-#;7 z=C(Z7Qtz| zmAVYWhG^7 z8ZWppOmz3#EDyMIv-F#e*o>R)lZoASAH ziwg+49V_KcHim=2&8>1C4cv%Q*ptPR?~!KZ_ho^>`$@i8d|DY2rNJu##;5}B%n9t7 zzm5>J$EljCPROn$_4o(zH3UT#W+Fb3gcvuxp`V{QU5$go^T zk2g|W*{v8(d!DMPDA$*9`RcGrQVz;E4><0V8dag|Af5gvPF_xUuUDW)Y_Jt42XrT! z_)81>5$r^?Wzd9LrL=8}ycA%1QPbet#d)Q`4{BFvHW+{7mT`*UxNc8Nw~!e8=7mGs z^xRd4Fc*<7;<=bF#{0Ln!RuV%WF#$)@tCfz{S$;amt)(4*@ez4$8qVh!oygU>-4IY z8COJPM*-^FF{RGT3VB;1WU7-~Gnv4+$j<~5zP`BK_xh#j>tb`R=C9QLl5$%h70n?d zaVa_Na5-5a$5?qo;f+5I&vJ{y;gvNsqw7d3NwYk)kCG`0>sn5iXN)H|ck|Esmb?HA zq@3V*E}FckJ0JRRNtlFD$`~rRGv0X?Y`V=!Pwa@EzB;=eqw=k3ix3odF81Op zCBXkO^1RyVgZ|JT^@kmULuNQD`yHCDhmKu#!!iw7EhVBx*-J;9{$Zr`Wm%pu+4`Qo zKLi1XPxr~u=x2u$?Jmz3MJd0(0*}LDK3kM2K%eTljWcM6xE|TI>v_jQE#u`$`YaBM z@a{4Lfg3|cTB~45xK)({2$5N1M?jeTXfCWtN|ehcX-vgfH664O)>6S1Tj4BI&{zp? z%XWgYhQKHcO6XYim?nPLjXUn-s2;Eq<9jS`=Z7WZ@oTEnLq(s( zRH~J!vv7&B&H5Fa!Q|evapd z?cF3ShAo3bxniMJlAuptW3^3EG(@JQQsiFAv(@BMFX1&K7WpY`$SNOS4`l7rB=K5o zwrD_l|Ln*ZS~u<+x8*mxCuI=(uPtJ__TDV)n4*^7YtyBY_~jCsYd~Ndtlpx1Yl8?4SN? zj?h#TH^6Dpbzz7n_*T#VOG^F8`+|UKs-wdH{q^jyIcxGi`?H}fd*wEItRo9IW#5tu zUul;yzhAHkAwstO<)_;|ZEfs7Tdd!2qo)rf1w^g1==)dpbE!14oqt63-9Hb*2Wmvz zTc~(8X!%S#Odnr*%JE<4L zCx+AU6o%@}0?3naw*M=X-g|M`@d(uCD>RllN)a}zErrAjWS5hXP=lFg^u z*+~sqXg1`-Jh={~N#I;|@;F?FG6Gz7B?%E^o-bm^NRI5ixY!6xuw&AlTFE>W9FX|` zbqcA=%D?=#_#cw(u(cXB6=Nv`H1l7%6qfzv{lIic3>^knsZ2B3?MD(QdJLK8L{=dx zsRvhmKDI`E{`>whL7n=1M|w6x_3u7m|08OF6O(csu6D$brCj)yp_)V$qV65YJ4p5T zA#XqI4U#!6UwuAa@Mj$^wp(~B8A*xh5+_73x!S-Lqw$La8O+CXqF0*aza%Y>GZFIU z3x-bJrjmdFHnxTUHZ7k3cK&Fq4N^6$QilkTTH-{27X<&SZm#p30MV7hy(U2Cawc_% zXYD0U&;IHErT;`N;@eU2pHQcx?fy@=k=+eQNysZMg@}B?)&4&*JkXk8W6>e2dKs0M zLfqq(9i&9x+uKReUW=9){tu}x7L6RA|FPIwsdA8Nk#C<5X`RR6Dt#ltql3Ll2!Dfp zj7E(dRNC3T!}@X$0kkTmfkK@!{dxxms;bN=xeCqib_>HCg|i$?)${fXG6II-^BE#l zN-KprCLBU`I!a_!N+X3j><0Da8{^IyWSzbjS zQ%%HEP^t8v&Io3<)0WNbM$Ov|eo*!L$P!ECX)oaS-lGa4STR)IV z#>Q&RIc(cT(U}zU728j(KDNe)GF~W!0c!FOGRLTe(N#XroGZnMYT~Hs6RQT309JvM z@jF4@$u*gJ{v{*6NgATEBU=}S)r>xmv=93%g*h$JNXLqbiMRZbB!f>G;Hvql|B;f1 zchW}5pM$2wV4=nN2O`aUTjG8N$EuOuPC_NU) zoj2E!B)m)$K##U>+%uLZ+f%8Yg2hCHy&ItkF?Jqem>zkbOZ;Q^T%j#C64_|B6JLZ zHO)~FzvZTQxa>F~A>xTfy`N^tj4*eUVLu8d?>Dt1o`L4-)lQ>LlZ~aj^~Uj^mQ@L*>-Li>LB}wzo(tXP@>kE6#YetR zLn%|ViqKmOZz1GJO3}4^bg0oSAh^%dKk<_+zI*LqFsy%c&uS(#o6|qB4q4N9D8&sY z6CWNZL_f`nrK|Dg{y)n4!yXv{|HM#P{Mx2NDQ_f2f-Jsf9a27I;spP7Qx;zz|NPDk z*)my(zG83-gSfMbATRoX>K4!{oI?i@%~1UhQBEeF9wmpb`TbBziA-E!rVu@PfJ`-n z`YorX8`+wK>Fj2os>=t(U zezlfZDKSp$M2hXinr$!JVE%k^c`x-n7JJu;-|J9nJ^dAR&9i@R+!~3s5jUyzJPc!9 z>q{h8?Kg59Sxx>7L2z7)gIgJru7GI*eE5C7RhnYS#ZNeaZuX!=u7pVAH$orDnb$HV za!Pv6UnuvFjuoCq1mr{roxkvetuwDs*q8iWRPbn!!;;daxBtE__A_kFQm#8Jhu z9*Ktk4}J$Ra5O~jX9bNMS4$?SklgEa9aVn3t2&aYPwFIy`F&9-NV@`hP%vl&RN8CH ziPRCvJQWiVHRhOb@VZ)U>^j>nJ(CT`U|H}^e$;r!$vFgkL0Nz^NzI%%S(p+okedN? z8mT`W;hl=YVfPwMa)*@wuk- zE+MMVGUj0?lp#3s;3KiO=6-}|nivJ%mute}1s=AWSaVjg8;jU3azt_ct5WVyI@EzI zg-BzqO4@z`!!sr)W@$)WrK#-~M-c_Cpt&i(H@VTU=hpX+cjq`f>FQ;T_mEonJ}i+e zc0y`n*}h6z)}8FwYa=GMZ;JGu;FLO@Wjsljf^?P+bwjizGj2&)h^|KX6Zu##8HtUA z^GeF*IujAk;^j;|+OfjEtqWvC=2$LW-0VVcad9pvK=Vtvtux+_117Uo*4fK82tF2YBBkvCbh^)rDl zc-;e8Ub%0-LW!`(_G8NvuC;K13Nx-iu4;Up&0fpdUi04JtXvB*1j>lOOK@837>wD{ zn0}&eORU5b=yRbIcBB$m4L}7X2tLvWhxD~fC|qn1T5Z@LP^;DLs1DMtF{hl}Uy&RY z%=x02OcRvF-XHM~>ljHF7nR>Z^0JK*vC>IIQZDC+(CpWSvD{w7BL@SiOov%24yC~$ zd2|Wl=wij3Q(66v&j5JRHOPj+AK zPlXvb=2wf9I@vn|E;_|^JK6u~KNjzg_@}&=`|B^bk>aS^Sr%>gSZv&It%6%eCb%&# zQy-`m&$Qc?!{(m)Jy5HoyuDJTI#7!-m3@z6XQMmWG!L9t1*L#TiJ(_gc_47ApJ?97 zWQB4EQg~dZIE_n@<9~$0Ug-qkezgF8{lF6n|!-iC-gObQ>LY{=mSSRe8_@ypTO z4xs;Vh!ZUAav{e>Y))R+O+t>1dkseSg~YkP#jy7|9|-|M;%b&weno&)k&ufAoD-vb27R zgPDx1?IP}WrSnM%*-@**1!tP_5Fj`}0u>-y$uq#Q$ zbL@@Fd`5Uo;4<-H?N4u`Gr(B*L&{E0Kd=B#Ugt4G$U{0*0#V!R^h>qBip_rQTQwg% zXK?*k*od`rcpR(P?VzJS&OGz-*Sq(4ACbN|r%14UyZi)l5iyd;d_w8N)*X7npoh)X zulPY?xa-wH{Gf;T)e;_XxW)a+XsDUFz9fEdqjYyx5Wys7v6;#X_5)(rR{ z@anfmG^!wiC#GpW#J!sY=dWtZIyyW+vF}c)7sM+>2{!7ivtawljgnI7un;h;4zfF1atXU?p@v=eQnE;;a?zhnJA~!BJ9;ZmO)KW!Fj}o z_Ei*fI+kE1SS`Y19;=Y5vK->7sgu*Zzp~D{<&}gWiR3pxx4aN;xJo%94u1^~PdVfW z;Xf3kH*tL%UQEmVWUsSVgMrRgHgSUIZuE7`5r7X229AXVfg*>kO3Q9QutEGp0y}F^ zL+m^?g0imID;%V!(+X1es-{W&CI9}-LbEqauURO4$l+-*1EHhQv~8%-P{r68SM1~* z>@*eTtqm}qSeAZ3fmC3%=EA+&!FCRds-a$7C!n87ixGD7nejS+Jeo+TJ18tSQO_D2 z5^U8`3#>NUV6EqpwqN|= zrS=tF;jz|Ik6(qBs5!<$Cx!GK3dzi@?VHm39)fb#e2j&k)Y1*VBH-%lV_pTTqHjv& zq+vn32`{vf69H73rGk-uOR4^UvqH;Q1OsYD*+P;Ji#|OxW#)Kz>%20aM#;fzmv~x^ zE>4hMNc(u0{@p~Iz_$b%{GH=r3yQ*c8V)9&JgPCeD>c^sQP|LdtMzijKUDE3cp=&}DX=*?6o?F3h z(;|+H-?)w)O$*`|M}p>C$U z*Hd5L4r`8EMzCE}wX3&fh|YX=MH+!nAn@oa(H(fp4?|NR{SL{s1xAU%V8JPE z069R-JeL)zf@5r9d1Fox;CAm4eff9_E&^&(v;uUeV`p?yOe{WPEUO;R`+|vVKsH|w>}i26-ixBjz}FiDJM7i zbcNL0f`S1zHFAbuF6an*W0*@cVt1B56@jn)2BrV-bL&|TSrCS+-|@H22jA@k9CJs_ zNO>z!fV&!3{~)o#MY%>DQqRCOTQ~8!-p~zOHwacEY`+}mK98#}jPU}5nE?_| ztw5z5rTL4)1zXGj_+01e;lJU7>MD%MhVo-aIjs=tph5NH9~HT!7PN z1R56;&ObOgcA|jeS11>p#;njIz@O)lqtA;i$$e&CZCGZ$GxU`x{WqgisRSWNW78#DuA)Ko5o8t=g*Hl&&hF3`#dV!}FHox&&PC)%4o z)027;A)(PMgb<)`KR8N3Lwcx%!DR*+>aj;c z6k!MTsP0aJ=S&cIl*f|GmWvI>GK9?4eNMY6G+$uNTLxM}MLT+DbxAR*&Um882VR;g zv~kF1Tce8@@k7Uw#of|qFP+`eOr{wpiQHb!ZgVQ*^&6;~yQdK^lPiB#KHlb(YQVRR z=4&1>xPKWcJO;wON7AVF8+hm6uzjjKl}Qv<-c5v0#nzny+|qKleO3bNMgX`i5sozpg}K(xmz0ktx#m$_@?Xu>f>eu3*n}a?c?u%?9XIYM!Gs< zWI8fWOT}w{eZEkHAoxe>mfCc#ww;DDkML6^hu!uN2ba*Cbr)7ds^5CdH_G1sPKgfVm)MU_-t#W*< z(Fqr7XUWZTeD;Ws091LtUh$&On21T@+Ks;$r`6P%2T#8n^T9;OCrF`E z*Cj^*gzcqq67{u`{2-2dEu2RKW}dFg_<#>#0|1)$EE;bwF7-sWev&Ai;&Nl6!wjgt z&xA%x92E7Y#;*Hg1$w4bb1Lu_ESX>%Cz!9`%s-)UU8D9=`A zQlMPL5u@;EnLKX`$x8zc#!pYh4NcUX_EMPB`MhzXz|9>1T7ip zkE0YYNt#|d;{xu;6R_6{JLmUH-0>S=;mk$jbG9R6gQ3kDDp_cPoxlc~Sl4e*Z zy+?seOnMy%GQhCyMkj(UsJt*y9$)0b^TqsMx^tPI!6a{8xrhIrbhN@xpbbV==%ldg z;UuRidQ>u^5Gs=#Y=E4FqRnv10|hLbU`}U@#ZL-^z~4u^oY;x;xeMl<{S>5!DDK95 z83bP0Pg;4y*0-7^FCay7I4abG{$iCH<_8tmXxHWZ&AIz|E@SR4Rc3wAEw%NuLkZ1c zTf+DtKysJdNUg<`ni&r3YD|OA6m&O7NqMH>9t|wXGWQ`K8R&r#L~AlqQUCsw!e$#_Q#iE7Y~HQ7D)=Ye^vtv~sjvbJoiPVXNL zOxnI7qno=l=ZXLF*{;4w6NfY%7FQhp>`<8Bm?v*A^AY|1M-Gnip#1M90|2qy2q|*H zMi|uPtOlP}{xR!@#UQF-l$uT1>6Zno3Bj6Tn#VNJPsb~U54;kZv_aye%v-21sI4L zY*fWLKg4`k#Dd?EVNLxuU5|*n%6`26nGk_eTc|_*oTL6h&)KvKMwz^cl~>4E0QmmY zkTV&1H{(Hkao9pITkTGwKgN&0Hi9QyX6IHAuMNsCywCrqohs|305QbP=MOAh$HN12 zGTb~WoNHB$^-vnvG|7P9}hdcOtNQG=hYbjrGZms^)}@#edhI=YjW%ekhr@gEcNNovG9Y`GB23f zQSl|nVXK^=NwNWOgRPIIkDLg}mQME@8;g_);oEk$*;2shiAk}<4#f#DK8LXtFs(c$ zX@mYf26+0Sd;le~bA%@mrVp1gHv2XU73(YphU!>QQz$Wef-D+I5Py|{I_V$^s?Arz zW>b$O{bs1IWPE_D^G5Z3Mi%=wu?0&+;4^$!7lQ6j2%V7;5m&!dw@@+0bUo3+;n!_= zJ<79&-47W@*h`(e>>>f1pm41zHNWK2SY2<=>C*0B;GA-<(r& z3ne;r+#jJ+V!s~`ne1HG(My+Jn9=8pdj=05_hwfqbw@D#T$s$immGCEQY*s7ryL1DWvuag5kBjJk} zP$A>zVvy0z80`L8>Yox#b~ta|3N3&v88{uXqB$n^4X6oOaYYvfoQDck`5~&|wm+pT z(GE#yICidtemHC@z8aT&6G2!;1Ar^|icP$5j8&lG1N+qW!z54fFqoWr zVMy4Tg_U7z9mR)l_;rO`?Hv2jU~fY8f=tI5;-u(nZtrt9zr%_~5!{<_o5jKL@C=OS z8aNK#ak6BFFdcPLj&zuwPDs9z$ht0Vt-=tO`qmm#J znL>^G*)-v2{HE%!?;pyyzkXH|Klisbr{u*!)U5%J>&>Pq)2t)i0`w2CMS}piw zk;(|49yobQXJB)IoQS#h;T{z+6fBcp8h6Ja&Z-9i*N?hjk+UiQ^GhDAr=%?Z!j#mX z^ElezTx<#~3g+3L1X(Y;i(H~`YGk^A4Sp8y^XB}s#Q+F zWs}JO0UcBpORJn>g-E#Q)*)-_*JD#K=L|OlD0Mq-7O>7*;{x{DfXAHT zQrMgXH93cEdYGt7VwL@e)Ob_0OPN_-NNla}4)d?KkU~9~TFiGBHOxKFqc@0H`%$z? zjvGj08~cW8tTGSBh5SfS{WjtSE7so22yi*cN;c|%s%MDj1`XVK{@&)h->GIg&h3F) zMJ#qad(!T0%5R=8Ry1L4sjKAb>nI7fDw**mbeFE5{qoI=ay*(^f7~rdpqfF>8Kusg zi=>SUgmb$gc18iG8m=wD&W1qsjE4~tV-vJ#mMD3wZHm0tXL#F1$nHD&iO{icc91}} zHh+Vb>QpYJ*5RUPQ7g5w{pjh8)J#1Y@o~W}uoi?3!sOL-5_aNorT6D<)j^xd0e<#~Rt{VN`?2S9;_WVR8i_W( zOax(2B~8j#dygROQ$B{Qy)RmY3BlwS4k>)D*vm_YDgd6Ruhty)-iHek0J5C$gNU`n zfaY#E`AeG*T-L13#AfcouO8kqO^wWd$yFr>;Feapyt=79_=Yije)TH;^cQ&oSKCFO zTaaY;8ho)A7t$B}$Vz1!Jx;CGV3t_F4o0UM`ZDV+z{MJ=Lww@+lP1;AM#%U3<@gJC zi5-v)U|O6@mUSz4_YWs<_w_WHfW-~A9gw$RX6$0J;Dnf`BY;koy$xwqaW>!FFJ^P| z%PnT(xirWzOwwzZS|96h0X@G}?Z{+1Sn~Ks7hK@hOfFW9e+c!tj{c=db`DUX^6qva=?%oe1N{pQedt<+3Gpfw^DSTA&R}&p&L(ezVN3oS*`UNK=iZHgRAEUSnAaa zUig5EE7V-qbyuaGC0fO4|M?2LwCK&z<;@DrFG55Ba~g-2C)Q9l6BZ-LPvc@PfmV;L zfL5AB`q(spK6@b_uFK@(_9kqy(6pNu1`l5M+pY3)X$3fBphLdvo;9%HBcq@J<{vb- zEVGk&Wm^K21^>4uGvz*D1o#8G-~6qCYhcQz;@5C3L}rw#nB)_TrN-AesBtXm?h2ju zS_`P>-Sai=NBR&HMy(!hS&kZD<(tio%e~)hK~#1Z^{r#o>|i-%6a%}@Vt^zzO=`ic z$v9gb_I7NDl02rh2`jKMvuoJT?tLq-eQfsJ<*$@{zI`H*2aI{85?s0wX<=4yFH>*J z2_>C_Z@9c;BZz0z-e?p=vg&7AA-xffE<5lOsy6uC%nIx&8C5D8`_%spMq8j=TPzGG zY|9jUO$sE?) z`RC+67{fn@HGg!VGrAn4uGNKytB$rKeTxIoMR0J z3|~8RD;;Qgl@W)4-NVjfXaV}G4om5hf7`OD{po_WuByk{XoS5SK`~f zr2;=hR&kSf)9iGP7Rhpmtal$l^9n_)7Ln@=PjK;<%!=h3E5Le#NyLw~<0t=!sg5s< z9Bn`Afc2OL0JatUX*gj%PZx}$F&;~!?6;iY@-HVvSEfhqf(98b0#w&IWqQ}_CJA*i zD=dVO9FSrf`jZkk#~tiu!LLBV@}sS!!51Kr0|G7%x1?7Snn1w&i0G`!Hqov z!pWyT0LTj722})G?bq|QutlrR7afQtl16ASqlJYkS1Y#K!cW-f4ii9H_CApeHz*bW zo-yz^&}mb_hgKqw%H}5l-f?htC&B@y0f-Lv)Alr4Wq6ec1~?q>uaPGfsRh4D%fD*# z@V(Dd+mj!2g`_zSum9!0G0R6j4x5275#M)PcK%RcF{QjG1V~9@5xjx4NWOfVqYR{2 z94bgIFY4ujbBbhS5owIekra2qps(-{-U6~g)Qc6jK-nACL>_awE&wsByff$B_!s54 zhvdqk7P>AR*HkTOLQKea3zO~}R8R1!)>lO~hIQt8Jhc28v)La9mpE*jHwtVFK;Ks+ zFs7n4=7H@-%>1FPahmf6TgRjK5n&9Cw9q7yZZI4CGfsJd5b7q@s4}QzqA%Ph%vGsd zLzYrFs0dA;uydHB^iPUmBx(TC5p(|=+@P={U1>}32TelxX&ik9dBV0EJFGrjvA24p zNo?zXELC+o=Fjl&*kB%?=WU|qNAF{E4&+P)UA58Ka2fh@p;d6MRcIkq45y+{8nGf# zna>M&US|E;N5BBW4S0urh?ZRyZdrfB_?ChCHxC|w-eW{m|A*#|73-t0;-w`@JvfdL zPJqCYbZ?sb1D65#x0=@{V(06sTu42?k0u>+qS_CRkzr!J=GjcE@D#N8OH!!Xyc!E~ zMSEX+DtppE2s2JM9`>}aP*=GH4Q&%3?xx@psb2dv3fZ?I{Y~M3axeBJ^n9g|Ei5u? zDp<;lwPLYbkW3S^Vu(ea1sLFlJByzD0}s3?7DUigu{0ajsOzEuQNrORZSb6}(oQ`T zjfa2I*n&07h7l=#03Lkz1F8Y)1&;k#boD|(&SzQ?HNySI6~|xSx2-7>s$3WSbcMUV zCyB(}6*j(S-)@s(4Xw=_W4i(!zpHo5J8pB)U7Dn~lM~uRm6hZWj(13`9e*?W^~GgD zmu=?_xQW^hE$$b?EcGXWVk&Ac-{w3s2-7DnT*;hoZoV@C&29CW!=VBDD#2+oIj-MG z`L51r8c?PB04W-&abGCm2^-|R zDXWCT{v=(Pk3J{tDs~#|?;&R0aUZ0NCp>gtXXSked1=2$D?q-A^0LYpaJi(Tg$14> zpT@0GtLk;@h2)iOaYS|dm9xQfV6G1BtcdDwZ=%ve}Ykry`g|EgL-)f_gC-7>l{FO>6#jy`WHh|h+inaqMUWDcE9eAqhh2Bds zv8-5hZ?Fhn!rmE7m2Rq7cfmmKZHfpI`K$1Eb$1umqcKml3=?u6l*SArg+t!B{&6!n z;qGP)yw6*G(WexBsR%bbSV6A*5pN2c)8b@UEB&uyTkE1}0KZFafoBG7!8VBEd@6_N zP=&Aff8u4t73I&(5DlLq{~ptD2$k7&EkKSrx^hkUJ^}sJ>p=G8<5v0jj1G1H+0}El zD_4@PX>^CXb*yg(WWYWvRgmhd5FD~rv3vF}v(Pp$<89Pu9~DUqyv$ zStGtS;qRiz)4{eSfWF zq667dD9_KUI)kSL=P{8@SmMF>FE@5~f@3#Zv0`YStrX1@N2HgftU@(PdK*yTevJWw zJmO&vdp9Cx=(ZoSJ+w`+r=Z{qtyoW$EvWox`DHdEv$pS~B30ZJRP3hL1NrvI^8juC zcf6r?rEbtNl0Be-`A%vObBP@g`1E;5XgItUIe@?3wEOjxB6$!%SmeQ7PTZ!$EK=6U#5M;y@5c==9l$x_$*7FclzPt}9 z+J73plQ&Po2Yx}>H`jsxKVe@|>*OYQm?Bf|(hzj&WGDOJ(QT4v6Y1~PZSs56)_+x> z4|cLY-?~jwVyISClzQadXi84{+0!4i-zIp#O`nFKJUjotlODV1*i-*&r6G;)^*BdV zxRX6$ytI`Pq|lv|K9$#pV&5Q(gamq%(jVe$+!sl{l7g$(7Epb?dMl6cyYI>}w?_@? z_M6=oE19danY-KE$$)NqmDmqI#3XEy?5I-y&A)clA#yExLJQpEgiGF3xTl#_{4ZNa zW~W1K?rDIFQG*TQ-^N5`r36SYr&{5!()7}e)H?GOoR_vEJ$@l(^$Euuyd>d*augU2 zaVbw4CSSiGYj98&bVhG9Oi=y)^d58g1!?PzoD{W=;-$yibnZwLW$+V>)7&ZaWgOgV zn1lzL@5}7Kuf{zdOG`hE$;;+sOL81T22{juet$o}k>OXP4^UN;5krndM#U_ni)s+ zoeHd^ym*8}aA!X*M+=8!?DSyR;(c@#_DGNE&A`6Qebndrc@rFU>bnp9dGlSf{Qz9h zb2XmlJB7Y|)t50vdI7Dy7~;2uq(iYjh4bhAc{lZ%KzmXe2WPfVfxgO7ozwjWuKd&E zX&^mQ+Dcd0u#WhLmaeYh@ei2f2!AT1|~ zf23EDyh5vxQbpCm133vM|Rza+k$tZe-Ydp_;ku_g>wC3_EUJg)36G`qw* zXL=X`5GDH+MX@dH*>s1t=FrNpTwb9HfR&OldzEdi(G^y^gJLvrQKUj*6%xk8e;V!viY z3>qN$*K6h9p}Rz!DiE?U=9`UfVt{p54o3&@hQ^UXR5>-?F$cLfEkUm zZpJkKm)Kw(`C$jsZ6O)_3ZPM^IzPxykoVuI5;T%yh$Pw3=u0H zZ*eY@FrzK*sffYX^b!3v!BI$76WI%$K)y8uV?=oAk0^@7BxX2MM7Se6Lqz!Re}sG( zmn;!F@^5h}CVkPxUDXJV{Qse@%CmEt z*8l3Y|4DV6Rp8H8g}354lDpFUGG0twT?M33OZkShjd_Bu!y8MR0qYXp&!1r2`JK_c z0I`)10JiY709TMiaGsi<>M!DDP;V=u3}OA%eNAAD3ixlraxCi|Tz|U7_xH%nGXBrl z$A74e&53{EJj&NCQRxd0phmJcfU&nhbYmMD87cStQmjiR%G=CRX}chfm7Vl|40&oH z{E(_3Hi03Ma`g8qBh)Z<3baGhMyvY_x<%Tl^u#_p{VhI}cNfD6VVpC5`#i8Y#uxhm zBc(P?VSy-~LbAj)nWPh;v7Ad2s)@Uw7gBz7t9RGJ*ZPuk)0MCsikC}NyCs1bp7j}w zNmTevr`=en>SdoIv28}~!G3>563Wk|tI+eEcXlyQc89bLtMUbM0iL#H2j^(}?+|!R z*&?q|Zi{sq0k9vF090_zE^I)pBIdzSI;c>zz>&0LG+oguW!=8+gURRLSSe{PB-xe8|;Ptc)bmc0)Zs08*DNrLQEw zPXqpgt&de2L6vYRtR2$3axbEWvJXGn;{3dQLy(;iw+fpygGqL`8ib000f8gKX0SgX zn`tP5@*`GS-J8OCYpQyH3%lfA2a|cbFzdh+0|U?5_>R-r>?r-_*0CQhK~D8|XUyxQ zz~ifoA3pn`2iR=Dn!YBAWz3agV1_s`@b*}9g~*6!=|mM^Q%q~MWDgS4fqzP|JXStm zkGNEhoe|yoAS|p9O$cyo)vN)RJwxl*a#Dt7gKK+^0M;!4DS!bGTUsELn8-IGt(4Wz zzL&Bg_p~o#Gp=#5$}S-h#pS>NdWd4M4GqY|x3$ct%ujN#nGE30N~pv6 z`@7dE+UEdc;M1%d`7aKCc<^hZ0DB?{L{@>1QncFUftD%xZ%kh|MMP7x=TTNiv!{J& zhtsCl>Suu$hL=svyYo9;-NdoV|GqQj#V%XAMF+!--3KG{G@zn`;!^J)o74J#D780x zYD6PrN{k$Q+H9x&Eg?MVK55Ha)38yJ2EZV}NztUc0YH9gM{Q9~zykpK^lF`zH-OXR zG8b)6lTn^1Vx6CnfcYSDbN%o&e&um&KT2NX*u`5@@rgZ=o{);A7G$iCkw!)`TDV_VTPRxB<&- zG7srNvh{V$#!cXTAkwaP2aq40Ep?6v`%&E-hn5hg$)rFE@8eLpWw~394jo-c9WQ{p zF=zu;r1P8D*0KUe4?b-q8(W^i?3lP_EnUCVN3os9;MIBBc4VEjel(;`FR2ITGXVy zsJj`I#B<_mFFK zz4X3;4d!0Bx2jXR>z^~>WtxbsfjGzNQAy3&y0=v-$uZTczKk~9UIBJ|1q%?HvC_ue z#Ad2|l?MbJ6GAdxd4Pi`k$)U%caP4!ScI8oXOurG-_tfRb>$oN%Axk8kP-|li#ch( zNu`0~Ec1BhRD<;&J`4>A_&WM*5zI}0x*Qd;vQl!RZU`Pi90#{EK)fdPv!(~;DifH# zRsa)!mvv9d4Di!0=w%+3e|$pq-pD~BzHq=x%R%s1FbH1aBJ|VaOK<{!*X^9#gcFzGZCXyk7qq4qnOfg}j;~|atoErV+gd}aU|ci)j**J8!S1NF zN}Bh~|aNukgiE;+C;D|J^=j)0%MgIsSC znv?N^@eWU#Z*sGngunv;{;y)OV;rXx>=gl6`Ix`3x}D0{u#G2q)VbEdD#7-P5^Iyv zZJxTVb)>;cRw1M-)3~K3!{}JLdcAg1DYgK-Q{6(kl6!zx^DD*qrffE*c9U~*gSXNs z)6^co+5=i4aT6jdf+-NB03PwD5Yp=hc2&59(K7tTX$9X!ypeuj9s=N}-2tg7yXc84 zKVjigCoZ6xr(k^efXUnL=jZXM{q&)j&^X-Q7+~m?^(d6#en2eQAu;=4|kQ6;&DK#n$d$!IGZ@M;i7L$26PDVp4Do->FYvt~k7Ully zdl&3_xMiq}hWf9vh%ZGrmG-1b7C1>;($oT3U>oZWd}p~fV@7p}_~3YbYw-LS1DB5$ zywxmF#7Q{6N4~O|7aqw_Ofs)*Bw<(Zm9snd4AlyJyu@R?i%z8T3ZXf%2vrgpE1j+X z0-uhKV#aIyd}=96=b!OFjvs?nr;*_F;gzjc-%6ILXSaw2dV{B2Q<+X^FlC6rrQsGq-F#XiYR$f+5Yvwcj9Vesi*I+00%^5=B~x_i{3ixmC!HX@-1f}d#NHG#94BLw+`vxH~9zo$(%0*yCh#cdH~yTp@0(c<3J--dwOr?Id7Av)}cHjVMe$F_UeJkYy#O-876Z;d0b^q#& zrX`Veiji@{S}5PcS{0yDfpKP5nStu+@4%F?>yF}CNH=u%s6lEa;cp7)k1mehf88Cu z5A?N!=Sv9B{N4`}oDuuL2b~d1kEo2^|5Y1ng#X*QzMTNU{q)kmqd1M62tsX6Ez7DS zVrJ@3I*ymJ zKhUbka)}PMM%;aOMtUX8EyIN9gIZM}@py$?!f99?w1B4PaMhX-Ro`PMgA zA8p>JfGEFqoo3`q$eg|r932|1H#`7j2E_P_SbTB*tUoRKeTH4+5pe`nLxYj0p|WvQ zNOgz`YFy%W>ufUxzjp{(<}s$+TY%;!8{U-JTp=w+u-rV^J%G5~JHekG&?0=f*!P0r zVVd!1)4(JgS$qNM)kjmuT*x~4$n4-J{j5E$dRuN$G0iIby#yC8F*5C^WE%hHE&+Un zvou`0>R(F#q8!4VF7irsF~Cw)1ZW|KFK|#Aq{(VoqIJxxd1(_0B{*DeeVOeNs^u`qDq4ss&C1q-P-t$F zrzrn0VwWg)wcX8d>JaZqCDx!y~}@{67Ho z&SUCub}Yp1&=l%8pj(iy$Se+Pq4^eA^ax}*d%}{FWzw{AyztxQf@>}PGPD+({MxBU zo=T(7#X#+KPZNIR=p9fUG`_;Zsvv`#RP5i3lV_(`lDMhz4K>@oGL<#z*Pt=2__R;l z(zDj^J~U;+D`)Osnob?kdC`OGzVcqjLC>UFKNsP*>~9$!i5&CeqXsUBpdyOl3)#2L zWp|^S)(2`^F&YD8@8KlqDN?OXTQ2z&i9d}3!12g;hR}ONg$UoJF$^D2BvW{CyT&w{ z8U_b29%DpFG^lA~iZm77^W%$VOY(2P{CNu70O!^RZC^TGkD4g|T5OFyWr+y7yGnMt zR`iZdV>l0xIr4N{?NByWBm`V%ug@D6h`hnHQ{!k4#Gh_^gdgq9@)*gdZFxD$%FwZ) zo&Ytu?mtYo4WqUZ{gkQ-j&90fERSNRsm@)%)n;X2-IdytaBveDv&}+5fqV!Q*{so^ zY~}UJLJL^`4V^OU#zCBnLI5AgaON!tUDQ_n^eJI8yEa`4r&XO^pH&@U(&Z%HT(O@D8V4^ ze75N&WEnOvz~0r}d*rH-nQ$V|*T3*q2(igLFR`_)C)TaT@&8!*%BZ-SrrQzRHArxG z2<{AS!GgO>2*KTEAi>=sxLa^{O>np15f9*P4t?-T6gFwR-Or`U+~TkB`m)U8#vjlFLd-|ui+Q4m22Z2gGt zc=SzZQ@6I?u1mm#)h7}sZ?>jt4?Ry}&i;2>75&4bM)3(>++t`-V;9W0S~%S-WZkE; zCDqZM$?n-%Uq>3PIbjr<>8XKRma;n)MyCVOaJBptQ>xlpPn+ZW6e26iH_I)UBU~s4 z?%?J0jfid-e4*!^> zJMfm7&NM9pKM@l!#vCxsUB)LWkW43p+cx47;BMCzN&5g;94X~cDx^XiY1Hs*Ie@JpMkO1Fe|3>FW+W0jmTYsu%8f(s(!HZ z>My(pZCSB7(ruAIZ!|CbMZ2@`n~4!UKguBqqXm&p$ND?~HCP*B7?s9f8o$}lOOMzF zegN{ip;6~WR-yb=v!B3)1Q**gppBl?i`jn<`6UWY{o2}JWh;J0bbXJ-VU^e7v)la$ zJW>3cU1)O90D?7-98)`P{aP?fFmco})*;HzR^tI+MO~Fc-y6=Z)$7(tP9l`btTKHK zbTV7Au3sn?(Q}BmETH+e=~gF+N3=?o(kmDU==6ezVbd=#%e-Gy+ZUzpk8ghvzNNz) z+4&&Zq3sY4rcbWIF8Z7xZpPW5L0uOV%_6ps3{xupo}_qz{f-lzDeQ+}hF1o^bzz=a zHpoGx6l{eIji~uNRvwRaL3M8@m_r(h+-%18n0f3@5=YFqePH%eu?P|OHywaSbyhFe zvfz`?Hy1pXD9ic6xwWh|Bp-og3_W!mUCLCfNXtSBmS}!knNf?Zwb=TS7}p+es=Y=p z%2hrcl^91YDs9`-2A^86O&bgs5U=O$F~qd|K4usn%2x6-*K~R%vi$NmE9cbY$NcaB zUn~ZFXq3vdAV835s)0`D=RLArEV&UL#bvL&p-RlX>>GG2`LvnByka8WsiBT!7w|je z7X?3`s_IJ(-~;n877cyryVc^Ta@^1=i*fSfsM_)bgy9=O3M|OGUO7a^k7!%kcNbi? zPgISePDoGmzttiA+;Maf4iB#;>{%F?9->+@MWpPQDyJv~EgrS24f^EV!(+}0V zkDV}tcZ*88V4NtLlfA&H1f6Inq{NjfcRrvpTcrAh!IAyn++|xkaVzyCndXcwbvZeE3A60V)MAkvgpz2Wl-NfIAGdmE+So=GQV~X-prB z=os)h!)>g3dJ4u4laC{ z*=clybir(T*)Nn@pTieK-iFVMNWjXy4)G!vM6l~+_VnKtI6`XPUq=Ua?Ix0A~)<^{pu#@7E!Q!`^HNy~)p~vxC zvulV=;NZ-zL4+_!SNzu2IVBz$OUYLRfg|!Zl-M^?$>8{@xy&uIH<+lgL9i`i6cj89 z^8Z^35Ql@ihC~zm1dJxek@&^A&Z;Z^4{=3@XtXpuNyDOy>pL_@t;#$!0Zrv3g0e_p z7GQB!A~VyL)^Ps(qdpuZH5;wbwzdTYLt&pCBqm3RryN>jt^5RW5bS_r&kK7sqTBCG zEyAqAq26Ym2 zaJ2?`2YFJ5*W!p*i!eg-l+oV(Km~gV)(a`rzTOXXV}__}#u}kHBf0(8-$tQ`MZmIjf3;{$NT z;^_BniONqLi1ivyX`ton7UjN@#NpztFuVyjh*H_h>4*4cp3hq!UlSVz^e>f2;LH4;MpVEy_TI?{C@&AmC-1G*Im=t1C0k*6D`}u%HFxxI1ka zgu((P>g_t&|8UWuac0oovS@52@UFG;oPp9ye*@v5S{EsruUBO~x@-<{7yRCKVaYal zN*>NU`gHlQ?3@Ga|@)YxdfKGPt+h53~N((Ks{$;rln( zt&QR^j}ChSbjKvhPc#m_aTl+XB73`mJ5e*v559)u><>%L;^(6;<4uF5fIygNmB3ZeMBzTo^%+Ijv5d6&Tu>Oasd z6y>YH`L0}HBZM_{((OIJy zp!bs_#lK!(MdZM;Em2D zrBXP~9Fw?S1OH>}0zzF-l1KQ@j_=nUkWyd4a)=p|+J`yky+lqqjf z;|D4W%fE)rh)nC##N8)%Ql?Gf0X{IIn^h>b78YB2bqA?_AEDi0bp>N0#>1d3og$lw z<8@2Igr7FG_(J?^PbkWQNbf+^wjh?n3-i7~+CCXriy2L?A=iK5!#g zQ9h(bflKnujyjh)70&xd)q64&288eGTt^B?Z&4XQorw7DWV!I4t<^MrMYM2NH65o` zs;}tWohs;m=7J;)nbM&*FP%uis2|Kj;0DPb6}XN>Jlv_$ryXO}x$^O~rQtKn4e8RU zG9gUqy%&^d4C-G)f+LVQ`UauLc<=oW(*9>^+Xo>yV*HssoeKwrArO}{P&f63J$+cN z>wi0q*j%CP4d1pnBtb#=Z|4!EgUa8`;CmL;kcUEU$^#1EeG>K(*R=x=JlB};jYENJ zW0PJZhFq6Rvt3PRG#wFr#sLBktz%aVf?i!F!4YfoPJM%>k7;H*xe>}-4}lWmrew%B zr$`oYL~K5y*j78@E+)@dXr*ei0UEIwnHuT-EGHEss#W_x9uU>pf|zk_Nc;{3xCqms zKjr#1%&;E1@|59nF?hRDz_Y@0~F^QL$Fy;{SJi>tw`l{nWGwmL@P(aCU-&Ni(@{lWW^EeCZ_Cyns9IHBjy@b@J; zFjco*wa=S(HzuqI_111bS0OxkJ!#p%mJ_oy1g3pMW!U{1FmGv@##@2r_flJ;zajf8 zt=j!>AjIX%ZnSU1SVX^8ru8qTJu7vNIopqNy%AO)IR*}JZ+i~K54eSi;!M5A^(Oah zpVUue*%nI00tGptH%O6c$>Y7=K<5}ip)_2yNAL6IB)5kHqAazC1s~I_pyklY+?}im ze#wr2W5p_?(6KguuwzxVno61*N%kD$<0lET2tPV$&Gn;ImE6`O2Gn;&=98w*5Bt0k zRJl7f;&{(dGbsURUKadHjTyf;$ZIUOICuYS-LpOm+rQ324+hEyJf;T4Cz%1&cfYTH zT*7)to+~}qFg5Ex*i6c`w%6aZfVLj(qz zg=Gc6(C6oYOrTuMdQ>p(?aA^T9-4(8^+xEZR` z2phthl54giPv^u35WgaODz6-H@AKb~L@YUF0GcXoOi2HYRBLy)>|w!3NCY#ID3F+T7%E z@I9dxG@s55NT`LY7NM4ghDZDdRX$CpBuu6aXQfC~zl!@?ET$xKBZ8C{_teM5S z0W!wdN>70bmPU=-!bM zBM5(QDncaE!@SIVD~39CR0w4k%Tg$RjGF=2K-Ek{oo#PPL~1+z%fqQM^*?jS(wL3JWl& zc9LW31s->L2M#{s*=&p&2~2mxyjn#kb?{i=mx}d?e+6mJ>LKANX`)1oKMsn7yOx5A z4MYTSSE<1_9)Su+0x?^S>>L+xktKmIGwR91G_4n^1&x2tk%s6}CePW<($!(p|Ff&o zgMGbWegCBN+2wBP7PHlM6^iDer_FMeJ?GQRMnQZ=TkOmjiN4B7L5xDutN6Ar5$AlT z6Q3)Q6o`VE)?VX0B+!Cbw7eusY=D)B}?I=K2l>kExZ|JiLYK#INGI^hS! zFT%W(W$4Bg+G-@kV!(v#4_Uc0A47E^6KCnAkK$@8`oo?~eRG8xi|BX;aq~@~?q)0= zfeY#~zP3@prwc85MG>ltcX?4DkgL~+f*kD>ut@x3o9oPvpYV#(hf}p06C4qst%i~a z5Q^SVb*g8tc`Pi8ml6PTAEYm`&RQH2rmr~?hB`8E;KKCSfuqh*;WAhmKr3pK^GUBa zaet9;)&<|kt`J_y#7dF6{l+&Om5&V;n%gal&ibsPXFFzbwpSL5@jHPm1T8wBEQG~0 zpG>w?AhpnQBUK?*>ULc{);?S#c9#jkAk>w>U!ju>4lW5(vngB5Y5~URGy}R_YplgTz)ZR*_n(u zw_iUh|5&<5w5}|R^Ie8zROCw!@UMbwty#wOMYL~G!4)qfp;#A1c^O2vaQ?N?r>G#< zSza?_!MBLUw<=4kF~|b;alu~bgGSIKwC`ln8a}f3-()hZnBt)jvcv<*3r_OIV3KE% zqHeIt9sQ)l9US?+ehQHdY;^FTAWQfo%4Yt-{Y(*wD$LjO!_1fZ@Gnl3hcur(G~bk? z9QCNMNb$>Fa(ymKEjNrByfa=ikFOlqUH|$+f5JlJ z%94^-ZxbR9c@0uQ-_K}2;ATT@#y-G|G!%J*U)B#fWZcCjC)mBKm>4* zNJ@IdXm;4>af1XwGaL$RSELhyR_$l@Nhn7{vyER;$W8%VyOmmBSD^L!Ql$a2390cg zss4tK`Px;n;~R;!*O!DW0JhXfoRAlI!nlaN;*q0ZoIWMfZ=ap!H2gq*_@h5Jdslx1NpFG`p!hpxUM@B;FJ+-g3i@I-au+%8x&JfP--(;W z$XdzS^6CAs9JRV1sXE=5#Kvd02@0kTm)lOgqsZ8O1303DG!g)BRzgTX3r(Z4Q}K(%TMooS22@&nB1T+4pgTqB z&AV@IENg2U&EG#Te73%Im;gxrULH5LKKhtsn49QX5)bsxnd= zbd$-kk*5%ZpZ=)8^dZ1P+Sssd`w*=Ri)p+92w*Mloy=#i0nT1A#p9Aq_BubL65An_ zA>s9XlETO&n%*z9Gz%FBXvxH)ot&QVVK;;#dsdb7mtan7^@rq@9ZCMZE@0V+zh0j(vFC>V5jtBoP*K`xQXoMoS5f)IK+f_ngwe`R|Pr}Y&nr%74D zFeLSEI+BRZyYTxU;faVi$AmiJe;QDGGsxg{^ zg3<~TG#a)ffZ>ZpuVPZTDCR&ad3gaHC!_wXQMn_kLvfL!QOa3}gYpN}Z!*H2igR=z z!H;+!iz9Vp{lSa!1)M05Ke-sa6BB~~)^29twt%y19miVgPn4ua4tozRS>8aR%di3u zx$oH^5lZTRprDwNv|@Y|MksgNI}?T3;T_hUp>K8e^bw56rrXDIvs=H0T-hV&OTNhn zacZbYjX6{(CQA^u`{J;c=C@mZ8hIe13fY6PRVb#%t0Zu%9~7g&%B;{+4VeaLVGI2w zcgKqIBH@GVET2t#V^eskq9$FD3o>&tBAj=+q@U-f?K1(78a9dH7SPf0Q*XM_an|y; z;vUHv-apwEXJgQWNS5B_G2WrAo``6^0S{kRoC~Eg@&O}Hh5oSJl^$}xEa*GRipF?$ zxu>eY^lbGH@c*!}URB8mD|hDSPvY@KN@rWIFO$MEC)rsS^>ye>BHUA%vWSk^SYr)} z8f0*+${L>GmrAdBC{%b1eyiB}c$|5pimpLyNANM+Qyb~(CKH!fru7RNrOFxx^|3rs zv&vWQJ?F1%Br@92zmrVM*!r}sov1@+^l4mAT>zaAztlo=z;pVpk77Urfo%F#Ke*;y z&=DI66FhCQ;*bp&=qRk5{wH=4?+t5GBwi7&_?PLka*IDuklA&!57la$e}ypp(65Jc zJ-&!`cZT&BQ1!K|dur`(;>|N*wQ!^W6Ts+(_MCnJ)$bX7JPBLjF>-un;jzeLVp~OS z?vvU{yn^P>>_BNzAryE5TKzEme@w=R1FvYLFLqZ&A<*wbvV|p&Pvo`DEkSP=aNvF4K1xi7dAqV%SynrGRKkVbC}_Q*@#U^w z#>SvkRCsjgkVyWw$;AKNAmlqEz+9p^eMhJTQ@@!so0QtmyLlDckzTIeM=E~* z$RAyT1Jtwk;fzC4qgE|nlNoGa(1}W) zY0(Y4a-LGmYj-x}z|N{F?pP!#B6p4gD9qi3o0GdtkN(|$4=popGzJLx3i8~w~y#VCWG(Bp+UZIXIp#GAw{PW6N6h4G;fyEmic~K|NaE9sE1q&m0F*cRhOphh zc}Nrz_NU@7{t`6am%HsP1_k};`Oam*{&Af<1Akl>JF?5#EC1KQXq zPAbNC-8k_R_aOEbVpE)*9jGX2zUYDm9}heb9=rz`2a}i{%Y5FsIrES5;+Gu4>ZfB8 z4shsR#ypI{{f)kwkfJD?udcB!;LvduFbGu2;7toal1GS0{4yiVU+E%Xiv zs4Hl(_ND2Pps<5(%F;qfP^h8GZ?#i(Q6L*ji4>RphL=kq-Bevqwqmk}8=Aq2c3 zN5)_#KrOwpC=BkBMeE0dKI{3GVR&i3&Vw#V;$<3CAUg z6d7N`&&l%@%VLcq*1-;VD-bI@DFRD7xL}4i8m<%jUJ4|bgyVYq4hoQPZ-NphMP^bZ z7Mk=X6yBE72pzY$Sx%jA3x~euwEkM< z2!vhqFA|p=WxDwg=BUchvu}I8JXF?a!q?v4NaVQfT@@+U{odLX{qUWnVJLOy2B7Wk zF_hJ>aOTl0$IeB|KwM-4RYTuW{q# z=4+}_lfz(ilk7~2*59-Qk8E!FhKC0Vu|ORq6w2l5g>Y9neYQwP^!T?}EHTSGoc86@ zMin+4qK(dH$a{84$_o|e0YrGTZVGt?RioB(ecNs+7=(eMqSC~^FptKTG5SGm?w>f_ z@_*F3sX!Oks77xDA`1NN6bjll48X;eM$K*$nRp-TTonpX8c-B@C7I6@2}nc{iAzqr z=+Fw46bjykD-if(O5v?TZWhnp%gq>$^{&2v7VM<&&;j|ASBQs(QEDMhr)meD=Nkha z0)NFMy;?SvcTB$c;jTZqaCZ2)++xWID{mP1WkdgJTUwyFr)K&W9K@n>c%Sj(h#XXt!Aem!W@Iz*Rz18J+AJ2z|129*D z1DFqFBOb^-b-d3wcbOWVi!qv2k&A)k@eH-vif$lNkIlu9iVanapArq^4)4^JAW8R* zgQ9*|g_9?UbbSYgtebmsTXtAAcgF-U*a?qynwS;YYSID}RFSl9eSz`ge|`wd&szrS z0B+uCx)m1iS=FSe28Z}`~ccg`laQOsU7GISG3aw?Zc= zA*`yOiLk51Zy>sVI!&_9hcMIMqKYBbiC|=B{vt0qi7X6)i#`8|+HU3Q@$d8i$zz&% zc@+~#TiykGea4iH<8@#aIlR8%pwQOtUqKAC6P~+}Yw#}`8hpz`h}%oQ$h1+mA2KP0 z;}&E9AA`&e4Iz?=5Ur;A2@(3-NDQINr0+3p9-hc5a^p=xeO8ra9^ezDwi$t!ou zNJ9j^2u@EI+JMeugK&^Z2ZII8Zhu3-Q$0gk=GQ`4yxyGd9=I0nWOnL2vjjbF|MP%W zT=FE>stf|1X`{fH+%&)5hWrA%XdktDER5}dlvEul24_b~w@fVzpnRfMiJQm<)hYk^ z8idH-u*gG2adHJCM(DAMQi>#sPcnk`aMiUs}f?}62*vgc5YcweMYFgTaFYPV%bbChzKG;>fsdAn~ zou2eU_02t~=z7IV@bTIdK(nSntR2L=Jozwxn#oN7D@5#|rZFjFiQi^uN64eSAp}+nTsSwE*ke%s!E13#!IJaI2vY-Ka?N5leb*B7l4LX+ccjDZA zIqnn~aF7xP)VxN~JCWiG=$&NSn6*A`k}Jv?rYPEb3~TVkH^Fg)o8&NCSd1|uKK8Rq zmALK_P(+mFah?%?v@E_S{}7Yr(9md zErTB?aDR{~+6Sl!G&D9k=nu?u-$I~Eco9dVWm(pOPODd{1&S?z-;yL2bC#J7t%iTROK+iiJ3q#+GU{CZv zCStm$4rFJ;@hL~uYK)rpmQO}19cEZQM z1`UZslRlFf6kz6B&B)_i@B}))oSqS-q|_U3sduTZl2C=BFkIMj!@Pfv)C0*86cmTOXTD1t28Xr=h07mVk~XLSx;l(QJHEBG%yx9Z zEhZ{9#QW<73eiEwxc5txjU+TAKI!2U6toxHb{LOw{^a#~_w7@l40|xfT*V*1@Jyzi zstsJ-qTFvs1X)4&U2Rcf&f_~6HqHeCUUkSmK0;qeRKwt%3c2&Unjh1ly2Srua^U(1 z@{t}Cc_d0NsQ*@PI41T;S0?d++m3bcFgWIjRzKqVS{ZJ78lh}81CR!M% zQ3C{Mhdaze#1kw4>k{0OU$I$AP2=a%iEs(AV#I2kNp`kXcfYs6SqLHlpF5u4)rMN% zkD=&0&Tt;S4�C@PevB7iD~q?DwS}^TXylB2lR{Vv(l7VFkw|S>a1*IOUUb$ z$p9H{86u~xN2c}SlZ{BrQ{=b9=kXTH_n3>3ftHVW zNOFKy>minJqKBRruZXus1Th`g;Yz*Q7)i(p@#=u0$VwnR4OCDwjeKfTkbFQ-plz`>x)+C$sp@#N+Y40OegTNG*Z`*7a_P+sXyvd^%Aq4qNNX3VGuL7yDE zSd;p|8&#)nbZ4f%J{JU1o>T^P3?+#W8P(Y#$jCLEVOzD#bo zJBd6eTF2mkJ`@cFa%J~|NQ^ThM=jL;BijBDbm_o@VGP+{!Z=FW8 zib+r9vh~_PfW&_TO^s+KH)HO6PEn*~|BmWx?uaPO|1p-bUXyy}i>3`e{=#RZ}8Op$V+g-a)Po506fDww|tvkvq4VVIN zNZ&c&Y|AUc1-fPLcvl@#e~yyGl>8#8dI)cWklhGIU#ZHN(X633NQ7`DX_L4GIfNhD zD|LlCI+<;If3jSLGrB=+SEKI9zl5`@Wz^15_OlY;>>-dRW~;@Ue}v)~fg>*v_oH3+H?iUv2*%(m1PChd4 z_QZ?eUXG0l$4#`M_b3;N>4pMm0VmLn36b-*$${#NT+$ve$H*WmjV18h>M&NOeaWw@ypt%}%A4x{no z6!lEvUu{~J^1-kO66Y{WrdmYD{PpT71U$Rcfswq!+%uoO${^q_C;aK_-L^WM;S5Ux z#+CakuKR2hnqu6?73aBy2S8ncYXjMX^f|wyGXxM9Y17813?`NjgeaHllcrnyV_bW? zniR_Zjl+oTn4&ytBz7BuU}e;LUu9lEs+6rmQUyPQFd`n|d$)f-j~V!NW?amFA0ScM_9GhOY{X&Vy z=MX@xF#r^xvmdUf)+m&bRG42Sq+MacHZqL*6%=14lIS8UGXa6jBMi!%!cMzwukAXJ z#-X5lEqg48{p6gGu?g}a>W}PQbLyWT1*v#i8iT5HgWm?1Vg8YkWyGE@k`Qr^3@e%zt-pf&x*KLemz7{yhX$=kB%{w`v2Bo%!;R>7qa(*&wQ-;(D3W* z7`B=X%pUjyNT+mN_3Mp=3`c6m;m8A?+kV7HIl8xo_ovzxbbFYC#&iB^iVR`F z&)Pq2fjsIHXF7(k@ceSmO;(@5U5%=`VQl9lACx!qAa~HGS&L%Rp0r}IXFdjB-MIO zm=T5*5}MJl5f40935h)V0C6PTC7BcVy!v$j*dGk#g~XMyjj~Okapy~6<(5$b?xYB< zCpOl>(>*etCWI+zY%|uvqGS$o0|ifRA}3o?WhDXj2Wz`(2{P77y_CHKP{UWv3tC;o z^|Q`eA3zRCK(|~IaIWG~R8K9Sl(S5sWK1|fkm-{dHJPM+f@yDr#$9JnsfD#fGQ1FQ z_HdTW-a2L42tLc#LUdE-qNPdP8kW@1quG63EA5?Vh`M)&7^9F8**Kt84EY~3l7M&m z@?!sH6wlE!V3?W-3GvYh+Hw#sONdWCynx3#J0<%=N%wcH7$?)YUR(f|&=1b$n7>a{>0J$6<>;0Y$|0^UY_P!WG%mlZY^LitqO!b(6PiHdr22%z-3uQmIcK z`Y#ztV(}uyOB&A`J+Qefroi*b?I^#f+@3JDer zb}V8mm>!wGH@4OdjOa|L>cywR*(KJBkOY!Z@kY=;=A{+Cp~{c$I@35Im~=sEq>tRx z5BvD_ovY6Wjir1{j_4%&!OsK7xhii=A8ppo8Q1|5DJ_3?!$m z0S>ZWB1{#2MSupcco<8CA3~;8i-tnkXiphFDv_vh9rTzYC3QRt74vJ^Swg=koaDY9 z$Zte9mNH3g`>V{;g#}58)c5GOfB12rl-MWb`iZ&Ohe_j_UO`8lvb$+*={SO1d1q6> zNloAysXT%=?+qpdA5-jWXbGvWFiu?#FqTiAr%w4N*-!MpiF-1A4F)PwAYO0@5c}yI zq)_532^Hh2lcb^d*X}0o(>5l+!Sv*Q%YiXZGdQ!}7iJu@qA{4JPubWZm&N~$l#_>!<}0Mt1u3LS_F{OD0HloN)Vf02F#!3^x0rU6 z9q(@q4Oca)m}VDEV7j0k&HUy!kg}>5#AsWEi(stACi3z?n$PUdj8dQ3Up%mzn#fZy zX+Kv1oYq-ImEe8;dhn(|6yD6@eO+0JrDIKKelx?e&E6hGB)4J!Uj=##s;(*)hmTl8 zl7i3d!%Q=bauP(Q@={Gbh!g@OVS=zof`>$e z5mSkWOH?5zB>f~%Yus#M;7U!O0lZpE8KZIUGbj~kzaZ~I$soAfShG(MoTrvCB$Xme zIASJ5U;)8&zW(6?>Azp&RK*nV)z^S60{me?Cu=xk&8}RhQd-ag@%V@(d!}blHTG^5 z-ik+{RoS9Yl6$OGG5>X^YPwn9r-CsP$>P0$`S40I#vN!a&D*cq2c$b>sG-FfP#Sy5 ztTMTFmvNy)xX-NWT;c+v%h!A-Pt|^>`hD*&`YudxCPVkYqg3+je0%Y^5g*uY>zI

|&TK&4VuUo|BrUAZzGxnxz>sUedUp9Jun0P1g0lL4@Q z#;K;$g_V2naB5RSpFwP?z+WEX2g7R?yK}hI6KNPOJ~|Q={e98pVtsz2XoYc%iVi;m z`#O$D)YY?WvDBRBO#95U=xe^NRLaqZvs$baYd}R9nQ$kmzn$A|-fx zfu&#L%NQd{U-L6!RTrVdlwNbyH2p;3b) z5x}pL{eaY5A5092kH`qe-ZP6(XcXb39Yl3} z&XWPKBsHNh=@XlSj5gdr6y(~j8Er=yIB7P3BbVlah_8Zuoa&S5ZA-c0@nY68h5b?D zZV1zJyh-d4%bjIW$)9oAKiv}KeunCMvQjO@7s`80FBDWV@erN}9WUjGd#>wXi{ctL zWfN>N&3w0Vck`6*u-VE``GpNiWu4N^xBTNNz5*vv;t1Un3Ts%Q2ftxd1F~H8lWMxR zI!E)ZCPIeIS+Ax_`Jwcm5F0k!fcinU#t`n_@5&w3U)lWX*F*?q|ctIlSwS{q1$ZT%heAO9B6cjCUU zrbioAiv<*2r`m#2`IJ$S6n~52Pbl6fOgxXH3@bcP0K?;x1kh5fzhk2;AS+B9#3&_N z93T^;U>f(8BC%;}9H?eHgQ1w(T9e0EB9t9w9|-|6HjxC{u3JK0Xeh`xLm|;IT57|W z0$dCgEUeQ-t-Ob$)@|nSfYLPV}i9+LFjyOeVyA2ckZEJiCmf$cK@_z0D9;Y6c57-{6|buz08Q@ zF44hE>|FM%AKrn*i~cQU@bN-A(Wm4#OlGyqvuk?6Do{$ZJh$s9>K~nNoLK0hfXyM)4HF*{nOy-JIj7V z>Z3q+>#qXwb42Qx&}j@6vrnZTBH-k^t^g|8F_JU%;hEC-D6gBm>(H!qDd!(Qx@mwb zc<-LSJ)oBnabeUFXoVxQHdB7I!}QV(K2&ET^wMB1P%rz)q#Mtd zg}*)VF*}o#GI7Upm_-H$RqKZCbvWwTmBh zBiuAO^gg$`;Xn@_o)d*z438U|!T4SxuJQ^n#B-#qKmJsUd3hB0i9X2~viDfu(sG;;sL#DUJRH+clcLa{lZ$~lTsQu_U( zICBl(g@N{+s2%WRL?^k(_6j?Z`1P@hh0j~402C1iGe0v9%TavvjNS z_Kn;x(B4m7)qbPv=xzGhI4-n)YR!?p2&<>7 zMpbv{FW2F0HDVE#{J*6iPX;Hnsn5-nLi;V7w9xzK*lPu)o@?QPg(=}Ow%Z0rmd=;& z4QaR4F_%}{O({84H531e=G9i@sGxr)&Pc@=ZX{HP*;_PSd)p2H$td@~#V&l@{MR#b zTTU>0AI*AQs=b?~7r4zL=O)3J|17KVj!S`eHI;G8OM!EJM;=~(4~!%J+72w>TlKBa zUqR{1{tSb1KOf=cWstf3d-1OMdF?1McDHU4DG*o#Z8qK1z`J*p4@K;Ncs+@p+GvUL zhVDs<{ybNSCMa2c>#%pc@%J=!Is4AI=U+D!N1MqhtKBAj^uKN_(?6k%F8+}AJ7l$mecO9A6Tt8DGXZz2jJo3+Y+3^4K^9T(lsrWV^-V*2a zbXg(g8f=f2_Ky#R6gsGnEfi;LZGMpKNon?+mvSY3HFfu}^g!ws@j4s0bIu;P6q7tC@aK$hv+pLFX_MR>I~zXO zD06CmERq4=W9WdTP-i-#X&)};^PVw_tl`OK=Dnzv&yQXpv(esL-klV&aN$@ZFxrhoUpMb`+I%q;_TD$Hc#8I^5u}ER3_1Qy2`^_ z>eKC4KSADa68>Mha#j=cR#&!)C+ zl01vy@m~mT*IT}DSRnDVNZ3%ZG)Aw|L>K`k?BZ5)p>em>r?=#EpuGn?ZN2Yr*;TDe zs7{a1R6$hlWUrzB`(>_ z{L48dgnLO`X$bY$GpWJMZWVTpPAk=aQBsxSelQnkgcqaZyT6ECsiIte$RiW5q^M9k z|0@~morDzejYWATyqaf#%-)sTm|D8f#6BKR7FVyU&$@-jSlh`dh=3*X=l%qHNA}dm zYkp*fwt``Yz-%v(Im2m_ruDZkGIcARK3`X_JD>rP`SkWveO{^Q`hicfDKz3sF{wg6 zr(c-TYaU*kmnsA7I!K!->S~7{EBUPsymtfI2zpU3C+g!+$QL~4F9?KBbV9Hq-?llq z*-iMhG`z#cT~1(E>;A{R=km0gcqgr^N^LAHvVmI&JykzK21?-njlUo$~E0@KA}}OTxW4m8cEb#8YIAF=5$plP73F z^{hB;=IlURtMbFI*Y>+{QIE|eo5RPKCrgr!b6cL`^}Dv^ja_4Jl0Ro&gD+wU8depB z5noe+pz|hWp&!0&L^M>EoKK84({_5-wwCzNc9h=o$T^5`S_<# zZ+jtOIN8IHmQ^r#ovkh?c6Ad;I2jOw0Ut|x7`Pf2gtZxg;qKMr`ETuabFk`@m#!sf&pFWevg2P#{p?x)WAnVxHTjcr;~=27r{gM6a&)05Ed6iR({7y$OONlS#Lvx* zJHnQpGqEAY&AXh-RSD>3lPPplI1rk1Zu=5Dis+yF$196$yKAgxH;U5-Aux@*=432W z({FWSaQ?No)L=z}{?kD=B=&abA?0lMz;6?OP*B@IBEu7YAWjxNgJ;)<{gT7UxxC!; z^zWWxZ(8EU7(i*C9ic|uAbZ;?f~d?$tVSI%XVw z$oNOQ94}fp&#y&%+2Z1G$9`M>yt+@X6BV~%i`#@c-L3wAIgaH2RC;ig4qMz5W9rZW z{@Cy9h`mdAg#Bblk*mfQ_ph;1l%nxqON1rv-%W=)^fj^PivjE><6+0Y!K-_J3m<=R z_;il2p@{za_l#VvY)LiB>p!gDRFj;``Q7Kfv-I)rG|DpSxD*-{0>KFL~XZd(S=3d+saubsy(G&kt~8$9kJ<>y1zi zPhc$lFX~AW8h0Q_`E>RKg2urd%xulg6Cyl-m4SurPgQ`Kh-j^3P!0S6=I zbnX#=(H43^ii`F>^R2;)vNw^xcOAgVeRh=pP5+Q*8 zbn*sLoYw_`&YWo)^B#tENV*W-HI_WATJ}?G$vvFAjp{+xUq@y-p4Edb%zO^s;YhCj zWMtB%NgN66PrmB|{D_+ld=@_B12yxxGQ0E;Mmt&%SVvhx%yG#oa#GZmGS;$b+8u5eKG>Klb@a8 z#$L>wCJI3;q9Vfw_B0-C<+bOa2ElL*1>up6>32f$XxM^_CrHaM{bQv-(rUw)Y_PlC;miitPj5Uf$X z_4oGnMPOLQBIAk7FG-N&-YgoVDmWCFvlzd2bbmc#ar;i1&lAFoldVvsklod$&4*q zlI)gEK?~?rr^;Wau!WowLD?z9lCOTplgY1?6im~_f`6|&SX^c7LiYCxSN}K;wpX@| z=FGHqSPJI9Vs>&x$g=3*=Q2C4mZz4ZO-DJ$j5B*6eJd>NA%|+Rsy(*?-+}}uiRZ>< z-a*^IJJynelM81xm}eU9w!NP<5Pe>IcckSmWO2p!;lxe;Xf)pV8ncDtm%>a2hsB&n zl>_&WwbAC>g5`S9s;FPX28NuYa`?$9n|2fiRDb{3st6qR#dw{xw7j^-KE%V+$~eR=x|wW(EJ z=gw765PGH(xdXk+&nJ9ESljQdSzdEw9S{nnkG=~~2=6x>Hedesw!>zdMR3ct3C6N) z5|g$uW_#=oAulzdWG@Wde?AOCqT+@s$%~gCBqwehQYqbKjFsvv8SY8CDfqRcQJ}fn zTa2FFr!1#cNL#`GVhBx%+QKqZn@$7GWrzTm@-*VjIi|kSGoZIq zN1lN`-oKcJ0lPE)JlAS}exWDA#+)kh=Qhn@TXVPio%Wx3X008abyhh%(wL(WcV>0y z`7!UH#Jkt3M)zo&-*j$T+opd>KZx$6&~J1#(&Te7^B(UHPOu$1IxuOY-_ng&+Dlj&J&@Gvq4He&dxE^(C~Sjl{WMn1KvODme>)Uiy4T@kqU88|qy zSa#$sZI2t&@!fAp)hDJlOBfO*TI-C6uXT8d?--E{gY%;YTdsBMw+K18uK|f-h`c8}T z+NUZl*^x*RU(opWrb8gVV*+@DO&@-lwo4uj$$U4<_e`wWpkRQ-$LhBxv(HHE!^Rap zZ(oN*u+`IXR-X|DMYF&}B_98Huoc5g2OeIx?+)*yX89JceC(26V+K5D;45y$zfkCG z_U44H@w2vssNB+z7nC?D=>w>*|32#O?$ps?4bq@cO5Z)tP3z=({rc};2aMf1I%cfK z0~gQVmVT}-^^XMl4_{gQg$w86qt;Itx@Ye&;JUZ$Ob_diYiPl)zP#^zTzwT&d|dzN z=>Mal?hMMHb@ipsBmm>Z$`Y3tq;TvB)F$1xU3KzJjalh4-1J!m+I;j`15ci~J`xj) zWtD&WU%klgZE*@w1a~y;rY0a5*t0I$Io8Vrk`Q>^Ehj@bJzUC*fOGSxo}T&@n&7e$TOXX&!e0ZFY5sEPy>45 zelyA_Ed(0w{7p-Yf(O%e`d&)RX>NSOOC5MU{<&+Xf^V#8po6(VYHniKt~fWdr#d(v z|FMdeGtUJmDdqm^;B;9sPqH{HvbmQdUn1Uzo@#zzeRosnpitVL_SgsAL#qS1T((re zrfqLeb3UcYrohew%gRJK-k_DWicp~9dL#3QA+Ipq&4bh>{h~wX!CgLBa$C#gt&Xdt3#S$y z6xC1b0I4)aw04YViJ(ZbvdG6ps=KS6{z>5(8-AC?-nl2=BldyBWYeISYm(Q>7_(Fz<_+9hI`t7xUp9gi`$d|ijpSrhd z>9sa7syV@a6izeg3+Es2pgNNcD>CGbQl?3z-W1OE_pD}`Uo=}^7=@U=+*tisC10rd z?Q%ukDQNdF>xjq>+iamd4ffPVwa|F|;?-mTT<}bx^nb5M(6UwCJaBgyZJ{z|cq+MC z;$MJel)j~@^X3^>=lQ6}iC&Wo7EpAmMkjoe<)e)7=;dM#+JT!BCfX*-*k+jDsQbGY zy*P8RJ8WKxEYz>5*Cpsh(vyOkso|};>;SbTxL)f^(SDi(`*-;RmQt&bpe|f?=gVTY z#H68((z>}f7##bBe0A?6Xm|EyJs&Ja0=m2dZEGqK?l&mghW~OZMZW&~dBUXUy*g9O zBXgz70wx~IpQ<_UH%mc<14<0Jp!|!oT8fGh9Kxs$yg*gH!dXvqTjIlK`g| zM%nLIzu7F}6@2U79+jpS`Cmv7Evp;bmKeAe<9}%=5am^|`O45!w5%qLzAZG)OEmqg zT^Ce$!vI%Ijh9`fu?aX%*zh5hE5Id(1VhD{k4-xz4+2=_?T5?@0FP)3ymVzL?4@srwca z3IbCh9mnN4M0i_!{i-f1Wgj}0^fnE%tXRD~TrheQ?&jSwxqKJC6*5&NthcOYZeQ`u zoE#XRW%po3(4WKdNMo8$*)3k}xz=x+0 zbq%XZ;i*ptNc#kd1{W#IuR^~cLW+aDGN6w(2eyyKVJMbGsv=Us_fgSl4o~37Y5581 z2#b4i^|(d!ck5n&_Cr#H_8-V5sp}X?U{q8kj~>;kkaH8C_#OkAMrt_HbXm*la`xB8 z)n%CFlQEQLe$y8SG(@|!comL&;(jc=TzixJ)AW~__ImzH%(!yr+(n?jHamP1p7S9u z`f7Es{h+hzl%Wi}Nip&USCG$Nlr3~Txbbh73}U&SJ>c+QA}C^ybk3Ap#<8NrjC*1MLl3Mj7?j_7;XyERmenrUi)zDw+;k7Wd;)$#J?LkvF4RFGZa%KG7h%S?_@+O3b>DOp~(k$k|OLc8%y^dQ&~Ry2K;1CiYyuIMAUesRTP$r>njo zbjtqXssYBTGcir(SuaTX@>gb=qoQc-$?+L&^rVNj5-(a_2+%V}zq*!sJFbkUDf;RJ zm$J7{=p~b#Tepzf@PHd_`AAd5CjvN+5X`eJd{l&lHJ~6J?s;7yd@8-mz!|~ZIo`9@ zc>2UXj&+?^CV?`sdfrNB{z3xlH5I$#&WZvSclff6@T%V4 zvdqR7C8koB@R`%jcy&zO?lm$U{($ItiZi2?X_@k9t3CjZN1PkTf7X|Vc;U-2Qku); z8wOhFyCq&(K=vJL8dK;*u;TpPyLI8+20pW*?G#AobP_P3QQ=ad8o_>Z>tcm*W3 z6pVt;d7vh=AW=Wb@8mj=m7GD_>gFz)WHRxxrWbj0d*gs!`e@fV4}0n?`pU;>opxK@ zUKC9Hk_G(ecZ^^Nhim;7sX~1%$T39Y)^FqIj)xCktw(>IA3472+u3#O3$4-8{33de zGUwGrMxR!Y`nu5BA@oq zduDy_#&JXzlW^xL8@tLE&_TRPWF|Im?S@_GZSr@(nV-4T<7^5hxK{XRi0X2O^RL7o z(~MN5Z>Q9S9^HMd#;3|3!&a(jnIrhm&v+_h^*}TQ6!p>B}@|thzO$ z4c#NUSmF}&=tw{Y+Ey{y_6HJsCeji<&J`QB*yg;VGDWo3n_t7u$Xmo89{C0p{-=XaP=7{9M`(M$oHaY=pS#1H0RC?+6DnZ6(Fz zpu4yu25i{o0Z|&+grAErl8i73G$0(z#>O(=Xw7fYY|b-EB)DA-&__+5w&$HSow|^o zEtBEoX%5P^X5PPT%_GXTChAt&7EDCG{h#pi$UI2^KSF-9b?mBD6sG+>4G5WvC@0>0 zeZ1gFyq4{%lJtv;1fb{M4;(Qk`~EF8{+Y;ol=Y3YRgUZ(vdzO2A-}nZaB|4zfJ*wz zyK>Ny0mz~SJ%%73vP!!As@v@||B;>XSFHmhr%Eg~pVyeC+4G>zN6Awz}=|13XqR%W4Xo}8Lz*o>&vp`9^O#CPuA z*@10Dpa{Vh%a-@Eq5I{+1zX2CuP(P&?!*r5S&jRKJ$K6x22><}Z{AO?>_b{L^!<78 ztHvaDGw*SzR5Ljge!FK(8n;>#VPbEvQ%}yFJaHqDg-Z(ujMT^a!NjjsafB$Z6U(>v zVMbL!k!zJEFsTl(C0-M8C{kTdCO?|goyu51KLhHOoth6iD!)&*Mhy4!JK2$fHW$=& z5eK9xXD3C#Qu!2hu&&+&&coC4G*umhqA?+OQ^KcvE~I8rntfD5MBMVz@fy)DX=Gwh zUMW9$8gJ&aN?6}&1gjYREsDUwr>ibeYbI&`9SMj)4Q@t3g*RqqgG_zG{;X@9>B@)( z?nj`2HWnAc(z1G@zQ(6KU9GAz|6U&iS#v;m7YLv0U0q0Q{SFsrK>pZmLt_uM(U=|! zv|4zh3$E6VMHF}^VprGv9qzNow6N# zO$=iJjD_(^{NC+f)0c+{N&n!uBuZ|`6YI!>DgNO2`$q3%Sj6B^d|sS+tLWfbyU$3( z@VcJ|8vmr)!DCo|tu-Z35ze#=2O@^kUhRV_^qSG@9?B71JL)gE`LAYY`Mkv_=MWCc z01N-UA&kC-4RPJ&&SH2U?>-f2y!|f!bd|qQv;Qd+&Gaix7H^6v_gN-v1RHVmJ#54H z(XT>QF4V2Um3ZK#hPGwi87jZQ6KYAkcE#&MP*w|pUl5}`M@w7?oL~lI+d`pm$>7fs z4a8Y>-lQ;qh_SB3#E?angCk7{L1O))T9;af+}isL`Y)NyfV|`4P%}m|E(lafvkvdW zEVE4v>jUTr$_I)-$Bh=i+!(FQiZQ+DUHi9~xE3ib@4SuD9PmdnnZ4Zx*QLb04lu8& zmzH;pnU+rz{s^oC)d$7+DL5f$DL5ds|FT)fWXa^0DyixJey3fQn62-jzd%a!Zh<6z z4u`Y^Lob1Cj~G*%rVP^2CC{#6@Tx!q#KVUOX-e$JzB_!av||J%yXRHIAf)glMrq|E zjd=l+CC@RP^ukrkcV>L+A<{3Gy;Dww^3WVT85UL!R6PzXrcMZh(a3Cet^fC&b z{Rw*!@(_}??%}&pXNd1vNyfxAAjo>qO2WbZ)h}_l;KChbhvMSG0l&h*TMRUSg!s}- zGpRq>MjG;noA&UK-EHdjX)ol-NWW3Uw+uX*++9k&=l_y3=)Ns7^Ah`!-~L3KxOUY{ zmeNA+xI=yHNpqMNI9b%z$E(8$iC2f0!}p3=i704 z!Xp}w$GdWo!-c>BO?S>yLjw7_p~iNJ?x-$ zJE9cmFpt2K+GEaKpJ?Sds{@rBG5Hq9jBsVb{2Aw}dHXU_q^Rk&(6p}lh4DDT*~lJD z@=N_L`DhH(K=nzxSLHs~v-=^pCU0g2Hf=f=hxg5{Hks&c*(h?FlwU=djXGdce!HUt z9ola{T%W40Aum<0M6EjZR&sKKGrdzxv)7wPj-|$SCFf)JX^E|hfc_gpe7CG``#e;0 z4xW=K`fx9~IXN}SuPG^XZi*+Y9kY7hi`)p_I-5V%Cms`D%|E_Pw7R`&?4DPrh2 zy1ha(hU$s)g=*qI_;Z>dC)G~g6s!MqxL=M(A#y&9J+uETCmx4y32^TUE`)DOPUH}4 z`JVd2gGV@cY|y>{Zsg#Nn2MK0G`@-6x%ZCDx$!i@ZOumo(Mm(%Z^tI)iZp#Va<=VJ ztiRHBteQZ9%LV#C+Jm!!!z zbUGf$6h<8_C)d2%z}!A-7tfJ}6I(ubWki^rb1wfoZch9(c+&!x5xzsO{wT1Jtmj;B zdCT0<9 zNiVg1kFFQ|z>pO}^jA+ee=kGZ9mMmh4hr#`gkqSk!L`O%Pn&Zegzh17AmxpsM~Ncm z$^n;I%y!i?uZ&~*_&YVn^eUT9r|k|o`PiX-7QrN5M^^R)}%Mt-p2; zs=MJX;XVcEE_Rqfe9a+Ma3?qDLth9N;go927C=mnD8+wE6b{uF+TaJi0?$)*Zm~ zat|bBkq;o*GP|3E<7^wG_D<2#0XapqlKerG@*p~KC(rB@T{8-0NLLDtma-Enmoo{o;I!30WEJAm zA$i#pT;^aI^>(o+FPKvk69G6ny=dT8ZzEwnQg0OxQKhxwQr^|Iu6nwlb0gF-&w>&6 zZyNi4{6lzA%%bUp-z|#pK#W^OG6kXdWnJRPns4}^5y5MZ*CGAngn04Yo3+8NRF0)m z5pFq<%J)?S_JLczPEz{g9>OLK0mVF?K7%WqfXiUmNYa^B!q*R}{lMF}=jph=K9>Xb z9CsIYU&;+nMxMj*+k98JzbPI6Z32c=-yc6sD?ArU!-q@bHP^81*bM?so0h#!PDJjz z8#?b|`;#Za;TG=~LkaxYn7?SyRE9V5A%U!iz<^TyKRb(`KkvRmh&;i4Hs4+mEVQWO zu$|M5zqI|@K4Kwi3^+Q7Gb(N7jV>_N(Nh-ZZ9pJB5FeEL6TK9!HhbA1Gu)5(Cqqw; zplcu2|D5ibnhx#<13y~4{;=j$^S&>zj#&GQ*w;1TH-8*?;x#xKxhhkf;d0h8=-N&k zY;++du6ZtIg2HCM-dZ)#wy#`@n`vnK#ewy-D}_LfQI7I!z+F@azN+EAF-mSfIoFBQ zsI`}qoZBcd#-WMBH@VoCuEyo2l!_O+RbJV@EyE(fK^u0-o_#eFgWGGd=UB}g)MWsY ze%_?kye-Q$vil7wFnse{ibR;r=<3oMRWQ&LE_&}|Y%0NpcfTWui(Ui1n3aKNuw zr1`HDivc#(mCySBt{yu#Odb-5J&i7elu8sChL5*9kVDLYS~w<^8W@@K_9Nur5A6(j9mYaz$MGW_}PouphOLk*=|h&eNR@-C(%({{r< zv&QWo4l=^;)@N~@jX`*RIkX4kGs5xh0sWIzI8#{Q-?jxWRX^?#tkT;!?BM8q z(z<|*BIcI5Y1{!h^t7A|P&#*8cZe}ai%?Orn%hG$66FnPW=Me$(eQuXt42}$NIU4!q507Yu}D~!1$ynY`hcVk z7S=*{xq`|OmHX8I#v*6kDgI_@01^|eHd#t5I^Hsa*9CICTJ^rEO(HZ)1K2F)ONWAf zJ2#S@mb1_in(NqH7d)b>_08^hE)F->iYJfz7+hC(W)%E9%6(4g^}T01mo@r)+w5(zX4wbO#?|Zunm-nl*j3X zh+**48Avy`oaoC6t?W_3+|8`4rlO^g!4smfK_w1_K;J~qnH24HU_x;tReKzbmv`f8 zR6MU3g58dBtF3_=-S2Mze=Z7XrTJ+4-J`7kzv9(IQae6se-5^t%%udCMU0+2%dS2U z3TLFbId+C6p!>ie)4v@nG$wrvq%49Di4tszNoLijE!>SUc;ja?`=ou(yb>qExayNuG@jZC(5<{M zG7jcg@vQn-6%5s}rR@Bc?{^3G;C)(O9;pCvp=GIQt^^ zYTPKWG1>d&84O43gn8WS)_SM>Dp~8swx5uT?tpF1ZJVgn?PRa`)n0a=i!`V9F znW(C>Pp4tw-nHdFr!u~p7wuM~jO%K1SlUHVpQ;2!#1^5M?8HNOIbcA{;R;=UL9Cy$5sV46XPfIn)Awb%SaP1Dhg1$N#*;QCNj{1CT!Ti7T&ou-e4J=IV;U*rq#ml7@!9-2C+ht|?c$B*3l z8g^CT&pRR~&z@4*86jwxM7mkuSA@x=a&j(yxKJASYz^c-_hUx)w5R{+wM#UhivTUg z=!n8sX-(ZCM;%Ft{djyS>#~cMqJWOj*+smFy zDqAbJNHGUd5<+uyem5DprDmSSasxcGnqA7*I3h3aJ$k90tC=OXbMqBZpG&DCu>k5BVVUruVH%U~N~v|V&Ak-;-s z!PoYagw4oL7+04_A7>B$bm27w?sBd^`Ob8M(`<`AdnkeJos6;LLk(S?RaP0`<6tlQ z(s6BcASS}hH=NSU2pvF;4~K0$au!vOw~Y3Exy8gJW4!S|qbpS_nA6W{j!xj*r9+yA z-Gkcb;)o5gw<}LxQnU?HG~Lh+uF(=z@6)-~#qX=e$S-JK^DPwMck0#H%458oKqjZ` zKR$aH^|MjoJWc4A=M8O<3xGcJA*#-pi`o%%D+*&(z@lTaY~5}2mWRqX**@rH4ZD0U zW1(AY#A~HWf6>g4!Fj6rj5MmPtmeoUIzgREe%FUZiHTYH4*d31&>^1I}yt2CDR>%&hCQ+!VPJej`r(7ypax^gYhYZX83=Sa1pP^0CG0Ie3W{t84!RSG=UxsRk; zGgqvODtmU1>GD>zYrYmRHpJ*?v7iERXmRW*ho1 zrQ%G@A+apJuZ@pvrgVaHxqQQcCZmfB!0)O0(D;E{_>VwfWAWVyd=;A`Xvnzmeay3; zc4RsVGe_tf|6AO2hwDK$BitRZk?zR-H6bCY8@<#aFP3iQca`0D>Fk$2WYbx6n>ZXF z)utpzSCp!8S?`G2d3ed0`U{QQlBIjV73YmdwT^9=y3wIrH&Z2>EIYCv8iT!U zn~R8CJC9}6 zn)Fx^!E>y~A=%RQREVcvFW$GXgQXbfS1^d(xf@vk1UXZ`&#HUDGg8jRZ=(9DD&DQ{ zI5Fy#U|qC_=P7gZwUWZr$$N}6>3AOm12&<8%4QLZ5ZHJ zk@IFF6^!19IPFC0i>pj)EZc8&4U zk*cxTr3v?XuUy>ltYaOPek{XWdp@lH^V94_hEy6uErANky&;wMoA8VJ5cVvkQU)ki>vu9LbehdT5En5DB zyWkPEhMoOab(K8M-BB6tAv)k@HXhpCej7ciRJzc7TY5^yBlcyhpjTwINWNN@$UAJ5 zgL|d?maNU%>1>uSi{g$`-s-&;I3)&&2uh{yq1F3+(U?D(w* zeaCV%@vAnK)Q6M)&O*isEm<8RIO`? zLgv4zYP9mx1(v6r?R@f-s)=oXG?W0N3BB2=sRkuWGn1L*Zzg||9>^2KsD)cMcM~a` z^t4ITY5`lcyQkf8pBK?8}9To3|ixgLGV#&%XA*F0Y@G zR|9o!I*r-Qo9=|LxfZ>dT`|Dl-wd8NeQ#pW{AZ5a53X(?8TmY;ot+&+ZA7GJpJf;f_wr zhvdc|rF8q~MgBw;0VJdVC%+^3BGw@86GjEnFY)|a6Cd>>|JCYeF;`^j+Jr6zWi+jD z4X^b0cC768SqbObF)ZW^UZlF-{43&0M%zrbbd!&fEqSM~Jt+~oU(|XCIC0Es1xG9d z=|{4c=iXyFKP$?Wf9Xk+gW&45vWSbP>|31}u?VUg(`=FK@_%Y(W1cj<;7|Ni(rVfucW%MgSUKghE(cB3K0Zab!}m5?iHxzfj@8lm3)otn{MB9%_8cjs0OO3sf~FG zIw#z3CZDWRxEq@@arP~tv^#jTk#2Cx%p*!9{R5&8#OSCZ-qC!$4Tpc>&-#6i{zW1 zwplaocXZZxMjlbWP%oxcKt&zVuas!za1JPO;>QiLV!@}ZYb)0VgGW>%TMWf!(XX1B zOJr45Fsk=#A#$hhS}VN(E?Jr%mWz=AoqJSYMX&Cf8(Y#opw8B0*5P~pQh)#V20u$? z;{}}&RSN%Ply~GrewL}O;g$F+k%+RC(tKuO7_ITq0E|i!v8EWX{OIw^i^fY873iyL zJ+DQ}`Q@nBdYD13X{v5kZuh${mGYM}ZW`#fSNA2RNB&6+`c`&%2XKv1;R~xZKe1cr zxsw6j=(*B~Nemhol(U)t-OS#s`0b<&&M%jAWy@7s?7(&ZW=GpYro*icw6fho?yDVC z0rWg=6m*njI1|NjCMOlZLatsq-s&h+S3Kw_G`*55M)Twwlf;v762RIbB{XGcboCcraZeNO-O}w|d&S+& z5X=2pPI*RGt^NNl#f{pc_qu!3jdj|hSwuRv=_pI{Qo9Ql*V2=OpNf5)Wl_oD<`%U` zR#^*qSw>kVYrwuUefz7NOIeZY9(VTAP4===sOdui7-dmCRr8%`X|FbV>yS<6ToTUr zWea5?PS@!Bl9i4-oEm-fuS!u8(@>J|t6m?CuKn8RZvSrXt4B{5|67WiFBenfJ#7q} zE6N-G{DFz`>x=Pi|CNktyMi8274XY3XHzO2hOYE5i|x6_3Uk<5P zOKHu2yswP2XT?>!TmS5Jj)kT_!v6fd)35xSE^ZH1For_wX%et@+@d?Gaidj*)x^ZTC4+AL(8vU_Gd(RpS#4McxMI6teR2geNG5imEHk6sV|z( zVkuyQizzRxm0qNIhM7v^FrF~DLO-TEa_m{y>?rIT>iQlyU2A5hlCFEE5^5qrpo|q{ z{nTIqdu8zS031O}S#`l76B}L!xmCWfXUC zz&`PX!doGW0Fhty0375qiN~(xc3}|Xn%Qv3I$JkrjbK>(2QePNkO5hz4s&-2LCn*+5=NO0{c4T^)MocMUSEoE$dGEzfRGIcxgutBhpFd+8iKRjeUq9 z;K~koafp^M;bk*}*rzum8EpJ#FhI{41_z7nfax;?!r&A)15+FT+sZO%FjGnKO9%cJ znkf)DN?W`S+9-MohP^1}c482`IxAR;Ga`6tOjocJ-}$dGr_#NPp>S8-+yCo@UP=Q+ zK(D2Uu1H3hZ@Jo+=06d(Wx%3SzQuffYoiWW+GEid6r_tzrGvD##7p8>85CW8qJDyNV$7; z5B$%bJ{!qA{6-D}=@kIp(sMnM%Yr`vojzD*g`==4y)a`}P#;-$$__9jpK)iWWo4 zI2pvu3?nEr6(V<6ki_dS$`qwIk<#>^|4?AoqgekBn}6E> zApxIJ-uX}FpFRHyXCin1v+duPlzIQ3P_>rA=6KTDJVbLA>A)XCqTo;6LV;->e48-g z?M@j`0g6l5-mQhmQB(eYiUO1`&8|`|Z*EX7lrqXw;lJUUh?!2Hm}zG4zvBOiTTyO2 zjVOq-(#=%rHv@qJuk@zVBSwe%zqG-{~5>4RJtYrP`GmwlzT@uIVB4mc6|U6x^s z2sN1o%FIyLah$1~c{Wc0CI3-7YyNrUdD@6W#}5K^g|M%)U0ewwU%jQ9X{PWYFD}(z zq`BXNk4%eW5T6=Lc zajY&!_wr&A4UM_Q9fXv^WKra0lf=)|ou95&3m&$y^fCO=*a=^dl@JLl{b@H4?3A9t z4auhA*s;G^^L>{s-&#EB+KquVL#}}FSM`pP%Hyzn-^molf{}3w9r?$$2iJ1%OEUm8 zD-yyqVqAD?X6)CWE{bZCcP->;e^Kp+$;~pV(;8F4B**Sb=+P?L_y52XY%{2&Cq44? z8oyrA8I=HYIwiTAgmdp4i&81x%tSNQG%V7+d7^(xuQm;$GwwG`_e<{wjb5WsFHC8jx61M$Q3)llhj9g;5V9+MmLr z{CK`_thKGqO=r%JIUg{>{P2#)Pf8wtq~WoB?>hA4Ww@B99dBa7nkT6Rv)EXFNjbMK zvaKwKsM{x551Nu{)`B>wLS&C;XmLY)myeJs%ZKLjFiP$jAYIvS0o zj`H>K>bu_QpY#*5)aWH&Dh8_?_? zNh7$k>pLFFrn52DToyOTa5>*fgkv)2QKZ(FF7u0ht1P7qE2YivyBWS%ob>3xXcH3e zg;S{>+RX1zIQUGex)%E*EeuneJ4c{PAW9a(YdYFJis3J`V`&f}oPMtXPGTReW|dLuH4uP6c0J{P=4~Y|=0k zvKfCYQl-v7q{DW#WONGyjTAoexwZ_fsGExIpSf35;tRJks-7|L*#5XVP0Lgk>oVj* z#VpY>m%HR_PP4E`H|uO35?;4Pzr!~2ap|^_yk^g8Vk z`7d6m)}s4Jom`-`2z+lDYh1f%K%<|hm(Y#41-<7tHOMaEY~mA3!#5x98A!~9APta0 z(#p|4X;IzueNH>!8kl6U?`}}qk$SdD!^0Ow6oUMaCb!d&CZA4C40Up}MT;A0Q)B$^ z{?6>`;LVU6LS{$g?9_9LP^k9C)KGfk5Y_8q9DRq~=QsU;0qbUvVeRX!0;86ct%A6V zmWRV*vsG~-8U5!wg=vYyNjuxaK4M`jMyDYw%AA^el7Pk@D;FXd!lQ81{Vmj6#2#RiA;U}twT|7XMHHn-}jxDHF}8l}F2Y!{zU zXil$Owy03)Rkes1e{Jz*xvD)ylekvZF4_qmNy)*bb|hE3^zmxB*gg zD0yDx7nW$fcNCI=52kRE{MK^s6#V2Ph-OE{w_>d8s?_`K@3oQ3h2ec8T7@~LM)Gt3 z=9@q1Hzo2f$H|TF_3~a(^ZbF+h8G-!=MPg53fHKyPK@?bm!N8PqCa8x$#CM~A-Jox zOODPolHUX*Zy=vc@_`Ya&}hqV%STBE&VZCpF#{oHD~pgu*c@+L#1^^{#Mj41uuH8qt)2e{qV=cz2a;AQY|T zPlDpq_ddud42|@ICxdRbz6^LKiZ_TuILSo_U=K=ctq%lp0ub-4Q9YuQc(0s&M;tzw zW15Uc`X!BRWpi*s^kel9$(??$ zS+fH}vcLc1=pQYJB^?m52kAiQ@pse@o!p=#GcH7E?~*;LZArtyZi|;4e`Zet525Qp zzR;%8^xgN41rZxk9+~(j&lR+Ok~Y!H%qzWUpRjtuHS9#WCUPaa7udY6&W}K5Lx1K- zR(w~edrh*GJ_iRQkeL&uQFBWqz8L;SP3?P*!uuD!H5na`fFl@;^Age1XP6GUXpQAc za7P76dl62w{I>(V;;6Q^G@C>)8QZgAQ~2*16S_VD{?{M3<{6evI5))z(A=P;ohe~y z7$mp+&J5V_7fEU;IsIL(>4g}A6Ro8(tA4&%=RiKi;C;)%!3xPO-nj44V7^K7mvd+X zKaZ{PeTOw5_}1rOWA-ogw<|ngNS3}ig!#ymtimCdIdR8Zxfg04FRJ+owSIlKc0Ksa6 z%PS{MJ(;QP@7(Xi)D!qW@@0Hy2}&tG^!4*E_Hqfe!_Sd(oS+x*=JGnC{92687a!o| z3#8^?lTAGbwFDjojkc!e5{h2St>3py8tT4}<~CxN99q`4^kV7c)y(j36WIxFKlW;V z4=Dn1+dm)nKlTtsgK?scej(l^2G$?MEiMRsobC47kF8yI^!bR6OTYMm@-R3i@B21B zZg8l5+&t@Ne5LWb1g!nGvj49a%^`m}T&UhZcN0zfe~9`Dptyo%?Zshn2tgKK+=9CY z4;m5(?(QxD76=4)cXxMpm*8%}-8J~X_rCY)ui7(bw0maG)>!xS7Zk@Oh{zcU0|Ovy zfQxr#+Maa&2p!vdmVd+i=x<;(Tvj9lEtRAv!gkb=p~7rXLL!IEYIJ}5mOOk+$J}fl z05EN@AlZNgWMD57$o>ON=>gDl#ZeLEgLN&%g-J&zd8;w}EIk((gA3C?G+b=H9{URG z_aO=}@mtsRZSwY<*tVC82ru{dV64((-3BS&3CKQ(L_?kNBngX7kXZEB+W9i3*0=Rf zAAc$eTZojeK%^O*Gt?1VJ)mei$}RCQc7=@E&HS*}SR{6G8$RmIyo~_Uu0E56AHjd= zWlq__!bW8y_8w?sbzjbUnUtT6=yNMb_8ghN2zZOsT524`a`g*MKU;27T{OlKOh1`dEIR9-)mbQSfMX|r(-wdDG zLE#Fw{s@jf5f}KY5BE(Ci-U46&n`}mDJ8_Mtd9SkB~FUdb}+VHIE%QNF35z}N~EDE zu8p_5Z+*9B*?~wSbKi^+-Tfhc?0OiFdG9ofG#4E1y)}#!jj!lP0Ymvn2NQ{oPkwUZ zBs)EUr14Q|q|#iPMg|{(D9wFRz?Ma6H-CtGLPQ#PoNi}rPj)(Y5`dk5tzOA~z&r{F z{0Sy{)5kI_bl(Ejy4stR3wC?Qo;_1(*G9ugn}8tr1`mZz>z!SY*@IuspX~}@o4OK! z%}kU9{`Fn)K=Y{oc`9Fp7tJx_ft7zDUrIIyJ?Qb)+F1(xwSu6bg|n{=%`Tl6BRY>x zg^L})o2?0;^jUu#AHN1M{;QLnmcW`oI7%Q{FTcE+VVffe?jO+m1wyjP zSo`r9zVE_4j`X_CTEd_|Ei5zn zsJS0li|P*}`TnS;s1E-fOovc@&Sfns`MJ-jWTJ>-KTgklWuU0~Fm9ncnqKHLucJ4b zF4ZmQ%lOn8&-aQD&qv+OR^&nN6gu85rD)$VYW6LY@6VSMRaj!D)Fk#Iw*wjf1yfe- z{>(6Xj=L~En_wJ#-?xoW>nUunr7K44B(5U3%vj8+tfQysU}ma;iB1Tx62|$wY(8j7 zlwDuf`;>ZQ3Tz6hJQTsvZaq?~SIObLG|tARpFF{Tp_ei7Z1pBAxu>{pSD>k0hufeO7f04riQPJ{4_0zT8&_Iu}5 zde(O_Cq|bckle~vHaeM}ZUa;SU$H;TPTdQwaenQ742l}hs%lr*#1D!hLDX7mT$mVW z86;T10DyPGW~)l-I2?wKCVh-<#4WON2*-k+wRB&)8~P&xP>C6HTpFfKE_{vn z^FE9n1Y4(H1!$((4Zm6+n+V79e2r>I8%}`es#HB`b?5gakiWYe^KYfkh7NU z10;NF1ITP!njo3iiwpPnKPmA6+8t1YCACs|Ct|2sCHoMvtg{?(^UL&^()fDaQY3OV z>Rx>(;euj5^ov_i|3% z!^Rrbuv5vX7s~nawLFGXh%6BY& zW%@;$O=(1^N&@Fcp#iUME;%DNO{T(BX)`wAv6iCJRq|Wl! zrthudy8wu#?SdN2uGzMpygOJ6j{%2h7q&aEcnv2*L30RBp0P;XO&9byS4xj$etRDAEC=5esrxwB179e0V|JWE&&2_2K_yU#_cadL9bede9({2{1HA zn1Gc^&C>;X2`1q1bVshCLB>Dn6N)Z={n-6IM2g*TEBZ)5?j9r22Y7#~jm9AfPzllT zMqW-#S=^%Js}vrryuKl2VXwiUwnR*XdF-GBV!kM3~^)#>dPXB(ltU*=*_*(~AHz4pW3QM&68C@&k!xn2= z(0u}i9*{UQH;Z;pu=jR58>pehf66Az&dc3PkrfUMZ+a5#Rks+XJhwgsW_xi><~=9p z0uS^O&wc#Dap^_b&h-BfaZEq$P);iM=+{cTKcliftB?hHMti`Eh5-2a`Bvc@qH1xO zmSPFA#V8;mVLvvv*sSxMv9UWThV<RV0yks7(7x; zb1N|&cq1CP3HmCDp;sUZGr6IB6(R)4nP&6l_#q;^!y&R3So>l;v<^4^$sPHWO&#De zL-3iDebG;P2}A}{_OE39QVkN}wJ~GDi5w?OM{N2m%nRTAYj#EusR#pNPvo2=_8XZL zQd8Akr|fGufq^EEFsBLh);D9u7%dT26Y1;?%7RSWgO)xiYRbd9lD5Ou>*NN*EH%qt zm95;gHXI-p-?!VIJvRIFg#9ZJs?uAzdHSChFd`5yvFh{J<*iNfi#S-xuggL*RYpWI zt@*!`8}$!e8_L2EcN&He!asWnK`>FhqSgf0;5^=Y9RN|NY=1{)FlghngC8rsynZyC zKCU1CnAjE{|J1z*-z+?@t(abRlZLZyA7u7}NDjW5KZoM}+W__DYpzlNbi+9By?T!F zLUbyhdXJhedk$BKpXbU?oU4ua)4D-ACflVpHt)|^N9oyd9fdL`5}-;l4sz~_vQX}e z(Uko`DQ@Cj(6Hpw+JpHUXdpv}{_7!KEm*S3oOv9kk1{O^f)>d?>2c7PdX3X># z(@sZ6MR(SJmqq$&To^5;BWpmwTL$oyTmUiJMLQ|~w7eX4>T|I+uRPXue(rc|#W~_v zvjMsQv6LOu3io2k9oLxKIiNxstXUs z30zE1_xt`;`IwgQZqw;nZR1ROaUXj_0Q8jd(3`3t0D=qN5*N`Gg+MKs`noC-FY_+O zM!kuC*odKt#XJz@&8p??x)MEtD?Xw_!Xj{yhIcvH!DFE67En7xd%$CYdNgHB-!Sl> zbR;71S3r0OXak~Ln|SQ?e7kg}hY0D}Iap9z%!*4>Wj7)470d3&>b_G}`(53P&WA}} zoUZ?If)Ah$k*RrDYWK6Kyf7O4Zk_oh#iKPjWtL7P8)X){#O^O3@_!@9 z5Mi&y&flZG2#|+^bQhDoxUr%!jXR^gHqSrCVmg)v?%p6CBeahM@pjWvkyZbucszw? z#ePiwYyb$@HDU=A*)TDn}rVY`~vKBYxKyM4^{F7H%9JY(~}rq|Jf(ry7KWXMpo+^I0*h#9Jdo-ItbWW zCe~Mx`<-OmGx^73p`uR}%v!N7$|34KvbEpG5#-sSnU5OF&~%8;d7ro2%ukzHMWzE8 z5Nr@;9vsOru*{^4t7oWZfxIe_i+`0Y%h|1d3jE-9uup!e? zht%%RR2w(f2VVt}c3P4~48u%IR7x^1Ad%+>!0@}Ideh*~XQ~@Eq?U%F$Y?(jn6*16 z9Ab;wbKX)-{yBghRVH7CHz38@K|SSN_FamMy9pwpJ-`&eh;0jSCEm_86qrDOxCL9ohONTU(&?aFDOeltvE;~3rR_|m>d7BUUS$#Jj%2^LT<8AR-av(rH zF$ENL$y|wHBl(FGl?o$G^%?X_tIggaUu+RyB9IE<}OA$Il|^zr}_%eJko%K%>HE`Z}{g;zM(vNv}_nv#TI(pDX-x0%Z;z z@Mjo=AjdDtJ*IR`LxHrGm=!C+Bti}O;Uo7}{Ssg6L<+S|L85eAIo#p5`W->I_lE8n z(vkOBXaMB1Zcw^!v)UaDsQ&E%pVg{kyLr&h&@qaz^=oTmLx8)7Ogg*vq!BdLbTr!cQ+LzoU;__jLsaqhLuv;Lug-$=>4q7t#B9`)lwv4+nfERg2^meUjFP$SrYu^#c%Z<+V>U(afWOSOm;!@^w>Mu>#gGIX)cEF@`hfuX&oMk zoQij04FSH%FL+a51aDUD>boBnUnA_L?Tm0i}1~gy%g)JUP+3<4i_!i`p~X@#hf(4!9y89??PX){%4fh78k39BD z_OCF_!VQ0Wt`UasT4CN7Nafo1{T5qBt?Zdmczp*2?>d4v*-25_md9*A>ISclaWn9V zenqg$mgweka>onm-}DP3kb5Ub{D&OW5AY$ULM%oT|F31cvo{j#&kAG$hkjQ64R0{b zBJ;w*25{JH-C$uV#D5T}z<%WeB(}LbF|>Zqp?(0gBovfVw)5jvnpY?C`7@eN=1SG` z@y&0iMaKSElf*1D(uSuAB3lF$S4{v06LH&SHtZydu;1pZKq)Q2n)I)znAD}dY^UE< zTWjrsi0C^(!fKD*=J6VKACEo6(mQ+?m2>@ko0d zB0jA6-GnAf>`hXP)uIEcdHc*;^gb5r#X@;rc7=c(V%zNzn^kr}n$RYBfztZ(K^MnO z^@g(0SMI)c5)w1X(=#7cVM4y7`B1v9b+XZu<*^%8vDd^6jX-_}Ob#vhWc5s{t_njn zqo)`6KOT@m5_S^LQTX4Eb#aDbphc<*tgO1u%O*h>Z}QSj^{S}NXzu_iKsLtn5!gkY z2_WlkiQ)qzfMV4MIbTnW>PWP+eEp!(kWBv1mS-{8GkgHSF%1v3+4q>nJj;+p+iJ91 zy`q!w$&a!NFkjYcUQta8&#bPUq$DnZ~iN}QdVuuY7R}Sz(Q2-04qrnH^Dw(?F=$&+p-Y*MC5QGi zjM!v~@p9;x2Zu^tyef12g6V+Xz7WmKEy$L$_gWAx;aqPYAJzyK$%J38{PdPFId3{t zikR2Ow`uc%WCEip;n3bSz*0I0H-~F$>vT#}D(D7r?LP{|L3LmrLuX!r+GuEE=GxYl zgh$Y&y)8L^I^87}sUo6(Ur2VvrTx7QnE^?4SeaC!gc z{KfGnB-dH$@U_Kn_!)PEin^-jY%iipc*esa1qNoE<7O;w4K4y%+k4VtS4n^c;Zk4@ z#XrGfXghLsqBuX{hCV-!lsk$m(hAa<)`+ zj^xb4o}MlsrQG6-w#+UkWS+Plm*Ql!XT;P|O)j12MvW$RS=RBRAP};@^G2HD+tPtn z6qw>YWMrs)TUqYb#X3};{W@WYk_UdlE z*_!~Kz=TZgc}VHrfGhdMlz#p`tGw_jL*SXUsjCjN3~!I;`Pg=H#g>{oMlSQ6)Puri zo&4(RZ(e-(tM^U-^Bg3z?8aUZu0)RNxq7cr+z&=rPu(J|h2zGiwN-8_yK&a_3tS^eGsG^zAa|Jz4kEvC~ml%02a0+vVt;bC)pF=wB+cv&`Q z-?5Qo0ik)D)3;QZvBNJMpWd5-HV?F3`Gu!RCKNgMzbDUKi+(JhJtK(!{H}yjU&Pm(VQO_EtE8K;_UTsm zKX!L*cRqn-M{u^!;=`m&f(8r7lrOWF-D{1f3x+N|%I9jVXmiSzTTL2&{IdPoVA+hm zwnTdHJvVSA=Lclv%zoJEwXydW?IL)4RV!tta};fmqbOmf?!L_!jncp)LqI$5^x`D` zw9ieJ2wm=x-XKo}x~j1I7Iv*lDV;zkLhbS`=vviZ`a7LSK_ONvZbIr;p%JAcGOMmH z2suIwTqds@1%=k>ZYA_-i#nF03tKE)CMT{*skJVhUp_&WJGC!J?s*V2a@ITbE{^7f z?YBA)VG_{hxrpEBGrr`CrmVZXKAiO`*1N0JvVVjp=hyHxafqN2r*WA3qg2#12;l9l z32ty|wDRc1h_ZxPsP%NN0NYVJIYfuv&2cEB9U@XGD2Jg9a7V2X{Y*ACE>-Wf70TLG zNO$*<=x&6V6GqQGeuxiS@E{8G_BkrjiA(E%IU&Bga&IoSQ8k_NsAK_-!ML=ha{T=E zg&icX?ri$N7PM>b_}%OhwUWOMZolGmTzCCo*U!<#3}C;Wau#eJXa*0}vWX>6$m+)w z{KyPP9)|SM_U0Tgmv2CSAf`f;_IPh+;CnyCocj$@w(OfwhOJMapBL-N1<>vx0%%v8 z4$=J>NcBd`yrEaGm4X8N-m~SK{y{7^M~A7dC3c8+?XM@i6|V+q>8(;P`qJpG!jBue z#74I$!=~XIdxkxq(X#Q%IWKEcXm{2O!l%d{*G{OQ$_vlSb~T4T$S-4<4Fb}%dWteDm5I2P&sn_b<4(45JNt3j#R>4VLm z*=!|=wt_vSxR}{^L)9|+H-r6W`ZtXP2n3JjAE^{C;{6_B4*hIY6OCK?HzinGWJ)fI zTKhMt@!)4Usx!BQCF?&WRBx>tQ{V~gQ9|BxKdRfH6x5{79aPHnlzc47;oFpvrCgkzey#K$t9JY}wtiN-=r79w{2;)CB(0Nxec{bG~*)UTWIdoZ}_{w*bAY z3`b!#U|Q)WfZmSglt|{O(M#XV*Yy+0T8@2l?F=sSX&+bV+sr@H?5?8E&BWwx0yq%- zw(R5>@?%ud=)0Vxwt$GIO0B9B%Ulw9tdHK3@j3@k4NZq{@ zdJ+WK1tRII|6AkoNPX#DpQAIO>rjs4 zfZY|}Pg)zgd~MI%wJRd#Zy~bO*-KC=615F*%QG&^t5X#S*;-+(>1I|J6KytUpR%I1_ zDODfB$8>pwn|4hJLmWeBk)_zu$(cO_|4#w^Aqpk(nyrUTghqxVoR(L_)xI){MEn1% zau**HC}ItS_MuclFJ*EDx%>Bb58$pH*Vy~tK-Im2Y5t*_A24o+ndj~>!{b~~u+t5u zAyaJ(!2kKq`-N&h^x=y9!UHilB6~;+g$lCqMO>;qMRa>T;FWP>LMQspsLhp)EeyaDj|gvE2W#8 zh9W97?{UteDj{lvW1~VU_x~l-FE!P9&-p+@4Q3^KDWWub-;x@9YoVZ(;f9E<=O(1t zQnlDC3uW1c(`OGs{sC8nfsK@gajG+$!Q&Fi;CmDW|=h@jgIY!cCl1c6NWQ{7W5 zsy)|_W^OZrJdGHxzaWs=O|&GESiT$d=;I^L^x%K>W7!if;8$FYP^J^J%-|Pzk?{RN zZk0Q~MF0YC=-EC0)~o2tSba>oNQ@6w*D5Fl=4*_e$F5So4hnm|Wm~Q@=1kOXe7F8Inwa;YkE<3(lAR9O8YBjO#8w!6pfCYrLnb7; z{n0Up$Fsihb1faJ&$&eY^u*3uqJk>JIT~#RcZb1Atcie#~TVunz#NRKO`Q`@Qeob!}5sE(q@hBMtwi=|Rs;^Be zUbDFUUxV6L|2;Ox7!HR@p`T2!dA|&KbuRiw$NiM1lYks$7X@|n>wcRTbNmH?8#$)G z=W6b)e^{#Y;nrya)zm4kPf)CV_KDL!a6)GIiYk7w>xdlMc%}I{=twFfdMgIo6Q=I< zw{Cf+4J7gTPqw$v%uwmz`aLn@1Iz9V(zcaAjaP7X)*!Obo$JM^JN`mhFN(=p+3ZKF z&msM!4`~pj^Iy+uQV5qmXX1J~K*N>`*!-@CZ_&Q4n>df31@eMnQ_j_0 zj#umJc-=r9u2UFM*;#B5^Mmv!3EH=jMpRc-D&!s_(M`J~_=kugsqW!mtd25K>qPjW zzg|^;PgfnKz*8VKDI2}nXHC=NjdG_UqxJ38cQ;7I`l63(q+X%dp}wH4^>Y0+YheVk z*r><@OT0ARy+q88gOOF3&Q=JQFTwytOQ;kmsUYzh4qtSroaZr>8jfEEJ(_<&^&}#^ zhWD3pYUdhtw3?*2RF1R)MLqTiUZQev|BWGrEZ!h?(3GUgF6TC@-YaVo_Yt>hQ&$8U zOCcn6|K7KwbMSE=Czc@6BkC+&pVxBF+!~WOrS}n#a?X?BZmD~MgcrGveAzj=EJbL? zL-rwB1IZy4K3Z+kPMLN%nq44G%YN}e*Up&8@wKqhDQIfqr?EZ7p~4kdDQb>3OZ98r zs*Uk6-t!0lo%Q0Zkv&eo=yYQ}Fm`1fl`w?HEANaaSZEQ15=qY!`@!K`puKj=B-L+E z^r-S{;{4ST+Du|y6aR02Ug>4j@@W<)Bg3!*GQSON?a=Hs(4geW^JINZTU&&quUYZm)ewNGnw`v?LF1N4H>q6?}z|+zSRmXVRQ0f&1CZf zBsb0zSj07)wUJSq4}3kvLAy_4FT=drfKYcL$19|PKeQR6$}q5H5#$3$xiLO$DC)D- z*4&L}*gvs$>)T_7u(aUJi4L4hTSK4G<$Ojof4SRKWa+F4Xj+kPCst!W6B$-Oo|Xg8 z-LG3+R%;QJ#a2lCi4CJ}ImR`1$X{_we&2%V$%gB+MG7IGC4YZLYWo)T8Hrq__87j)L-`AKNBru||4zfTZ*0ow1a zOj0bQog1IgS=LP5F50o%sxlBE(ary;Kaqd1RcsQ*dZxXA&7j^1&iN?(LbriXRR=e7 zI#8{Gsky;`%-+^q6=Mv3n0Pf!sKH;_*nA3URuTCu_%O(#zD^Qbru@PJIJiwj2H?R* zw(LM>Hbu-fM(3Mi_1fs92+_TMT>tx3 zwW6&0!_9%?9p@8LF%;(aG~Fn{#iKtiMrBxFLJFVO$0`%o^_M+^7tX~nef1(^RT`QYbANX6t@UH-*QS_BLi^B5M9QvZ6SflwGZ zyjhV?<$%O%t?(X^j^Q34AR=Fx3mLo%*Bo-pFfVkKk5YE-2gynS_y*T{a8PYtK6D4y zl!pEhE7yRP-adp?N&)Y9mwG<|*@ z$jC6eQ8X~brsH93`;#}fL!ymkT4Jn&BlQ2i3ud)^d0LnG-v3VmVrD0yeLQ|{h1DNN zZpGU+-e`3lTIpPICq*i`R!nAm!i+>XrX?G;^aJaT?N4vbSv{pnWyg1n7>Ngzl1nnP zpG93zG}|qu>wCV&51+*JaqMM;F)Su4c{XFDPffKBgzWO^zP>KbT#1MdTRqn#jx8#8 z2-SCpIJ2J3x0hcRLnyGduA2y_!AW-zAIkd%J3W5~M+e-k7H6!a$zG<_BXR5-C(%(N zS{3$d0(Rk;XDbsz!{<6xq!PD1=T2-H7;Q-bkzW3io|1_fJP7(6| zVsX$s_1|&ErCje%?InmM3=L6eFuCE*bBBRo(6`LxlqaSmAOHj3TzdK=HVhH4;6H3* zeCKv(CxN&GmrdY{?+yjOHO7^;_cY_7FYkc~{glt2dP-vONffX4!+yCtZW%0ADw-iH zR+G!*L$q_YSo?B7CE`eYXy(px2<~cj=lGb?e!e^!o`l_JpUfhWeU&;MKYlh4p7>;& z)ShFz!z20tId%YDY%~#ckTqSMdxh079P>n)$E&TqmF@hi^gFUB>@6M}z9hEfUc8FQ zIQdto(CXtpq)afJ;d;YB+uuIwm#pRC(JmO9q37Y?vnM)U)6P5ONWQP3n{M_foOP_J zYum+ep!F7(?BwAvvFBArd~v|SV36=l{+GSHg>#2LShSVBG?%Ivi#n*30B=w7(0v1L^FYNP};VI!!DCXo5R zynNpt*i@0ZuH;qUmQ?2u-jgjD^nRv7@tF(XpcM|_c$Kt<-?37fg}hwKA^FdE`u~tJ z`LPV~3WeQW#2jJ5_&Zz`^pzT$`Ci%oVL161CXYVcH=l(VKOb%f_Um#C;*O~>LN1T5 zVUe~Bj$DpgAe6(l5EYc_{1H}i2~Q99ZXIz)T~mlfWmoqLTjgvuo1f~vK!7UcE1H?iQssEa^GmnT66P%klbmA^>$FvgrLBca z3B0OK5U;U9Cm(pZ%*gr-!GFvIlE)TPZ#D`9f_67=iTl^9!}GhyXR!p4zyvU*8ws(-h0E4MB@}nu zKUHgEdI!0cAdeC65{U`HFpe{`ea*&PhR7PUc|u+o<((*`gK_X8w3YDLGW;fnj@#QX zJl7I3!hwd3aZWWZCqyTgdc#7q8}I%vUN-5LY!tnu9`4YhvudC?PP+&9Sy@f*Qv0|O5ymS_4|i}O^#rgUIiqJH z3J?8KkXr0FQ(-+TeeHt_B3_@{Nvq2i+xME)zaO?^h8SvzjTv%R1R<*3H{Y#lwVP0D z;FcXQGTc{+CVad0Rix7&UUo+gXqALTJRI{j{uaY1UAfHxz$$aTWOpQ7mqJ+=T=oxl ziV^w3za58e?5^vL3l`7b!@^Y?_uuU=y#9WFgnX++!H#gbY}?db`CGHx_R699u<#BU zt%TQb{Vr`ALf9VP^&U}=1CJB$ym3Yfl|l(NvLPzSl$f2-J?mHSv`ESBwMYd83dXJ_ zU3sL+Kc~s9_ZEEVmJKIpLcfQqGf#i)Zo)Ap#w$EF1aJ z=bry|#ax901rcD#{L(kc=i=gyCc2@2JTt@o>NbCH`{y{qS#0>eA>Lu3W3bT8sQ&{FjJJy(+R6+WgfKvZ$ zhSs&$L5MxqzR)82WYpic6@~Jmkm@cQ3P~(NEvZ_x#dtmJ!ayoi!aDfz&#@jn&es|# z+!x4Fj4oAHD;qd>=6dBBWpy0GK0moE6Ba?!2r_31tb!`wS?xmUj9NSzzh&Ftn${yOS%r>BPJkFD+2)_Myn zm5PaB2>yXl_l{)sC)@IaMc^;^rFO*hhxU^!Y*D@H{AMFY984yI;SsW$&I7Lq)JldjiTPt5T*ifd4`5wao%OtIG) z_*NDc530Gn7iEN3wF74iEvy=)YA0?;v92ww8bkOzpCu&(AT_+6&uNLd1x@&dM>hRo zHXTCPs&=z;0-ir9O~-#ysQvdz!=l|6La`Z7=CMJi-H*^Ma2*G&jzoIoG4wA)=rJgc zYk`}}XWZIccBt;yj366ix!I=UAaB5F@~VQ2mDXWd4?PQ?J7}r!b_o^ z^8Mi+JKm?sJTt^lr^QrpJWZkr_a-x#@J2Tn??x&JMPMJ@LVu_o-*BKEg5^P0&%i`iiN?08Ls;Ran;z0n5Uaf8tYE}Iebesfjb z&O~ur=}Q7~f22p>TBFeh{!9e+k6@xZvSB>t8K+C6>opr*whPpj?Zj{bhcB-U7tMR8 z1uDne`;cTKzUL2e6dclHn6c{IaM4PfxL6+uS>V5UEpnA12}uFiLqH?`&SIu9TjGPX zDKny2&3eco6Gma!wN_c?7|Q!8wp75}OpY)#{I6;Kr^sS46kv0dsSjUahaNYjF})R* zwZS%pi9rASbG$z%d@`o=SoJ}M`6#qlUyq)BFd*ed%TB1W{_#*I!^IQ=uvMjgqlSUe z*JkkaS(fm1f$I{(PUczTbo>F=#nTpA6~96x#O9XxIsB;OzsVH9U~w{PA+L~+tj{pL z>JBp@hQjDDDYsfWY)w9M_MVp2^if^uzwB_hWPeK?7(Bb`B#wJUkrp*bj zA#nw$;;460vE*k`q2$N5hYh1fc@5y$^cTEFT#CQ?i74!0XoN?GUD*C##S;^YM`Eg! zO&MOr&4H3ndB~q8PHD)we6EEN3IdoCXyZ8bZw@(Mqdn z0waU56Zv52GQ1OSdj|?evc!W^GFtNqpNg7;s|DY3?DF?| zsSaBTCuM|^w7rW=9&#JV30bs?_fu-S%fg+vul3*4w?cf!3OMooLJeeZI<8~C1x+oE z9C=*|Arc{^=SfLpDQW-cmvz)|f~R<0{@lRfi_Eb&D;^>Nf^uq$)!oHPq#6$M>ZUd9 z#%~f;DtOO~I#a#YCxc|Whl3#>2(>EdtEKt&4j+NLQrXOSV7W91TkIP`2v2N=l_tMT zG@d{5wxPj`N7lYM+6;c=;BYY_7nc;GYFr7MPmDA8_aGprx`K@z$4NdS2D_ev3z3Od z%V>Amer1M$5DHnsHWjnBKoCwq1kU4JocoXG7nZbVz9U?ADMpK$joKjDM5n{QM z(*&1&HW7XslZ}JJ)3XG8o(dg^ul>bof);2I$k5+6vB<87wvdbP{VfP>?xO`n04x);ooJ{*Am~@mw#%om z&Ma_EG#s3G`zbj4j0b@+WsRBgbjWSi0VpLb)+%oKJi)b10{e(lhYsN!X8~sys5XT! zb`pY@#c^7ZuAP+gmOsn@rEiokN;WwEY;H*Wjs!CYbd-b)tBXd^{)&M#Jy6`#m0BsUb|cFN6h?P;e0~ZY ztu4!rF+6F^<_ zA~sxEQqL59N^cTNbWm|bicj#;gvqrL;=cNWWulCuMiGO?ctwRgVslXGJZ}a>4)N%e zI`{}fAyNWLoN{Rz^K6x}*U$!flyll|)apns@Si69y4_I`7iLVu1Tv<6r26Pm()T&l z8+}`Dy4ry8sl&(mxrh=%Ldb5svMsL!V*swXoK~}8qL-o}vMrD9JOD`5d(EnGD!qws72w6NQ61pJ zw#q2F$h696-gg5;c4&tU6tt>GpJXKOzXGmYw!;bz-2*GJwIRAN?ZT^!0K=aM#cDMj zu;h*EqQN_O54^Vs!zoo;N9+eAl#x5SNS%!?BL1w-vU1xdLW?I zwEJfYRRIM38`XV>v(NCD`a1`2fS&j5{1JK}AyMN#h-5znKDu4lGmGN-? z2DlJYwud*qfL^FRRd#@voeUYi2Tqap+ygyt+F{vih^zEzMACG{>@w8>QqV?ZbDg;( zm>b(d8`n_V0V_^iwTX0uZ|I3&t_f{$0Ix2LG!vVu<|2=EFODxg>3sb}0bCkxG=x%%c>cZPf#gbe;l5}J zOFe>li%yP?6aTu>GiI+bX@mAH_$VeU9@TT5G$WZ{p6k6R7A*MwU7yWfhe+%YSCh}P zVL*0L1AmwW#RW_X3NXn$b5CN(UQ0ipR_kjdn*||6fdAC>`6ITQHp+?}ho;!DucD?b z(;&z)r-yhP1hE<#r@c^KNrhX-`SZs%LhX9?GugB4OwcZ-B$Hn@PTndbRCBQPR4}9@ zn}g~(*i_;uQ<6>gt=N8z6mhVjW<^zG6hJuG{L1Z}e#DBh$8NydI9_EWMb z8-TOWUY|ymfSx8C{`cC)sZzQa7oG$*>`28cJE2&bj}2qU!<%GY;!9?64WJU}5}we1 z*oi?HYf;d*MR%P!26x!4?3k(EcOvMf%1m#9`@=PLVhEmM?6~>gjy`1i(vLaWrx3wf z=Mt>yVU&GYke|?5HS*m%Foa5M&S|Q_>5t-XNHiDwwker;E(o z*mNHzcaXbt;E;dSSiPYM38QF6$`dk$TpUk$G=pzM!A7z>cLpol_KWM$>+9ctVF9Qk zTjUxdK}>}WrG-JI7x!oBfdQPLlwY6hCzZ}i(-q*+*Sb&*P@coAU2~U1Jk0a!ywVEZ zU!i-6`3|WrOmyGJfR$mnPJ^WDv{)48yPidUWU;#!_!-77Oa))(S%lg)#Yw( ziUyq&$P*sUW{nUgEnYLPemNz}urVD6p6#{|m#IT+l&Ryd{3D7q5->z>)f&IFDQ%@E z2C?EPg6%7U3P?`8(u8NwSG;2f_+_T>K zZ(+$1=435M)Izq@xy8l!HIZ6RK zsu)*}c(-b;RD?u~rX3XurQOOfjNu@rL|JTkldMf|!h>_diU>NaoA>O(w&2J(6)p01;;an>|(alZdx@Z6U;2$kD20=+PR3 zX|jYPpd%WdQOQ|I;wtszO0S@ZH(4@6!^j=KQK|Kt{>^`-s!ULJ~R5l8?%5sx*J>AI2xB!ZrupoaUe4Y$O)@df1qL1A zaaQ`9sGa7PuvPeAJ;}^K;#QrsAUcaC0u@g3TpgS;^!W5Zd!kp~sW3v47 zZl6==AA;^SEzhwyECyW3zxs_9uC$i+e@Mj8f%+QgX>GcuB6EAODZe22Aj95m1L+l* zS0A;^hU|6JYIo^7pZFze8?w4<4c({BFc*tOTmx5TQdb&zJWW~&7FUJ8F|}CW-I7Cj z>^h_5s6}1T?^|w@LUoR7=i4nuN;BxeBn{bo`W)1tLHf1O-;AFw2p(zD2R>RC<}o8G zi~cUBAym+ua%`P}Ed6<)EFr!Fzdu-jA-BBY*Hr2+Q~4INHPxy1aK3*O@`fK(d;AT5 zNNKeveu+^n{y-s|xyb`XP4~|Ut)>~?hgOPC$9(2x$C{R(H`sZZ(eR!XECtN*hclh! z)stMexlQ~1G{ZF{=__N40!r!9WRiH)y}*vLZ2`Jp-%D*)HEX9SvxTFhseLS-VVEBy zHLs!{STD~GFc1yt7fd#}Wju!ws@TNCrXw@Zg4E49sW&gjrd+zqj#CzOUj)a5BApxs z532b6DxxbtD}XQrUbh$?>Bb&>qmteCD^Stz)isDH7JFZ^-R@hiYC}0*w>O0c!1~ns zPc$!h{zXIcGE)QhN27o>hW5*0~9ACJ85UJ-cb*QMVmKMNTtqLue%zHW~>R@^dd_dz# zLt*>n)xJZiT|h`^!&0)f`aw=t;;Mj*%UTW|JeX_zn+U4q*I(0DNAa6ZFn(&gzy1(E zVu+B-d>nO;6%LApF@=2TKINx@C*SuG??Xo`hqk)o;?si8za2GIMl{0{QB;$b!tD;5 z*zX^Ry7}9ld^L;^-u)q5_@PYS>|t{zX$YVl4eDV9YBYRk*1yiygA1 z|A8wJTkDG#NKI1=&}2Sn+cSPHD_A3nPsVqfJU^WV7$YcnTU8NsB(r1}nyQ;^zLl*E zwXp!yR2KQ0k;P`vg}}F$x!XorN~(cebhb~lXPF_c;O+_J5x32k_a8ng1N`)-%rb?f z`c2;+~419xf>IH1C}z2*%$M`$}*&5C8|2A!5gU5%OFWM zq_ZW(&HD_s?fzayWIV)!)Z=t9tBH{}TUYkI&$b1#h_S&iiGC;DOdGNKh*=i9B@+Kv zB~5pA89^XPppL9W)tq%tT32~=L~m{9*Oio68mbQV z&nbO>NVRszbjB&K;w^{Qy`ezrEhh`7_TFiU1BLrE>Hd7zEg3hLYk{-PnMD!_xOg#a z)?>t294VyY#-G4JG+6**RUhI38mQvUGdgRe2;L7XiGX0h*zP*1mniTHK=`i)Dx~fP zsqu9v{~_LY?>YBgTmq~3b{42}#Lx_z14f~MiA*VCDsO~dsZ|ODP7#D=;PsPJUHE&^_RoJUd7XbuTs21* zH_=Y^qX<^7ii$|O+i)6(;ZEBrJsB}nq-@*a>Y;4QZWFY~F-xQ$T3kCCa`=ojUkDL= zz}26yPDdK_ZB)v|nbNB80Q(X=|Ui{Y#Ys;fAAsQ%~Y!e z3IxMdfOk-A3AtWjf7b;D$|Q~8#n*Bz4E)73;#QMYW(`&1zVU&wS}Pw}RwKd8(|?Si zJTf%Gck<5xCMg-0+cIwqL(0x0Mwzz+(%F^7LDq!llVqL3RwjXj0yyOIsWd8u3oczec~!_OTntXH`=$S7@5^L ziP=%oY>%~Y*NBC;oNP^{uI+o@$&G}H-@gl9ePMnA9k-@hX!5qsVeULG!B43z_qHyWqCl!g zqh(q+znqky>ReJsZhdVEdiTw2>=2RKTX+43IAGh)J?y2yVK}^&wIZ&MH1T!>nK)Du zutOZG_L=ZTZ*e*AUXB+giI1~X!sge3bGgXmzm7-G_6hbzKhfIJnuo=Z#^F%$s~JGw z(2lCclFm^H`@fJb&w;ah+h!INzl%ep)__&F(l!Q_R^cDzq}t+oFpe4uwuV}a-Hq?s z@qV0?=F4P0p+N;o2`L=kJHjmVSeapJE9AAQc`({kMCa>(liy?Lw{$g)3|%9hcp0uo z!^MU-pVQIh=02cQDNn{9qLMc40K)EZd%YXEY~=eR$DSvBn6gu{ygsO0)cXj4Hfki2 z5Hn^V(&S}>#`ODo9?_(VD*Ex_@CVQRA1{}gU22ipg)3OEv~dj5oF7JwfaW_R;8Fj! zY@f#^9$q2G19^nH5-`IG2g?}d(v(zlG0lZEwABD_!0*|Ty%uz7>O7t@u$Lf7p`g8z ztpUX2dcVoqxP-EXS^xxo@xY;gt`Q5Gq2}~{UYIQ4(dVR?PCRR8Xm!VXNALV}g@Zx& z>D9WAddb^cPvxt&l1Yx%6^*HPIrPHJTD&DWZ^G$I_i;4A_#YZqqFZ1?w;Ij+Aot&| zncG1&1~a}0sywCEGI#~UN3!!^BJu1}c|@vUh*(V2agTL=tMJ>srDptzov1;y-- z+E%_cae;D@FtnZkZP$HrzH{HqP~0xusp`NISVQ{k=Ke@Fb-pT7h|Tr8kZ$}*OfPcD zC5LWB)L{m*Y5P95expj@MN$naRR^w9*Ly$ls^;55G{9L;2?BZ65BDC{4$(a z>W1OF*+3HQA2935U=BM!>Y-Ww?i%m7p@Hil|9Yn$!ajQ@O`av-q*$C7A?fR3LCNI4u>Tc9%dxLo9MTXaE+swPo7zoBe4=`-V4t8tICiasUInzqfZ<5aU zy!i7zR>ds=%#~b{6bj~bYw#*os8$n3jVCzV{wadEZh1m7MN@Uq1K9iG=3awt0T2lQjfXPR;giKi&$VLi5m4eKKui zt2@jb2w`e7B|aHLw*~f?kTNG)0xJ-TtMftHJ2G4%HKCg?c+wOc&`b_i&|bPpci?y4BOADX!K|*+X6Fc^nO0jIeR#8ZPVAs;{CZ``%}^OB+dOYR%Tev1 zse&%7DFOxDA5t(PP2<80e9m+J>JO@P3ItRO8Xt8$a^raMgPz#kD#J?b_fk7Jd~u zC22WK;(LMaln9e|GGtafZKAcnSLv12QwXaF6d0*Vmn$%TrsV*^&{X3H#-j#>0yAQPEOGEb zw@Q$0!v5woT)!hfbdu~yHf{G~Po;6H>Fd2^C}D}}#Eg7-P@G1dH?E_KzhF}0+W^4Q zo;HF=_p$crJo^k^3Z1LyPE8iCv%Y~HaU}mH5psqI9@pso>^TR2=HY@{`@@i_V{wykFE^$&H=VUGA-&)aQD)!dYLbp5fV$ zeCkZ>tk_)B%)T{TPhaf+@vx9P3wy^?dMlMxm6PARwuXD=gH7e+hwl(lep_EJsWrHu z2NVwUYmbd-UUA8xy}E&6j*UHehdctZB{PWEvp8-o2uPjpie^fBkDB%qh^U)W}nT-DFX&;k4K5jE>SKtH|Lvfrb(+6!iBF4 z!CK{^BRJ7I(P9pdH!lN|#;}1gG;2c7RK2Ke{aq=%eYVL-xdEH{ejaOgpW_3U-4@)rb>k+V}uBgSn&|Ac>RM5W#zjQ_u%q{gG3O~w&|HNO4X%K0r_nnfI%raz|iJTME{{UUSTJRfgShWHEk)RN>vOK@^^ zX}ms!WV4ALGoB+@`%!v5x*(`HQ>K*Pg%TsnKmKgf$2I0X@Tc*nTXxC%Bjpfa5JCb% zm)uXiagQpcR@kpy57|izWfB?&kW^BprgM3R1ZR1og`FJS3k8UjD@p&TSEJt9tVA2y zfMu+n!pg`WNihugL=J8?ZGt*Lm3qN3K@KHV4DFWMOsnJ(PFw^iaYDPr4ww&0-)3aG ztO#~kHZ1j=crN{B(UzNDsfmL(?W3VO3&x13Zvt1pN6s*&Hs_^|wga8JqZ&*SOD{rr zNtpucDEe>+#I7j$kWQz$)Y2{=5G1KXU^9$3XPZhaM&Ac;Vg%GskU z$vn~KPm-$n8V&P87He|#h;z;JJoMFx4)2ibhl3X%nHkG~p?QY_$^vH48r%_hDKj+> zOyaxdqXHQOb{p>1u>$$5XCtC^2_*qZWGl1C$S^ zr+aLo@nFajiHQ2ycgXCsS!J-8T+!Am`KI^ z9HjmWtbY8R@)1y^h4OyiL`dR5_ZqP;O4aS7Fv)5)<=0-$xL?lx__M5NZ|aAfIq?&= zR(;h!3EhHm1`zSmxBL@ZemNr$kfm>ZM2X$<#|zs+2p@9(j`wA&Lwa4-7M2`@|1KGs zH=0iuhlcS{F&P=aKhP=tk`mR=v7%vB>)@CJGy0tUpIKhu`-3Lcl-+TZ|E7{;O-8;X zs@BEvf2sUmlpW>PwUh`ifYF2B=0ZB*JIKwLFw z3+H@Yaevf2V~Jh8biuRye+bnyWM$djTnU9z1Gq zJ@6S$^uFTJvdK1ec1jnA%%7}zT)N}B5g#^gv`ML+yS>M(CE_{JDSCE};=P;a7CFmksw5_O4b>VOK*!^Ie8y^;S+H#~ zs}zupx+1|3;+jN<(>db2{HIiPlV^(8ps>p0kZ z@1IKD1`fSuwf`nbnKp7DdNmA!?avvQ-ImZZo; z`CCGyfSaO|(Ys_a!wlK0ZIB}aeP#6VR3bHj0YsnF%Bs0BQ$XI1qmJ4&nX~Kzo|^z~ zz2Y>wV>>j4+-$>^db9G!y#?%Zf#Z?*o_U`O0{4%BE|GjtQj^?NMNoiXixY6#{&3gK z?D%)Y*OuylFB6N=gj^n`6hm|WN@)qWj+W4I`~H@IM4BL;C;7Nw;&@D?Pkv8Qx;@ z?}7}sj;;#f7Me;8G~0Zx`1fQ&N`W~yJXNI`TOic!-{$0!f6-q+dB`{2X;g5Sho3+^ zN}Nsa%Iu=V*?plC4r3F9Xmb#3AXT50pl8ajPB{i0<6dQAkEV_C*Qwg^9l>)qJqEi$ddv?d`YKc}QSG!XF%65}gNUh&y~4F7F#<=F275 z`|1#QVkFH!XWv{iWF3H#Ev6F!1kEbh^JJISw>2bd(~B!hd=Jq-s%G6PRjNSPTV%`5`bA}{V6n`3##FU4`NaV)cB!cH7dIGNeNR*gvOEI3AcO`4 zVsFpWeoEA^&HSrO0CVvW@o2$IZ=O^d@Hlw58} zH{0|>?Mp0x+4#851p^l`#55Px6(yK05Bk4UzoakRC(MY3&a)gNS`8U&z;N zDHa=>Jfu~!W||K1 z>5K096QHm{xp=;h7A_zz3rGJ;eQD^e~^|%j9i+`&cRKm_6Qq_5zkk@b|=*>GQ|?g*NT56 zOCQG?NoO`ZAB-6nw~@`Sci+~2^S9~6>{#%?ZoX6t=p_B+S!cO8cfFMXx$kicGo0Q5 zdL%39rLl4;Bh3ML@Vis&?TE)D1@NABKnRCXK63=9z_vaSGWpGhTn2UL;PDn%Y$mV| zOUyUc&|^Z>YGolE<#SfMhED(~yg955$Kez$4MRkDyl15qSzi-bI10;*bl|o4mnZ(X ztq^wUpG;(9Ed8>>mhfXV%O)A_I1h};z%&8Z)|Vr>$97f$ykUb_kr&Eqx)PousrfIk zuNpv@(?uW+sZ`xytNu7yJz zO-wyR)g6GgpCjmz2G*bv!z2?rk2R7Uu88w{0mE)5dQ z3?yTJ8_eKZ!LyqwnAjS6n9D1%UV;fIeNBPw+?3ePfnCaO?(PMzDN-5teIe++kCgKq zUTBSmonf{Eh1xW5_VD{cwo{ky)pF`1U@7jlS3id*`3gKzWF0JTE810l$2~}X2(4;2 z_=~w>SNw>VOynX!Lnr8Cje=e>83ILj37Kquw<&9*s*}rL)%DiRR_2Zy62YNhx|#zM zV%kH(%-Pzj=lz0FSGOrFT2s}g@?w}3aLVwS1J7&PgC#?E-dQ56 z=!<1Z)Nc+f@avp;7esj;EMQWuR$iJ791^_4av5a6{k#!57OHVrew^%x#~CLn)|`f1 zaCYv3NuRq*96!BmPiH|aCy97q>GK*E9-3ej;g$&$2%pt9;z25*o%plTO&TE z?_cq+j&OiFGc+EE6!Wowb49XGLlxEV&a)ixP3R!-8-LB3zkrF1uu4nAk zl8ajRIT4+Dvm1S=q}(W&vQ4z1@Vpi5tS+P$$p1lY2(zE^9^FSEK3po=Uu-%nNDTBP zMVedB_MGohB6W;=3Ez*}R*`IBbGA6@GS8%&5v((Z&0CkV@e`u?hCIbUoktMY%-t#pq6Gto^hHk625^=LDAksHxuSICiJp>aj_4h>&Ni`mb?%nT zUI5LDtVpr)XA1`TXQU_fowl}HDVaDCw~n6cSdxvZY4w(ennH_jDfZq8I@P$hQCLlv zGOw=Re!epABMhW?JK{B9dFKaD8?A$T^c4%g%|^C3G(qFh!-hNqx2Q?t<;+uzp3P%e;CS-|GORrH~F%tsT18 zYEJUb^M9>JuH>uxAd@?9K=^a%lH@w!N3wYUFwnPMrErOr91mhDk0@P07x<^d4ixM2 zvJ2_*!L3Dtz+y9y752W=;SE_}vFgZQ^JwMif^zA}Cb|Y(_$`PhGQ(?nK?lI+1yOa- z+n5#)hwsmo#EBd!f%aOy^?FmhBeMRBSHo7>_koMIOVtp*%|MsLN6^MK9#ZZD10~5P zQ^1?Qi3dB5Lq3TS)tQ7_ry#6l^2I@;{jVW=a}uLedT*jvVLFG3HJDw)g_VduM{1r_ zi|H6}FI~3Pd;WC&1qVu!UnCH!iMdV7K6rQ&ps%z1JPnu&I&tqCd+~g6iL-p!u~#`k z!w?wf&x3N%beg?)VJ0}7R$Umt;o?J5!^)s(CINFfT@ z>*wQBe4ad(Q(iJ85>!2R?3Z})ZeXBmSUthsQkotb?DpNG7;y-uJP=A}ICC3Q1v0CZzO-?YQsCn^Iu?vwhjQU8JK;?LnN z&wloG6AM1Nr7*o@=(R`1@=&pWK!{Gz?7kH(>?=b(DWHF5_Je4FJLiy|vCuA01<a7^ipcxS#a?&k5rue)C6(g{iI*HK z-rFdC@gjaRD+P4Z3ToP+>l5XWKlYUW7nQfs`9ID7uW{>D%rH7h-2|(;Hh$*Apm{7P z?{qXkNe(X~zMMFA7?#~!NK|QG#l!U8FagWrcN{odgS~iqSJlNtvNK=)!AqSehS3IQ z8k+YRM@*EzMoRDxHZZ67urU&oFsl)rARp_KJXhQUd5jt|lQjy!COQgK(uNo>Bq^ez zl2p)9GV$zuv3T3?<1JM>Sfx4q(=YLWdgtBi*8kJ8no+~cV70^^FHLv+FlV8IYGmiRfD zxIyALFToENVL0PX=cZ}LnSRSz{}&?&7v9#uBY>&FcPL4r!iTd3_dt0zX^mn|?$payD-ot)K$^L^Y=W*rED4{rUBZ@9}cF4NaRv~^R zTQ=mw8S|ycCO-5$+ttrulP~}lp>ahCEvXQa2uVvr=F~VB8&cg-OVJf*s{|h89N4VZ zzm|=RA*ug0t{|!5bcPgR;EjQ+)f~$ntl}6zbXN$n`f61#@w2QKiN>F0Ue9uIAKO;; zwRYvF&NWjO^0nb>)G!8ZSLcCc0**O-YrwZ!ytHox7fDVak~by&E{lt zLKpWv8>8;c8gva?8( zzciVi-~3UC`4o2#TC$~J+#k%*++Zx@5Qu6~Td+S*G`=3>N_HJQxh(sT_Dv-Kn>b9S zHtGAxbWQxs1!zkoUuztdS2bFjrdMLhMeTsK%0j74SjjqC$a@=cx+pGfq!e>MF%gbe zQ!YT~@f~L_1}#5ItR7RuhBAELD+B!Xx0Y-7r(DS{u`R?1^-&9$*XFB>bY)!4Y@=5)b~DJ%6VWe(Z$~Ot@n3#nuv?7 z83{>M{huIbU0%m9FoBmON7*YBJ*75&--Ka)i8S~0s`6Qu zlze#Vr$;pBSUt}~7~p1!o3i4n{x4P>vC1-$H|j`w;J2)ipzOJTRtuKZ)UgmE@T9!o zELGL>-HUsrQ+q?Zq~vW!(9617Ff|k^E#sJ3WupjsTd)h$3}MG13@}np{bG4iGb~1L zk^k9_X_)c1WCteOOn1#37T6{U=YaO!+Lw|E7*VW4eP?eY%Y|MYJl$->{g&jt!?e*? zU!RR=4e+_>jE(Kygts@)hHCR6eYk3gRk|Y68^z|QndxK>k%KMupnzr>=_a!cP8Sr5 z5ak`3x2!5G47S47r(JA~0?pAgj;T|7iBx+T@E{dU&M;{T{9N>+a%@T;mvB!U{$VxP zCC9GZK71<@zRp$vaITdV%{fSV3T1_N_7-@xKmrwWrxCcIyTt18Qn@c{LF&50Y zZ@K3|HR>N{_y`p0g&eBtg)n?Vp#hg8lcxc3wxL5J{Sx zZV15WlsG}l`ePvZ*(m$a%4s;hq7U((PUj_8khFVWdzs9PML8-kOu_ z*ACvpu`(EB4o_SMSWrt`7x(qxpKuO!m-J@glPPos25%Hmd0@;4ckt0x$0}PZXR5;T z+UKr^Mi(K`_ZocpDp{^c&3JV`R2j-vKG{n7GapnBecX}^jZ~%=z!GcHJ#7zZ|MIT1$!y_1EYrSE@f)?}mpk3>%P zyKjh7**?_CnI|eC{Zqyw_Qt098wP=2f=&M`ls8Y)TzcQovZDR9xihR*;3eQ`>f+(h zrnv*X!2g8%|A`9b`&=V%a%-tu3IpnzIUvOy4zb{r#fiY&zqB}MmoO~r<8kk1%(?W0 z4?xfL9lZV|IlZ=!7Omcur_vFk-H$XTt>G174;`#toOCgtI1GU!+$UBn!ufxN$5d!T zsgB--QGkDP?GQxuef5UB4hMl*%V~6p(JX!m6PH*9|1Kid+#y)()qD-!UaV}p!@6@- zB3&mn7E6VZlopo7B%FxfX*SUnp~$QXmQh1ozlkav8B=wb)M^as6v z|E0mw6i5_*u?TsZbk#-AMpl+ycFow2x$$jNXE`5K#w}{wOjUh@V~|kO7TCP4@uQrB zluvCKbBxcB^dC3zUFx!a6=I+*clUTH~}}lJ z$gpe86BkcoEf{FO=Unm&x1Y}ZPD?Rz&ILSK19=a?Ru;E(KCkUw3E3PlR_i(`NcL*_ zz*&2Lym&c}(;2@U^F1$K?eR^a7kRS^?gZypI{ZlDc&(e7YYXnR(8Jf0?CsN@S^bbz zg{Yb*D>hsz%_t!=DBL^>`~A}$KJJF++F|+>B(3Py7|c3ZZPv@crKv^`-pmFK{YqTp z!W&Th66yJf!aHQLpdHCs?>c?DKx<0!Ty~N?WTo$py&b);%@1!$ySk_92p_s6>Xb!x zbOR2blR37Ly{w#T+58y_dT4uvctEj-hCfogv76?G0aR_Z20XFAwjTh?Cd2wdXUo(D zw5h@E{LN!b(4FRuMWq}63PGBc_!IT&;fU{)Y=Z4-R0Blq(cP)p0;Idj7M z!Pk6&gnWv=NY)-!lCT!MD6)(!^np^@+o?pAk;a|N=fC*x^S ze7OOB8b&X$R!8@G!=pA?LX3AD8YmX&#nGGcCI0}z+3Ym+EfpP@BIlb8CNa=QAC&iP z>|Sb(ix^GNLo2AA)|TK2$*m(BV)!HcNygWDX5bmjQBf$DNjrl!0;o4agO200QOwRy zW&IMiK!GKd?E6Y?F8cB|J%`RO2;OCRv*)?h&v!;APmOb1?v@BcuBYMJ?RW(NjGNu| zafSINjW1Ib6tsx!Hj2Zx5TYf9nqL?O?i^})iQ;nO+|J+FVvIGG3Hz4&iHQ(W^E9jCQ+Rw<)CyQP{I ze1*(THMRFv4x;!-+%4}_aP0^>%u3B0 z1L~rO0#XWr(ncNyzJ!(WQpRdJo$Z3-*aQQP$H>4u#NgeZcfR+UYvU3X-)jVZO)ikj zvwF*?;Jj#!@sBm+!-s>d1aC?oDV^P`b^zZjXq94LW4wKbBuJkv2_N|mFFz>!z&-GY zcA1r5S{)ZWd=N}!w#K#x=@To0y;gUy2+^o~00jHA6vSz5$hsDwpm{!!gVah}wuaon z5RJJJLS6iwkYEZjG<=&(Ei@}yKWfTl;*i9;D&U5GbVcWg-bjg5_5^zYMqVWtH{2z< zbS+0HiqfjUZc^iUR}saC%qAH6q{Kjg!bBP`hE)PlT&=Dz+j#fva<>5c&KEk5<+jbt zy1C~G^Vy*W`DFNB)1cDubE|2Ff@m16=GcRwNn`?XPht|2e4Bn3MiO1oN+wA|cJM`WPNF$SR3JyvGPJiY2-CGb8G|Pg}i;8od$P zKW!{%#`GKtzW#70vzvf5Yb3?xlo-S+qxX?sQ5JkFcaw-$*0g5>VdXXdi5=sQw=^{i zDX8&~A7;KN?IEPVZVYLMKXQZ*A|9en>q0xE=Y8Bk{&R-)W2W zEtJRgq@9v5BTFuVBXYN+`ARj7Nf4{)ku~F*%K}LUwX}a&LhGr~BJt7a zVADR$c3TJ_{AYg0Ur?`h1o7ABVZ;%6kZ-_2B2oF*)^(V}K}mc_?G5D*=Bd*7gemz_ z)2#B)?aY7Q_Zj6#dx4+>-%^hn@<@SvU<(iV64~qFsJ!|+k$i>UDxhK?5Oi_`f^kkS zhtEM|H=(UX2MnJl63st|3R%pKNtEQv|CE^=CUcg0(vlPbz&J<~F!uxh;=J|US4#bf zd<=^<@%vPOp6C2a-~tPnQcjcYuWR53Z}xn@yaTs48-DvXeX_XT^Pc{M*3HhYwhRSD zIV9gPi>uNAcQC!O)!5_33|WOe^J}z2^DXYQ3*J25QIxd)fZuDeLIB`$JLR zIuTF0HgtAfJsy-PZ6|KgazR$vmoZCl{}!fT;BcP{FxtQUW4_*zp6B=%5N2aiNj0S4 zc*~SSQ@?BkX@viB2lw_w0T#)`XEukTPI&@Y8vCdnqNEutcJJmz+s=$cP!|`(%*@HEU)qO>u9yV zcpNOupdT6ef_{OuZ*7-FoiQ=@PMm|LoL6wG+S6|tW)r-_pcT7=M)G~=LW(5q*9BqN zpMP$t^PROjtMoNizAMw^t9}!*( zv#eNRc`h%d-kkhhsLNS#jnu^LJxRUqQ1?xEeprWA?%kUfQ0}G79zjn|k|Y-(sdsX2N zUB*Z_H4&@u3}tAl^8od1YzosYhIa~EZV$Izf=85{R*CIAjaxlu9xN+=dgHv|GS}d_ zS>h@6L|C!;VD)Ei(FKKmWwldg>o_tg)t?LA0ieq@<#vIXd-mBfeUN^C;^oJr~Wu2kq(u7O^R!dhH4!F8OO= zpHi&qTa6P%x}oTMK;+YfXN*agp{cr0<3ic?4gT*BYpgP(YFvLghSie4&zH5m`2ag< zGAb|Wxphvny6V@r0AX=YQc}v!q@q%@ZuV!~+Tw}LH)84(S{C@>q2sM8)v`6{VMwurJ%l{M9s784uQ)>QlM*8fy6-nwUyd2#l<;aWc_<>U)VlVoQ48 zQ>mB6g@=t$$y1c#IwknpK;#dSd%yvz+&$WAGx5)x%2OT$cZ6o^`RiQeM4O`Hn>XK1 zB+I?u%i6WLA7pipt+U_gP54z>TFt~%;1Uymh*1Z8^CdlXP+wQhYxh}1E%HD0X2JS^ zd*lB>bAm_7s!jHJxNAunq?-3R`ilu*x(!K93myc>MgDO4Tj%;nP z3nF$A%|9XVbbR+u%`HOZMtcLS`{UUejJK9X01y5K>i9VKR%<5=Sy62Yh7nwnf$QH- zjMFKFoV~WL0cYSE6%SgB%o++i%Kh!KdzCwFwv!uD@)h-s!9D@fWsSjDoLDnL@fHwa zgt!nvZw_%YJz*~XPB4VN!gnW<@`GQ!ziuSVUyp@)-baYaG|3-vo$u9sv8sOq<1m7c z67LR5D1t?$c?-Q5yXqDltWG+YW8qckZY;*-%K>U-xq~8PxJ7*A=D|osC=eELgnq~! z{B=W56YutOWd#t_UuKQjJe8~PY$+RcHUH7IKE z0iz=E;x4d0OEq`);|Ou3V51~;f-j4g9$r#2D%rH=J6&S(oIYt1*l5$#AB$`84vjeM zNy6Sc_-?H=AHpcLFA~5z9+9(`3PJ1*vJMXoT%7YOdrnZ0CU$Sl8#4^Xl@Hpkn_XO^ zvB>BMA&%I=6K4lf{O8t$QV31nQeqmWS=;_DhXt2%GH2Z=A1vXUSi{d|SREK1#5u_w z2u%K~Nas=A(%P0l7&uQMG=22k3K7*aJg;?MpaL5Se(q^c9EU#lCP#+8W12caqpZ(F z!V$LJjq-gu%YX)nU||vk@Q$tVy?&R{@O&*ApFeq@$`Rugd@khgTbHG`uq4Pj2J?B{1(vCV3s`j`9deD zQ%xrsLGVrtLGRcNnXUgW7EW?smkO@u#1d*v1@D^}R8@ zCwS2t&k!LDRYOmU+n^hp=ftHi9R*IK(iiqB_AS5$MtUV`voT6Jt$J|s3z)1{jd0(t z3e||YAv1Gr80{H9gGxy|OTPfD_qSP`WI0jfT3QXPylK z`%HJ_xPj1y(VC8AaPd{?Z3b1Ltwl~sK_S%?Xh0H&@5=HWrBLiQ9fZ#vQvwX%dbK`x zx#Rnn!>}8=6d)!K0Ft4dh0Xe@b0G=Mg`7%5tYmGJOEcAzZLD3InJMqO?wwHJS~$12 z#F@VrqAEhFv@680_RF|mTwcKtOVMyVbD#B5UUL#6D1E>Hm=aGNgMEq&Z(C?$(9N6% zHty;-FvbgteQQOZ-8q%XobnZ<7sJ@xFOKntaX4SHPxj3YA?6 z_->!jbdMC&ZeQhZ0K_9ov|V`IB>$N9GzJre5P);8N%+;jrNCOEITke?8^id6LlbnQ5PRS%q z=;%6P zUOl3gucIJH@?KHepWUs-hJh2MnDi6_F!EKz8S%fd^%hWZEYaF11PBCog1cLSyUXCg zU4jknZXGnZ2g0Di-3AE`2?Td{cMI;xn{&>6Z{4^4f34jzwR=}p@2;xpF8Mxz9P#t_ z>6cZ*{dYmKT?z56*en!QphP2f)v(PPu1fRfb!x0lS zv8PYj=oE;g(5+P936x<%OX_*|8#;8AOm6B`enCK|p_im9-{d#!tw zMm=XMJgW}-hRI(S=9;kKU>+?>9Iu`Y(JF-G%X@-PDkT zK(2n&TEUMBQ@n=>QIT9j=Y7AJi7tyMkCCHoHQZj_DoX&#S)Qup?+`L>4eWlcrbW%i z;cU>+lwEld-$+4XX4aV{T=Qh=ZTY+%g&vbxU0J4;#S-yFjo}22RE;)~54tER!=@B! zP_G>gBqSO0*O3UV?>hJ3ZwxA)(IKxFf4h4!-co4ESe+ujHc+=_gI`H~ErGW!*`x!< z|3Sl_BPa`aMpvN9$9yI``SRn7xICtv>E}X?%(tblV$qmhG1(#*^uPJkQ-p!x5`0|f zC0KC8C{5V03|(l>!>A_n=?BQ+7CE2V5e7BDiY{W@UM{)UH6Rr-suKgU!4N6^<4B9} z$r^;Pabb!3cGy8sqPx0Y4V&-MKg^7ZhCWLgkqST{<3G0A6UR#4r{u6S;TTwBD7`w+ z=<=X$VHP8jYO=}fODY>&MZGkeTNrS{-(w8(ME)Fr@oBaD0si{9M`tDN3ph-bJc-iYUs?0t|`CV5_ivKFHf_Z zphTb(W2*b{g{nYFC9eL3PXkGC{WF&RJiqqqw@tdqez{MORJFJX(U8F&+&SJ2CcyyY zD>`qWg>uJ`oHC~oq2;HOh+SB!4rHfeH~|Zq4fRMh8DIppCcZ@!^tEZgovtqYX$f4& zMZ^Tau$d$_zWU^Pp)g1)noSej7I|zV&;ZokQ{n7)QX2U3J~YdLMa=kWJ~Sou%!fvE zq%B-J(zezsZy;Qd;F55?Bu3aEYebG2HmFFUt@Q(EAu7$W8E91zcK%td-0aNWTwt+b z9K{@;*E2zgp(wJ3W9EIf@;Z3UdLNEY>a6D7G3_X435-F27TIN3=D=G1hEw3MuUpNruDE0|rN^2vQ$Dc1Kpf`>NmO?~q&l4o-t;-RA4B!$Cb` z!R{=Xa7^7tC&o-K?e~U@4HcJ*L&Y5xMZ{6a%#VW!vpPQ%Ij?`nb6R%ya#nd`YMrbIHR@QL;=a^-u|aFGYXmQTa*P_+#GPIroYV43c^e;ls{FPK`=Om()9H~PjO~iPVQ0R@{ z_^Ys=E;kNAqL2k?1YKwL%e5IS2~93awFwwnf5-le*q=q|h@3&84j!z=X+3Vd`Qu9` zm~p|=7eH2)u~AFv$dS_0tipkJ;+Q!cc5dyGVPsJ;zQ%D~A{;M!YuK>X;O9^3fN;Ck z$@*AR^PB2w__U3A?-O7j{=Mz}zjDzbSLNf)|N38v@aES^eBeM-@R3fud@pSMDZ3cQ zH@-QPG6>^F467|168afO`gDV`Q23eT=;_(Wz5Lk+v3zKJbN)l}^X6OXu$*3HmjOHV zbuFG>qgHNJy&GqzW+#rflj|KSZOjyHy0pyN@p9-Mz^slxCh^ent-Q(S`d3p&Zv}lV zLYiJBB8Z_2Q`|&W53jEEOO;dAD~0T4I1*`*^3tb(f5H3S6= z_Q)GSz({ytSb3T_rIzCkyzE(PgSn_GDC!R^NUoq3JW2pP-foZ++0GXaNF&?r!r)}S^F zB9Ph3bEqL3DRfP@zgZ;0i(_BTANp7C7$0>^N^c8Gx^mrrg4J<}GC@wn~o z9-k#uYt*E&;&TA1@l3@U82(7&om0ttN!0H*A3gj6|Fn-E4&9H%d$H~~ zUZ!&k`Em3f-8}sLO71Q9R}Z!XK_nneK(p?F?Wd10(ivvVM|=y!9*OHxW5l`S#nDTw zotDf$sA9?4Cb?*Jeu1xfYTx?@=JyVt-6&kn9Nt7JBDc-&W4XbS1H`TSb0?>dr)AP$ zkU9nP`@($m#yR}6xXeNwV!m>5Cb`c9u_n3V$D^jO(OPiHJmZfGK3Yc!+uT8rQshsN zFdDXsoPiv=+>(CWmQBWnZN?wL*oKOn!IhpJB$3#LWz&P3tsEMAEB}EG8!TTgJm}|G zk-O^0fs$?NyL?egfNJ^gp*N?32mWY#HD#g9St}x}^KmhpLDn_95q|Qxita?1!jLRl z(~m|L+jlxzgeQnH5Hr#tGtloLG;3N3e`P>{j=G=bY_~o#`1j%NIPd=TWgzDLNashI z21l+0$QsC#i9A%;@0B{^_mS_TvY)s;&*UI60myZ&kS(o2;LI)>yKZ$BKXP?;Ms7)N zq`Fvq;Ly&Fwof*KH)MMDnrP8jC3wMD1+d=tSboTuOz5;6_2*}}$@0u^!}c*&1bs3eB==^;1c<3Rfr@+mcr1c+z^xt4to|;S4s~OA{rr4g(Z>E64!+_ns??a!|8#Y zOp?!wr&tX-1xxkOVTs$ zoN{0?x@UMIw9UGq0Cr7!_}R44Uj-qho6O75ev#`g|RNO6&j6j^T%SHhErnsojnP_w^Tl)CS8+GT%_>pE; zYOEBfUR=NKC?3otoOJ|KzfjqA%&xxiq*3=At!%?PI)Cc*>pT<{F3B9`O{5rw4rnybtZdp`=G2g$iX_eNKruE;S^U=DixXWii z(vbhAB&1OZq}RwUuTE!5AXV^(FTcS(7oQL8N~T; z`%UJB%Hl3OY^Uw9yfgBsB&u`QN@-Z_287g%crNDwCcTj|7mL(WvqAZ?Sj}?4vdGKj zGoNCHaujI&x5n`ehL!8hG`9ow(QpS4+4?$Adof5!w@a`$^Ji0EqC-W}tS*5gd39$w zf~dn{v4nS5USfj9n%>;}NgfU3yD1oYMW9e~cu;>BPx1t!LiY;gNS6-*)gppsCLOx=X%ve z&gHcDnQHt_I?KcIYRb>3lsc~haw7u#2)rdV*BSwmA&l$lb@JH{+LglP?69G+98D5= zp2kg~jxVU{P7@_n7jus;pZpt4VgTbt{wEJan^$x(9zXQrC2sr!P%N1`2dRv z7ypN`N)MHp_kR&Wd$MN#y}4AJn=Duzz8;0$1eKcZ^pJminx{^(+JATY+JO>U!Hf-a z4QxA9W7pA!zE3cmDIjKc4u<@E@-| za=(g7eWv;^MOz6|kN?T0^$e`xJGbx#cwh8|OJI)m6aLS0|2&uciNUjfpDK^C9cD!Z zvt9XVbuk-O>{`*^sJAfhXxn@9%H~(D&Zc6QR4~)Z>1w{?TQXLmE`gN_w(Yp;;*YqC z`u{~IH7o;rv{IGT#ZdC9#eWSBr+Q#cpBsajNo4`Bag{uSq=Q_3;vuK|U8J$o)mm&KqmvSeI zc+*K;RDLYu6VtkWw#ti<`xrDRC$u2Tf!Zx!PSL7YExR%MN^rrZJTzHo+FsWiP7FXk zVbG9Z?H->LwXuV+%M<2bQRz}njdYHD`O7!X+wA;W!y*v3Wn3zA{@C5xbBVEn-H)+i z^z=p_@DHp$E35fZJeH_Hv-!a=(VZramf}f<#I#>5iNSa)3&hzgl*Ayqp9Mv?dcg73@_Sxy09=2vB-vB(?SwwK8a*GA!@^*112{R<^6lJ_Vq8h{dHz0b- zg~O!iA@w%-BdJP?GA4%)$*?vq5NB}TKc~R2u)0oPRAc^0PgKMHw_oAh228bQUScdwgye}DDVu}XVGB)IR+>no~Zi|Cy&^S1d8K7u?+m_@A-kgJhrnTubg z{gTC4B7YPU8OxbFPkYlL=U3QCo0zr1Ygcebus3=ZC<}YJ36zaYuQ3{4{(=+uH?8ok zC0x+lLOCoXGra~qUs2f9ms+QjYbP_i=GnyE&&3?kD?#fmpc-)z1B!5UG>LdG&;oG9 z#(;LgVEs!2SOQa~en8=mAI<)SKXjV>3+t`9V1a(BT?zAlzamyOH!n}`>g_$s?mSJb zo=}uI2gn1C-u#`B2Eu1f0X0dh3oHMB3G$-70|i=?`#GFB{cnK{5oxc|Groy5c=|y%opltL8>jbTZA+LlP{R!t=P$0YW;V0OO zMs-F_IH#yU@PeoYkhtT^Hbr^8521Q0f!QITNRCpJeG61gnDO38@F+21m}^VIQXU3=8LkjpWN z%X(1;(3UHGj-C3JU0By53@`XrqMJ8$+F^#_uP8^GsN`MjXF?1M2vYn&L;CVADsd1H>WrBzfkK0<#T2$d_;2y)KKcteflcqf*|fY zWjg9vv}%pfTV%fF+W7ke(B_)DKFYBqkDcXo|JpU4*2dkQDt0W){Q)dDKtP^C&@a%j znIz!*?8eWk*FcSW#eCK4b0=OO?~0zM@tmLP8&V!(g4kzD&z5MW@=_kgj?MRzi>2-unR4n(wnb9h0tA687_@$xMu%lGeNP|}y5 z$PNX^EV%vRub$qD!iH?1FpDc|SPc6H0xxTvjP1T^FIijH7QGwS+ji|{)VV(on-gQE zIo-y{TEm&63V(U&qOz!V=_aEoZLz+D(_hq`;45tb7K_Yjz5Vsm+(PXfXKE^SIg^{7 zuKTD~_HyS#{-OnVEk2A&R$};;66o%7{oDnDpL=FEK=gAJ-cKc1=ZdI|(V;r;B*ph- zTqlfV^9Ae?N8s>1@IMnvvqun*a>2%6Gp_e4CPhjlb5FUr*evUdFL@se@3%AqaXx}! zn+JgLSovrk+>znS<9^~FS34>;u8!WwDOF%EV)yod0ncdy{5(=Ie8NI3h|iylng7)y zJjRikpe zmhqo;w@SQpzK%>~TJ1|FqPF6^-3{qA;@ig8V-LfkBom{oAz!jMB58;yjUYpkGROk- z%cY_iS<&^sQUZd9W=a*$C#2IV3!^{q)k-tEy`8I)iFO|d( z*(UixSzE;)5h!*za)o$|*#GeDrB4<}V9zkhSs>!@k(_T3!Ox2&JQ^U^w7Fv&AB_F9*tBgdJp?|=X=Eu{8khW}y^`(w ziJxcwwJ6#Mqi?krRGXO5(%bG}>?-rE@7qXYjEM8&3!2+{RhTnWOCLZ{ijK? zh7v?kvMkEjlwx?rzz8z_pj%U-b#@Y0hL7C!9e%kdErBs$Ri6%qau+xpKeZf43h zJI_B8pv~A@^$DDp*5v`RS$a|dUHgBQoJ>D48aKVoKMRyMjJtb7n7`L8`$)sjWj!OG z({>0$p@S~nwD%>7D*O1xLkkDr z4?LX9*LqDNrI6vcBs1!p+PS!L!EKj4PWu_zGk)X0H>NO{yuQ108aAwQSPW~MX|M)- z1ha$<>umAcXuwX7Xu%FLT0(|()lWFfaGSZ-s1svKUi(!b?s@y46{6L!wUj28j*}_G zTy>m&T+;EkvT9z#Yo4R|jNFBnG0F-Qe*xiz^_vO(RoPqS5HaE@lHV{uf)d!oa`NYq z__^g6lBr~8O$~Ikmfd(x_Qq3O&Rm^k;fqTnqA3g+L$%FIqek{yqv<6vUp?A)j$*N` zF{8Tf)*JvrR-=Mvzm*{axp6R~$#Hzv8cONstsH*OPB=QN_`5Nj$gbbP)}Pjq2d~oA zmIakQlWpa{OzV7)&rM`%O#gndVK5bMm#I06?o1Smg4Tnh(FU7AdFwUkVRa@U5H>VV z7R73txXIlc&*TK7wD-g0!h)N?RK=41NvKadn2c1ve8PbVLs zN_HJDu`2rTFrN%>W{_JsRuad}EgeFPr%YizM(6{CY;nF{K}2$mz<{LnT#71dCrAd6 zv+@x&CJ`PW;A7NpF~{6>p&rABkBjZjdMA2Nop<2U6Z8_pbGiETE^(2-4w}D;0>R~y zHp3D?m;Fz-kQ;_mx3|v zG@Vgj9ga#6X`hetOrSt~udt=R)KLQoq4Sc`jIJ5kizYCncvH1&EOz_)`ui2%JZvp& zkFH%dnOdXa(nCz&guKT5z0;V+3slMc=4NimeXf*D`>U{v|h>r9xolD?jAzcy+ z8F`oly_;8+^{|nb_6lsO)v_yf=GBk{-(4|`xd}$E)_{k_y3hG9_UPAv+Fv>!)Vh!J zJo-R_jtiq8-*HT~tx=HRV#f}N)^8Y%l@m0xN~jBKpHyWA+Sh@5Zgw>@Vi zVB&{Op~GQ<5z1+J{u6fs0N204&xKgVZ*HlNoH%oy6)s{pgeEl=2My;YF=a(Ot$)fP z|M-NUE5RhpZFp4#FNt$+(or(*BSWaLK#YKNvvax2;%0fDc|^t_7rWvj7b|l9iE1x0 z^G$kTNJOJ}U~>)7LApS+2UgrW#$mj4Bo4L6&mE)O2?%pai+Hvuc0M{=>Yp z*yqAkUrK~QhGhA5VIMv#qQZ#LOFpnXwd!7g1jV39$JOv)Y&`SmuKjQIu0@J|qhEpk+)~V+W*0^*)p# zCXIYA<^J70l6X5G@2a@$Qvel`A10dm-Kqz8(;u-FS}W(mK+^9yYKF)uiBd<4UX zua7Bw%H%>@$IrSG(z{W%KsB^DZGfM2tSS$`_NT8_Pbfn{2i2cF)_TIPmx z(*NTuLm&)0jF>=s;PY7bqZAzl-)?z3hv<{L`c zVTCdvULeIF8+#GxHsCnk6Vp5D)}a%5+1=?FBU*v#=DW*LDC=J0S;|aIq9Iu_lS2n zFrNHZzB^^P zkbK|f)XZpKJgNL>)`3aA_j>1et9Ma8xt1Mj9_x%g=LlQ$Pk}ab*>`0xfw_~o?!<|A zl*t!jvoB(ezUP{&|4V?*aU#s8xF)BYr|;_}t^cpU&cCk%CC>r5LoFJ+@iygm%;M{x zcYbY2x9DvlWF^#IuV8U$Ce6EBJ4b{zEa*+=XIsPYLfL+bp+YM*eAw0o7$c3iG4~1F zMpKbm5@`mK%q%8>S~@h)W$FuF(y#J%72aA>nqRqsqkZ& z>Y`?THHqT`1xIrVofAaUds;)~$r0#<-6O*r4>)qJRJK=)suaaOw7FE5S*hN8oNgCk z!;mDkL=WosDEVWkW=NHbl7$+Vb3|N5J9siVDfXL{^NPpY$44YQ;l}9|mP8ffOV$TIQx!tF3xCAOy|Mt* zM=8pytvtO#+puw7RzK;|J7zQAB{p+2&FO)KtfCzrg*}m}x9)3|Jla-0DTkqC+eJh! z<(%#xP{Rml^|fDA9=eq)c3zo`+m`+?d_*q!sZJXprkiB9HtSAuN%|IkvqX+7VMF>^ z-xy&Yh|MtlV3qD{iNfWyBOE!DRnpM|HY0eGb^)9Du9~0X`Mu2E#xKmOWT1@Z@Li%Z z6th}-R8saNtzT}7*%&3429EiNb;3Sv^fy^Gf!gDjwh(hhXB&}a-O%4lX#q>ekh+J3|%rLSL)6z4;rM%>k@%Y_3<<|kdZ=##@uwoTwqSHkl%L2sJp!9o*&XX*kL!r5?7f5-IIw#0@cruyi_ z1mSSeTTeD_d#7b!$2L-i1-mwLwBhI+0f?L@b@*E;_vx2Pnr_|^6M)@sF?j=N?$5Uu z0je)V(zKP1X#1oJAvd?9AK=kcXTpt6u*>-feWB&_jG#%_FNGCDlxy0{av>8MZ4uY10)>9y^lMj&nqcToi!r%ruc^! zbpUBf>e1Fz@M14_dA-WLlR{^R`Llo#)p+_aG_%=Ns5nE`sTed`6beG=^7_xJ5-Vnq2NMiW=-rGr4f3)k0MiCjH_&Wxh zy;pP=&<&J0_0SFY+d8c$S{HA4L+1*h*9)!vdD?CLd7kd#$HrKH?EUB0$)+D!+aurE ztQyVDqr$qOJpYkx|G3KgqqATCr)-`5B1&3h{->)Hhp&?NmC}#3lT!neb@W>ZMg>Cx z#14&@M`v)0D4*chI{}MF*=~&bz>MKrMmny)nAnFu5O+?Jms9j$qv<*UzeNggVbcue z3!%7z8KA(^4nINi4>|+-7g@bbpjF28BKza0;*%L*F z$U_7PI3N#T%&{+}y{hSz2U!&Kaf0;}=b*!0~Eg za@j}m$P+)0G3_bS!Nh$M`XrRW^lEX9N+aGd0~mB4%FAz*Bla4+5EHaX@-M~Xy~N?A zcq5Na^2zBw@3WW>P4mHR_~|cD5wctlVKHYQASszoUj9vUztkG}ejbs_+o=AUb}X4N zhjWCN7)O6Fby|nCh3iT79Z4N?4i1xWA>#PjU@rK4XLC{bzP+gPZ6>{N zI~Fr=va_`)e9mirUWuc(j&BR65h{F2UR^oNUPfZn;Z;9;@jzofYni^y-A0zXxO<=O zjq*k)-5=!*2C#Q-RXE~5lRL&XYr)Fd4|Z&8CbA39jAwP08d5i(gyiB^YM5xiayk$0(dCROYEfkw7J|O6OFF9t5blo%xda&{EWVv)7 z6;$xeP5JPXn~g4iM6jNNy01!2XHq;hDTY~t3p#uXG|CQ+1SL{sEE3|jg^!G!SjU=C z&n^7!5PcTu#GCOXM}yiy__-Zt4pv z7V)|faiPc|+sdn7A*CV%tn;hmiPumT?LRiIS07_Cu?$xN7Pj_&67o|vwLjGEP+p?s z--?HWDu%h;>8vgb=U&T~XMiSM4OHy&-fHo>m zaFt@C?f{BB=czKG$;gpP(spO%CoHa3y@A3;jJwq|xsq{VgYWX)x1J;A(I#Do5`pEFpD*aY=9$E=%N+MBk>KiloVAjRH z1;n_k>&(W)E__!*T^hCD8&=2Fb=oZ+FTaWImwO92zBx&bb#p0G*A!;Glc)K}uY)Hj z?6am)M>JGjQb%trOOyPHj9S5!d&IseT+J(BYW!nVs_{b_Oeh~BmcGyrx_9bH)dmVs zToPRxKS%A#EV|he0p^10O^YedzLNg zN7FU(WsUpBc;+9mE&}|xu0xs1=+G0L50=d#G;IX<56vMVj?uga`zoQ~rHigfTD%sj zOox;(oP?rml)QwA$4(!(<&TVz$Z~&Y#;LDzodR=9QL4o`9Py2QG!V-TQ!;yCw-%3D zwKQX9C@P^7Bz7Y6Z%5mh=c=(~A0HuZobhF>%4y5K%B)zRwfyNRZ^5LV@G)!bUlUm0 zik1dji?-Nc)ulq0ww|w5EklDh(P-hVky}M=vb`t6{E>3Cmg+w;n_)sc<-bLco4+SL zdA>cAxbvUre3S+5o@DNxdUMaEK{zs|(~D6=Mg;hoaSAjoYi@7M19@-SkP{?Ba_EHxNV1txrqhk(|rFKXMk@ z{y~s3Sb(39*P44Sw~L0dk3(KzQabiECjuN^iZ?hAVi@o$edK zKEWKi=`=6UzjQL!(%!_=@>koKci{HbxuYBL3~%j=J_S`Chbigw_k31n>rumoL~2k+ zk{b3+R-JDQl{Y>k`kUKP!_*Jk?Cr9Gy`zRQVrhFhgHV~+nnOr7(>9#j>1kL2yOm)` ztaabRfb0~R4B8+Ej5gCknBao$Bd@S}PT8?YL9R8x2pJ3vrGMyKNK;S$RevY@Xw9g2 z5J=@O%sNbM9B@pfV&#>eYkz6Y=$D(aWX;Hx#|AA({5gC(X2X%0 zZ4)S%F}cDgJo&g3O?msfh+bO6YkmyI-w?Ln9O9{m) z{K^B+zNJ3k8X718mn43Q5ka=GWuB)R1`>q66_rp~7GUJ4U-rmwcGqu{fk)ym*FIu! ziT7Cu?hF?89b~6nT>r)8v+=x36Se%|leb*wbi! zC+>*=MHAsD;5eyr?JBG^F6`ndT$s=%Zw0Bweb)YsT*j2W#g8#0SDx3iai@B#tNOt`b@MF^OaQXvO0Sr(jpXauvkTIb)wckb(H%N9o~)mw+TYw#>ljn%@qT z8Cb5N3Q%Q+Ykf_-6eD&Ox}{V6VxU3MvxLU|;G*nwgKpbJu)GE%f+(JCV?(V@>l@lg^rEbb8Z)BR$Kje1 zV;awItd5atyD&Dir8rAGW~S_owxlGWXrW`Ons^9Gp(sbrkd8!KsJs=2j{x7SqbkCe z)j5zuOZute?UjQ=xfkIBbMSctEo~$8KvdU}Ey~Xi_Gc#h)akHeuPw^h*i*9WvaQ`5 z7u6frn|H@2@)9+nMI;)M@z{YaGlGw0wis*Xt6FM4@k0SGcc|d2))hu_TrU{ddbcti zZW&%`FR}WCxU5_lS8#V+=QCW~~^ z(|Tp+Zr#2inZ1&PBl*gE923(8ks14YES=RiHp^UW69^%IlIyXGVvaYm z|C>KQ2SFQqw62j5Z9b|-Fwx#G#BY~)7LwM)JacSNFpw)u(xD<$-6$tN>z66>c7~PK zFL$i4!-=*bQDEIF5tY!sKsvH+CzG}`AfPHZ5fHQl@H1xmfbJ< zNP9rktVUDQLQ!}pzgm*6AkNrUFm{&NB-q2i#o|sa#w|3l+0MWH6wA5m_-&+yT@a1> zWPjpm!%ecAeE1T=fy3%Zy-W2223yH+VJn;KW=y-gqf70%Aaw?aeb~{=J-?zEklR+S zx3xy)5{9ttST80~XaiJ@M%nR&p{TD2bxR7SJ&}H#9(i~OHBuS4cnS$+kJoxttIC(0 z566J^p&}mZ?`K-FwRdU9GlTwyta!&vJ&Z`*j!k8cTYKRx?~Fgm94=gqsHSp=kH^X# zqBnGgz~b`zSOvh4g4}epTZGBqT#iGx$4i@G$j&etJ73zWV%3OW*oKvKkyL03FUi!j z6octg^bZH?PlC)w$!e#StlL*hJz}_Vf+(Q_N(`4| zJh0NCYg7Ey6dd(((+9d(MEdh(2^F7XJn|Jq*Ru`AH~?RqLz$cNe^U0yug4anKW)YP zk_H-INDc5`(;Dmrd!>>YbCXmx;vLQY>@$S@>&~FG-zklgXCoz;zSm*Ji2NC!N>Qcn z>jiNcA0f>4)X$DIi~V+YI7TSvsF|oq(HJ^iI8sLFCa(*_Hf-G``Xw5|JI@{M9tSy{ zWV{Ro_+RRy-@#CE-+3{Hh#dQk9*D(ZaC?5B6*kzRiHt2}WASVb*Rnu---E78`*r05 zo+RYnvF$fW+(q6CDf%;2r3f7%oKx=itthixjgd|}xqV%N+^Ej+Thv#^ zRNAqzsi6aln^etT-H#?;cd)Wnk)I=MKQCEb0e!pn9F{8}J{%vxaGX&mkt8e0PEdAL zCVSz?+$dVh_sT(pm+z`DZh4)h%0hBAx)`CLN2iePkdY&&E08Zu;V`7$zl88FA=e=Y z@!Mooiu1%ZA8)}9I{<^*2!HlV|0Er}UqvIYA@@n>VmFw&Ubh_5T=PDG`)&KSPhtQz zP?s++?hEhIqlhYwf{Hj%e70|W&AT~Egfx?3h5X6#)Vpg0QR0lo_2!LPKc@sP|9)>? z*74H;rv}@LOt{4~?`kp*V+Mk-(gxAhU7=O*d6P~+97v-huLaPX;N@@l}|r=+leS|6<|MIhK9sDwPQ!&S)%WdF=~^?6*P!wI;QBR-v?3V{nZ|%1coSE@_UG#k#o_T!fX=ONe}~%T zc*DDVxms|tcXqGh*v|P26W;G6$EG8++*Q$^T_PdzJoYfw*}299{K)}!UyujEEfBVk znW{(lrZB5p3BH6TGpBW{>dxv)|Y zOqh&b6<&&++a&_Qtn&OCPSBx&-zI9VY}6Pn^^NAKJwzl} zc>3KhgdSc0uA`n+U}tB+#(DGV$f8NxU9)8CNaics=L(|8ESkSm`#Tu`Hv5;!^Rmma zSH#UD!9pJ+y#uu*J!KT;c(1gcp*ggShvy4B*DuHlZOv~`ggl+`1a}iQU?@o$f(Y%$ zSF_8OG>25>%~3nVY%;k#rz?nv4zOzmJ@m4RD%+!w)gOq(L2LUuE9=KpjwjG+-`LVi zm2RnClV9w{TvMzxN~l1rESEjD3Z{QwbEVMLPJ=MY_#avp=U38Z_JX5ZU|10RDs62#lj0CY?CohHhjGJV!drznepZHYs~TLM zBu0Jp0u4LxzoJ%C@e5X_lZCOt3@mVwHsH1F`OyqYeW+}Ze*t3XuAC6~e4ui_eJMU* zseXBLnIH%x^62@`f&4U zYz+{libR`6fB>l&qW~G z_Zdm{mG2av$}oc9bzb{Kl%Y-JcWWUbE@m;=J)pxjd z>WL3(M^lvra<=fETnJa9oruw#;D3c^;xzbwVe8g&;eHEd7a%C|hX?n>9kB@zXtl3D zBjvEhB6)8$orlo!uba3q+C2psL_x*SRB52&A=BOTn{wb{-&cvk^jPOrV;cg!$0cFA zspDxYY)xv1}Z zx+S)|BkzsW@rOnA|9lA~>D>yC;u$l^B-^IiExbRUOs>3GwX2HOX5@izQ;rJz{3$?hMYmU4eHiRty6sVmLWF%k(S==w6EJ{n|2p|c;oMTvXv{DL2XDUyM`v?` zK@M9OU%|ULW=wY}vNLZ3Gt9duq)&n9~BND;i=|v%V zq*JYpWC`XR`SP{0ZS@$&HV1SD?AUrUN3YK|Iu!Gqy`C^lSOt0Ni_j6TN3Xo=#DiQUWg z_CZUR?eed;muQ^9l{L$s86QK^=uls3LS$|sl|{0VbKW1wPq7lN1IYlQyTDiVbXWIx z&U<4%V1y(4`hIOCjh~z4#z#N5%ER02+-EystEM(wXT|0#&!;UBZyt+9>}pq-O&lvj z$%oa{{YtNvHZ{cu3_8kDp)O-9ndLg10PZ3dWD_Ni&apzxYB(^>`A5M&0>Bc%U$>^D8&1vHH7l z=&(MS(b(2+H^P!p;iu5+QGK!>%T`ub%MqWU-?Litf4dOQYwF?y6k}U{N6|lq^#fF2 z{@EWzui1CP6eeR^?erQx0x`O_xJHNR^#W`?$i&}vb6U6P+4epMtPkssY(I9USAR7P zN6-9RCBU9>hj3yW%$Z?ta*+&fzsO2(*0 zEch#39|~qB8PLZB3M46{`sn#eC|&)Q)nsC&8s#Fh?F-!U4%KjflR&q@M-no`h1=Jt zOe*dcZaxNcui>T_;LMgt-d5Y%O`t8My+-JYcn#l_ec|4xvwQwC;g)gJjFdRCD1-0U z9nx~|{V%ZL+x53i!IDBlO`M&R4p&>q0-7Pc&cok*Y6O5LB=P6@hI?!gC>t7F@kjXX z23EMQ)<_LdTW##$Bm{v|bz3^Tx2D1r=O53RmgE-rV^oQ+Msu93oILd%a*ag;5)zq@8g`hID~M_iYz z?^47_3r7WrsydU{wJdK-q6i5>q}Z$aP*~tBJ8Xe*M?*S29vL4ZbLXNd`NNQ(z>+dP zBp{eODo_CRvSW*B;Kt=R6Ios|euHUX(*x%TK0bs@73)38mjbG%cB}(u-?!la2c$)EFxk&W0!wcr*VpK-Q3BImranfNuQ|qf1Di4e=4v){Mc0O_;a#RynC+EQJ~f9R=?51@rlfM zIkdpu-^IfbE516gMnLGu_q$CaA1t`Fz~0c^Xjlifj4Zl9(9nwqtQ5Zo^A|n4AmplJ z%VrGbFEm1V_k5qJnXD=S$Q4rjULkk^fIzL}-JAFoWm4MsR8^w|q#VcgWI{Uk5JNVE zmLX7Cn8jg9nV_Ku4>%@%ulG&YzvqnbO?wWLlUcCHq4Y5O9h}aftgK8ulkH1dJX1ElD^g^oonvGn=s`vQhnt0zrFMo_(||1)#ETGHaY5UvT~> z#=qfjYI(s`@q3lClUa>I5Ikm*;=KUMpj)$>AHt0SsP%;Ml5;0a0}xZBhc7qi$wnF; zMPbUL@gazuNo7>_ZumP`wFH(b@gbFi>WRF<|6LC&ECysq4<@c!lUFY4CcJ{E^>VOi zURC*l&-DQdjk{~&Y zlH{1N8S{V!Ig&o4fJ(_7-_jOVm}>;0cLCq;rTH3UNc#1#a6bY|!-ua2v;^f|?8}x( zNS}EcsxD#=m_pQ@;+QkdgmPVHK`4e}6N--3^xY>iCfM!!(UbEA_vB zUpcyhE~}Q{4eq(bjdCXoE*{Mj1LTmnoM6=UrvDFJZvhp@6Z{XZusFdrxVyVUu*Kai zxVr@1g&=|8?(Ul44oL_S+}#~QNRZ&<_WS$ayZhg}yZ3r~W~X~4W`Q z`!Bcyo#j(biZ^&1gCYzLZSOi}fpvAg_KM}}!dRCp?^E3!>||!sj_H*^!f1Fgmpe!L z=SopWJK5!l%z@982J@tj=C*Bp5vc#lj(T!xz2w^*!+Z)hsNiuiwxOmp?jTy&7q9PY zP>)Fx*d00HsjU>Ssak3P+w~M1IrL`OCbsEXQMo+#!P?I@`xz&cvLGukeIb9Hl_!yn zO{r6FKZ;_ym38kAsNuZMExqwPPy689v|&(2qGpVL`}VHYW<0kgYOV5@G_$?rs^Yyj1tZ4hy(qg?2@AuWCbl`%~+rt3P z?g%(D2RdLn%D4|$#~^V2?Yc*Ma^t#(c|qEsH~6P(Q^(3?b?&95(kq^qEjzvoWpvzaCa zx~sxF#^>S;t5o~Xe_n6y)VG0T4S$}Z!(3VkWxwhNxqbh_XX3qh>M&_cF#)ZB*NZrN z^B-X&z`zv&2In(**I1Xa>l;7LMmEIwtLbkjG<5%iu<8Ccsmib(1M-P`$*1EgLZ|@$ zQEV?+PV*~8@69laklHB(Mj^m1L6mJGV7PiAcT2{rT;w9DJ!eo%siAK;2=@Ix{Nme` zl>-8`9Qy5?CW#QFKnO(Jc9b`}u+Lg76X|rRc4WC$8d+ zXX&gCa+z3>RP^5M*qYB9i4YPv$`>i(x5rL6{D~Nb1cFUPMeo1I4wD7s6Dz5uB8VGX zM61We>3_bLmWo{XkT6tVkQ0@QSirVXpT#H?P7)FDjR?X)MbX;ij~G^SsYnol;e3}e zcRhqg$wi!_%2X~A}Czj()fS4|kugcz=M&A*0 zmS%^TGbCGq7=PvF|K{unqYoRQgxtJUyb}#ef^YDDq`{9nTW4r?k#>i663pdWi5z{YT?I!WFMKN zKbnQ0jnL*7STwE^_j-!nwCc7_lik;9j+2d|IjH~>?@)y1^p7t|nfHHNJe$Ym5%FSH zT6H}f^q-#nCa)MWfgpw$v=Cx^2x)_b79wPW->G)8Jx|x?$RwPV>mSjV*uTLT#hnvPu^8?RYM=tFYk_c zG|22L-=&o&@~tda(|YGtt3%x;DS)G2CG8R~nygYD3hb;3a*@4c#0%)FuGWR|h_&fI z%eN^2tj-p;oG%?Q{v}Z76Qva|*9c7hs?BhNMAp`uhApoW+JF)RU3YMB;O@L8*00;b z^W{(~q9Cr}^;SZcwbo(F%71UkZq%eqCWho`+>Te|M{QYFGg;4!d%x+oa>XpRs`OFW zI;S|qw1uG2%5C)d;Fq{t;BmmTI=EsoHgEpKOMLzj5+fjY#L4?g<)m-ITkgzlHqoNB z+d-7Qw|i7Rl<-0&ro{G1&5E|>mKZnQQ>Vn?`O3Vx^EM6Vt?+_fdTM6_t4@1 zSNgbb`$IuicvgtkqR%yJ)6k+gMKJ!hsW&%bJ9lErCn`?yWM10mcnkCSP5+A5mwP12 zg`x*FmYqTz8m~%$NlR~nvb7I2Mp0yQYeBV+s(eoj%q@Rkni_JQqV9Ntm;XekX&4|X zEJCV;?B(O(<(0&Exwq)G2e6^RQbAE59?H=x(-a z0L61EY}Oa{w*i(s)BZB5!8qk$(zP#?9xWJnI5e^CZD4$8`1&5LkpJd@lEd3?@uP0* zE6V3m{e@E4B*MQi$>v59H;*}JXW}IJ`HJy|d4JRB)Xm*^DrPrLsPQPSz9&Y)-acKZ z`Dk1wKqqK&l2xN3Vca1gC1@Yv0XZTRqDakF)^iV&@H{KLWW38uH_XEgxI;b1TOiNoKairH+Her{?>>=ABG;vJQW%o4`F=a?N9QE`diFz3 zQpanp%q-o{1ZaO+5PL~_L3Xo6obh}TBR~Dj{}3k{ zxAEbD)a3aA(bU6oO(0YfYFC-i^IEHs6o&BC$hLU+eELR&TO0hKxpQ`!C^5qQYXs|-cq}1jssLRW1n3b5m5|nj1 zqicrHB3RB^bgt zIgqNXh?#?|jfAGVnx9>)_Z4~%U)%ShFv>DiT6bf631*WdwL}1POC$@mW_Pwtv^*W~ zL_AChnUxi4lu4<(Ctt31@$4NbTKHC~z^bdSl$bxPz$WX1=4bsQ-IZsxW-Feb`2H1b zGW*X5BTX^aGENR6dy`>THX-AGm9WUW6q@A5u+P8El$1G}&b4}FiFnzOct0}{vvBg( z;a<an+0?$x^o{S~w>w68Yfp%O=r+ZgOf8QHU{%uKehusYah zWU*C`D2Mg>R8;2VVIt{tdQ{ZMy|$oqA0Uq|+gd8z3AaqPg$qf=+-y$K5~h(De8idp zlIh#L%bhKZiIi2sji8SgXOhSBe98+`HIbPnOmp?(4@kx>3s=vKOS;cMs_u1hB>k8M z02jM!lh8bxD&+T37*Vek?zd8thNv$Y6v1v;r)avFn-rn{^t+P>DeHD4lUUQt!Yg{- zA9c}blM#kLRK&{(h}lHLU||=?uRBm>gOU%JX`H@u(VL*g4lZxK$RrJUAl3j|Dv&%? z*fSG08nHeBLL&3rAsF!D=V7 z%pSDsQDjQGh~x-A;(ZW-`e*uoTZ=ptf8UZB8G4w_$Y{xp{YW3#l4yVmUDk*XEE>Vmw6-C%VG9GEQ@j)ZFV%v}a*U0P%{;xa z?BQHyit+eGxw+NOub*o~g4MZ$%3_q5gH(Y%7|7v2yYCMtA-58&tXL$g=mC4BsmjhATao zbCrlw&R`0hY+c1Hn+o$W1G2F(Nc%QxO7^)RB}7Kt>5`b&uwr!8dj`n;R9sr|GlXA{ zG|p7inf4F0QJ)`u@ocj|TR<=+7Sg#gG>yxqjhU#d^~*%7k{j~pp7hF0yWaupN;q;G z9LeMdjnaqG2Aed{y$w_6ma$Ut^KKm(*yvjtOPK!I16fuJhD@+dFU#&;B(D4ih>f!` zImQVp$42~X+1aIdx^?Tlg^vmDqUfyFbpMlN0ne48-~DH*UW!zq++ohKj>j98kgg

Zo0oY)A`MaY^18E)l_Fp$KhsL*CMQ_&Jg$z7I`iznpLO+CW+b zb~K(%>mty!gMHJ;CL*evNfUXOjUqH|}WZf-B6>faJVYPRt7Qrvk z#{YxTB!)GCUjjJ#R=I?S%&8sOo`Po7NWSglS<=lQ%I#8AADpuuDP3q6Ilt=9CeY%) zq_mgeN^z=4vrWF|jKi$uKjtGesaSFgi}@qF%B0kRa0>n0 z1E<6VAKV0(BZB28Zgf2o7V zlB95`^+qhwk528nt&V~|%Oh2mAPh^uDUhbE0JOR`c0V=zd{}uPJyw~6$}v{f=$Or6 z#jDX*rY=7X5`UeM)OXlcd+qIJqDt5Pj4alJ+{Q6CVpMp5qlqb|mH&@k>0OMDZWB3c zyAMMl)FJRj1fSk`9>!#Lo{=jm^w@cr*ZM4uGOW5HyWf)N2U@*H z^_qNDSSR7Td1v!b&-cTPmVS2m(LwJ>LT`WlXWKWR+76P;CVr3zVYd;Du!B|EP>BZg z{BP&O{H&#b6p^6Ms8}Ioj8bE;s62 zentAoq})huh$;5J4m>06eI0#9YBn)cPZ~d(KXW(kAer)XIuuSC|Fck1k2p}FRIKz% z{>=8ou}*!7-L+dn&DK$Mh?cj9km3Sg;Qv|m{?hLu4Po7Mh+m!1;wkm>)|Dvr|Gz4j zzxO;MtGQU|Oum-T5}j}-rP4ev6G04IuSJ8CP}k`XHUJ-I+UtzEESJt-XTlPVS!njv z<>B)^EJrMNn5AH*0G zv3gS9@ehlgE3W*Gept|Wa{TyN?#SxyqV=rN5BSU1H|?ssAwd$hw7>&|g_?VqU`gpT zVZ|3h*V{(L&d2h278jb}aT?umjMiqIwBHDM$6ojr7kEkIqq*4T3=M|4Lo9wTH(jKW zU)|;pTJ!YJg~t8#H>2o&GBZ%7-a7k{I^WkbudAvwtmu z!}JMVIr_JxT`&2A-S0bd250i1jc&H9O(Trn*c`flOW!#};^ny$e*ZePf?wfhbb4z*C_>-sS zP#5*>6UX)%{oQZ%@#zjXZ}n1_^NQx?qV?3Qyk*t7YC8~TZ(rl3)e7ByRa?Li(woYy zlG+^nrFvg#_>KX#Ng=qP+!N$g1Fb8ts{a2fECp8o6{*-rgWWkQd7It2c{#?@ZL;H;Bw zSU^ZsfnM4H!VUzx0Y}m}BDPID=vWHPUQSTvwlwpReDC!KDq^$qF5nH@n zd+ryo1u|iL3?V6>b_liCmxXn%MNV75-25o`d!x;epTR#_k70#){&@aqEoeXcqU?az zVyw8NQy8&H0TptCvpIuXyY>Ztw^ok|eGfQ0=#s7yKToXQyIqL1dK(q~RTMplB+-@iIG%t5W860M=_z`2op#J)p<2>2|GQ+nv0UG$*ToIxlT_cfYUxEp@T7bR} z`_7))7Um9CNap9D>Q=}Bbi?Gx;6^mA;CNuI-V?Tk3UpoZltmiLP^nHYWzqgU6PEE>0O{Ucvc%z7yO zupRfRq|jYk4=I(%Hlzw0YdSrgy+yE%eWrC4JON{p?qX%05OF%jgl$XlPY)w^u{y}> zY%e4T+qV$UOIv{8@CNjFyRP#*xz&kSM^v`AcAsc%u>%~@sXvcMQ)pSt7`mpCYlAu~ z!}a?B)2zCBBMhq3$Wdir|J6EI0Vt;u$&*`Az`!!RW@#cd&>Fb?dr>-P=h!sqeM6&C z^Ca1TUN}k-h4g|aY{p>Qtv9lu++ARRqZO|4C&Uj^@=v`(c@=Lt-NW>P*}}O7H6``B zG*9C|{XhJ>`EQPH{m&ld$8QrNb4ZU%B2E&O*czgVebKQ2dJmYoW(HAaZ$o6}|Ng|5 z|3_0Qi|1;LT`94^pLEOTHM=0CL^K%;F;b~P?W}Y^Sp}^c!t@{;w|<;O4tkOAV%!!M zOVpJ&d~Lc9n>}?q@nZVEK3cb>;uWQwni)_-4JE*XL`^cI}2KHnQ_escBxSm zH(qACYJ}Dj%t%I%gWVo~?Vb`hy8yi^lGt~=kaqbL^`Kr41fmi!aL67y9L`MhTuPSj z_T$i=)6HjL-6k3Esfo6(RS+yqS0Mda=m6@}NpMg!yqPAi`gj18A zFl`dmW)uM@v>}kcr%0Svc>wNT06=$%a1Vf&cN7igpvIvEI@R{4_MA6%Z8Oip9!AGF z5Bws)`oPc1PU|gy0hpEeEwNcz0tk#xHXP&kOlu(jVI}#=j&fd(t z5($9iV5WhCg{B3IC?%((12_u9>Nx?EltUoN&o)Hd@>}3>y15XGzeM|i{x zOR-?5Btv-O`E!Nbq%+NN`oKer z5)QZ2IS8TB0DE(7B84h@5=r&b@EaG+p}PM3zF!-0H20%E5k&I7{joa1$&lyhqd>lEQJSoA~R zsLpMqOl%*s<$Sp_*sUfEY6t)v>ZU}u8=3I}aZ<*DbU%Hz+=~$9*m-@7oFp~bgnF0C zS?Gr+V7nLl;oUqprMmv;X-soe?cZ(H7fG%vFHgy`rozrsbktg=Nxp98F(@DEU2tM7 z`TLV4NedhT?wN-}ka%s`u8lu3OvH*7yRL?9;7K>spac=U^*px67(U5jg(f7V4uc2# z&8NE%EKoj$h8ZBTaohu@{D_MGx!7yrPx%$VTl0x6U5?OGV9sKtxKW+?XrJ1f0T-3! zCfRG*fFLn^OLu$F&+|A$@&mpT;~=pm?elX}$I9HbfW>IzwE*CdU&9k-IY$oYTh0SolB2O6AR|0B%qx7+OZ zy(ze@dAS6^*V)a=q}7Ea0_-Eq=15-=E!Q&vXk~3(-oJ8LHKEjD4lrekhy(Xe(X7Ubs;g{%kV{Iq#K19eiK{*RQzLyXMaH8mZ(Ia zS2ZqBTl(zkI1_M*tlLxeH6%y6Oz+-oz$clwC_6~{Hw+EXZO}_?sFblrJgTl6?6#j> zcU48;xL7t%sQNM0BL;xy6#J!yfP7O85FbeZ!Liz(BZ|M4Ao%whK8szX8a zAryb}(>5OcWcn1HK&wFuvTAHl=Pr+BS7w;ZSDv_!ZBgtjuhl1ZSgo2P5RHE9o6-@& zA}%fffHgt(pC!zx>&c)1VGIetogKy&Iu8->REU)Kh)~8t<}tMMVdtQjlpSCW_?dPU z6cJsBjI~AtpA_rg=SG6-uswl1m&@hC>O!1N$++-_wH; zyx8lzKWQr6zO(ZnEz|RC(N`{svy+Z$0I20Z1`6v8;#l$_KKO$G?)$fZtZQ!kPs?us z>-*f6E7_@re_AZuNM_#)3XBVtr+mSD16RXD5RS}-%VWHOPoRSb=p)}!uOrlx3=9p7 zF<=vsT@faa`bIx$0_EEP7X^Y6wxb!b9+PJ-gMxD(`@IbvKL!#ngK@duo+jcPHD9)T}}+{EK}4^ zF{VYOs+5`KB3NX^`qlmHoKz!0?0A{jol!*57}uT99S~yR9^EiECQ5`H*00xO@Y{dZ5&NWc8|wHKAV$yU?7{Z2 z6*8c*+SKG93dkwtBApTzkw5v>#dZQ^k!WETD@H@=deG<2b8ZEYBXKsOIz~5Mk(`Nfl#GA<0N!%fm1mNSeD*h+~r>C4e_%#lSJe zFi=`k+GJG0({520NTyB2$W6))p-v3#1o;0|pU}L9O@O-~NkrzCvEn<7Q&k@RnVu-v z<`Js-`5lL8gN}(~cnow-0qpdu51I(igSRY!tEEN~rR3k7Q#PtiTEFu?hs9Shk7_E# z6Co>Wj&mKa4`N5xv&&Y{=G&P8pX&ewrQ?oF8XX}{V%EDygu+tTGvKdS{K&dgD0rkc zk`sC`*(h&)E<-J=bRy|X-3p{?1-@V49PidDXK?uqXaGjn-8SJejE0n#f<7=fYa;;5 z$)r#SIw)cfY=J;L43V$~avl!v$k{AKCBBxy8z+4sT{|VB*Gcp&IrO&p85~i-iXYpJJ0p8W4} zGvDM@VXuhuDfBjL>jugAzlrpk7D&8Lrr`H^&5x9H2~z4kQ8i*efXr)PXWH?o1wVC=i+9t~S;@^-I2Sd35?wuK5U4qK zog&eB2loRAm|oizPD#&eoL_-b*|}iUH66!4Raz6AFXfwi;a>1};1hsyL}p){7`I}z zDidh;M{(iH56x26M;F?o;BqzaF^ZDVG&}YDpL$}|V}TM~+?c5wN=xDe=`4yD#-g)` zBj93iq7MW}tQiVKEZ+lMeqACoSk}D0r_DCdA5zUI7_jY4x&Mq>BZY&%R09LHsSNDZ zvfDtWKN&A%VD_zprt^LyOCpz0>X~hj;#^etG904BX5gZ~b`Y&5IR~iPy#aiX34(X& znJ0JuiD&a_M-0l=g66Fa@W#1>kr80;1G>>E4VTowV5T9&8s}?-5J=Q4Jca@4f*?tG zKk%iU@=!!(JL7{lXC1 zfT1^}sK&lUk5B{9v=9p#DW<@fmNC+;F876G2b);{t*zLL9OgRX2|MYw(Wlc#m!+aV znzLDS1CCcAO1V`=Tq1Yqt1Dje@<$);1C1Di@B2uLUy+(N?TNxha6x<3~UkA;>o)NQg!oO!COvJwHzRUpGN2tXcjKhr&I z0G9DwfCX#x$3hy`Azw_y@RJf(72wYW8@`a>)SD9%RNO^FzA{7;1O=vTU` zYNJ1W`%Rn9BwpdX(F$k;DDBU0p?(y9KX|EWo{V`LQ**$zknbXAr4v%+9u;J-gnb^B z3j_HHKP23+09wSI?y0|6MwWtOQRexKjS=Z~8MK)exQhCy&oBD$G!C`G@J3o7BGCsa zl2qva267#CgxvCu6UswDR*l?z)_h+(@T>5K^l0B#1Rm&Y;l3r)c1;ITyiu^|`T`Xd zlHRREncST5JdJA>d!=ZRd=BveE2$ewz!aI3)K_`y^17kgOp&4v?R{Rql+KX}S(L3e zw_$v9P?&exd-hv_R+R|n?O1|p6Phlz%8>o4V}8~Zt@BX7d7{tr}+nSl7zEOBn3H6`_)yMog+T=SF_e5wk#TiKA~&sTRp<8c_DMz;4SjW ze4hW~J6mv;Ev%MV&>=AB(--efI-59VqUlXSca0m=RHw%07{~q6 zPy4wRucPHojU;agL)`O@cK8*{OJG&(`ma$|c${00a=FD9d!;=5iUkvpuZLt5m(3dg z0^a&?W{h>^6J5I*lJ-06K9b@};MGAR>;##T!?vLG&9qsms4Fh&wDppCf1<8DfJuFs z!&6oRUxEwbiS5hd$ki3-HG}J)*gHPF1Pd5RBOv|JC}u=s)N}*p3bx60fxY_h@rqp* zyH#&3y3vn+vW~$B_idmIJqd;;RK6Z6hviRH1gY4R&hZ()t=IRRaOo;mNY@t-snL|W z!EEa7Pft~D%P#xKqxovura{xbZ@)pZdT5x1A=3hoZ)~vqJ3s*>qvCF_dnBP`kh)xT zBvAt3uZ)-9N&-*-@2&AjVB~>`URyQKlfQnx5RF|#%U=4M41E9eMOtz|(|wX?h4u^; z*<&V%8%Id<+H@xWNT?sx__~S{9XB-5VivbY1g$^d`*#aoCeJ8yNnJ>&o>}s6JO3oy z;A-7TeRo{4afm*2l76%9jT0WRrRpv%H!tHK#w~4xo1rt_oT!{EHw=nKDp0elx~qm( z!T86HSSR&E#5-@iFHE-TySw6ijDHUG=DtyE(!X-Yld{KiCleNk!S67zGNcdMXN|Tl zsMXrg^>)H@_YxI|5t_=+88ps=A|*D9#C!~y${Nhw(ZFsON$mhw;}Q233B(i%#cT=0 zAW`@4!nOsGu5|7x7GP?DRg8Zspt`B=_&95zE~D9l8F^4s@g&4+UDMRSj_=un5R%l6 z51jGPo@$;2di8DNm}1V!ah`6E*QI2e^r46{NsoB&-7VAo#OTSBLYBHL@qPAxFE9cO zrYzr<)gOyh;{VSUL@$`ccV6-Ttz35JYbgMWq75=|GDbWTcbbQ@Ww5j3mmvA}<3XCqjn7 zX5#kiK|J;B6^`V7E{C)l9WdWoM+$)VYKhNB66tc;!%HO0D90209kflz`G@?i2s-@R z`-|HhVYy|{GtiG?b>yKGWxu$=n14S9NBmkpk{BI!G-z8#fxt> zepZd&f1<=DkTzP0eeb3l)m3ucNAyNo!n_+!Dwijnwo^hDaQMMj`$u&oMpg_Pe%0k~ z-nC3s07}XpC{P40-b1b8zz#Qc3hx9oIx4;$`Bx3NZ4*XZeya*F^57ShWDp z8d}(i_GX;}J?TA)9*cy)zg=Gg`a2sKE$i9#^>fagK#N_pD(mJ3_@5RDvk1ZdJ_E~s>KJd-l!6o3*A!uJ zOJF3Ud$n!^ZZx2(Z1a?pe9ck&3+JLPXk`8aaZ(>RPs7AhHamk=md~;i1X-;m990D? zgH$tyA!!ez9@g@kunQznb2<2IpwTyoqAVfcpgA%g4eI-rnuq%6BS7;5Uw#4(127uE z{_`N-A<&0+b{aj{NLAPl|3=kW3i+20ifm04&b||a!nM6dl|sBA2&4G7cgxrO{Cww? zjhFc*FxRilPH(D|b^goN4K!T6wsVCpYG^$5`C;S1S-DRu!UGwOB=Q==d9@H5chJ;a0QS*G_|9km_C*lp|CG4fAXRiG z0M;lzY{|ojD15iH{4sVRB*y$Y&u`M6-mOWlOLHr>!Ybxh4K{ctpx`yuoitmG286XD z3nz58D-RuuKA8_;|1(~&0^U%lic0lWp9!mgH81J*?yfR^uIoS zlGL3aa8l$6a{>MnW%xxO_@99#Y+p*OSW5bQ%cz@o9d6_IsyNvk=Oj^jG%Nb$SU_JR zYF^x}ZY)o}RFUIFe?YB$_I2L#Y;ZshZCu~_?YANMr6_SXlMfEKTJe9Lt zx+VD;dRwCpRj~eaz_>6ye>;$1yb05!r&IiEDNVb-`d;g3>G>{jY}MsxE1wq@bnLXqlC{Xu0HdG#uBg41=^U0e$I2I~XMW0|Wqx07O>dKQKfv0!(XNhF@|rJ=^}T zlWK)V`52NsLKeAi&*<^a>4j7K?DO5QD*iUDGNwKP`-E{D-@ODY4AG^nW7){xK#C;g zz--?`K}IT0fr&Smb)USzdw?6{J}^JfW4@m<0W5ceiTB86g~GdC0hovR7vK>-u~m&d zzH7KH;iTrXfO$ts(&#ZJ`;k$N5aVo(MAz7h5$CgvOaFxj0!ZED^=`WeU6WX;Y6L%7 zvBNi&7;(i}Y8M=5C?Yk-;&EyqWlfkwARqG29}-waVWbqyS@8!)u@hUDlBMtUcmkx` z;Nu6=XOIKZ-l*?p?GIc-#%y>QCjReod^q(?b zv_vZF-FU;ejZ>@nY3R1Dt%mc5PqepFp!0J2w{T3`w5Eove|VNcx+vc?wcWOaF2uv` z`GE;88lunnaFGYJaUpHMra#F0m7uf*n2$e9#2GX$l0T4V52@p|!t=QrL-im~`5A~k z37WfsIqp3@pfitIe-S-xl5<{`UzM07v;zP?>cyk01gJxc4me^dU1~qte6oNJ1B3&* zqQ?fSn{^$^!Gr_~CR16tlVs_?WXb^at;26kKf^;cMt_*+@a`52W=GzrRbPsoD>Xjr zwgeE0k|QLpOX__5>qCW44>9-C-1*n{j|^MRRT9GT6j{VR&4zt_b+5|mj~`m(9L`9ievBR|jfy-<=M_2V0t z4hV_9#aOe~#S+}*7A+s(=JX%Q zI8UPpG(AW}!lvmVn4#y}TIH*eh@grLL96)T0#M-ZcA{xrQy<_tU<160bq)S-mD(=o zz+?I+1@mw*ebdBolVJL*m7MJ7v}^XFPFcmD*>v$8vNJU9(>$t{l))I>tE{jMfLh@+ z-X$?P#@&r)2?{^5>d_H!@SZ1Z7dTX9bKWP7iTaO28iu`sT*NGtU-%#~sbT%2Qq)J{ z43nn*>TuN;@)J|kGw52-M2&2~$=-3D^*G$@=J(~v>J}vK0x$tSeGX^)vz5$%|H)<) zglVK5MW^z^!)6pba`_qF=?t?dDk=*h=00|q_q-|8vYp?Zq_*sIda@sM&OemWNg+O& z%8}zpx}tr~9;g&%#a*^a_;G0_E9EK+^gq zP$O4smkwR@h>rzmPx=BMcCMxIN(zB;^W*jD?@pL`Kkl9G>o4&{0$@t!Mh7(1x4+1g z=6=%x*i_-tWL|1$+O(Hg^H?8VOFB<2RXz`xF&H5EFq?e@7ASOt^#F}9F+QLqWl0)W zsD`}q*X$g5D`>=m>Ek;q-QV6SH$$pIVGP(d!A)ZDNrY0={zQ#f*8{rxaxxZGb>>J@ zpd>|?qELcUr}sg3`Y^5}VtGLT&GvvJvQq?*Ue01(OL?Mp63fN~T9}2%RGpzaAmIr3 zA~Js!cASTnxu1uYTJ2Pb))@L~DBFW&GW$119^cN&?2PEcP1rU${6X4tGJ>P13`}DG zbt-72_&Xni*Z3dR67sk@N1@4xrD)!SP$tWKw0~D=9jV70R51T9Xi44P;Wwb89m%Bp zJu<4}NttwP{v#x3Q0sFj^R+C$#wR;P>N6zgHvTsCPhNiNpUk)OET8{7|DF0LcFU!- zH73KUDmj2S7c=c%HXbgoGv3}scu<8IN8x>OTxtE$To;=busJ=rE6fwXV9rOSTb^CP z=Y}x9(+e=eIP~9-y0^s;7d3Olu25Osuxy`V54J9kf;$$H0>7-3+J|^kWyMRqO8N!^ zBc6ay-thsdWh-xJBg#$NC4q9flcw@5K8A9IMXzuJ{gGFJWiOPb^?Q%7p6JIz2aN)& zOZYp0UV8$g!XN*RTBN?0z9QC~{z}BlO2B=8UuQQ=nbVSJyei$0SjwNZ*<1lg)eI`C zOPg+t{_fAmpos74X3#nkhq+xz!Fcl(Cl zFK4V%J@YID|Mh!%wV$0*D^j>Nlc+TWiy>$gc@Zq@9e4;Cxo`@-Glg`D^&Xww`h{lp zZylvsYDr0;{1&5n6{akHi*UCB&yw3uc#*@m95?EacI5nOuWkQMLw@5cP>_VCFZc8DTA8cmLNHO{{?cU662|FXv) zG&FUwtv#1<=@s$#ha0(sj95o@Y-@RF*BZDarEtkaSg7HS4Qo&AS5>{RZ(CtJ}!s0J?HGHjaFOB=#C)$E>&n@|iB z>R-{Y21t+ga;H82=x@IYLR^4Hmrk-MWpYhK)QQhK8AslfT|ZuFUQ(GlFp0o#z6ZM* zbOMzx#>rOcG99$D@PD{ZYdqwl3+C}etqc7{lezupT*ZdhJ>r>b<=fuVHP3` zSN@-eSJhe2Oe!#VK3@ed_6LIu4`yXb-6EgYzkF!8AJ1-O-B$FU$4NSwW)gmVIJOSGVTyL0<^aUDO{KS`m<-QZt^-fQzSw->lkv!wsB z3nQW~?{7oqBnN{La#BaF5_(D`HL~+hAMNUa)2r~q&mUJ5-7g_0jX@Fv*hc|F{Dl|l zn}1Jb9_!jW(4>~SCLa8MQTf!{YnC=Ps9noz3@eWV$p`PnCcMQi3)4c)^2<)2mR=;r z`*Zs3Px`|HV?K9vmiYP*hwy6zFI{-sEL{ZrE0_w3ywA9T-O|**`4hA*QFf@BzjegU z8KSGu5o&R?y7Kx|vL!-8zcTdKND6Y`^XBEF zx#J2VkU~G~>bDx&rI>qRf=XS0`QNoP(-f#ZkYSh zL$;k;R?361``FosSsvFEO%FIG$A$K@(UdTmcBp8`Vw31v3B|kA`)o}5v)i-I(nfT_ zdF{-KUvH3)okFr^e6$O?-=yO%cm?KPY`)K=5~?nN4c&(b_rrMqIo?p@K7A1rjZ;9+ zCH!|O^r-42`QpMK`j6nEuWRa@tG|Ej4wuU?Ux>zY;pKVJKd%zvlyCWkLyCf`@kd}n zu&ngiADrar3zN_a(qgeaauZIPWA>?Y@L9I?!@VKrQ;=wXVZa$xqdor{30G2kvSnWM znZInUu!c~J{U2AiG%%??3SwEPX2up&?&{+Cx+t!qMP07~LeQyG^_3uQ+1g-spn+v>Pjmh=pfg=Ad<;i?+ zd{9Zg76`!|5;OsVTae)H9^6@AfyEbCU~!J`?|1Lsz5oC2-u84&S5ME|p4UBHQ(g5b z(rM~%mSx%a3El@fcL6Wqn^yN0{Du8N@LH;NAg;GhXMja5cW$kJGi7gfu=er*TDnZX zyS(3sgH!57eY(L*r?i^bj@`Vk;t`b?5_uyNI0_xK)Y^}k9r;L|E${Ofy!$#(;1@pY z6a87_ZEF^E+{ZrRE)0(;&tp|~iL3n87FUhRQOGOveW@4sKj{6>Q<#Ao^n?7%+%~4nGlvfcY7t1kFyVjj1!acq*1Wo`P zdXqOBbOt@ipCyTdzm(3@u>IJ!N{QW^sq#(BI}HlkGf+XMZqnp4xBjX0bNR3$)-HEj z);|6LTeRKoyUxCNs_z*Y˫bu0rpAc2ytPgRgo|8xXj#(NX``jGz2t?c9=O=fQc zmN_F8d$%z4DiM2EHtiYu8{~Yg(rU$9|y^_q}z8dO~WqA2zkj?Wvzcnj{9z?`p%y6s@ zDhJ*Izt6`qq<6v`?uqM@rhIPk?z=&&EAy|v2m0ULb|onFocC`{XaVAp)0!;$j}LUN z4{z?~5U8Nn5pTrX(>%e_H)4n$ag#Rp*KZtK7U(qg%+UKUY4m#=mqb&)fHz-r--a=` z*ByFx@A`ZTTJ;{19;<; z&%IpK=m_wc3-$oG-ph%f1dBiX%D(K&diV)$N98TUhk(!DS8Q^aAJ#9KpF1DUHr|c^ zMeYxO6Gsg1<)qu^{+{6v3cn38X!pqACgGPH7IF47qJ2!jf0O@ve&bSFJ3IJe{BJYo z%6`eL^)|8$3LyPTYO+iJw-i;A{h0n2=f8{pGfx`V%?!-m*hG@C|-e}Ly9Mg7PQ8+C8*z9997=rGV-_}ELSL=2i0rL7OMcaGSnkChDmtGh&won`y$#U z;$l>{sm}7K-PP{FVtn-cz;Z<|7SOywePUxUbm*-oU8KvAV0AZ{fV$mV$oK?+$F1}i zAtqNW2Vk5w;Qa5RTvv7ibgKR^XHkADm29x2Lp@ z?DSqqD62znuvf6Bi8_MbLrdEm|1V7GHN z>_tDyF;m=ZPQfvYt5masM7ZoOHNQXsSQ}Zt2uOcEOo@5b*}Q>OfgT-Kbj}u>biwE= zjJgkF>phf=_?&w=!eHOfs)=WOR4i)OAelBbEHK8={hUvS729|Eitw6C9ILtFw{%0Fa;}3_Yc(9jjMFnqYoBEN|qG&8w#FZmK4xg&P@MTCGg+1C;IA= zZU6t;|3~Gu;)e>SIETIeW6P#}bCJ}P^tM)NWkWnkaDZ^);>_rq@9BHF%Zf#|2G1g} znE7ODOiCo^0Ivyt!L7EC)L!o*p5AeJCNEG!fj;f+Mi1E*VJQDT8x5v8mJ6nGZ@eAZ zUfFiG_7s^-v~Aq)#@_2MNqG3~SzrmG4;<)i3tkvAb_oTKURt9hL{D5pQ~G?H!t=fo z5jrb)In0q&uv`vv#RgP>k23eYxF*2o5XMfq0q*ZKfQ@IH8<{gtKUwAn@{Op`a4}kR zr*CcVT@x@EI5O`7pYC$z4tA<`3+sPvaFRKp-eo;Li)1R{W@zoLEc%z~BQa_wy>=RY!UYK_PN(bqRu<4As_A#=Vva@yVzdy zqVW*kouXqigNkKlcmud5ecmM(neIL5kHC`prVS9Zl1n;3(uYx=!;r=buXi=aOgR4J zL0rFR{yx`}y=rD0-`Q5`LKjm|Wl^Q?eftHy9_B?>Xt%J;Bag!+M6F?#t`vt^9^pZY z>o(cs(5;2*+u8HY%HALZf9U8P zGk!en+;aCpXF(a)^eC(({tUH=h8go~$~LRfThFFAzD#$XI3p4Hedw1`_`58R>YbPl zl)y}IQ9x@>Ny6{Ay+V}}u9Wnff*UVAsY?~myB^<=znD=7(s|d5`K=nZvQ`#D0G(s$ z_fMZ3Jm<|+*xTq?TwZ1sY>Mi7mtKi9=F@W6;u9-(tj`roG*St5k1`m-b1AaPV%&Y^ zm@unKq)(Ljvl6jWbe`7wGa?crSew4k#aUHG9>5FrVSKIL{*$w(x{BczlnMETj%otf zx%tG|%E@)Hl|yjMAw4ELVC>G&5wbnyK>=Bv8xdx$MiQRLt$MZeG_&)*)Da%d^*21$8Gq`X{MTxXQN zM4Vjn^~V<&ufm$>AAaM%X+NQu-&Tw?iheH$aB^(P=F%zKS2<)6Gp>I;V(6v%{X<_% zYt8GbSBNVe&5nutHF5qy_ODDFfVe-RHfORct^EsW4CxuC8jokiip4LI^6(@Vz&0w0 zfYsABrTrUtX7Z_izL`>}!1n|j8;#9&5kjMOh#TG_i3o+l=p<9Y+!LRubxd6qM)wF^ z=DS6Rm?GT79MQ%3BF&4S-;OlCUCU5fU^?qK%pKtV5-f+o){S_ zmG@S7`vt+9D;dK25M10fg+6p0fUYTktHC)+ph+N?k#tb1 zpO^es^YazfagD$}{`OZinE8Fm^BkwdmmDhn^bvfL1d-%)2C84$KsB_{ElhxvTYWwx zR*M|`bQhUwgb5KFj^r2|B~!W$WBF(!*z@-=vR3?~ggC#X!We?bioWQ`K@0;^c|-nX z8vX^u$gm?C%VfZ3n%obA{v)4J02yws?(=_!`X2{h3WXeDZ5${=JmaG;TtX7MrQ+G; zs^zlLa(Bu9rdwE*?vjIkSiAw!|5x#Ua{mtm!RzO}!Q~{_+GQ~H|0U<5LD{6dOeeDh zZgRFH_0N>1N>z!H9-=1GL-P%juiOFIaJLAa@`;=sKho3>11dR2H;hWA|CZgqPIcm0 z9s=F0^Cho$t%=`Wr|G_$f5u|Yf49H!#elVILP4vVq2h$S%A-#%{wrP=$BwDia<}#) zIBjQyU?xM<6=^~020Mn&TSrU~>}VCwAz6Zk4qF;h@Hnf`u4;T!|D6o&O1WJm7&S!K zj?a?iFg9@f{a2`~rAMUM*;`<_-pZJ-*$qrKJw!FwGLeiDpbY-`gyXp9T#Mv`&9^Wp8Bt(PY#%&q%AUFETLEJm z_`?2&N3oUp6d@L%B}Mq;4wnD(&$3OH!H%4;GZw>`-bh_OS>0f952ko6OfMljQ^?OP z)}pCa@-Y!*OxFAjESfw{$Obg>g2)~Zxr(a=3BjVBbw@qhyf0^Bxc_sqL%S-@%?=XV zXTV!DY=h^=4%xcGZ<4g?8AMdIfi#I|qJJPZ-_H0p$j>IbpF)CwC~?Sxd$KT%9OeZ7 z3q`V8rBh00-wdgXinrl7k;1cYlZn@Uu{sZ$Z&D;jq@H|c_nsjLUe=S-a>7h7bS-Pg znV~(ma>=-j#*=2tcqU?Jn;p?p^T*FLC!Y26F=PWb&Q!!LCD!?ErZS7w zeO=6MbB$bn!?IU2VYsd!TLh!B`+3z@I#Ht@ZwzPv4ir*5Stv_pDdex0S zo_ieqVwdyhR3`viTx-$3G?k2In26--a$wMmJ8_pXD=_@ zuL8F3MGDFav>@G>4ffw}yl4eFRchDU=J{cr{q0TtLM}uJJG8s{`WQh8EyepngAXyg>gs&p9h2iMb82hHB5sbLR%Qh z@lHBZ)1zfhgnHW|l~tr{7{Nccc3gOVnH~$gRB7`~gY*@O<9s_`q1|kHa%UrA#y9@A zh)|*HJ}s1So#<^xI=h`Xw%<+_PF(>5Jk?_9R7W@>G&`q zjx8Qp1an}Av&>x!)7Ml0PB4@reC`S{TnP0eH!jhFpgKx7j6n_*FL7_8itGkME7_^d zv4t#oeuy__j*ta@$MSMl#$s3Q$h)#+Rz=@03+=|N0_fL4cuP}tAbI6EAd;DS)~rQdFd(7)&DwxQOxYNzyX&@YzrOcCAn^x2B6C}%^LG6M zj(z{a<>zXq-5ua`4X@-AVS}F%&Erzd70~eH2z>Q)&KB_ zeLa&ui6;@V5p36+vHn54Pj{lh;=4v^@-a_QY%Yv^am-PND4|U_2P2$6=UH5-6cN0v z4$fbC2dGDGex^$!Y|F;iZ;N1E!`75P)5jZNNU_qV>HIZ0en5J67sN*Ua|fXeEQ3~Y zc(SP#MQJbaWW#jNp{{NP2`yU3I9hSZVJJQ+CN=+PX14&RmXn|z6bi>EDexKG10+PR z0M%WRjd^Py-mbdD(Quo1OXR#m0BlqMX5!00&Ry`52X(ZO1n>8;ivY38atUmu=N}N7 zVjmJDum$(EDGm{fR>Z+L_Asii>U2Jf(#^4aFrD#dzEdd0O9D%9_mLLt*q4VI3RhtF z@;u)3=GSQ+!*HdpN68Ce)AdKn&3Z?ki}1sDJV3WRK=h~cBRRHXz4;upwlFVxo0mXs z(w}V8LW`a^s|#zRzO&wVb7X5M1jQVa$oTk>7ugw#>O_3`focm?dl#yLm?UtZ$LcNJ z8Icz8TEZYy@_p#tRb%y|9fME)72V=L4AksnZSOrX{{uQ3E2RITSe)#0Mh#-fzSyZJL)Y`%0e$N=|eZ7hbo&tnwb4*L_vMJv9D6X3{*IkmSUXyj2ON~(3CZaBt8-Tgt z3yrU#*;41%KYsj_`*3uCrxQDolry%LS;vPUVxX2@g=g{st9ZR$>iy-B-6nQAN8ky> zF3eTj(|X2t^wqt?p{q3O*zApJ3cC|*6qe|N*>L&-)g8}i8T69SwEfM|wsRQ2*##%u2e|!G3Z%@0SwaH(Xb<&7&f? z?QqBt$a?EMW2Lqg)!o;G;;T*S)rDw?$R4th?-Cl)y;|ivKs5*$-+bFL#cEqZ-Nbe) zx6-9UU#2G1X|^5%HCbqfr_BH({1vhP6bg!vQyF)GUs{CI-^ux!>f6QL%S+;&c!}K5 zA6=2m1;kQ#o%@jrSW&-@Cjerq;n?@;GB9ekOBTuOVi<6ZrI z&XN=~{$W6Pg6jw0z!w#Vd1{TDgL1hc*@P_|F72Aedq38?WbcN#N@o%uxKET#Ku*UJ z7VJ2=NR{K36RY-0znMk_y}sIugx{(c;-QUu4Ga|Oz8QME4loUqu8k`tJ!c7w<{u++ zI%sDmltS~>v&8DBG)T(A?qt{?UH}t{P+}n3kPEO};J2uT!7+RSj~0WW*9{WF--G7Y zsT#GzAMQAJ`+X(b(j2KESMNox+1|v`yg*LnP~Chz5kg5DKJ5{V+NX~;w55p7Hd}fuM?ZLZ+5( z4r%4?(*mBvIrn;C07E^BBbJe_&oxr?m;WwQ&- zc(Ym+&Pn%GWIr!mt?ufH*)}0e%z}MZ>Nk)T`I@{Jgv&7=rl1HXM32h5ZJTclSigcy z6*J7>6Cf*-N97e%V9tvti7j?QZ2W7=XJTYLUjDSc77pL^KyhiK;ZK;ouA&iZCF{y?Ii&C97?9Js zr#5$0aQ$rbiW9m1J*TKS@q52@_8dlKB)vc6Sck7jXzwgiYSuq`?-RPD^=|m|k~z}R zAX&jU?Blq%QO-J8hBNX7frPV}-}o7Qr#j-DKglkDIl7BTsC)DoQhE0@4t3foifBF? zr?k8a+$dIRn@2WgDajo@?sdE)0bb(T$V8X+<>AwP-GBvac298{4Wm^AEQ9gM~m!9W(BiGJLg%UU$QN;hHhL0OvdY zon@ZuC$wtmb#d`T3n+WP-KOr%*!>n726x|;hNVhnNvQYb6U$7O%lNBj0IFv~g4bI? zKF|SdLna*>lnN3n+T1v{>Hly(buEjmdYYDV-jTGGg)XI6spNo7VRrT)Q*u)4O_W4q zL&H7tHH25K6CCr=zx2Sg1MmQbx@Ud(VIiOG;z-OZgA8iJmJIC!Ly>e$;6#2 zrApTe>&Y%wOK}P2l>me63d_ve{5CM5FhkJztijL=ksYxcKSw`uE@^}@&1y*v_0;xU z!H7pPk=vnW;{)*SOX#R@XMf-5*s?Nl^3_wzfSOW_w5z9PaMR6qoVnOvKOkdH>f*xJ z4vvq-ldoonYW;eBBMDpBD-al_wD+ouoX|o8ds4ag|6b6VLIDOC(C+$r&NWK zHMK6NFKbb^SF4u)y}~N~b@@@h{IKFCHitu3(A+KuG~rD^RkEiR!zYZ#uq2K~kBxbe z{p$&i#N3&-K@mW-u6W*VtJ}v?xW7x?jbmTM3ULKZyU zn=HwD&3IhaUU^I!f6}WHi@i!#f8+msD)rvDAczl2^HsWQ{Qd_(DZM1CQ(_?H8>|Wp z=AZzwKyX{B+eGWxE_R>YZFE3qno;PZ?tofbrxu^ssFO|6SQk1v*nN6V<<)_kTK@WR9Ulwblt=qKvj2$7MtTwI zh{}kD-?a+T-7qXU|GZedD?SW~-VfqJ)O?Cjt-CQ^0HQXo&Gdly>lu-%UCCKrK?qk2xrQ?j#4N$+SDh`CXZ+g-B0c*9M=9}a#=zPX zyY#=}D`}#Nu60cg+Yd=yV*--p_i5G;TxN8C&$I{#E+^0w%U{TiF0~f5-zQw=k7y+u zMXLLnf%zJ;J>g{CAnhG^w+kC)>BCw!wHD>@7;^{f%~RN6@Eoi;e~e{9?HP8q5MA3H zL&Iok(?RaQw8$vob1Hq7AFL!%qSB?r-dSpK*sTvuYkw?{8xC^e9@>K5S6=i`a(6TD zi5mJBX@FB^bR4$JJrDas-{IP}K|gJ8mqAn3rxtW{N#{TqR)3(@T~f`RicWqki`C)M z{hP`I$#FmSOFfC8YuQIbPT_1g>|neCDNS>ETZaD;+oV8$F5!wJCjFWX5Or(#aUxd! zE1jNrd5je*UFm2yZVcw{!m!Oz9;SaPk>|Zg4=i8y*L4TlAR<2E^##mIzok&Eq%MC3 zjlINW9-A8DnD#aiT_vES5ZBjUt)PoDpJZ^E(@Z#&#%poNvtg;1YhbKH+L$cm?6RL-W-OT)G-Z{kef4n-9S2 z2iVPV@&DOt>bR4*ACvGKWvXrmpvJf}Pnot3vTfjTY%8P*dKWj}eVR;sb2(zI z&8piObjGry9MTiBt2#ZME{C`X+c^Q(u$EKR2P#ohaq&ut!U)7gz-C<9a_ivf5Ho6k zs5Ps$qvU)rxbpUdxbooW#{1rPQIiL_?F!jgSl?h{Zde5vdEY9P$jkq;7ncL}F=}o7 zwzyim;(f8>tC0J0`ROg=`2B5`BlMN!SKX^Z+nV2Q1rrON!S-iejgX`_uCLp%Occ13 z7vvL?{nyqZab7toYqT4;s{Iz0gtxc64Yc?FS#;cCTi6zf8`tIy?^Cb*+5p!m0DK*6 zyELTceYPb3EP>?}3_J_<0D_K-VI;H`YMR58x8vvCc~A@FreNhucw$=Siks#?uLi4-_FK( z#MG~RC!5NY(lN1V`?A_pd}+DR-fk4G1TaaNECwqS(D-UghzE|+18d&xao^%+2qxt; z!|m4fMfjT#=yc@u2s|ZlwOe`V)4*M?DmMM|DMvTg1hqX@R%ADs1P7f2@AU;?Y~;W> zM7RHZM&oV!XBLSUUG-STRsF$=y@lP#mx^UC0yNFu4NF8!&GH?akQ+YieSOiIGA^Zn zq{ig+Y6S5(fLF?804qW$V#vOi?S2hcHsiGQpO%*y3BP+~?L+6R_^E}hJvL=&1#K^A zvL=Y4c-*iKV{K*~^xNI5m!KivB_W6ip5Sl@7c;n+;Uf$sJo1GFV{az59*^Tc*!TM) z!aQV95|85-nI~kSP#`-)9TTpd!tou*I~5w+ox0us4!;sW(Gs|Bb-*d%cTD$JVBd4< zi_ZHFN8RPy{R^;vTioF=+-E@wd=avQYJ@&oF}g{|wWpbfMXe=>lsxwyR-0^xx@SsW`N$!j0{!j-Uv z4qC^5^;TV_>A3dfHE?6K4y%VgrTG`Khx_`zC&i_hO&XxbNt=Gh7W*usc;zHze76I` z@b*fg-tBAVUw*RQ&104;wMV6Yv&MwxL$WyvUidIv!N39|mu`rzJjGZKDr@=8E0|mN zAfOqkFDtg4vZi}-c5{!<*AC@EdS~AKqr1EvL#~Xs?=u|*=v(KO3rX8Y^j8Z^6P#D} ziKyJtmIG6%PAi>=Qso=BMs9-2rq!gAkaafco~8{KrAoqd4Ln4M`8(fY(QI6t%llLP zV5sx`si~D@Ly)h|@mWW^#E9=MK!~_rmWrpo?|y(3j)Q|g6mt6WA^5~J*G5^+aYcli z)_?H@-24GL{WFFZ>6?4g7{z`^f~n14*;xOG05%Y)sJwx)O{#D#&vDY^Gt)5NSa>e` z5!p?k(#j=GXZZ&93lXMrTHl8a8a>f`Y1|{aG%w-S2e!jqznO)+?z)12@<4}0Vahh(!ZBMAiWAY^rERy-V`jAHlp$Zgx0o|tMr~i(>v3>;=!l0r9 z_I9kdPFlzKLM$ITjp}LCSyWX2F4l$sL}O8#h{t$od8_fwRornz; zUoqP<2vvf>P|cqfDD*J(xT`t*!+w+6b|;sUbxyYclc!IgojNDvv~|Fe!=&lQ2gq`f}Y z=f;Xfc}_Rl?`WT&+{6r?s*}d%Vs6xLk0TP!64^oC(!L9zsEM3?Wq72HKjv!ptW<67 z7~IABouDM92lxsDTkjd)SK&dKtdKe2!{OLQxIpXu;N|zodhE6vp1-Sk%hUvwak$w+ z6-ce)q6RQ!$!8_6f}?YpX+I$0?%Um?J=B-s*41F_>2Pu&n~&~>QnAER z$P-MNC6wTBFhv+0)s78=1v}n6uY+OsdmSCwz0^x5K?+z56x83bwYKdY@15yyroR{b zgKZ(yHqM7N_s@Jg0=1-w+s*WQZB5&-zSVQx3wG0X9=1yt)SVzW25DcsMU9Tn~X#YB!z4{f{po)>D z*M70$CTK_F1{_}60n~5hq;m68`xVv9%W2T~oGITv)js@uBe3=i{qMa*J+t_?%P2bN zlD3T2&=u{S+=zuiYh&Kyiw{bFr#W0vc3Ji*FhRw8Ah1JX!KM^5O#~}jx;ZCdfKORs z;0@uU+iSc3yB&3x~ zcfQ(*RNtLq6hF`|(I6gido5}3Kj3_#i6AFaY}skcald|AtzO)A(xHtn$e|&ida?iQ z+N1ANE&fmt=$%LwTyqdV!EGrYWw5ryjatKE960ajro?s~zB3QD%RRy`K}ns!nm^t* zUoH?_q}_IG0r#<>c7W$@(-&w9B7X?Zqu&vJy9S$bov)1A30NL?fx4RC()gO?cK2Ub z>~4ff-WOg!N=I>ir|E{ZVBy%ohov#fdbkvbDcRHS@e|~4-rYsdddB9pbh!jLdhVWz zl0~-8SD#vO8BnMTSZYwzlQ9%;93d@c7mUZe7XSdTTej=TIOb||)Wr)SD)_)KM@%*AlhyOSj5|ccBoRXK#mlGNjd-jxpOG~uvD6n<~*RdaHTfT?(c9k1&HblBjUd2U{A$f{q8l z7Ah5k9)!WQkNxdyhSyi)d*D{w7Qni^-C@GCit{roO|zhI+SL=81%<}>Y}b}wNH`^w zwej9sy5*V&;cq6~BGiit*aQ4;{pii-q@lkhj;9ERs7wE|I(v@)=K*IL=3A3h_)9CT z$T>j5gssClDJ2qw24VUie&Xx~H{S&1N55)e0{y+N!?PeaY==aT^nWgN@A|U|YGWfY zyCYSJF_Xq6kJ@=Y6ztP`-d5+n!Mlb7s<|cU_#MYyIZPh$ zYq6i~taZ9#kN*x(z}T^Rnp@j9P30PmMc%N}ecA+f*M%cd zc~rq{EK0MVLp&%ZQ-qL(dv2~Skiw#{9xg~R=bX}zj)o7cD0!Gwk)NMAKwAIBXI_zt z{fsr9@AR)+`u$dIUk6_Wp~3xl8?Hd-BfpcdPruuT@m~V8ol7{-VK0UL;P9B{bCI>y zt~)t93#5btOZ^QfZ-u4;y}`e!v<@V-cqe|EOFCl znZ|`o2S~dLsoM2kGpkl{8x_Xl%D$!ZdN&lI*&EgWo3>Bz0r!1zs$k{UZBd#AgN<_> zJMD5Vv>b*BH_TgxpB`Q~1N@@i_H-~yR_#>!4{aaCbVtS?Xp zotDO1fLgaSJdo2j9LI`TTuX(R3;QiQR)Kzm0Mq8iKK+50z5BsFS%#@`K0Pm-z+cA^ zpplYoyV65}>^%(4ejh6-Ic4fpOT%`ciH&&SN4F%6CCQ0B-l8$gB>H;2#hS5r|M0d2gL$5Nx-Wfx%erHXLtCEK=lEpeOJ-1%8d9m z{h}4!F%q2c8kqn}VG2h9rGfhk=I@;B;WFtTgT0ydWGn6%Jbo79X?-$%Wo8eW=LeM7 zg@(k@E3#KYNoDWwzQJ=3a3A~hR>7{I%{vUaPPMykV=7`v32&(5%cTF7?%?=oO=Frj zxY6AEDHS34KmY6~PCv{L-1wo!eeNHx)|QO24C~vKirGtDWmnM4U!jQek>$RTPpzNO zr_I>}dogjwbdg7CRJ-Moo9`@GZCG3iX z^YlKSW}HP)ty{_gq|x}Vt&#+#v|qxC0VButM_kJEdx<#EdK3k+~VMUxj-lWeu=BCdU>=n<%&wh29S}Xb_ zVtH-7DgMO)_qBWvhZzhuA>jIDfBLhUtC%*1Mx{oa&*_6dNS9)=MVaFgX~dZEhHE`> zuJwZNuxNcK1%9S}7I4PhN;6^>|6zsOMIjR7wAS^d9Yb-5SmV(;WNKZ9FXOs8TQcnn zVGjIXV)i^FQ;Kx?HyD#&mEpSvrR20px!*nUblL|A%qhLYQkB+N_7>9s>&^B*S{Oc4;Wq98@o>8jJfML4Lg1$T;dET%_)%Fa zeK!YO!5@G-#KW2E!)fK`pV~-~Va$s0s8QQ3H}%g9k)Ce}$ikCt4_2|BS_-TeDGP9Q z6ui{?lJUTc?)}OfGEn!f7(@FP&P+%WZvFrZeu2Rc`R5;t`IXVFqkSNZHm?{vK&~Y} zRkM_FN>cvO9XySlqQhjDqbHOVk`)L}PPgv-XOi@2-J#={=$PxR-h>&9sUSl%+63Tz zsH{O0>A3J$o94}C$lEIvbK%Omnz)^ERfSVd&yk}|vPKVg6W11EY+)6>MqvJvX(au{ z<&b(zymqR)MO8#=ss07hY=z71;)2OLx5Nio{WBi<e>|Y0U}BF z?Esz*xDn|!XHwxTD9KqE9t|t}Yb;V%6Go#gnDf0XkTFj|1Os%ukhfBmQK2MxM|?i? z11H7Io0@6dvQ0SXX9!n>_sf{B2~{GpG92=MZe+x6Z%|ecDZWo&yq)hRWgKQygZl;V z1Nz>gId?eYR?iktE7gu|P5;m;pup(DwW`(ySTXHC3o=MC>RC7t8C~{v5JyaX3Bt0R zyv7$L_Mt7k`XQBR)ZjFp=&!+DyX&Gcl1++VLS=h}e?40}K2o)sY7|QP>HXh+9+}h! zg;Y02ZjGPTKhje+X$MrQ2Km)X2cvd4SXI>=SyiXOP-|uJvILs~Y^Q4LaD*>THEudC zkj$OHt3ODh_)j6#lci>;g~;hbmP43XmG9@LF9|l=d+L*9C9@pHw#k1;G@ku7@>;Fz zppz0ZeyZ_A(1p-->QyFbru7d{nm6;X*;E#{z^Uu%0Dre0h*@>H*J6;Lxp^ptTfvP{ zb$QToF!ApymodSz=IQ{6!&VBna(sm~2LQo0P8<@fuvUh+u@19dCbEv^gII^r#`ma= z-z7C9vX;IOu9m?3X2d?Lr&HZyynIHY_EjM@!Js73hS&3$yjmWQiCI;T)501z&X-x$ zx0t&+RCm*pg|we(*s?Qi^!{D7{Ex&hFP@lTcl7;}H13LLR((FFr>rXd%WU67I|2xT zqZXjJ;3nGZd9Px@&zJSTXNlZ>GoF#3+qtO5#Ok7c_jx(Es;XnAEyU(?&He6MV0z?F zKiueW;dnLi)Is=@>wot_mUQxBoB0Gq$js8xad=gq_Z95x@I9=NwUa^=e=*CY+(VS!e^ zQc8b@2^Mqd818lxm$hUJQE(iS=gYlz0fIxFZoGaSl|CWmjbLc9`JyEpYilPv$^SSO zEg-h&&JJDU_CcaF%xWFm*v4a1PM=t0B3J)n&XluTb9eAOC++yRp^9O!57f5Ni1O+S z{`AXGFhx&W(^q4#dO?)@%cm#=zpH{UbRodEWw{0>C$PH4@svQ{!tJMSnJi(bz!8v6#m$m@koc`6Nv33aZpP5mz)ZJJv24i%+ zS8UgFLyEApe<=!9H;vUrH~_EwSFYb&QL*z!+>x*MxT3sS*jxX6RwUV{j;dbk%YCkV z>%zTQ#p1%v3BivN+Jv{9^KxAKP$-1Wa78iAO{FNPf7v=Ps;#RsB3q@3Rj^{9pa|>u z!(O4@gd-OCKI_AV8Uy-wgudI41z@EsL8UUw9&?vc6jj1YGd)Lr5CA;k82#;~U=``d@NUL>8C&%9F^(Kfn5Brzx+0r1Ij^CUy=EiMRo zdz)f1h&sfbab-F=zi^aAKDkMhq+xu$PxY;EUVTFTU4kv~zxtyXEQk)A#Ph6+ap%=% z&Yv|?Mudu3^B*A#cmOtlBd8znoF4YavlE~VB1sx!%cQvf; zA!|+CdZv``!}vIFBAhzV#jNci{+do^qy@~b8>0vB0 z@F0SWSWu2k>xMWp?0E5_ZQLG~zba0ejL45?+c!58h^wP^+ma(^*kIUyMRHD+Kiu=j zJIw@HyRz1NcpKJil25RcQS$A+WA$&T!hK1v+k}u6rmK?%usQToLra*AKx29bGlLZS zM&>+jEu0jD0=9aVkLECnBm>~`$&0P-OiH^$5u(sa?9{cci2QS?C~VvJ;p4UBsO>NA8jr zkEOF=uk{ZT7L)`Zk1O%RV<}K!-H@d&jx85}Gc|I*K8zVM+{LJH(dMSolo6@yCQL5p zW-0PY20~Wvwq{pP5!*wgNq*Mqw$rmiG3IRh5QZO)wB@S{_uj|}3-KRzT>t0ogdbjO zl0Rrf@oUw2OJ)+4EwG-+-zx9ie(Y~pD8MEvo|xldE*Zc4oRUS^XILTh(~=k3ud+*E z#amYThYzebHL&mviw_cOvSsy<(&M7}4ua`(gN1u^fls__Fj4k+E-r3in1I7u`gyzg z?&F4$hq;2l${{7uvS9-5=L_}vNVoAuefQASUCwuR|LP*eB>X+eR|>8y>mQv_Xc@83 zp*?)t@V)Q7tEY?(IGdJ@-JPS7>~59agW=o!Gky+VjKDU|PSy#@N#adV9vk zoX3OSL3t8UXp&fa^Cxw`PxhyJq+%qgknmFZs=TMGqXwz@UHcy1 zn^`7EcIUXyi8c0VL7B*mk0hD1SR+w1I)qip!!?n&SB0V2pgfdSry{g6yUYTYMISHq z*d|uN)>Zkn@2xN0i4rxsiRB3?@ zz6=vZSjW@~_*7F2#&9O=?Q6^)kF_NU3Z64K>PXO8$CP#WRND@wl&Ln;w|vLYlr&jx}Wa;wR2+oeM9J@>buknWNy`w zO{cOXH6S8FN=vISKr{RS+{Qhsi4#rbjgZza5os)=q%j{0m%~!1MTBlj^0gcojem*)Py^dd2f351ys%>ILNOE}M61I>? z9r4$%zFX#nyKs+;dwoyUrfjapJL@&&+FzcR7N^}wX$}Rr%mB4qapJU+VoD5V24?_L zZ$V2Xpw+7~n^PfCEm2J;g)`tnZ$ZXCiZj7+v6$@`Y_7KuQaJRUElfS7=o|mCN3M=d zMmDGNoqkCfwtlLuo-tvG{A{^;%GOU6HPnB|&}0nfu)8EzYiJXe{7qB!= zZAmX(n=?Hi;&iCQHFmv-zspbNd~~yjghWsaR-k}AtvbdEfiD-6G=4eK!z~;U*MGk$ z)uLk~ufENtzai~YVwQ-ntzbgtQ^GR1GQ;2X@$#pNjs?A51R2t&#CkA=)2{u-bVJ6= zr(rpfTHoEEj!WNNZTOMhC~jW8DVt)!lp>QQe@;9)FR6d(@fQu>xTDNROBZaP_Nx&0 zHO3$}B5k_x@%cn1-wE=?_?R4HvZzP+XlS;Og0PKY3p6KxQ7A5R4?f7WXQ^MdCG!Jlzle7T zH?cyD^Qo18#6)S-@9PAb9@8$EH-?`VT~E}sv(LsT00T7>+wV$z6Rz(+>`Pq!FZxu` z(>y}hy>W#4HuAg@J7sWO#=xZ zi_gY639mxRE%bZrOWKn}>yp^T<|*wH;gUS2n(0~|(y;l|*rDLrBheMl=^Z69<5)Gt z=RmAHv(BnBE%{3ipYh_7yua&8p2~@jzv{iBa#4~nd9P^$+6boRu*A>9vGVJzMS2m- zdEMC|FzBpyQlX0cGIz-V@~6t>9p8V^>kRdj{5AiQOy%OlD7zQ>OnB9Z_LI;TjSkAA z!h>Otf=S7y8MX&icwabYLaR{`KQR2mw77a^w`g*|s9ZrY#X^xaB2T3arH<)7R6A4R8bGNufZ#wy`0B`mYa8~%s8^m{t8#jUg1T%?UX#@x1X7F z<*Lc56O6&P8%*cAiB5F)HqnZRnCsTMpVN9JLzceD_iKrnAr~s}$YtbUXJuf9dlqcnjrSE>-}5ps zEYb&RM$rgV4|1d&uU<+~PG|^FC&Hm1D=RIs>ed3iw*crp0~DRJs-fPSw~kXB5x8Lc zxORu1aA0C!c*F_}e-vFC8=$&G)^iFWc@GqniJNqC7d>QPhscApP+aJ9nHd!Miu|CE zNrJ>8s$SNc+l@0PGBW%%;6Mx6+qSF_*UE1~PHqaIxR+hd@3|Ei_Zxt5ua9Em((}_F zZUUw@V9MqY3Ul@ka@9*NE&~TEJmG=kB$uW9?cdu>3`d@GqdEHU6_63SnjnXkR&X;g fvU~;RG8PeFP7Cm6Wdmh9At2lVOzH|7Ie|O?_y3%q delta 608041 zcma&N1zc3$_BTvRGeaW?%+OuZA__w&C<20j(hUOAB^*Fv=nw@I43Lm+Nl{u_x|Nnj zLZqKP@Voc9_y4}n^S-~|XU^GY@3mKc*P3DNy0lL?3t z&HS~*eB8ZAu(0v=u(7c4sKF|R4s$2O;>>cy(2O#u;t zZJ5vh*jlgq&}m$l+JCbMy3=!*2+yuuae?K)ng zz$4wtB-QJmHd8l8m*y945A4RalfVX6$0Mb}cLb1VZzgTgbnVl5k@9|`^(#p17sH2K zle;+e<@cdUlqTA5fvW-+Qs~nz{H(~|bDw5FG%%B|+urV-B!gC=K&+b76@xwz{t2uh zk@A(h1?2BcrQOx4d9wL?pHL&@d&*dZ4@nN{sPeg{_Gx)n){AB8mKSbK`7f7$nEaUd z-cUfX3Hz>z6s%g=VRo2w#3OJuF`K5d!nFwD)7%Le1XSy^6P``~TzxHt)hSwF;6U@U zHtvPJRd>PTDvx^W=EHAh=`}MJ{RDe@!v0@QQ{U;)606hc4XIDG;hNKv(zWpmA4=N#5d=?uE1e%e>yQXWvTa*_-(y+tET-ecz!L| z5cd{iI;4MlK2-FDqwUDYaqU}SanOTrpC7+>l`{VIfgy5JV<@)j}oY0#{pE>iVYPC(```^1{GZv}6 zTrO{Yjp)TWg>}VMO*qUT?J|BA6jB053WFk6e7_=Hn#k;VM`W47;ECv&0se(YUNLzvNID_ zV$w`yA)4H$cJzNDXBA8qNNmTh&^-OM=E4atYRO6ZQk{@xf9w5lg-OWA5SMPd8@`g3 zZtrhdM{5i0L1&y2H!PE4_=_ar$kWcr?d7K*;)39&UbJk&=Irho(t&4as=q&kZbf)c zNkvlnDiL*Y+t#JT$!TOcCq_5;{4&FGjX(b8bn??=oYbT7G4HDvoi}9Xv|{G+M)KtU zJJZCBh1QAmu(3*oF8z~f&l&LkkEs@XV&6;98FMAnZ@)_Xyfza8J z@gC%JJm7Zx{A|{E)W$Mmb2{#2km=BvD+iI$45_(CEZUYdCvAU&t5m3 z&i^=W`f=89x-EY)ced_ywqkrb@!RQatl>0oy!TOS%2`d;;U~y&$9UYh7dq=(H2&1D zmA7;@8LNBBd!l`m2<=OrEeiqd&Q4iQ|7@O?)g3}7d6}bFU5q_#`Ekx zo5rW#px?%)k8k<;SsiG^b(gvGv(v!^x+!(=*^jz$x!+qTy(NLmo^`y?uN3XdI}18a8bpyOer1 zXPlkTW8k9!UEh|kX3nxnI!kaWkCiw(#xhUc!M0ji$Mz|hTchjKw%xN)Ak8W*!IRYyLP}Y)VJjP zNGJ*VwngIYYfsm=)8&9`eRqz)%65rtcdlLZ6@Jt;?M|V%)pkkY9-nfu@X7}e|g$J`3jYyW<&Pk421hO*!kR;K_0Lpy$CudOD^A>5*{hj)!@FRS!!TNiQsv94mHDM{F^FvM=H)2Yor@w=a!O$wdXURQo) zo!e%l*^8$#d^Y{(@>AnC4|_5`=T))@^{tw(_nI{XxO9kC{m|_BZTBQA)r^&eWx6Vm zTDvt-BqUM9G9O{trDfKUoY|U)xS`IV&Cl~8O5a|cq3lnxD2h?UvP-P(e8H>+jLTZi z$2)5xmV_{E0<4#isFfPRvfY>oVM%yTvE#;w|)(XV|dxaG`V7k1RfnoOfgTC4S5VHDs?`)|JVjRO~|VDWq)#aB4j z5zAVgLI1D#hp1~R>I_(V9mO|9Vk3CiI^Twz+qa-9VwsrHd_Gnh75xz95|u1^`?iQB z+O#!M6SVJWT*tyji5s`;uf)JT%YTwccdW`G$*7W$XE? zGGvpoe~2ne6R}L)-iZ^ncu1)oiUl6(TN0gPK=7q~)ETySz@8y6x%!k@SaDT6D~hu% zJx+9-Tg39JoIfh@{Y-G2XtSGnhfS#``MKT}Bh1>NzkuETUCE*r!6KGWjs%Dt&|8ol zuBEKOmPLwf*72+K%lRZJ7Qf~0BeRaUZh)tn33Bj5O^tz;dr?!w@|UA5h$vXnVb*R{ z!4qV;xQkj)(-VL1nz#{mMAiO za1AmEO#va~{S_x_72fm~r+KFLTx*mg2D#)AFHF1j+T2z)y)n}%H7hwpUU3X4Bb(k5 zHz$htUd#cS(EONlH)0elwNUIxZes|O&rWT>K+8XfZl2Kq@PPp?#IP`V8W7R|Dd<=_ zmKl(SQX$V*IxK)N44?r8KDz1cPO|e~?EtCck6$qw%FJ#psk4zoch4aV_+f*I!6ynR z1~Ha@=x(SoXj6#7&l!kEFlvQ9<^vqDz-l0XKj5g{%LhNttn)c2P824bDB=oiFZ&>M z%yWb36!k?b6d&ZEI)h9OW($vE$Q3Q+TNn-?W(EM+Oy>$KbZ~S0V+x?TYX=rCUnspj zD0M77@(kI*ZOwF=S^@N&VgSi-CYKduFn6x7D#P}NWYHCdFi4&T&681iA$gF3Q^ax* zxC3K3aPa$3fc+~Mf>$o4Fi-|w$o6jy=b3x#{Fe&*Ux-K*IFDB7sFpf|b?o_mL@2#> z>)5{}E@9l6`i~jn0LDE)D)ewg>bUyPIKr|V__gOH@aJO?_PgEUgghDDfZ+8OutgjI zDzqKI_6-n?BVxHr9VTy?!E&J}z>J36<%?vmzlg~>4JC*%`W&+MQ>a8l-Zg+nyZ@*b z=JOz|5Ga{!Z8NMqd$NHCQgGG z=-UADj%n5`QjEfi7GMUTb?JTt36J?dPQ4En^?w00|KnHf7ocS32xpP%_^2`P)tYR?{ z9gBa_P3aNM&YFuO3F;73V~qGU7SmJfw4~_%bPBZ8?*Q z0Z^`>)GJnMIJZ-Vypoqv6G;d;-cJW5nc zG}zXV*;?lma7wndbbShuT0(_UB_=9Uy5A{4NEN&+aC^>6wxr2sE>1OJTXBb}dk0EGcC%YwS3u7fS_ z0Dk&7U+8xUMgY&KGJOEg{etXPbaIYuYw4<8f@nRD@6Y_Y1nsVg)S|s+O$u)E*GhdD z%?667G8G#FilAo1L#5f|bpp(=}HbtZifFNNk)4bP~GXf}PMG%K|MxZbL6 zjR)gQy6&XemjWf*(_ zJODVf8$BzIWDPdF&7nEvZh#)cV0eLD)9|M1eM#FvHmjt5)%y=%ltVhf#cIp!xqO7- zD_No!Q#8RSckL7~HxYVsR7so9%p<<+k|~|xwn(iFW~U5bF?1`vCIZ0nIm&&&I}XYz zWWC@)tZ+X6Jwv@qu+$T|h9opi5{u6%LT zIVxR(hj0Xd)KDA7dOCfPV8h**yIq3jVA(*L98sJ9Hh4{x6Zm`V*8K-!3q-Xx{dc+q zUH`S)HR0U8JOH**w+l;#ZsTC`<6J}`@?v<<&Yp{*0a6PgFvn^JVoW(Sq;=_H9YEO` zBwFMchrJhv1)Y+a2mDTaj-%1iN3qP;@HX!S+x@&GC(f$<=k0a70N`ZG~-%J_hvk=51Cgfhq^PL=QskDy9B|YP*A#2(DGmS>r6zsyJJ&%@BlqAQuTKM zC>e>to>!mXWol^`5VeZ-X=z zF++fNP|iB_0YTICU_%3>rXlJ=SqOfPP=GO{ib10wMj9sf3^+9nhqz7YFanVlw?;E* zF7hq!LXliqjy2?wW20cBDWC;;%D4jcPLSX>RimIEKmzdnA*-gL5@9XY?5NTjy13H@2)q0GKhv$V52yno@Es(g z{j~DA3mDQ+?w0(R(5?X8>7`ARL@wfEo&eOC|B`IDc=N&;m_+XfsJO-zzLGm@4(brf z9Rl8-O4p2yGn}`$NCXT@6W#A1%rL;gq^N+(Kj|8uY*?OZa)H}_pbUy}5ZW@yENA$G4TC;J z?JqV^xUfO(`KL6|j_px;e6Nd6HbiA!AXF)XS)_LUUkV2s4!81J&^h;lMOMwJJ$!yE zI_Kq!%G4QDto8=52l?!cWtw#D)_qJ#D2?V?(~=2av4ikIbp=Si_-4aQff}MzIS=ZE z6R1c3QCB0CK{fk6r_m+2cM+yRPzf>K)1nGr!4ywSI{#G%{#pY5R@#v;^N^+hZpj58 z01gJar~Vs^(qiE&E4@Uu+$|6&H6$^`k+)^6=x&0a0xvG`4^TjO@b7O5)I)%mG=MY^ zh<|VkU>kB?0+F;zqvygf&*#A`sY^Bl-)d!Q7_gdyEr3}$$Sd~|wb4xR3j|_v3=Wj& zfHpv`MlL!uuVL!v%NH9grRRpiMOoMSWiPzJ7}&g)ZfFY1Q1-1+rKs5A$jT{Dp8u)I z7*;^S?#0&%NVCX4f#8P}$tF{;6Md=O3~qvzf80kDDY~-u8_S2)z>kJ%6SxkjUdnBo znN>Jcd;$%A4UP`9@NSI`#43&sI^2AKxH&oyg>W`!)h`1VY%n`J3NEF8 zz-7g;5sccR8FACBnG$qa9ZB6PrC6sb{(6qmtAPmYxOu8)7$QZQ> zTPTlvzudT^l&HIzZEKtDdX^P|N9SO3pMN1q#`4muMZMvd*_F~cN485;iQOYIvgtqE zIM%4^t?j&Ap0;J_6B+0($-Z@&&-&P9%wV%gB)5EW!1l?dUC5%PuAOfy9g4O^JrQz| zxH6>h%BuOZn4CrDpwR0r)r9A}IX|*rRNq#s*d(-gSL#>vH77)40XjVHDYtpC=Plo@ zn*GRny*&K-Q12L3*F{O?iAA|q1(U};xhB_pC~p$<_}p}yp8b@+ul4)z zds;y%_rQZ}7Ir-^4`*G0O7|{k?vQFM>jTxW)sXM|?Ph!8TSl%VCFBl-qeX9*@3d{> zxTL=z>)?n4Ks-R#)v5lKP!wN_^m!<|r;8&0}WJHyY9!KCR4+r5H=5L&0# z-(|~l*Z#)bo_kulchaNS)FvlKPH0sqqVrpNQ^-i4W(@z^!3n+*+`hX8QY83`wRx?> zbn*QJcFM*+o!QS)lf(Th<65^+hgWop^ecZZ$i^C#D=P}`ys4PG!J1d-XRF0J)w3Je zAbh#mlRj-zQ<`sV=ZQy!^#;FlXUEva%MA(d?AU8v*V2_{(^A(S%NVNSBvnYrXTawN zr%itl5vJF(1XZx3E9Z!4pF^zL^VIpAO7rwE{_Ojfxzhb_Psnm7KVK@qYqKIFSu%Hk zTbgv!Em#RK<2%xYvu-|JtGQhh>F~Yrwt>5?GM^f3OhrXa4cDMd*QBsSvkN#Vdy4io3?JxO0p7!|jw65NP!HaRqWyho5(jkdqrL7Pu^Ma3sWKC;6 zD?a3Mq3;vT9{sA@=luu=e!o*oo{Q5tz&x#&j&&)LE-u5jJ}|f9gyqJk2NjP39tRB6 z{|Qj~IUCaeF_=bHL3V|BWTOO?Hj;eTch7A;r6ySH4sHk-o9L zuj=S=ZZSIc{M1|?)FS!XY1zqjNE+&EsWVNLDDZ>CW+AW)Qc7oR6@%~<1{)FkT{>%K z1w!w{zaKr&Bt{Q84sCc+uZtgw6D;iXJ;f>}xD;E;=~G|lm~p+KsiM>5j&Q8!OyUi8 zkB@<{_1XLf{cE+UJAZjQ;9s-^?kS&KZtA=`{q)eb%bGD27pLE)j&muErH<34%id)$ z%DW&fc*KCMZdhhUTrL3$J|EyK7*Vk6<_+oDYe;KvghVV;!zBMjQSVRQdc{Qv-;(ce>u*-g2Q*d5f zz>;PA74uz1BU#27@e2tRWpE?hFVg+WUh6*IUjTn-X&NlT!Y35m8tv8A;6;Jy{Me6j z$-Ky-zHVPmj?EMjmb!%Z!P5KA>r}hAB-rc53RG8R+-1$z$P;wc9kr%JF{ETYR?+gI zaXg=vE6OP%)wYDWj^WmKM z9DQgwi58hF_DXF2x6P57N2@xi5>fgOVqD#aAu!6Dh2uF8ruZag>^GkmIio zJG~8ZX1n0awl_%&h$(N~m%z0~`9}P@QzNu#sET?TG0!0K78$Nb!`eeRW833X1b;f# z8#P{#AU+Uq7Uec+hZlZGlW#!C-DlGy*3u9CNy3W`p{Kp7YdPAZm#%`7u!G{}+=?Xh zwM6B-AT>iKUg1J094IOT*NY$r$8kmAD;pe~3QA_kK__Jqc*jO~G7D>u1(4KbiWfs@ zI_N09JS@Vg5Et{Xl2!B5pbm)$JZOMPK9+LW~!M!pGgGVPW*W?;X;nCIr2U`r@~Dw^!YV1?7)=3i$Kky8rkYZBYBRmrYGIC{_8NWpa7zFER){Os*8^33qhXnIx@@Y0MJ7Y%=JismO<=>*L-dfSyi&KYf6!>xGfshA<6=`- zF9z7Q`q75U5x-`Jga$9a6M;vJAW_^81r>rLno-<@h{kCQ?A2-CEOs#||HJ^}rS@jj zzd`swpc#XUL>CM$gsD^v$0<3oWY-ycV9LQhnlhXU0`#<0?+L?T@BQxpbjxSAp}29` zP@{L1|HU6<8eVhl{B!sWBp!qYS?gchM-LBjJFfg7hJ1v9!quhZ*?tdHun8iD@M|v9 zR3L{BJ8SiPe}7rrSxIRBF3S)*LZIHR=eMYu2-{febP!!+|AvaaW5J5wuR|<@oOgxl ze=9GuHW2+ZVA#K%=XA&a^pQZHh4=btE!IifffbSc;l5na+!u&{<|OjX{gfr}lcSSc zZiM*BeKU@a8|*uBvHlVTgj-9!T}o31liS#pUxKU3yIXMAK3FZNsEg9grF<3I<*aX? zIU<*NEH;8#kwD7Qpwp!&kceT;Kj1MePV!LMknX`UWuP%#ygiX}@j`>Ta%cFIy zOWr8uqFdj`edg`=f~e~+?TR10Fq=ZwKKKx;H@wA|&Ege10P#e1k70MUjghy18Dnhk zPHu>=C^;Lgn!-`_X%K%QeyRsA` zwL)y)h>^0;XK1)m#n8>kJ-SBSfx0&S{a!yUGL-#@^G3NyQ%HNsTyTl4;dA>eorkGz zHwKN3(YlON<`2F9j^t=ul}G8`V!82W0585Lx9v)o_lG`EXvTC4m^t$8WfK zFM2{O3O-%ARc@OyH(UOso~zSp?s4GauEd#0_K-(ybTtzswKAemUX0Rc($lG-`_jeW zuv^j0`G-?mY}hxYJ|7<6>fc-WH;eA=1apPpi=E3izaLi0zTm7UDs^zsFkVLA;7RA$ zjs0os6Xr5m*b;MtLh>Y7Q_-5;GLyEeeAk`LTg}?j!A@J~4<6+U0ZYccQG?Np;o8cu_9n+HdwCS0$!FWb_<0n@whW@x2{&at-SH5wBrD$(M!zw(WZ>9&_@6C>U>BK#hkJ_5}p+m<>@R@+Q^>E>shKC93lda+`!CCg#nYRlru0p_np z`awTCf`+=4G`A z!$*++CZ-DE<0~8Tk`r)23*$7b0CHhSyH_wp8WopgUDCybwCO3cv?7P|HhEP3d4l=JPN8F%kEVlXpSCiqrPhZz{@@?g>nq4J`~=jVaT6^R=?|5(@TL!uR*nzWY4!=AZ92v{t)5+0Wt&f8xv5M25 zspy+Fbr$%Tbm_j|VXu3i8Ao`-OOcTHA8AO&23ha~Qbf!6w0vG<{K7>;{ z{4fbK!w2Ur$9M(Hn(^5dLEYq7D{Ec6>iZ8RGJ zZg^=#ZjFrbz|_RUNJ(9IRl7vTEiXlq*{~q2FA?O-mLX(9pP-dMk%u8KZ+j^geV`23 z3T@R@nCKfXfA5e8Bw^iUzcfSURZZoKtiz@%=fpz({=%{yn!G_VkrTe9d?#4a4lD%F zTmcAWG;$omHLoNtxHJIsCt{1GK1!{mSpq?^gO?$*X0M zbmf0}7U(Ae)Yc?&in{G(PTk0u0h^`|Id=uP)=h@jQ%(mE$xwlyAwj&8_voCu0HH33 z>N@g&2HTCckx>j}5^#w(fcM1>>r41NYaOQv|5uQW{ms(iyMzKsHVZM`4We#jsIjQ0alO1WlV_5qJft=ZPc-p zW&`DCqrG=o>O{m9IV+=?&)Kp5e4No%7bxUe`Q3avStZh2aoDtrafe$3asrYtNpmjn zE<48}*;5ejaBp0$U+V8#>fpT7W1*apE)#vD4mOOhNSMc!bN?Sj;bU{1$N>DDjZGG9 zNi@Sw>mz;t_viVk*&|`q#VGY3WdY#&$60aoQ`mI-r5T9KVUgy)GjHv&Ipwr!+Lp2% zND?-XBq0hDs?i_>j@7{KEf;G>@Sxt8@`b$)z+gi8sD-hbYPub4*!a&(0<_ie>GqH< zWx-%c7tXqPv6<*pA}3J#63$a?lw<~EE+iJH?8T;4R~D`1|67>{KmsmW9<4>_gk_=x znhuSjNe}nFBo`=DK;AYuY4Qz3w~LO!PEJP4SsNiQQwSabbyTOPLD+U3H8(JGBOu= z%exmJC8L{O<_SkUI3VdTBzM`t_48zS8sGlJ!nQ@ASXh#rB2%V1k5qe1%JS^M{RM}>04IT>U>SEKJ!kwX|Dx@* zNqY#bn46RE{Ow5A`MZ(GC{xPnp>#s?WYk6leY0BFG0} zHIkXHiDU>I!KvOdvs&pwKIap{@REkax^6Knejs(#3YF$*N=-Dq+ab(lnfzSz|7$ut z>MNuAQMYiksCowJ0C&^Xj(>*Ge~kZ6LsaWevaGWjT`uA32id^dyN<&Q&EX}_Yu&Bz z?i!{|7HS8JsI%>_Qk#+vw3Epy(KlUnY3pGH?h=p{!vXGkla}&PEb^}7cuVV+NV2i# zI<*z)z&8gBC4@B#B2XoE9)ZbBon9`9$h(45L<){mJdN~O&wV|C*OuoO)4X3Laaa3V zE3cDgJ)iJYmSH|}FK*TUF7=1I9{!;ZFbLF3d;_ZHy0ee!H3}xWg zXOka+U%Oa8*^$m>DsBuV!@UIJUW;30^+5at)eor{pGhVkta?N@MwxO}8)pC;Z#j-8 zXG?$AaA|8Lo%-mN@K9oWFdT5$e+;Y?Yrn&I&U~cxS~nwUwS&3xI$7xCCz1Z&?cm+a z_=W@b1?3|F;n0-%{%C*_&T0?yH;cf|IuXTvfV62sg^g(dE31SFND`=?GJhI>8*;eIsKQv$F;ppqUG&&BlMXzcrrMSWwxxXSh7H?T zljnn)T;t!KDwXDy9{cz53`RZn%PA%JJ%3b;$6)&vyTPXnm+e;ZYx+3~)`lD{?*96e z**-;8_-79A3F@kiO=TrJ^bxRjj{I$qitS>QWEPa21}P1=YY7KwyDEPoH5s%XqKFZ$ zG}Qfh`%}HD1Br*i?wkI-X$QZuI)D*58dask1YxzRLCcW+lPbJUHv}c zO66ZM`U*pi5%r=%H+#z$sxltppnXUDx%`QH=EFSyeI9~t{j91AvNbJS5^vjObDav0 zV%~C8EFSw(c=7yOR8D_%_&sqJDpQ^)LN!yA9>mMmsKo&9pddW&xmG$vhd-(KNg56V z?Z&OED#5}y;A5u}oC!Kc%b>p+E`z*((SAaC{nLI5&Z4I6H~ba?GyRqV!}K6QpRjly zb>1RWI`R)g>6JHms|E+bkdIVVF&!wq!tJwo9qjYIE`(|9-T)0rOk7?bbOFHaVPz9YyHJ(qH&ZJn$ zpbo{c8+gfe_GS-q`!ty_+v5oI96`@>CqJ0b`bse2Qi295*mci82K|KhK`=_{!Sez% zVYb8$arhHjGaa-PGB{frS*HwxBcjIPGZ$T5m0(s)m0(Oy5_H7jMwpH`Khz9I=)K64 zy$F$Kuo(+Sed!&6*Lt_5Yp=bN!(pCVFUd5tZg!tSdpsFC`BlsPF`M!GEDK|b8To!^ zhxZ0P>&^z7G1cby{1sUJsYk<7;+-S^UMcO(qyXOsT&3|I*YDT8@=0a+~}E&U$V_6hVPcCyh{t{XH5C3*{QX;XuGMd5g)?+{q|DC)o{dM1|B8N z?Qggr%F3UdEDjbM5ntt!=$mILs1$0Xsf>Sn33Qm)bO*oZ&TBZ;K+iRYzfktNGEtTL zRqTF_=bin#Gcp^Zs}24!&xn@oGU5^ZNck}D?dqc=)(Yzg_Ngp9jl4S}>kLsh8a5;1 z@iS-}gge=;h-vGqkALw~TdHXGiAi;Ico-hB62||kAfzrnH?sz}+m>YhkJObRk14cO z#Nro)t1Me}l9XmTmPJrCue~Cgtr#Vc$YxPi;MtJ9BH2i(SGSekxAeO(fp~M-!V-H{ zm+hKE!Ot>0wkLVa%6=kBL{=-K3kf~Hg|#N^$=O%**7_SKyXb6tN)|HL>li!;XlM2G z3v#bmiXFf&`aEhsMZV0YCF$qQKaJ~@^V8K`x3c_dcg$)GSzBK*$k&Z1yOTem46Loi=*kOLfG)1L-nOAd()LHLmirq`#h1` zW3slIfe${hEnljmORgEIC(9h3I|t~@?8nz~*HZfv zi;n&BCtLgQ0H_jM`T>mF{IFXz&#lU?d7quo6O2I~WN*DSJ5_pzkZ zO1|uHuTzio?D`$>@Y?h){$<{9^@tA4~JA`@S9;!0MEqLO^%Al$|%dQ`7XV}-7Q0%|haE{2f`HB~t zFMA@8@Zgz?XtL+rO*YibjOI#7i+^1JZQUJXB_gs_&w_$+vi6sY*Lg0Rx0^kvcG&T* z(Xu=0`aZ1-MVmaqL7Oucg&jzv45cPO*FGeM9Rl-(bZ9* z$M~5m^=I|n84twCfw*AH`WnT;ry664ID%Ge?`cN5r{g41P zuzVOYtev-xpH(?q7x1Blk;NqZ*J;ngUD6XAj@Tnu7VXyKjdGHY*Jp5QhH3o+a!>Sy z1QN|-exE`L%yDlvC(R_+X>JWYAI`MZS6&z!CF%k`-`}jGQ^7Y40Yz6ug4o)V6 zN2K`~>zjDJA5zjJS^2ExbKB2qmE8-S?g{n9>=>D(XPc)o_GQV_u}+j}O1^th==iRo zjSt)6N=)bdSE`PykM2@!TJa8fin*Ve)z!AX4DuwkgobSHmk!WG5-o}}7xssXH7Fs^ z+@JGy+@9C0v`cbx620svBs|!bC^k48X1Ara&a=934L9v=8}FJ`{(QRWLBr+&>H3QL zt(Th0YISMP{!mUYmN`6NdQR`IVDCid%q7R#wRspvwU*qHpOdZOL;G=)X0Pz8c8WlQ zka6ChZ82!vv}$AFxX@L4u40a(E|Os*$^JpW2ud<3)!U|Lm86b(K9I)vbD-;v!AMcj zeoWi3!eG8qJMw4W{S3KW>ncOqE$JX{v~g$eE$8mK&tDLqeV^XXG-NTB9W-A8s4Zqb4WZjye{9)XV*st1e zY4td&u=g%FasO~KIH!43NO8cWd4HPEPX4w%{@~{2#HlH->-T=;%1zG<>u~?9?ZKCN z+shisa7eK7o5?#)ttM_IRp=;nbNQBsn%sQeD&2``RgES7rf{lPbgl!fT#z^Yw4s=M zTlZk;07M^{Px{HYUtof9{sv1c#7{Q8c`vrC<+Hn^U!uXcsXSlriu&u7hWheV{J)0} zwo^~+YllaShW#Bkner)Cdq*N__I>OxZC$r3x>;*orR(scHtNBWZ`y?4w-(YRPcGa? zX8YH_TzAwiKe!!mGKHM981qXW%~-oUc3r*bQkM$I`O_ zhl(~U42MvfwWh71Bto?ded{kVKp6*AVe-ljU zIuuhpa|h&fVt7ZwKPf-$kFCuGCKYvE=byCT@N`Bk#TO>0v}#c7IIEc4F|5UzvHaB% zx+Ip!s`LoDR~6!&-N9%1T_cWLB2bymn&RmgR{v_CY=$7U(>OX zz6bV$t~SJ-%H_e`meFCf%&P%W-h8KhOo?)pH3Q5L#c`cooE6;b)xCQ%+E;N-2(}g# zrZRdcC>BJYrL5p5?E0&I&eS&2Qj16BF`GmTG@dX!P>-?=^UIt`(VB{B+57ydNjsSHtD4;14b4?8=}q{q=nqoWn}3#u0uPi+ z!@f~Nx6DLUwyx@{EBun956rUNqf$OT)5D2?!MXR^_6RAKj*JZs=vWib6bP-ou-)031~O#x(qlzk-qdDXB*{P zJ#E9B&=i&JkZaQR3Wa%*FT#4iGR;aSD_*@r!Y{nUFDG`kTa8+7XTFSI7+e!oD7^H@&FBPBgmZ-QB3K?TpnmP4G^p&C8qRyaA!=Iq;?zie!;|b*geom zv*|BF+GpUiFlRiEA>#}nwrjAYlOql2fw~eYAP`Irzq&N0RdGcI1gf{AGZ%>o)EEHJ zJkSTKPx`JyDTC6}?^!4F@rX)Ib44HIG%%%qX+~IC&h{Y|=h(?LVY8S6L`@+faIgr* z6>^Siu(C=rqATee>t^R?oe|CFD>UK2OBO_zKS&2lp~P?$DfV-4!_Z;*{uL(R92FRx z919L!9Wtq9o263}Q=d!!b3@g$FoCIL#Z<6tdUF=`f(1Y;t=}w63gF$fuVC@r#K2cf zs2rYw31RJqDP|04PAdIH!@w&Xt;VbQ z`8vWDtCt{M5MLSDRoKG;-=mSw4~wExvl6tPPX!&HDUollx@}DEun+nc&=>sVSvkIadvrS2ROp>Cr12yP0rHWhjTwm#{ay+L9!2Zfwz3WicXF~uxZWy_yZR1{y*k}VyKV_Nr;0B8@oTe_ z8h@rqbYNrf&6BybZ20QLW|w7b22X*peww%4_U{KZhh+0rWCOnxhZjVUWQ{`kJu_E^ zZ=2kQh6_!Yhbc`LhdplU4v*ig@$d_{K5e-mp4{WJF|qSqq1;|4OZczBx=fNy!HPh~ zlLC(y56X3Yud7w*4$U@JjJwJ=Rg8s;`{A;=#c7Cvm3q^MP;yC-;~O1C9??@2+# z9=O=$KCRNlmujqtXegEm^8{}WY3kPodF!bKr#zg%*Vnhdv^>6teJE^CBW^QnR|TUI zK&Ah_V}wTSJD4I85>yeC*s}`k9PtY5IL#rdw>d*pEgGolkUS~W|M7vqL&$%B;za=Y zRW5#h6tW0S68|e+m>-o+WNM0_oXQOiQJo)hMo@m&e}w)(s)a`RGXajzsgd!{V3Wmd zu!IqctgM24jG!c|)et=E9C1CS?RS@L@cLCBg|AJAxKNwYq z8=|54Z9yOpqcrnhncQ6s8{E)INW!GgUeFng^l?M4>HYK3$0ewUtHOSklW^4pW|%-g zTGKO2Kx*%3ic8S_RvGWjFd*#L!zHMvV39Q8P7^oL``T2Dt;mpn{(0tai)Iw&rj#^+ z1z$DcelBjZ)h<}%1icLmi%7#fLGppq+@gY62Gfol)Qw*b82me&YFF6V4dI_iOc;vivVL4F*Wk&gP3rIBWu6YWYSaHkao4(?bTS?wb%Ufm-mW=vRHha{VW|A?;r;3rnqr z5Rkq+e|hr;W|jM&*HINQ516+%`vSgFA`H+R3gx^io+jbUM5;Y-#4slXMjTL7^wh&= z6hl%;Qf$^ti>teP@g!Qz+xq9yOTrS%AaRbzWhULdtgRMM_y6MUt;4G7x`l5_1nH1& z1XQ}Dq*EzT>DUNvy1SQzbSf>Nf`rm79g-4K(hU-lk^+L?+#B!vJaNvsp6|Ne_x*#l z=bCHAm}Abh*n5sKeruS@8X=paZ4wDk8`zS)+>sSUo}`w?1O0N~y-m^i-dc7Xz5V8K zqoSLqFVGS~8Sy{d|0uH#1!S23#UXW5i2Ii=`4H;Wb&v~1j*KY?6Bps643vZ#$PAp6 znIW0jJZ2e-X5eKhuo_ATM$ZKNpyaT${_;!JWg0OFcwebVVQLYKHnLWbn^BDCg|26@ zX;M+MC`sU8l{D)aVOUXx!!YVbK6^{g;0gJmm|_FYXcwKr(XzdKi<`yQJ)AB*tfeaz zyPx20A-%rZA4cr=j}-%DC(M3BCf}3drYbtd6J!Oa{fg}eXI?c-3B;eF-O8;d?Y`~n zbaia#HrqZLQ~VPCt1hd%$6`67v%1edt~zeJ7feM6@$}Gb$2QfD`Hb#<*H?BNS;Avs zD~+%9f4t*vF|cxzx@+k+36r0O^>F>Ot}XnqBv&?P^fG*|H(CWB-#2}|0r96c|cjEEe z+I(o~8!YSMEpQffLPKRAOt-Ixy`zJ~?jQ6gV$h}%*tW_zlPc%@Hb%s+i_qGMf?oSRi+N{f{E_fxKJDn<7 zX1s5p^0A(aG_5by&EJ=`-btIff5*Y9QN>t0#7~p9m__3KbJYy}VO*PP=*wHjLffsn zk@=g|kIFY>ho5aft*gwO&2!Oy*@!(+AYHiNGFul?X?2{}W;#c2-6y)!`k=F6mDo(l z8J+42!N=uOd1&06M$jW=)*?v!Tp0Wi*&HHvXkjT{-|1tK5;4ubK?k; zbKqo;{*%r3Ppcx=jT%==6BuLjL0RY?F#AU(^wI zSzN?1_ujO}@67i#;6l~zj|+MJtF54Pxb@(Le04oI+}RbGZi28Jg3riN^*%xx4AV_( zjEvJw2h7_mB(>y!y+XH zt{PB99&zV6FPU?(V;^yYO_C%|WsqZ02X;$F={8{wz7((PwH{YM{(=_g7nN3l=QstB zb6xevHOBEKi&2}8Pzq<~8F}ARtYhv+Q+o1eHXXTP)G?V!NR}M;Wv|1J(SYwv2X!jVV-TmA_T1% zkpOwDlZdxqIkV4Vj=0CTp@4Io#!o=D$7a6+_Ib{dtXEntuE60(+&2x&A!*;_^PcP$ z0?klwpkOoNkCgi!m9dqX&NK=? z!6?cjH}-d^W*G&jIaIPBM|~89f8#i_1l3GL2ff#%=rPqhuVr67I35oi9*ddGL^Xs` zo(2kA#0fNy47TC%Xrl<)JiGPoK4@~G?jx$1CAc`N7e;OTojmd*NPdf=HcsrIGX4N* zgG{n1CxXcX-`Hb`ByXw;bQk7ln8|h{18xI-q*TU6Lt#|LqG2-Vy~;Qm)bhC^7SB8_ z#jKvKb6|k_n(cTz=`1qr-927en92Q%Z{gqcSe~G(RF{EWhCPWbV?3Uj4fM^K5;8FkUnTQ;t8l5o$waqU4C1Bi<+}fVf>@NM+&`n3}G&z z`(rLKU!^e_GNL=~%n(S-RK+g1v*!$n6*ljCYx}vXd^olx)UB!uja4vQO?Z7%X=YCT zN?f;5gu)}*w>KY7Q3_jHd6~_G>iO0XHdGD>J?u0j(}!g5m^RyM5ryDr><1pZhy)t0 zUu@u3u4dg!sU{IW7&?hqo7lb3N+KvcnnQ_8AB(augJzXP&l1=;#Rd;DO0Ihv>Lix$ z*G0g(^1B|-N2Ey?aqSNdt8FD3WfE0nw^@v+CX8pMRAtMtr=MBs1uMK3Z#3 zIb!kA%n7|`a|O@IEVp$coUQ9@Zb-tS8lKZcU>HI9UP5(xgB7!|wRDzinZN`_&YpT( z`U)9$U47^CLd`u=G`Nh!UsWw_ipy{ znv`OU)P0+p3OrmfbetarX`|2Xyc`4ZDrdQZKe|k9B94t%xlyT)4|H|A$&vyuU7R72 zHjc9&^!U8FFNNh2q&Eq_wwZ<`S7z9*5mw$)*(5x?qX&NK&pyJV&FnY?S2XqBB3zv1 zW|-UYHR8q@zkjP8XI#;|f7>ZEyiIw4!E7Qmmomgc!Fa7DauRF-Jd%~Ib^*Wmi7Q>) ztr;CcfsHpG*my%i$xPPI%06^KjF~KYLXP_O^Mr$og<`dd_m>IFS=FA~siRw5I#Y9S zkc!DQ{Gt_;lMY3CR~K~8Udn*S-|_d>gto#a;r?K#>|?Mc0`?0K?$_b53C}|fqkal3 z5%ytie(2Po4zVz^n)voYZJtmgGrFCN69bV6l!m6@HX zMg%+~?5yRWi)e=G!x(DMkc_j_6NOm;2i2a=V}bAj+&5H^_?7`ljKYJYwoHw&4zpS! z?(}m3?m=<1;^*SuwS8ZweAgE9`7+-%Mp55l0q>y4bY?ZHZ$XSCd><5%h#R& zCmDxU-oCn>;3evS@OPMPJUiv6VR{dgx31yM6aa73M2YvfMDy`CZLW5xyff zJd-5HAuUj3IdLGRIU6Q_|2;XhP!i_5ePMLKf9Hy<`)#_zzG62s)b<4N7p%O=rE)aU z#@BSXo@Zc-^rxh-9{(kLP~@j_cH zi28JH{EMXQvxF(_cW*sRY3YSN&!ER*MLA%1uQk7dO=}CR)x1n z3aIrVb}Wr&OJajg@_ro*R+L%ceU3|(Gh=;QA=$0?^;v6$_rxeDmOo(@@5b$s=2o07 z_^HDC$*S;{M8p{W@V0z~|7vU`<<&$8`;}ffI2Zr=svH~h~UpU(>k0*^7KuV98 z`F`CPgz=hZYZf*3_LNnc+YVGZ?8sR%ygif0@NKN$GfC2Ni=|h;xKB1rp>Dcv zAK{8^&7yNB-H5s>Z+ZL^=Y<>nCX9i0tdV$VduxpmjEsC}yD*5MaQrhV1X5%St`pz! zz_p7jLs^BkQj-lcO;XpYCYp>V8^9s8y3|nxSLlpfg+*usYk0fw;>z&XKE3t|?{cUm z-s98NWH;wR9LMcsOE~5Q0xO40o#4RiBY7#kh&iA)CH6-d}WiF|`_$F!=}$w`-7P zA#kj%1&4q-aJXNO%>2j0yjbtW6-DrUNSL4;(RUq$sylIxqcjFaK@Y@6b|8xA4$)@` z`)bx-V(bTkY4GfCkVq?@0h1-RBe?eK1WcCLvxfeej3>D>-t&>i5cl9p+ZODNg|VJ| ziLR@@^p}!a+abLv4cDoPMsduqwt@*91$M7S9?5|uHcNqB{siU|SA9)&of$3C$xq*_ z-ftX#dIPGQdp(}H6Xg>Giai$qH3T>)HLF$gS=>>U*{Oe?OVOk)2i+(3&hEv zveJ$!sonZOL#0`L-5B&#S*WBlbA*2PtL;pd8{>oHJ;RwJD!T_WqpA+t)eUmQkE<(X zg1%QJjmMCCMC9nnOS?{i-4$1Tu)8wj26k6wMyVj0$JK_cputK7ysx%ne4!tvCZD4} zGqeIFIQ#Qz3k~}p%p5U2dsADOjhkoZ9j5}C9|A2K%8`LeBUiuLlItpbwWV#?{$54S z2u^9A?|{@gNTt6T|7y#<0}8A5aippF7iZl+&=0snxiUv=bbvW)kF8kv1F8;^#pzL; zBgUU`SC}IX%3ZR@o*d-)mB;`QLCUe+9dpD7L1cc)!U8EAr-=?06Ps)Lt#4PKt?37- zh+QPU`Ig&ikB!zgM=Wst=C4GD13E~Vkl`Yc;p)^+P>wsIk%eYIw26hLtQ4<_<%Z$Z zU^Pae?R7up0a?$VoQ&OZkkGHhHb5VM!~CMg!QJXv=eBn??X z(rE;=Q;^vXT0mX2$JVO`LcYmH|74H7pJQ5K>6n_jz|Ex%1V zDL6}-SUze<(+u~ULdqY_=zNqN$|mQCxz;h~h|?g6qw1jS7BHOQlZ!+L-Fu+Y#Mg_& z5)R(eL}Od>tQqh~v&VMxZLSUi7Eh4ElNq_tt8A&psOw+Q58#vi$=TwB?W6qQ2)l_z zr!hd|)~Dxl#2jp`)j{opo!?IZ%df;O%Xos$W-55(&X0t-}I_Jx9E` z#u#n6iZe%C5X7Km1-}LoWDIoHhhTV{7gtOgNAG~v!7Xhtu?gGN4**ZJ&B<|Lg*xyY zTUvt5XhDPEK}J!pn-7Mv3FGOv|B2GXl6;Qir_7TUI7bZb|G6g~VERB-|0f4FkbOqJ zKXc_|!smUz*6{?GO~N^0qtu~+R;k!AC^kx(G{J5wC(J5Z4ScM(x5dhMT1g? z>sqB!ufDWh$7#!o3%@cs#aN`nfc0Ky7m}XENxiUifa*%ZulS8PsMmwfB$v$aD@K`h zCN0^|^Gy85ri*kOEX6+P%yT}~=Fri)uEpVyh~@s9dn4*LnQ%l16}ojo&~=>Y&uDFh z3LcYsEuepdl_cU;YxtFD!#5@^Chtm2{LM=ZOXp1*L4)Q!h}1YD1${##_Yle7hNaEk zk+#70wHbb;*!#4gAPdMszr3-TCflZ*<}cfJHszM5Q`)RXuoI>qXWWjqLHI+W_PV$h zM+rXBPJ{k+Q)HH3gCI+RjxjCM>{2yrg!}J8*)}g!H%sFv)D6N?E`P&-&~bQ#dO1Fk zqVYN^q-aaa)ITyrh-hSRUERPYR z1o5MMmx;kr$;TWHbm$zjo|MQkMI0MP4v6?jmr#d$;(aIOCjNJm!9v3aaw@H$-2jNi zGs~A?8M1CIEuR7>`lxX3e@{|58D99P-bl1u~DdihVDXkbESq z)E>aSCx~g!bJ*ZaL!~k^)->~AqmgerNBdaNnT=R3M^==Vg2rD{`}xL@R{QlL9sTmW z6efp+DREoa?;~cV6bewM_x!SDk+4>*G_qL@@4yNEL&&T&dtVyObQiNcpDG9w{R->! zgSR)IzoH{8b6}EwDhi?@qK(Ul$<^9*+7^sixbE^%e3J6Vw&zLb#U(A<#T=&mG(U{5 zwb)ACYm8fN{=Lsc8GOy;{S-Ne%YX9|?q{ArzTP+gOA`8*5-Lw|XN_0vgHz(4u)>q? zQJOvc$0TL^6HIurG^N>t;D1Zrqh#d^j%kT}jf;N$UVRI02hW3(HiT4x>aPk|!-bMt zC@}N=Xh2f&wkbuP(xT!6%1=_zHxkL=&lH%i-AYn#8sQ}H_GYYia)`>KnU-J<3o7o5 zDA7t-jZaNWe?pITM%zqYKxJz#np4Y&T|f;{Ky_>5k!~xo_Um@;Qg#ryZrq7O(uQ+o ze4!QNs|-zIi!%*E1_7BgY(>}l zg%(p8kAa(3GLh?ATMcOp!WNNFWNvKmy*`sY3>sjdG7x1ja(lI=%0_4+o27PD?psmi zKt@G2ZP&)B$adH{E#cX)GIctHBF1V#pWv=E(0F$z^bM`uEUL6v%dZY}?znno&Mo7Z z>n2`V{z>D<+-^$UH$P+xk$JqYs&B?Opft5ukHVlsXYyavcK7I|Fc5Q-vz4L&!wuqB zn2DyX-XOg4n88RGD>*wj<~AXk0Jl&NWymW=6bn|1n@hy^eDCFqN`(ePiCm>$RG+du zh=1FtTq%{v&x0vj%(>GH3uvaNO3T`<|Kyi=P^}>*q~SKO^Xv^hSK89rM~9<_`^{q- zy0ANwKRzWzC7Qshs50dnRi2F}VH2M5QF?FoV1)Xn7+GWarl6E^4_m|Da+5F|!Lt&^ zlWq~7@}Zi*Sfw_5NU_M1AykKZsfus8`MEpc_Q4U2y()z7zmav1B~dam`OcX9KIO~0 z`L0X95(YDiM78|M_qsXhZ42dxCe?$Do`Jk5v6@ktc|>Ou!e1^s?^DO~ofw#vk3;r| z*4Ai~#&QG)pDIiBZ@H=SdE}7g5pq#8#SK76ff~@rkW%GH)jJUKDC5yTZ|tp~<}jz> zI_2Y+mZeNmYHaMq8XOp6IV$Gn59b?ke}A;m6Uj>fyj)3s@(BnlFZ9Tv!-5rTXWH~I z{kcCqH#H#R5+wK)$Ng$@IpUO0eoZDu?5F$-?SCXM2J2u-Y<$iU_BEAPNuNqJ4)AVH7{k#7@FCgKc z3H&oZ0?VYQW$z1rG65mhkV+1s^Y2JfwP3d($44`JzmPSMl#=x7TjVEsm>F_aA?J-s zueR;t5U!vRe9HCJ&o_k^tf(FzG*~dE`7NImi+M0_g|Bpa7j23bdzYcrczvDlk=gH01DOmlaKPP~NKHJ(-~;=t(51w*D! zEY3E*6+CBL9o~dUoj2u^3fdlXQQ6gETBXJ9y^Lf^oX1J=y?ZllE1}& z6l?~kEH+2DM#zRFhg8w~U9dtfmnY!WCx9AqCJOyWVy?bEG`L2i9ht{OU;JnBaEk;%#as04oxS=ZPus^Cxzz%YM z%4rk})D&5BDqi|$!_u(DJWRn@TRd9t>NDnX{>n|Kd%2B~kF9Tj=}RJ`BM+`Q%@gSX zo-Y1R%%3tQCM0Rj;5+hqMsW<5{VtpB{7MnyPiEf$90Oxydz15H*n4aQ`>YPS#wJ8U zblT)PH3OKjD>Hhus$@q}A?Q$tg^ZMp^KOJfoO6Nl9*)TC@6Q8zWh3Max>Al7N#9lG zzbRly-8z=wTo*rZY*0+}@=FS4Xz0z4%~g>(GVWAR$QL5A5;-0uB1$mq^0djm$wcP! zTVai}kKF%?@uW4?yM=%|>Km@)w57}ge9Zco!d9LUp@e37@bKe+M9B8X&-;q*?dzNw zVZ@r>iE|w@$@aL27zr+{)UdUSy?#wtc;5|GF=mcQxyf{=78<_l2(~~MaodcaKL3IY z@{%Pm!w3&0*_hKX*X`%4T@tD6#a0@uwT7)*5QVwrNM#0CXdL{ocx!W&$vy%6N=emM zYTRJvwEg5gRL3R=k-acy)`AmGtzCa@R&Y!wJwpa507%$!s-@qWG!_@&Xhi{zRse9< z-#0w;^brJHp3yrc%IA7rIV|>?mZ_K>#$og~7(~>ASbpD-Nw8B1`f!qr2<^&a@i%26 z<(3UCd8`n$hyFKP$cc|hu8o*cq7U$rnu#F*O(K5V8&9~97|qtosi7Y9;dwGv^~zmj ze3HYfFt*kgSVUwK=bdsoPOJzx5rX~2G3hOGqcyWVMTUt(j|35S1I08qN z=);pQ)27EH(Wi$5LG|TrU6PXCEom<$=D}K&aR9Gt9ewvI4Q-Rkcc324=GoTqM0e%;NTOlZ64CXd|n7pjk8D_oHktF*aEoNZ1?a9ABSQM`Y-fPaHHSsi z&+vc)h5{e&#Bk~RVmt;Ql#AYaVF?Kwp<1 znnM#MpejOTnd&4kBmjT@B{Sz%=Hf@oaEm*3shKQHtvR>Y3&;gkMKCQ>ndC@>REZ!W zA=SYzTu+8cQ5SP=buxffq*I|BEJ7jG6BPAf(&M}tSZjlikZMgZwScN4$+YStt~d?>Q$>N#n zJ=_Zl_ZlOOAP-e3x5@i=UJ=WwmB+Q@c5~S;M>S*Bjy{~k!ZqyQSUOi=?UK-TFHau{ zB7=hSHexbzZkg36FzaC3sW=#8N<^ z>_GK$;&vh(&iTB;mU)#z-8Y~$MN9}ionXk|Q1hOCPSkAkaN@mZbX9O-;~?9V3JjU? z#9NlC8+n{By?&K&z8uxXn&QKD2)0eZSbL(+ifRTf_c?)*7N&d zdD;{Q((F1^mGB{|Yv7XjJZN)SCGAs{iXJ(GP0u?xDkyO3de^SUrE5^qrssw-pIy%l zsp-V^ffqX(`m8hcK&E&jP^e5g9Eg&5+ooq3#Uh(&l&lKA*EGJR$5ArHSEC`VOd%En z%_Od;dJZIZcUx7%Yu`~s2r8^;zw;K^`!>SE=;7xi7t$ znryO7nLZu2O)0v59aOP)5R$6Z-m2z&slQssIcyRPbhh+jCegA~eI&8_$Mxv@6kJw4 z%fgPJ4;=xEo@Ed6?7HOBsl;x``3&@NggIBGQvLg96}L+2_w+OIPoOsXU4u-i@x<<5Om;n_TQIjevg6M)iQQ3t z)j^K?Gqx#dL2gr&Y{MYS@=0}-qt_IW{Q_S7#j&b%3gW9d@39*+J>~}8Zo}T-#a236 z&^!gFR$WER7Cneme^-&_Ws0wR548ebc18|PMXpZrO`Jz~UfrWYW&xKBHDoCTt*$Q$ zwI3ngQz?2BL|0c_?SA#z^(M z!8#%Uqe`-Heqqtf)d!hgV2yV(-=`_jFT+B2vrJ8M2&^Ezx`~nE*sM0DOH(r3>gm|r zZ(b&lx>+p?yRZ~9SWzsIwecGf<5)Eh4^6t&3^4F%HCne9VASRd1;O8SUPHAVE9Uo+HauW~_O>izPW$oMO?Z79_)14B_!v2IrE zj#jvepj74hg?k@c@tFPCI$a_ke?h1>=`AP{=6cDfViH9#sthmkdW}E&7EHFyVWSz# zqs5fT1SRR0esX}>o?wTM4`ncf{u`re=A~St@dES3_a^r&AUkO}WO}fWCbxwn{6t^Ch9m=vJgHU{j$qBPdJ&<5R^8Fg_Sj z*#AJOk|p3PMZj-55?ZMyIwC#M=>g8Fqu$@tt%}!V_HRtpxgN;`)G?4s5oa5j1uj1$ zxfkV$4%=??11Dg#JSMYP*LQ4We{P4^zI(ab@m-H>BDhKk_sYK@mu4DXreVLM+3y&L z#&~O6Fw)<3=V7>~Y#moy6)I>m9NA`u$!s&W9tldEFvElTa=a~gnvQIu=uxoJkI8WYaO4w4}FRDe|#C%S-#l4k32N#F@Y5O`8iz#Kze z)Lx%XLlBrMz&Ppx7XT9n^OA`p?ZNEcN_}$U9}rct_MUAd1pp%h@L37Fn7Gi`B!cB5 z#fD({fYAxAR%xiUQh!5W#>i!)|AoS%%u?^K-9Q8AW2Wp#M3sUFAoa}4IRRD}m|4Kq z`Y(9a$Uy`GPo+V!5S99GIG+t^4_Rx$b}2TfUgJNYRQ=zBR6+kO^y;4}RbZX*0*wOg z10^H)N9nsAU{c(4%Lt_YVpPSRYuErnPaG+t1ltjyfB~f28Wrb9KEm1>?)h(Rn?1gnZShHO8$;8U{DiiXKw1un5~O80xIe}XX*?_;Wgl@sB~ z{21Vy9{c?W?y!@cQcBqUUkFuZN5lxCoJt`P@f705M1{<$`H{%0Ga^KDe=ljl)F}lC zz)DKl1}ry2>wmdF$`KSO*H-HHM6-ZQ^#@di`b?h-kf{KkO@SGx4BWrim#i@WmI7od zCvXn|X4`+=L!hD^;NLkh*2m!>(NxPS9*A2K%;M9_S(Le)MIz)ZX2rwmHLfin*i`Z0 z!auX>^ZNk7h=2bvoeKKj@l^lU=~U1qaPJ@RR0j$kvM>rHoa%pLQ%xEj zhafm;SwyoG2sqdu4jK}&=K^R}ZvK7*K#i%cM3cd0Jwa6fQ3Z6b1da%v9qV6f8bV^| z_Q%fSMY@rHq^MZ-Do&DD=;6=ByU$5!$~D(+96wqC%&5QqMxTd`BbZYFKp1;=>nFG$ z0bL3#on}x@22dG)x=PAFXr+ERt)2q@q?;!I)G7e{p8!m&u9wKh<#9?ns(3-`s8|rP zGgLNW&?R{Cs{t|=;P!!b-!2x~T0r&mZE**wJ{Gj$Z6j}$dTB9v_xRNT@tc`Pk55)8 zT=NDip>&t(b$OF(L_yP<8aG&p^mZhoXTT(Q8?c5f2U)Wb zfU1!fqtz?p#>6$FNKzG7RdTLp*o%Blvypy~2~C^4+n2sbezI45#(9k&0IGr!Tr0ks zC6kO5x!2vCzO+^AP2UH7TeHPFF zWk2vJYkb+`YPP1o#OFwWVlV=m! zGBN#0(OX>S26VE%T!ko#@&nMorc;PK0vWZphpax3zLIB4+OD|A28dWim$a$~cLbeG zdk4u~`XT;z*XjqzS1ZZ~z z0fmYc69n>6E^8r~Pz_W_CRBL`kgJ`+-P#10%J`v~B8_C;-W$i(2pUy49`(Ke+v&aS z@#ME+*VUbR6y#)zCM*;fOp~R#CXr3%-@!ykKLx;7fsA9eh}lwcT422s48^qks&#tl zVgraz*$AkWiqqC5)XKvYxr`d_O?F39^xjCa$6&0sM|O8k9q6$hOD$}MVT7}cAD!}E z2*(MB?GC0n&-zbS0>vk-2oe=h5DzYsR^(3sb}wKUB*&lmpo4?eiTM)WiBXX{l_dDy z)tVPsSWh5|uQE0~p`bl$5oCKNpglpH_-Mt8UAt&Nr8Ddw{Cq3u$pMxUE^FMG2&SWn z%5rctrT-&sCU+N7MqJRKvLaC{&mI-BTV1xt;NJNV?U@QDQ@1m6k{Y+B$nNzn_51hG)`g2R>d;6%O{bbE&N2Is$auy!7R;N-V=sF2Phf7i*#=)dI=l8cxn_$C z+YTe1d@i>$HhVPSDtVuqy+W&z`!#%hQ*2uIvH6ymbc49)7b{54N%FqS=$>|HIV;Uq z;eFOv1oaQDLL2+5wdSS zQNSrHsk09E`#7*sp_C}&(N&VIPH|uu*(LGgx)Y()|GK2I9I~Y7HOW*|xPX%u5U_CK=9v2A%s=Y@Fus zrYZUX7nYVqnyhf2TdW~XR&O(L5hg3>lurOt_J6Tib$DLdr7XqL{K=Ql-5;osw&6#EqK# z_|lpaqfzAom^S&^E)Ab4wwG2{Fkpl{2$hpZKf5qnM=?k{s;W0Q(fAVj~z)17@Tkerjt`XQh@kT-UOD!@Uly@=Kuvq1J|WI z*}L#eps1`WI}^^p=A?yIUM`%Z)TIvZXB6|2z5?Nl7NA% zTf}t&@+Pl=jcPu87_ zzTT1DKIZh|5Po98f+O(irlrUgTz84d3oj8<#^AU1`PY7>mC7w$rQ1075;gtNF*U8s zvg;b&)~_}qVQLR-bK4k{rA@$w=n>vV2dsGCu!l@v=PE&)2c%&Qz`8dD`t}ZQi&k&y z?Z~iae7gb8eL;15#yoYf6=jdETJ@vL(?=(39>Y{`Z(rW`bH#Zg)%L7XFKS zQ|lGfahzjop`m>SNDENrSh@YXN&%Rhq_zS~9goj(aDKR=Y+-6>BGTB3H<}Zj;*fNO zn5b1{19F^JA}6EpcQO0$UXs%^aa za@^TT&c88{C*5dpv-j1ACu;RQsC|&{fpPlV}<*D6_0}g3xM%`BoA;21B z`fs?ueGhG|uy>}~%=br%=9O{2`DmXHdyZT5^R*Y+8YfZdbSW#-=hqft(;{Eg@bA_j zoomc8Klp;Ml;LtWB^l)MlvEHvJ`OgBSw@b7sC5{;>je3}6I!CrD%jvn5Hv)9-azz$ z%!CPGHkj%wXo(n;!9)ce2apyhLgu!^oF!$eha%kt`Gh z5-u3*g@ry)Gx~2$L3jIzkftC+EGfbi^cNiQ&&xAHcmM0<85}cj5iidWQ4G=za+WAl zo#+hT&vS}xGHw5Cw*%dhuvRW-)8fdfP`}WvJZ=lGO#X%PE`d!ejjAo2zv{_7+Du|y zotu)SCQ;4xr6z@H+8H{fKO1PzyEa}P(Wb=xt}|^9NLvrPzKW)iSWvkEt|r1bpG7W# z9MnM?`OhTmTvyw|wS~GsC;d)}QKXdW1v<+WrtO~1acdu-Nh(J4EZY@JrXX7OJIt}X z(Uj48?iFSdJ1XBW%9vfMUAE!+3|clftYSQpfNeXO)}&p**;%IT$LNu~Raw?dsYylb zE&UYQiTZ-?j+|Fa+AYFl3<;$h3ae+ZvU zzM5^(LXeG4WUh4a(!6~Gn74C>c65G}eelKD*;`=?u;SE+J(4p-n73*6!$$NX$Zu?| zakYhCXJp4As-ya0SsU<$II6kGi>8wAO0+TbWuy$iN>2G67RJeOMi}ZPzdE`9?l0pu zc>4*$xLvx~zARWG*Ll(7MXzmo7gMKxpU*mBx^%G<#HJ;>dVi#nyfkjB4X%Bx%+DHe z#b$ir{Ed$;resg~j-I<-ml3CONK zQ2_5tFNv3Xju={o!Y=uX63HzU{kS=3q2lqv-UF7F_`$ z&rfCdgG?$?r~Eqanm4J-c_xZazlGg;jcyc|b%fL9S2Dwzt?{82^@myY9M}Hg;k7k| zQ#31yeK7-7TtBXxABa})x(4?#^?du*Pum+_=hap!wTOLK8BpW@-~^%XP4Ooyek~&G z>-|N%sJk(!$iOZFukgbhvd!<(d_!RP=&OapG%V{&`3TWkefNU9x`S?a9}bmkp1)yt z2a9c%mU8{^Yg!q6i@HhMs-vd`=lut9Z(pz1NjxojpQx=>o4MyZImGE)f*GglG{rdO)8p&`$yu#BZqd?88M&V5ge~d$xuAC7;}vu*F>#0 zmZd9>{_HF@zxXc8FT0K6pP)JPnGvph>>FZ32EMQ!lscIj!5*B7P0P}`>4$wi8GO3r zVaGnv{9dROt?otX>+1fm#IJ|lU(z}xj6t^A7OIjg_SW3gH`Qsnp#p**)r!7+^iSo* zy_a%cg}D)x83r2e(`|q3(yR1-EI*svxM6_RKy>r*peVX7<*fWVSB{;(1V=SD-sl#d-kbezlEI}fF1*rQFx=q2BV}#% z4SIrA)F&G7V={^48mWrT=crTF+|6i)=D6GpuOla%eN*ucK9sV0l7RE^N! zEH8-YJp%sXy%LM(J@GH$AZ)mnI1_|6kK|bV=BwnluYgh}?bs)qdM7}EG;t6PTzYEp zdx+qq+~PM=Gvzj{HZc+e|6U6^OKOBZm|Q(e@+)|869hA(flz6G5c}-j{t0>V4bajc z-W@5UI>p18reseB_gVhmAlA7c_H~qt<8PA#e~I}a;|fSRom$da_g)DkUB}mGqia$D zp-xp^?3EoMLV-V>E`z`U5Y{8Taa^oPy$Lf;pK55ACuq5Vhl{pC=Mi-3ptnuQB`|__ zpDcc79-FqFN7ReVHr+gV4#J%$J$^I!(Cxr-URZ%RZ$3RB;~5i(+18!-aRIlQdi4g%@(W(27;1(LMEE#^MG6b>XRdg zd#o44VSoO-342frLZDAU2()q_2!Te>>yi>c=?eCZtV9+}5dQ4OEcp@O-8X|W!2m7_64snNePK#x%MA7@Nyj90 zgxQUC3tSn;r@L7-3+CQJqYa-k4v5=$9qXE)LFL<(?{>uGeyd)bh}}LZ^}7HsfhtCe z-WPMGw35i^MT!6VpbUzle{mQbrMqaJ7s%R@#nuasxSkeTZ~yH$@h+Z82^Dg z_Q9?M0j^nJ(A&BX84v1R50C&18wAMa_Qp2W*as95gZ!U3rqn<2O;v?&n?k|_rlrEp zrb{>3%Ws28qJ8_Y_w%rN4XA$F!m5|=h0bb_Pj!wnW<{PvSEQ*+#BY#aD~mJs!A%nH zvN1H81-*8dPWmUYf`n!e3Dt79fTgv!a4Vpo0ICdh**Zn>O|A^560jCD2<d}PZrVmK0m|{oIR_3v68@d6NA;}$9DOnr1cDP2JiO`bws+kPQPXFO-m&EoFZ#) zxO`@Hvfq=J*!zt0fPc!HYwL?sj8%A~F@Mf6I#)wsWFh$g-gd+0^S8(SSzTP`qO?MW z>1UA6gL0$X+Gmw)6W&~$^dC_;XO8^F23`&Ai#`cuPf)#>jkMhE7i{5rbxU~mrn*5B zAzwFl=e5E$p`SQiCN4V|>U|WF_EPM}_0McB?Bt`~3(*<9$zLI3&@VFG+|nn{s5Rn> z5kJ;F9_gEKL_b$G9w6yU8+-qd&8VvXi!1jsw1Ha;Gf;M7`INmQR!en88F?RN!Xt6# z?ntwlsN>4(E z+Yq6_qxU<`U+OR`)h2>oz9^bh4pb%I%8zO2Z_k-3B_NKVEK0JrJFGhDV=)ZoR9q$Z zxYdPzvLJ}s?+iT|R?A`Wg&6U5?%3Qj`C1sf9XYTnX@x>6meeZCMd?NJUFx-7%&CViYW6gYL>gjC zceAfnwH=eMKHfQ~G!((_`Elw~*ig?DCzPQr@TG_VRZhdRIPd(Xs!-mX;LX{**|2Zc zU+Knh}1a>O2)zQSDNc>#DM@5SGy}QJS6mE5xRlA)8vz-Ax6z zvC{YTEuL&@PPsesvCn*Tzsi3vTX-ICS0Sex6uai5Z(m2G6s-^;d6o4sO!=Er-)b{- z!={0-sWaHp?$*xTR)V3|d-U6uHQ#b60;r|!Iv-tpiqd25=@g?+d!JJ^L$3l}Zx$7w z`!VpPg~a}&i=`p7Un?HrO2U2LL^Jm2ld5_YPMvo;bVH9?>L1l6X*S%N2^h(}8C>3G zumMI~Hd_<7M>^*~_S)L-np=V9!>bHWAvmLnHnYT5bG#it(pmUwj?0n?ZJoi;dv4~h zR0tO3AF_b6ji)6w1(K}|T!sr@bz392YDtF@MI9cvm#E5efNPtt z(wf*xg1=tI(GD7uE%4F*PaiEbkO?iwZK3(+k44uoHobp}6GDUu^^Ob<{NWnT80v*0 zURO5wJ$nm)4xbC37NsNbeXFco8&Lqf2wt34$s2-IS(`SMA12b4nk-xJ=L28>y@q++ zLJy7cO92ZI8VwX_<4cj{08GKl4+LfaoXPc_gwdL$^fEFnR^@_I8viLwbwHEM@v9S0 z0dH?RwLO1|BrEP2BVU-d2QY&=ndZOS5WOGsg^3`MiU`Iyv_`nULt>J{)~2E!nvy}t zFV1M@CDVHll)R433l0BISN`8zfc^)${-fSy!2~b|NG8X`0rx&!Z+$`71*)KJj**H9SB6j-jEU_8j+{)#Q`U+6DR9vlngoTQ1zv*{MH9 zx9`i>q3H6S_0(!D)=ETaosVFTc^*a_h*!+cUw90^!Vu!Asg1VrjgMzzf8%a&MncE z!%Ceyv5U?}dHuP&Dh>BDuQXRQ`;A!nzYIv)(9F)2n--t;X8auL?$w$x?-`#st524s zWH+B?el6(c-GP3ScE2XmNcUHP;X~HLXGR^_&}0M_oQU50;}k_jhsm6_*jFFB<3<;q zM$FuAM+;WDscTzU#PKbPS!7am1oh7f02$tsd}t!6QAmh&*UF1B9@Ps3<-O*4IiE=+Qkrb?3Ej`I9?8Y|gD6@~yhd^GDzxcMOX^ZH?vC>3u7oOMpK(%Ij*C zej_YJmoVEt;k4^io>sijuZnUgCanyDWcFB7_SxkWm2V@6@KfuL^);eTA6IH$Z<9~F z@2MVKkra7;WTfc{44_@LB`B$t=s@Q(UOwg2s|L4)U5>>i!m(^?U zjr{MQ6nktwmh=q?!Dz|)kceG_o{-9b?|Kg5p2S_R z2(H&s5IkdilC#(wQ(K2q-6s4-7j+)#`zLRl3WFlrdi?v6_G<6V*<*lO4G(-muzUPf zGcY`5BUqkT3(3=oCq5NTW^hVvfk$naq9J6nWo9;6@^+LH0Ds0O@mTb{ z%1ph{6BZxvKEO_8r=<>>nQWXLF0G{23)Mk|g1;te8nP>RYe>H#<|xIr@o+=@m&QHu zZ%^`%`dXCjH0VM(9?4m4xKlblpft4*Pq2r1`+V4Kyd%c=>h1G9caN?wE>~}J1K7_N zPkhoE{$nG+`l(;w%B^t**|teh^-l!N8CUTibLCI^e|8SpNn^ zxh%_=jB}~!~k51}J&z%WW|$BUiCAdc zvLk)~=U**8`B02ZEeG0Vx@r74f9NOb_;3w0n2>PEl|&ls_mW8As0149>toS5%aKT# zr*lNsVYG8l%~(y`!=9`qN3{1R=lvE6y}3)vU_`>8L?LE^bx;UYZ71mhQEY&?R(*T# zxlCGy=?$6}8nGlf+`;8p{B$Id2C#4kY|YBn=WDT2SSV9}iu$W0BkZn$DvsbiFyaM$ z{q$_|@6;h4f~+wQy_vQYOJ}C`GJRI)Lqa^Tq3o^@4^6XW#dId&@wa^-ijden(ebx& zlwZesagH{OLp<)qcZQsePiKnqy5tX`3XiV^P=0kX4DrY<`L38w0P-C;M}n0Pn}6sz z=1*O3|E^dn>#>*lj7sXV*qd!jksVENe61blC>e=@`fGKCtyli9Tf3PH_pze|f*{JT z7x|wVRi`t5zEp6|Kf(VPa`qT=By+(62j?iECi`J?C7!@Idl3fi5x}!|^U}0)&UYok z?fzE%sQqEHHi(2cf%Zh3SwLjGQ584)ncNMkuau3gnLeCP-SS;oP(sckPO~01oArC= zza!xj8uwIN07bn(tl2N`{1Yl8NET}e6u721lUy({>3oDkv0(S9mIZ(hKQWTu~Q?FzP*wlZN zyRl*2zfF%pXRqa}q#e+fq*eJ~!;PK;#R_=V>|YdYn~O3?@Clxtdin5tpJsUA?kIkJ zT95{*YK@>dX6|Q3ezj{_3ZBi%$!cI^9`%<}9)y|Utrzh~ISdTT<}n1c58R((N25?n zLAahT@=t-bl#=EOCQ?(EQ%VIO5jg$b8q*_ zVXcfUf}^-%XmZ^}9^$;=yG2Ann*99nTNBVHwQZ*@pt(6##n8+KR>JALbRr7K+82oR zb(mzW_y}5)kK|YX9DNh-P#ol0&m*&phcGDq>3y|7<+af`0z>ND>hC*4YsV|JBVcS~ zwjO@_R30C$KiLiw7$fS$10`{!;T{&5eQaX_N9DSer$bH#Arbrm1x=$3!EW=uXWBdPZf39!W#> z=zrM2P;PSX7y6=>WGmE+fhWn+zD#L2M|Lj(a z+~9IK`)1tt3ehn3v96uWOS*_u^HV?1JHA7cWIggL|6N_hhkBC?dnQY_FtHFC=|3w? zCbac$SG)@*|IU?|ksJT}t$WtcLG>NHbaQ*4$JO9HY*fb6G{CTLqHiDnH6cu@1uJs4 zA+DN;R&P>x&!ib&FOT#U=rZVg#k0^qA4%UU(tt8AKY^O0?_4(iq-XE~IK53kamICT z6Zr9hmB7&ek;0wF+a4;P`T@eFpxKvxeg9}Ku04}mV5O738e<%2t9|Ivg9Vla2oq9L-KRXP@-V( zcIZetBqBn~==k&}&2jBJXdV66DdR1o#%r%IL>6y!w4O}iB90zxd?v9+SN8qkRV;uq z-=y|wV$QcH4Q-yZXi>U1Ymr*?+e$$@r3yvK!iHtv2uP?m~0a}K>eYOFf76dflDFWW&_ zJBhpM{uum4$RxWV$UK&aXXmV0?ah|R?Lh&)nwH=5s|AMkz3Vjx1?D9YzU{mE2XooR zjwcvfUSN!jjK0Qr7Y6epT*XCRn`T-|2@Wa)3|vtR4Nni86Ny@U*5xd#J}}mwk?=4SqZFtdW$b z6$K`pw0eBirUuLXc|JEWfn$9#y7x>2L~vU1oSAXoWP`qiCAA{~QQwEA+CD7kYO04rJ&bdA}UYV3+4g!Duncx=d!ZxWExi@1$E!JNx!@S@t@Ua?U zuN0m&~22F<7-=9_o~*Y$`ZbP?ll=ZF8V#wk68yfC^7CQx>y$?ro{4q>-={IpK}g zu@ngqT@jPxG7_UD4}vMiD11o=M5CevkoLReC$3n(4M`eNqrYP&RGwnN>=9R;sySGt zTHcGn#$q;07ex};t1$?uC^GJBg8n2I5ZUD_{)+ziq`rOLB*da9M1>yd$2~xE9-5Bj zq!=v7_@2ItL)rk#Nd-Jiu$)pN4Wi>XBc=6=(;^M#(P9Wd_!6+z!8b_+u~o!KOpAtw zRPfq4sKMf7kR!H@1TKN%l>xpH3A5BeRUb)LVLvPc2;jx6+)?P_*s-W@UK3h#=$;TQroTGvYtvn$qs-@{neeW0 zDH|A2cEoa$)XctD1ruj}Kofd~$(z07c4Ky+YUyZ9Tj*r$8*NqWx{QnUkmR}%au@>Q z2ka&VPpfl}q1K4uE^(A+bIq_q-DGmN8)U3X(T}HF*qXh=Znnj{>hF2{@Z*ErH?|); zuV*4_ZQea(6KmRGnLp5(`awG+Y5t4HpyJ$ZN&1#@9qzWzc)RflP2Z5p&rNUZce!!= z`#MfX_=EswYNwXy6aUb2t@v+53Cf*A+2*wd*5z7RdK^r~g&c7JQ4rij`a&{b^Fo zP?HrC)oNS#rcdZtZ}Pm3Z0QetLzXUJ6ET^XhkOUtg}eXUWs)X3wu)nc_lIL-C68Rl z>ks}SyN))FSu>}(bp2G>&_`bv%|iAJY>tvPorLWcUo|1gPu{PRTd-D#(i4VMr7pa? zsVZ4GF7`03$*DG<^ADSuJ-E?WyeNI-J|2QU&~NfF+<(@!PFLV|$}I#n`frTP5^nW` z!dNF0@#z@|=sAr5kiGOvvrScc%}An+vnhG%jFef;NRkbYDS6b46hv3U$y6St6lN3o z$lBUA^X`YQ?jbMYUg0FS&Hdq(7<3|q`-F6N_#H91m8ji2ug zO3^+vay{Ge03$w(RMh_z`$v1=i1HxT?b8dJC1N|YKI?#Q!Bl9WHh2rI(t>lh;0|$6 z4e-R0pq%RxK?4x(5cXf6EaXS>Gc85+xn*3g!O%DI2!+&TN_s4**SpSQJ|15?sC4+K_aMzj< z@I4tdjgZ*!bdg8x{e}Efh5r;_4Cj{!KdIS^<0o7CcYmq>gKrgd<=gdZIcm@?n|onB zl3!@)uVk0oe-#3FY2ofhz2vETQf5sf|L95hUrXWR-Q(7SoGZLWdiNz6`iWCn^nPa? z2GlbD%=}x;-!s^RyEaPcrKX;Cc0 zWwSI>30o=sD&t_=n?-2c_)@o69GojUMtbq386H!l{w*`!vmEdvGbyqE%ab=pWsa?! z+yxZ&a$_`9U$0SA#`Ga}i^#6{8u2|9e1P{<@RJ54a#IyYQNHu_HBq$rw%R9iC&w3P z$GXt{ue#K&LpNi^nNZ!rzMydxQ+$J;BT6u%(rXDKq)9QOdSRpNY&T}M>q7kZ@^L>& z7{`7EbHqZ!4*F^B6-L14n3x&cW^wHd)2{Maor5p53Uwt2J z6S+~Vs=v?sw6bQoXB_NF`nf(?9GO5wo%}A{OLC2rQ8?6r2LonmwkRFeOQGI)>tugP2Yce!ehd@l^Jo#BYExTxmbQSs?Ch?0 zkXuR-=RDE5@O%Tv5k4OWH%CP-*NW0dr%0k3$mW|@4~|=fzJ7gs$XjPry-igb)^e}x zy39to1kcy(k~=cHT#TM7g3x7zIG1}&%@LI=pH@r-_1>qxL9pbw40?8TpY!Qy_mb%M8kFxjwX%oRb)mjlkdnP_bO=C~O){$=8 z_9D9j7sN8z$J{CgQJ6-WF%xO+kT%Lc zt9{7oO~>vPUW4I_ZBu1Csw-~Fldn*8TP&@RJKJC^MJUa(0_lN`7V?6T!uu`}g!x*7 zmKVwB7|__4ezSHLF^>793>H=edsAy!=dfiDl`blcTOGpbv!TrkZ}`^zki(NnwV8Db zoB4gGuVF?S5LquepMLeSBh5r5BAI8Irv1t_;&N?R1tZdf$ogURWV`%(WODThC*n_c zWnz!q!mWIKf5g{uzIa*Oqy4$7scGPV)!rz$C@c)>7RF7~PugI$Q!Yb||F*8(*ny^W zu5usI-~V1&pTHuZV6IW^={rWau+cT`?M{*ugvJM0$E{jU z9#(z6O8tooTs-KW`mYz3E|2QIf#ZusDvfWKP8|^lpH_A+-8u@`dzlnEng~C# z!LcUnn%US#mWtP1ED(JTCXt5k1ZQV9V?Q@W>#cAMn@g#%j;X11dE2xAeTbO)hi@qgW{s6hJuHb!aYE#601HEh3T4Ytfk{@`Vr4Xng_WAtJ0U_|aoAaAr=)>vqx0FM9Nw~+_MT6kS{bn5d zbFSJ8Z(mTOrz}*uq}y{TE=S!uLJvWgTp;jHxBm&UG)L&1^ueVT-#>8!5l zc#=laHm86_R_Kt>wbF!I2t$9dhR{?R6HyM}9}X zU@SyAQIRbRvW1P-n=*N0H)uaN3$?%H9os;Y9+rDcNAa9HHQGLpGWz=q=N)7OvD?f_ zl@|5Yin~!b*7Z@VLV?UwHjCPxXe^|dv7PAT9sPwntS7$1FUvbPZyoMy>^9E?m`9a= zH-TB)-*$Wdc>mfoJ%m~D;@Y*;A2f48gE9Q=%V~LfDQVcDlzy;Pv;J+VV*czGuA&0U z#S7=w^{5g_RbO_aSv11>%ol)QfjC-W9|KdUAB$!gjmZcdP~i% z(f0WPb=nV_HBDD|CJwMBSmES{fA9lH>8t~nM_=fktG1S7IumL{-gF_fXF<_LW?PK_Vrl|Qkci()=^|4wKUDXN zxU${KEb={ou3&!D{VrjCx<&9RFMTQ8L(mL>8(1mHE5@LXj7dWbBSphU^eDH_F^B?$ z%(1>^aOO)GDTZ}r;75twCc7-s=VXN6z(t7talQq=f!k(?5Ob&J2<|Xa^lQ_<-h)G! zE#=}tVo^cM*^|SWAJ7G5;E)A8;z4R-WqLKwK%fKNDa0CmrN|IM`jFGJth*CD# zC}x%)kaCw|TS@TcySG==g-S9UglyUADb;-iDAoDGerK+ezg;>OA9XmAAkVubJa2E* zHNSM8ej>>J!VLO|{ zY46rX-IRTRvyQNsOg8`_$XU{&5f|FIeGCvVm@q~i*e6gn>Ly8UQMx8Qc@+&=VdIQC zfIA;hmQO?J${N5(ImJeCec)+;^E`;(=B(40LPL2%>kC>xMafxuMo$0)YWX|Q1As@N zl3Y=lZe3&2+$AlKAm{mr7|`wG&oR-Su|6@;Rv)he{)O8z-GsLkx-Sr@rC*y1*`9kT zv<-Cf$qL!Np{J~shh9d{-I49KiOX54ksWn77yHlT2?lpB)_FcnM#%OFXk!#JB~l3T z0EWrd4&+^d2w~ao?bMv5V}(%%Plk;;?-=KKQBX|{s&PRzn6PaygU3KRtE9MEChEeA z+s8^V_2zmI&PJU}T@0lK1KMRX9cBrcOJ0E1AY{8sPdP<)?MiNOo0yLk=x>UQcX{|& zYSdx7UF_DCS|z&Rc0>ZmHhCaqdtU}j3mEh^=oH{HY}DDj1d~!4b2-lHo1nk=!?t{Y z&2U)*%?GE8*cZ5e+RRU3S1wp|t$tp)=>dfiy*MxVA*8X>6c`@&TYLc-SlSi@aTyB|frTCX`NFSO|J zu?~Io5Y|x=$7Bfgy@3u+j&A7Lc0|ATt~yH6hn|&UN__Dx1;IwidpCszIdOs#^hMvR zF+}z;B50;ce7!$#kw3YVNs(2{fiGf6&GE6iY8+yu^<$TObAQrLG)Dpvl@o&y~LUAW*A^ z6}NocU;hGpkiG;TE+NauCw-3Rp1^>(xnln3Gtie0L73CI34?;;xuh?BtsdUXId=L7 zKs>W@%AB1cgm&lJX+YDWDlnHOorg5cY}(>~((+IiE!_MH)N z&$eFV&DCRJqJa6Iz$9VbXDA-@U^opi)-G1=4{pCp6zSVO*?Q-6-h8=s85rD#K8~50 zpc|?Y1!{?agH#_>vDU7(3kQY|HWPV(EM4lX-S!=&1KiGfhe{-*69lZE4UP|bO6GJt#7gL8*4-hERFA*t4OJaJd!ig{A@Cg3ngss)qf zKF8hP^qdF@bW=H?4W{b-ECIX#x4r`OtNlCdJv0dvd|pH)^%4Hu0^HliNwv|Pw+?Dj zfl}qSjThjfrDT41In2rO`^F1GFAxFlJl0js8`;nAFQLTP_9U_=p*v(7zoH1Ds=^gh z%*XG@^E_f1k%_LSACbYC1t0OOvOM^_FD2++Jm^y}2$QnC4kePkvdB_043kn2`o_bc zMB+Dw6nUn)XqMNY4djU6lRW$qCI#Ld$uB6G@=#_r41{6{!(`!dd%_oTgiPYija99m zT3|fp*_WY1F&g#add%aP-Q}FsfghFP{K-Ngiv#H`GD$TrNy=4R3nU{Mo(xuo3~EFh zLBUQ4(Z=18V%Rp|dev@d--*(NKH_!&auqJou&Bm^^M7Sz9?U7=`H3OTs)c@YWOgCh zDPp}ZHY3!DXoKrTnC|xA+=}8(igmDs_^_$z9c!CFgIL*Xv#8kS)I1s9!@kF2*Ji0^ zGCv2PRN<1rCC`1h8QX?5tB?gMS&3pVDR$gip zjD;BOA215Sm<{Dwlc}&3pD7HUfnCP+A>sPNUBB zzx1Jm0Qujz4!~8={Xx;SAKu4;>oaQ^X6YS+qQzyEFDS-(W~7VqyUeJShy~AkViQxm zMUdWx#-)1CqkXG-Iy2J^h9@y3ihA#yVrvUnRdFlJ2Sg2t!bjIV!@xn}^rt{*P*g2) z)#7!kH>_bm;lkLA+KOmZ!oH&Ng(q2>2$S%6ozfEe+u_P5S!U@0Y2G+4$K@yi+|{Oj zmlttfjgCJ>&QrFwoGL1ZdIv=rgwJ_gjxDyf#-?Z9mRCM8GE46o6pc#tCKLX5uB#?5 zP+OqzU*)=|WFa#wDTiB5qm@IlL!u1#&UrkJEe^NF)@R<1R1TreM5m{DlU=8WYtob&05L%^C1F`v_6@B{#QYY^EZns$%rwe z=;Ru6L5m0?xIRXW``itV73+PyS62?${Q+sSfzPb?k zeoFtZCUiEaT19!x;;q)isI~lC{@A>DTPvaF0<~%^INNl=5eILoOQCA<%((Mxm{>K@ z74IyZ7qMW{0PxB_J`#avM+(vsoill3(w6f*TtH)!Ko3JlRNA%AU+*+O5yq9PZoiQt zUCW!gpp@QS1s+LhcaxG8grSMAa-Bdp{yp91f>g`f&ju%*J$T>NddLHr6OTGfK^H_> zrqOUUUMJQUY*eu-5hWLI9Oh}E8^Y_LRZ~l$Z58Gj%0wWperX^te4v~_T(swn%cv(gLh16}E0KzCMmO$9sT7q@Hy1V#uzO5IN1J7QvGtp!IGHVw!ZSz3Ad|v2qqyQ`_8EE|PkU4B)=Y8`9k;QeI)L_#9p;&X?+RptD7uklvZuF-3W+&G9NPHHCQVbj>@|o97Pg;N-L?{oWut!?dX^n6St6N61f`Bdj%O z`kwX7o@i2bRUC6U|Gp7PlZ<1wo#Uc-B8gYIeE4<$7@b0cCUx5jvkk`6_-Sx z{FIZgipO4VTo2>@n)e!mIz4}&Nm`N!2f?L4_r;^#FV?5$;Gpe4E#m)ng8CTh<;n#i zQazdn)}Z;oly?9a;Rn}9$Xx%XzzA3w4__Xjg0HL2{(5UrWx_1#cLAogeq+vyZzhiP zQQk>+?gqEW@m&K}IG6#zCPu4>cHiWoLMtHYx?8Lx@y{zViI3^@_?Ev@0_X`gV3Ga{ zn9&8R9Y%Z2#MA<_w7KQL-}Z6P|7IZfKikKFNIVr%vRDF!dVGiCI?Mf1B_RF|1ft!b z@gJMeMFBf_^334`3u5?(VC`@M_Pu&w7BuJyz&AF_@V|4hLZtwCqnL^KtU`4I;8J{z z{1W6;mRmzb_#N0WX%AY}`LPF}3-xKxg7`ORBO_0l%Gs|;3Vv0dfE(#udKAEIJix4Qd@>bQjdu!wPZD^C+I*?b zEACcede7WU3Wi#&CrQsMG(m7B(|bmGd{$B3GcZ9AzK05!g;mJoc+aR*hI=LP@#1(V z$=o|OQ6|;^R$#D+t5`?~F!si)sX8*5vcIN>LQvJRg)jT5|3T#ui2230$69C}ecdo0+g8n*N-zzd}y$jgM$%3N2l5814tS4$SalQCQ;oh2~ z5goDYV^5GX*~e7khZA~j?;M-7&w($ypu2^oSd`F@{V{LnmFL67=5Ru8vXLH&?~%zj z#!X=L2zvaR+k>7<*u8Hi8H}4yKidQf{Hr{xFcAg*4*}dN&=p3=Z)}&FXu9!CafrN! zCR$RWhb9j(jo_we(ZDFp{3r#)pNawIa)*x4a(}QbD=?p%$bx%>fVtI3IJ~BpN9Y63 zf+$Uw-XY+Z4=mEGjlo~**%_Bt{akVhboEu*KyZi`tf2LpX{KWUF_SgV_e>SojPjtk zs%WepfFMlvI|3DQm!LEM0xtpn7{`bp*pb-Nrx!^gdYb!QCcOu*Zx5-*i$E32r*XH3 z(plVp8uuPBJWji>x&Nd0<=T_@zw!NU1zz`}d)8H@4v9KtkK)#t<8LSwzob-zyh%dzsk<2Q(>d`VM6tKVX5HxN7K?R4{zg zdm6U~lK(kD1Ie18@^`X4|M+mbPYbZZ(<~^3Cm}$CBxj?Hr``?=P;Gx@Lsk%|$xswn zz|dERZ<-3a04a}zydbdN@qYWGME{saiTl@{q92HWW?~8{8zoaGVhfKFe_AxMWl)QG zFdLjH?Vbhr~qRd5Lo>oy$g@o6DJD3vdeY?1cKHIAl_wf$F z0bOlxM`EuHmnP3LUlI$C;~P05hI;wcSa0_Jd)PaU^*35C*!eB%xK~ZXdwOl-1i_st zQ=|Oxr9*>l95{kQc^BZ1DdE@lNtaDnrRkT++Yr|-m<$#)I%C7d?7{8dW*etTxa57; zipZ_I57@0?Evz`w`JMwC7kje-u$%{4IDz>2DlTsZ$Rd+KAoX6bHw)lRRC0M_ed=Pu zBuv_p=t6F?_>-|ltXrX;y(YK2;&!p`UB*z1DUPTaZV>pR|wC346m z-qXhnkp1R+XA>s-jrTPge!|q~ja-Cv`v!A$tx>pe_8{CjCKQA@9TX~)2CmQjHrL2W zN;die3995Bk5yk-HgIL)+0dnVk7Os8$mKK6ZJFsE#Juw@k<-VT;JZ1SAX2!|cO6CD zW-QCQGkca#+Wu`XpVTa|NTE&cb<&l*)2G1@y)$Z4t?*VhS5Cv5gf;Kl_c;6y#ha7# z7x;xi<5x5`i)fs?o|JG~-s2U7?EEIX=PF~b@4hFzZiMB&xRIa7tQ;JH)AfmKK8iy( ziA(1#hg9lklwi>G^JuW<75o*KZqhe!wYg+Z;9}B_PS)3B9}5O8PD7^E2iZr#NlDVL z{pa}7oVrP^-~w#bJ185>5U>VsKWJ$+kfjFZ;9*Urc3=k4i4-_LBunOps2k$3S z>!9Z(EU@?b29zk6n;aS%A#LqKSZb37WfeF9ub-2^K$Qt4N-=xD9cc1ilf%grUUxpL#PXi*FG#I`Ht@nRBm>puGeyw_%59^aQQ59t>+E>zi z{i3hXOGRxZyW;;)6@uCl{^Wj3(a(~7NXg>$i$_IXDq1VqqoAqUX?|6obS{uuyuT-Q zO#J8{%Kz2mJ@6FL2)OY!5BVAKbM(2K!kOEp&1i)*`3F*SZ%Xo76sIi~9^BW4{5bcU zAkKrmy6FbnT-`>7i!U)+9)2E!R?^U|R>9Tj)+T;Kq{9ZNoQ?LG(d1*#ZWNvYmut#0 zKPV?ix|_HRjVs)vPbih0U2ODuB)&IbBOlIw#8xQ}!H#sWkzTHHZPHFFOv-YafAIKT zb49X;Z9|1^G)#V&UvY<`lJ=J{K7u%I!hKD@Ml-4<0V+C1i<$St`KU;CR>5AB+BewR<{hol+i5P0Jp}=n;mre)cZS6JE!wq@mG~94-keiv zS65qlsLga`KrV=q&=219LI&*X&Ws@5=9Uo8NMZVE>uiX^$(NvqLEm&yj#!v#h=+p? z5SZ3M)SWj7g~_M_wJ1-<^|O63OGJ{YyEkjx373 zC9|s2SL~>1^6oKxZmmT?4sXuQ#N1UKk85LiFp+zvYV%l@_{0XQVVU}q`6E=l1B>K2 z{%*dyCdAy7(lEZ$6T0fD{52nyr&{N1*AQn@dpuYvz6b5Exfbx&2#{+JeuEev1FQN7 zuCK0j@x~?SEmh;D7vUZFGq*ch3hcZ(ZF?Q>e$9%MEM56gIElZ{73|n@vxU{+&5PR? z{hzvA2rjI$Cd>1c`kiZ;G2;E6KbvYV&~rt7ynXc2$6?jfEdj~zz5I_cTS3TYmNm-z zDy4eQ5}p~QyWpN#oMb@hKuFb_^|v1-?r-0Lmw<|6iz)CQcTjX&=r7JptPJN>oACRO zUsdEJSUwuSndwEji^q4wsql_laXz#6fQ$g2S^2{;+pIr@f`WU~Z*}1pGobZsd0$f((c>oEVvY($n5)snc${Llw_!sL`|U$3P+Y1Q*hTCMYgNr$4! z$k)0N+lT+6Bp;4o<-aAJ*5p??zK5_qBfS|_IsW3DQupU7G7s^IkXTNEy7;0rA!~rW z&3d%at8NJG)>z$_&zieXdkVVm zpM(O!YW4PzR*gl|0i*J-eH1NsQTl>8H~u_QzC5&7V+iL;xvZ*?9$Rb5T@qW1$Nd6r zF4wYF?iI4)&-53?zY}Lk*5ySi$IQ?|kvy71Jh>~Lq&E>?{_{p8lu3C~8*sE#db-Zw z&sD)$x7JvO^UW#?Y5mo3{$}NwD%zrn;wt_eCQGbntF`yFA&ayz9cEPcZikDYTBnJ3)zc7b^72Q#ZclSWJ7*q z_q$&)2$`B&()*OEgJTjn=hK&ngLai{4mfila-EAhbRMN8jd3XddBYsxhg~j?!A&gz zZtrRxPEfI%Z#UMy?&)0jky6~TNl?SbmSPPJ zA0DU@eN)HxiCo4e^7GhhAMQF1HdK2fWmz$m#c*>Mi7(stob#A9bNKgvvEs0-eKaYTj;mBr zv5%Isq(&z*Ui>LHNMu13cXuY)NzTFI9QzAWqi;}C)nR$ze3Yu+ZJ!wSUU%A?s=sK( z9pVDfCj7c21Rx>0c21HTmgwDyXx+vVjJ9ETZ~!qjYU1S4#DO1p7B~hHZc(>r#Yw8Q z6|C}TKxoSO3gobc+!C$OFg!S}*JYqZfa`^l+bGI7N_xYRbtVzb(@0{PoKC!4T|Dr@ zSYn!)uKgM5jpM${n3c_3@R6X=iIe!zi90UZXgc9ydi2X2Mdx>hbM%zxit#h4bTW4SYDj758q&`lA{h14>&gh`zW|zjY_bjM`Ud2^A-DR*^drAgmN-Ki8XlfwH z0+t%_ec(o|)7{i+rxAVQ`N3T0$;%`;#_`34jSMMtt{%++$a5{(4tOvYhP!`9w(+f) z6DB{B`PvsLYJ^VT{k@Boa(I3x4C&l487#Jt@ zPaz4buuZ4lZ7n9XS2vc6*S@ek>&F*mgXv3>O7{GD18IgXb!C--9Q`S^z$oFd(2+@w z*0nGpqQ)u?{)5*KoT6DFcAIPO2V2D{nAwX8hmvq8XtlD8e%(HWgE+!(*#L-R?L%uY z9qMYfcj6G>keot|9dZ6X3(QPg&y);K!Z8}vRi>M>+II*ET}t!IJSBrZ{vWDDzNt1X zvKUZ7J-eU<5O(-XDH8~5R##bX&Jy1xB=jiFANG`_Hhwz=9{&Re{E(j%E5596ZO9|) z)cA<(p!X}UC2vLDv!%ij!bz)vsEI$*IC>X&t@JuHOMQ6qVw1P=wFx}EB=%n4<5vufvGEB^QW^@&qT zrmV(wRdq_0;%^m9F?#ZV+7!Th3slA+6ijI-sFm(5ylU<#VLA~z-Z=xxU7)!%O9A8J z+e1UnQ&vqm5W$4iA^yiBGH}OyM3%am@oXt~JO5dBC0_ni9gGP!wa#*biTc?j`Gl1- z5iTJSxMbesZTNliUhV(hBg%H-eLk^r;1LX&Zm$Zw!^4=C#Lz}>6DBMOeRKEFd2KE8Zbl&n>flbpO||HaZ0sbgZ?xb~*H zavZjrjy$XSQ+fF}Jb@GA`?7@v7L@5_$y%bayu3OI>U3fGK!t(Mc)!$oM=EnRh!Fvw0TDmGw zzdN@^tZ}ms@qFnK&BXXte`azrB^yyKQ%>^i?UxzJ$%M2j%8eaVD$14N{+5;rmI0QQ zlO!3*vs~%<$;rF|j88x~5k>hAWW28)fOsN>VD$$-w}#rXOXQz|ayB!P9a>+&nv0d6 zS0i%=Fj$}$7U)V40g2T;c>!wjC zK(ro8XBW&s+?{K)KO-ZF*N4&1)SL9CeC5A+a)*4@f}e7ZBf*7$_$g;#tdj|TSuB#` zyu6$JWmRdJ2KjlQVf-#L_y(WjXwa5{DV;<#2xC~PC}VteEtLIzyK<0%%(Ad0mp}k^d1We?J@zOn2 zmt23jT0bgk8It2QN8(1l43J>P;r33HgM{U|en|MrjjhA79Dl;FyEQQy<;ct)$~!cb zhL~!dc_|MJI*GtlCe)Vr6g7!Z+djMNB}N!{{@p?H%0H53WVi6ttUPESoYh#(8ZHC0 z0V;_DDL_j_jq;%OaMqh@)|q97m_dZvJ)p|8lZcDCCPwp*R&CexIvIZI9$8VKzq2&e zvZfgWQXnF2_=qxr7}gp^^YS3^2v)C{i=dZ8+KgA_T&y)Q7Ue;55v<0)+Yo7ggWrtz zEStTQGLqH_VE0BW+`CHMD`zRezyT< zaPMDKK1qIeb=iKn6*oO2U2C>CBOPtAycNeRd>+~W?&{xX?ag%4*@p{&tNAE|C(Piw z9hlL|f*WsWxN@j%P!w*fClBuLf6LS7Slx00HDW`eVc=$-$T_D=Uf5H)IkBxv zFge8V)7diN2=WPnRWmz!i%%j|IYulANV}s3_*PIN?Pfa)I&(ljn{-S|e|<;r9B9ux zTS8?#r<`b7y7eIKA?h!0l}STkSJ+^a&O7u)0|()Gk)G>_Wwd_Mnl$bG#nT3Lr8PgU zzcB20MuNw-6WsB|K#-t^f+h$SZwK)JbrCd9&aV> z+%QGvFkf;S9^Ky|+lJgb?%W!p^;NqbX8kabY%Z9Qtp?lSWbe1G&~Ih`kPFV?fwDtK zAvT9E4d>QZB5kr0s4$WK9qp>H+E1JyCXNoVD#pc_yf|T+FFC&Sg>^PBml(plBLEG= zw0<|w+IvsO>Ng%7>UH2_#A$5SV`G#;2LJ~ht;xUO8o^bCP+wHE2PbGBwI&veOBa`; zM|SuU3SwFtQAk^pSIhzx;^goUYITmYQ}9muQ>Rbti)~tgL!qw>1$@T>FcH@zFQOGbBS6{?pH)5IRVKKJ;4BcUZKBFEPMiHgSU_r zY{}*OH6&^>v2Tjc;pqqZKWGibp*9JOV(0(b#z`Jz8I(PIeRhP=KCosax-z(i=1m6a ztkl>Y@6Z4KE9m00_wTx{NKXVuf}>0L@t&_Do)LV*mFMDfJx7ty-@ybwoJPt&*x*Ky zdSOEsb#qSYD*$L5@4b#_-*0likG1T=v6lYd=U_JYt{B6w^P)jhA&pdd$uB~0n4d7g zFbW`|sTsMji5a=1iJ6<>G|%~vLE`1Q2}(^ai~{9CwhW)67D1IsxN2s~cu&og?>zi! zz1s2BI$ZLl&>O)@p*LSAfUxk6tCmiJib5JUMrhX9sNywKKUiH?N|oog%&)9BC7Yaa z9&LN{67;3Q3b<2O%lcN@zFG@m`dKCq7UJE-aZg``5lh)?da0O=72|_8YHAvQl8VXK zhT0U(oQluN2J?(C@+&u{ky(~=b6=?DzSkhs_=0-+GKE2$QH=No&G?M-!ywBjTMtFE zu^TihLC%JOk6tPqUgcoUOu<5LqR}$B^0;s3=WPt&#%|zA1zuLFp;q^!17&DCm~w!I z&W|ZCGZ+P6TAU?9q1PDPMU@-tILx#{Ioz~?a53dtMyAjCC~p|5_6z{#F!5A*=|GM0 zQA?a69V*yh9$1^@ZNM;MzkohCkfa0QTj7ksJUa0|z`8Txnci21U@a29&P&c=KP?Jjek3Bgi@;XeISHMw`^ohF@!o6mIASWMK^ z88X#$2B_2RuCQVIFCEUBr@pqW760VvW3pEU;A*!LtS^oIx zpPBL$Pyqe|CJ^?Ay(WYRmTsGLmP8cp+Le8em0oB^1-9YFz0nAL;q^*JoD9OsjLa^w z3{1?c^cW_cY^)L{ohhpsuxYSJg(X(vF*4V{m{^!sS#DrB>rRrtCUhdg4QicaLhlc+ ze;p)aV$wN@fdgpO7ujquSfUXzXh3ooG|<563)dYWehm>iS)&8N!BKtTf@;APhQx8w zCY==L4>dX0E?vs~Dh%N(R2DIN6L>I7Ju@>(+BE^n~Z)-pHXVog=ru z+}I+wJT$~}aS^aJ|0MZmn#EZoIq!1r9YC6&d1is$^WHIBW*ViDjLJ}yO|0(sKZnJ6 zd#BFcJFQNb&~~pv-EJ&Zv-5Z)XsbV7OL&+C+k61yT==L2i@49IKG*)xDB9Z^%6RIxIO6}g#x!6-xc%HJtaB{KBEhB1@7?;;{eLCd{Kf7TAg7M3qOM{K!W z^~R69l}hqq3xE`y&3lHGruN0aQ`G^A8DS}GZ@c<{C;WXK zggfF)_OVRQZn#VELMrnQFIq%Ol-TT?mZ(fd+T`V1ix2K#ncWHVd=+%#1%CkHrqyws zbQ12kLLBiPcVm>R`O;4Yr{HhJw@#?v?GT)72EP9ouGP1h<=`5YLe_Zh{S_JNv+2$g zn>TGF?9b6dCOcCZ_KNT{SCBLy>7MM#<=g|mZrvqsmAS#gmL{7M&o^^5@1LUDb-L_L z(c3)1;4;j`NRcX?nM-qX%~)6RENpo=cf2e*h`J&S_pSa%+cEm#^+gZ(sJ zna=rRII`6)4{&d{_@d}U?9&1%7rVz*x{oE@dxV7Gc^Vvf%BSu>u=A;@tOMpLPwQt* z&WV2*HMND$;_&K=GyzB71rjN_7J84(udN4e^mH%JWri1V_(Sh}+01&WF}uU2G~)Hv zkG}Mtg9384Fy92*9m~dJnyMs$%z~uP__`91x#R{uxAHfU`FO0|gP7YpihZ3F zwTGXaxDY=JuX7?~LYXe|A}njEC;Vn|^Td?c>t%-vUt~AyEi26vMNP-$1F|Lcq7E?f*%bsqEMdG@c zpOrd8X|OXf*OuaR=1aReJP275Gqv_ySd&FNcu_E$oA6mk%c%HTNOpRw^nZWX)lc4J zsP$pn4Y`t(^7@a#w6vg3xy`f2ZD=CMHzl%h-k2|%zM-o-+t~3J*23^ zFP-;3P9Pu1^3e_4^VA`;Bj$A7F#@bEv}{ko01`3XN_C{FIBEwbE)xbLsXIhRDw zHw2R}eoESMr^7yaWhg>-yv?3lnI9B0epW2CM|nkRzIaDXk5;l4=>R=<>a(KPuFq&@ zghHWj!r)ZFXTq{sG*_}$Vi4hR$7e#r!aeEF%di=@`ozJedNhPX$4N0n(mDDSf4RHC zH;`FuDxV-#SYy5NZMj#4#j>`Eh5sz!{FS(`&a;p>ycV59dt_Aa)$x~iCVBET%OcVQ z6x;6Lys>=J`B3F$|MKm+?*~%!3oVs)5?@3!z3I42ccqMB3sExP#Mh)CdbcVkIxd%8 zDf$8OHL08u16RyOSGuRwz8?)#icS9?&fYq%s;^rgRgsiUh)9EkQqs*PL`u55B_uZ~ zo8HpW($Zbh(y-~0Zs~T@-R)iI_q^wv_jk^{_w)N>GS(XN8P6PR#$wK~=34ulTus4M z?hGQv5OVaK=3K(W$yQ9_uju-{D)DQZ^+qVunxVO!uAzHhP&)c^;@14TORzCI}cT?xa$*Glsh$hJr7mZUttr7+mI=Y zOqxl%gd_Mca08uJOreF+?Ge@pp55ovPogJ}|G%?P^$xK-Zpz8-bXq9u0ZV+l&n2Hk zod8nCB^*6~6oXexqh+}uBg|Bz1@`{}5t$?$d_jiNl%sL??Nr>iyDZi=P-E^g3B1NE zi)nf(w33n89yoG2)s{0}{Iw>*gXE1Wjg~zHa#u^&jk`YiSO;t&c-D-S@9wZ*$v(+{ zSEQdXIk=4Yw*ZDWcEdHCG_kVi1jb3gkT@-(glp328N*5it78S*?vXLgx3XMpa0Pv1 zMOmOtJUE`?a#=5F1w%m#byf$1dRfpt;zq~Gjg$t7{W&hT^^y@VG;E=+(3*KQdMTv= z1yKGs{Kro4f$-*p3+>y_UVxFoiKAO3nPuRg*6(CMl`%QR5v^e7)XD} zvq7SEa-xU&gw=JZ6-Biuy?WD*cJm-CoPePEqr6!uy|km z6+VH>#0CXwiP!WBS-#Y(-1)3M8cHLDAQ*M{f@Pf9?*+E5Fr^%OZ87xU9Gxv*vyv|U zuPqd&bijgGd;E)9*bug8Y;pq~Jdl7I?>f|lT}zDI_4r%MkA9uV!}g=3IU-C?MzqY! zBHJ5PaWzhXM_lA;%E*(f`ughqoLuMHJP~+$1^izlt*8>R=YoBDHv(`SAqMZZxM9~e z%<>icIPb7jyV^&jMBN!j#pc!8iALWwMqc~Pw#Mq`HDgUq;?CAGYeP_LF7CF+$tIW6 zR8~2Ej5B;#IS9^YV7)t*AB+o)57~q#fzclXkNmlH1ii^6mUvcH*-t){p-X!1gCL^| z^WgpN5^Yay8aji|`JH*OfFLLu=FA;7*9Yzszbcs5;y}8B$;8X=xexiZItUpIV!HQI zXe)R4zei@aA9#;9`I_7Q;nmj;u0lE8ikUy+;HBZy$s#O!qZV%|-3q=AUS{E*^J2}Z&!m?iZdE2dGEu0JW^-5wVWeE}KN@D9D(S~0prEARJ!IdleZ(^7x zsdh<&+g`-LW`($&vV@Iv#Rns)Hofg~g=B$e#qM)Rv#wVlR$#3QDxzi&I3-bnc_}Ca z*6zKb|D{81g){jP*}rHOs=rb{m>UV6>i7uMiD+5SwmhM@A~Zk{0mF&s^?0l0LqQvv z;qp&%1Ef1`WSQMZd8)&!e9xyuxzMNR920y&<6KU;d8u8457nMbO=nngfo)X<5nL1C zAl2sxCb|WwUt~=+u)Jw701V&|`%N=yN9ct`m()9iWqN+$n#CEEzqufl&Dm()dLU1Q zz&Wnq-h6)JAwS94ZFIqxZut-l2JX=7VZhxq7jU9C6OP;o4vN8|c1vuGey3;5D|&*B zt$g*-<&y#jHuh*GHuj@t$ZLjgMd^}Nh7{yWr&PxWFJMLD&Gs%2aOC!J+_%5`>~fIh3ysCG`!ViJ^*%$KjvQLBtLnAkHIm9NG$~D!+c3zKX=}YGGu37!oR~s8*Vk z{yds^&tJv#W?UZ8x(Fv`>fai)kr<(0T+zKJWU*5~m{gf&) zN~`QRjJ0fC zA^2(eohujo`?=b;Jj0=iZ{Mudkh!)q+sj@jcIj!TRhP6SIuoc{{yIQf($T`N{@#}8 zpn=>A6FqokO+v;C~TV4A#{o69Ag5y1|ms&4-QXIT!7I1$lKtDQxWy zQr_uY6OG+v-Jod=mAf>Yu5RjdhPIIR&ly{n&wmU-O^T;Yu!g4WFMom|N?*~S|6MJQPY2>q~%jIM!a#PlUlh3WIx7&@us&eJi zCD;+WR|ivAmVncCj#F4hLuTMtp4nzUi(Q2T1KXX?Z{6DVUy)ct-3vraNxBRhtYkpC zeK*f%=W)lDYEh=&H^87<+q3zCh)9#8(Rt zg}uQ7-v4s2qyFMc;!QdSFiE@+=6d!X0hIM$A^#n*P25ft)BeV2CjQHzUrxTlhy2}I z_35hUcQ_1Rtk2UPf^>_znGWjqV&nu~ORr;atN&6y_<1Jg+2>_SqQu7$SVr!Do{dy! ze(-QoT|D3trkwx&gxp!YbKAWpv*htgVW#m=KGn}tHB@}0P>TFVapp;hZKIgE7#H=^ z6enNRo4#Z888U>=F}bQ zRz?q-5QWNt=}A5E&zAF!Th=Rq0Ue$-Ap6!!^=;;l9r8b9=&#Z4-sC z<8l%P&z-k&@KqHg3JRBMgJ_9_t}%=SzFqYvOQJSjS4rO{Ts++3NWbTqrhl<$_(?SW z=z=)GfDjg3x$*R^l=ZU>LAoWO5H^PgzI9%s=vI|lAOWybyC}xD%1(KGahq*iUMO)Y z8dtg8(L(PXiW(uct3Xw~h;W1@R)3U$W*A2WBrG-b+{pc^`U3tt>EP7jDBv@Ds=lS- zbbtkFfV7KsfwxssvtIs_q0bg}bH1d^$<^H~oDX0u8Vrx8OV2I77+~oDU!I=r22p8^ zJb7#9c$RL*Lycj#jgV07=C2*(&_UR%K+haRbh^)JEUQ zf;W?_C*7*1OHLsbdZJO~Q&>Yivej19Q`VE}3>3b*Ej`xNz8Fcn>20ZoH7g0x=UUja z^OG=PYpBjm+5GM=U$#DGU?{2xX;v58md}%wp>muP>R3bPS6bJ0rJm2~t-JFYUKe&c z&vr7EXsNWDG@w*}=PS-xn&h=cZCNhU^G&d*ATyf;My3B|8ClUXS#|pj2luQ)v7y`rhh1$wK}>LgV|?p4%eQ(MH+xSsRL)&yVx`fxFBr z3+0CB{bHAV+3#eVru|wTdbIhRrYY%jsvp}NWX92Z<>YATcwXKsoDvE7U{+}S%c)5@ z>wXQ;xMVyCj<%(IZI*cu-}3Y99K>TpZVVnIL|a;3aSx4e8QDn5-Y@yBlV7qT-;ZYw zkCMy%lUYOH^uPRiisUG1{V;zrJau@hP+YWv!RNn&#@Fgs;h+4V*P1f#3)#OCtsjlb z4|LqNXAV9XIzM1i8oHFUmvkkr(PWgg)4C;vfXuUbD*8i;I1-)Drh??HyhHleFJt7| zfQlap&p~_77@bcl(75;AtT>30c5__T(?SkO=7*GgvQCECjOS=-LTPApVoy!6`&u)V zJO+J9z?ejwMw=Anh??Eimu*}-<#*82Bq0-zUrEoRVEU)I*sc_Zul&a~8l)(%KN@#F z)~E~G5S4%Sy2dGK!9h-RBqSCk`UWkw%bT4#hc>QvUA{ZUd&yv8@Xifw

e4pEVqBHhK3D8#w^e-J%EwO| z{*pREZ(-#<-y)w47S)U64)@}~-`7Wuw1$OZK}?*SsI#(2h{)!DY-~tKpz^TuPeqQ@ zXBB16+KX@5tJCN>=1Qb+a={%#y2A|>3L^=r+u9`H~vi1!Zf$VfsqY4CdrjPeNI;9A;L^O zSWRdl`QEZ$<^#t@TK0eM=D2FLg4#BliUmd@dSC{xH3wyrG0?(-ZC914GDR{pz5jOQf7az z@Iwe`(FqaORN zeK6q&8AU%`V5}~kaaED`xUEM>4jN1^ze>K?Is28Q=-jmV>Z}$%{c471%B$c;^&jzw&AeIXs+4jv-za7QXWHq2&Av{rKF-*@R)Q zSj&oaE~_HW5beoN49w=|MaW;7^Tfl*qQ5QlJ(qJ9`?f{EShK1WJ3r+P+cj4uCY@&i zKR>01q3~UWlp1*FfA@ZWi15ph#`}yxi>5}b1)oC~iEXD-CzOA%rDLzbCVx@j#iv8h z*P2)FThxXwI3Z!e0)3>AWBeJ5-zZN3SnZGUeBBwN6COvCET2Hjm{X-D?NtA_q)P3_ zBBufi#p#t}dP~QXgGM`OCK?2sQMLsU6k(D9I#aZD^Brk4#>L)O%dS{4I>dg85NRAL zm=1>Dc1S1A3qJ=H7*6x&*Owx2G@AnKwj$O}R3-YZQF7gGynvGzUKv`wzAn1&(Xrpw z6@Wh@;&xsP>dSmo9JDOS$n6#0e057Y3NvTSU0IqOl(bVk-`)UuIlKHqshSU;S^BU( zD?eHmz3Nbj(roXMjdEH`k<+?MTRNP6R9MJSdwUQ|r0V6ahEkK(Oyz5-uUMBXsqx-! zs6oR>abS#*^guyXf{pCf?v;ru;sNC?!rYDtQ!8WOceVxy2J{Vk#SvY0uH; zF`QyEKBMq#COV7HmB@$#JsS~mQ4M^Bx^A`Ss+>+A&ttCj^zK#D3U%kPj{sE_(!@!I z1K<1xUsS+GqXWNz2#`I_0z)UzkXIbRnU-rC!N*hO?BJw8p3|cT@?=%Me|_r{9Pri5 zl+E-pK8Q`O|9vnT!<8Y%2Or2+szinwi_i2W_$tDHwTcsCu3LI0WiFwH?Cu-DHnQYv zuXk`j$yy5Wc_B%@`Np@c&7mZtvEhl`u{4GD+>AoZeBq&hV?G#F`QEw z&v2}yfFF=8N9YWv*eaEMXw0LRppjacWSX2eQlh^!Famw$M1R#_tOJ6Rg=T(OU=#rx z#sMb~j>R9gU8orE~tZ6I-yEJebStO;nB;Zxf8NX^7=vw#vO$$+yf~mq_sdJzj?O1L~CkI z?i#x@Ov{xn&_+A!t)L)Y;py8=_7c1$#S`DjEl#^3mEcF76Z(!t!z^!2deM+9hPg#H zlX1aYqp9^IQsUy}DUT2JX&{(4cYF~o{9lP8H-;OCcPt{GFw;lSa_TM2C81;dQdFAF^bYtaZmcj3hsrPPSwqX4c0b$g&W8gmxC9{V;zR->b07`?h=@%V^( z`<&}s?bMy$MeJ4x77b7IiolJ?Ui{!}$-LQK;LY#jwK&}5<`uy~%GbOr6p*;MYA4xm z+mN4uIOjc$JenPAQ9N>gCGU_+jDA_%V}X9Tx#{75!~KBptrszS$(KbGBGDfT?W6cd zI&aL-FD*72-g-TG%|s~-D%JR5i}aoHS03dJ#-+_LkKYZa988z8d4M_K%Ob7AiF{Sw z3MIpUX||V%JrVk4fkf1ov=#QJM=Aj?D1|#sX@2E}%Dwdp?tAw`0Yry>NzY78DU9F* z)U~00H!;TlfgV)&2PDS|{DLu!`*@k@%}Hf5gI8joCTd>sa$a31k^Kw?aQby66Xy4$ za1qcH@T2H7DmW6Ugkx4ar>(K)$`e^5gyi1Hf@PJTtB{Q0W5u(HR~UwzSuh)avuKUm z8Pn~Gnf76ty0>la@ve99OzeASB+u85zdfca!QkAxT%ro_DEF$!d-`8-#zzvTCGr+g zZDJ(#P4z`nj{#19WaVdvc?S1=#m)M2jv_5W(Q^V^)(6D{X?7sv$MQ43Xjz~R94zJV zT9?@qd4s>3e-p%q*#RFoBWh;O!dz$Xwfif|ShD&p7R6hoEW1Ufd6aN?cX;Wp$%Ean zLL|T2X5>37!^iBHGcebm0tWv@z=D}!C|a;lMc)2xRDov}F7b*1P;}FK;fO9ytkogH zr=j?ZH8`DO{ZX%uJyJ;WHZ3r~TzVQj*x`M&?f)+B$3c9Pw~po4j1{EFSC5I)zZ=Z8 z7dBDA)iB#{x#Qoq?sk<5EbFymW69LvUMRw_(%!pwlT7lgJEHZ@Lc@s5o)TuvIbgCa zE{-A@RxLV+{hmnutWmqaUk*yTU80zbQA}k;c^|v3j};{9ijq0qEGeCJgkhj_okh5& zma#t0#!Zn`wY95Z?ILU!D@rn01))Q=+D|!qJZDekRelqS=V7sME2zJq@#E+^8H)u5 zx%9L(pgyUtSVgOaNp1yPD7y#Pz!9Q8pU-E$9V~&w*TiUrB8=pVlF_b)PB<*w5#ZmbfIIIOlV9 zZM|NA!`Ey+XzQPyF*23Ho!`}XxE{icc`A2NU-k{2Tyx2!+IwTuC96S6kC_ZA4v3%^ zeJ2G&p7|3C zcI+KK2uE`)Z(2M)AK!*+tFY9ifHDHRXG0<8>D76(5Er%x)993goj`sgs3Z7@O)0HN zQgcM;$0rQ-hrpsgWNB5fJcrL~YQL+!8%#vRINB}Y$|n@JX4Dbvd_l)5)E4aZ ziHlXTHQ1hzo>jspm=wzibqy9=xMJvOlI(|n_(jxYFdTogOv zMQ=}~7x~u_f3nX3B9lS`ZJ0JsowGbwWFT4Zq+?U%_3Zs!0b5C&=PSc)?NCH{s~M|W z_5N|hN9Y|Wmsl=tah>y8NSL>VNESIw-;8x)W6j1(PhLcNz6sXHve4(7p6D5Ga1s(v zpyiboz1wipHV9AYY3dV>0@Sh1zNGU5H3soGfsW|*y#M#&<0Z$BtG>A1)*HAVFI?tx zdjlX~|H%I;E>!2{U++I%Ibf#5`d>t5i3_U!CCZZqr0N@dTO#0BvX(k^Bxn~LOlTLL z3UTbX^eH8~$Pki)7aUY+7nC&=9~ggJVVdaE`eI_g!lZ_(C4REPn1WR`518dj& z9@rRn>ud!>mtt+p%E0YBE^yO>zlanU84Lcq{FM%!-sR;CpNXIv;QxI)ZXup+0eReyh7PdcY0{{6nGQ~zpA7=_40k*sO6K%^r1AnEW(hkS?8s{ zCzt5uJUxtlhr_*yk<&dZyDaSKr-cRu<*7h{m_?hYwrZ*Lo7YY5rZULz_lGu7=>zYxo;vy1B4ub)&uI;oLrE21tU?5IZe(i^6pKyorz7=BK3?~dR_M{;PzNc`dv=^ux?&DZm;gM@b$iA z>Q|nLoEmI`in7nPeL89&in^qIj}B!Ki(M?nIZPJVm;dZyn+l~CtK=AJz^1y2U7ttk zu_l3;{IZ9N_{|^HCcQ|o@p*HpryXM7vkLL1sYZT;fF3e;2}U$LjG9706F@+)(VBZx z&hVzrx)(+-xnI;SzO~v5N1nZDad7;z060nJ0`7tfjO+AOjssR_3u7!nNV8aoB?K8RZOi^rseoA55b zY)5Ayl6R4Uw#n4v>5rxyMD6+ghgJOz(XWjXI|JdRkp4Y@iv^zT4g<)Zun_6H^c(os?_M|Yk1u}v{~JcRhB?sF&4;Ffz)o(yK`dHxAO`0D?51UVQ#;CTJ@iVy$qekX}yQ#A?*dT#yqLi_sV)vkThps^{ zA;o5Wc93yBvkL+1-Py7CFm)cUHAqN7&<6B}pD;#EYk8Uf*m>9d5>TxJs$_y9fp14F z>}~^KQ8URwm8j|IU=kkv1hOCwnu-d$o-fl%yw0Z1&-aMf!5Flq{exW- z8fKEq2eH%BwYNaY$<6HGBvSo^l&+zLzs*VqBc?)r>>Kc|M2tJa#F+*ZNgBK()U)%B zn5qunLO*Icl2goq+2P`yndB%=Pv^Vy7YSriqBN4!^MBEEVNSMD-S;4VhpVz9<~|$Z z_xrom{o&b^KTCnCdq@1&%mXoCz}i>kLe9h32m}%Dkl3#8k~{ycEES(3NsCU@emQw{L)9 zBeKG3PY^o2QHTTAkqh-)zgs56xXB*NFr8KWlzIgiKobvJ_3 zr9yH1)-{m=wCuH%L3uKAFrp~Ei$xJ*{Az5%Q-F-0^D*K;_=&vEw_{j6YD@&&0&T8L zkJ#r3Kf1bC=}UTwgKT2mT!WEv9e;rbgums)bh7dKski>gLwQnWkkMsLf_(i6C4EyuU8$Yw6yV zgZ-v}uamd>iSH&6^b>5rZrSO&M^e;+X3e&{^g-OQ|MqSm1t`|u;`1d_i+i0@S;}oz z20x3Z;pP0QdE~V4vpf$(x@Rg<`crJs;W<@4DRG-~myUj42IT_FV_#8G^FW8bhkC-q zE6$>%e4LdK(o_dk!)Z>MuAGPctGb_Uzeb^0#iUtL7 z8);BN?^p)qUX4wMmpPi~e!k!tJl%mW;>>X+0m>w}AA9UnU=g71 z!Qa@xxLNiDU~au!J#@rAbt_}TpL%RZaJI0tI3EkyjN<6OE;mQBZPnuRtBYeICU%*g zO3z^XE+v|O@O}ixY_$@|MAzZ;^M4Kkh01c9qj9{@;pFUM&BKoE+msjrhQxmCm}|{( z4&_$7Ux-a$JP=oS8eW}ZK%8kGX;;&Im_tbnMbCrvDPRJI$cGZD8P3xxzI_OxbF z(y=@T8P$o4(oF(Y7^gE97#jlH>`J9J|J?6{m%H zoW&^4+96N}h(n-l@V^6YkP(Fhn4!M{$z51hnWM#O0QFX94I9PTV**+n@d`AcD|cV< z0r|S4!(M|jq9^)Nq75l5Af;v+kPR5lDdrr_S-E39j1v};oxv3jR7$N3@r>8eD9&YU zcE)ZX3*Zt%vZOGN`R6tNY?4Gtg-csv?N%#tQ1N326cFVl@Y)tnDHCxpUn1wT!?)=o zqJ&cBun`=TaG)Da4l)rxI^nWF49bdjn}Z7o2Sdd z;zdOB`%Oo1Bs77ZK63FKB;s0WZoZ`PNkkM0p9)0W_JA2^J-YtCtp^fNWHU$WV2)sXl#qu`a+~iPlvpk+Jb3KXqotr`Y2vfGb*$*4jiQV3c_g9@tjJn5` zy-XUWfQ_#K`{0kIsxG8sfcP5qt6ErLTzUqvo4ZjAw!I`)PZ(W^ zJAd7~@93u0eg!A!T@SSdvz;}N*3RC}6c|1P(N?X`WK42pM$8|aupysYV>Lgy5bfFrKLCi@K4ed8jnd{{T`KK)YBZC4}3jL#8QUsYO>!yEr? zjj&!^@k)xfmv2*6YkX6i6{W8)8L+P%^IvB-Af8~H3bZ*`EtEU3DNH+dz|Hpccv)A+ zE{lk$8Lpc`%l4jLSDv^9pw5PP}>>MVKg!{6$h9JN1w zQ8QVWu41QhcReammE4#9c=A`kZmy+Iw@G%?E39c6P?;J!A@VY!2f4rCDgyuXBMQ^OG7m$e+Vbp#y7{l{by`NsfY#JghbRgEn(g&8U zVK~fXwbPt_t&m%ZrdU6^2I}5NC}|5vSe*vhS`6M^*e!6Vw;`9O1r{bIV|e3}$Aw3( z)aU7>0TzIG)*5pOZ>Wd7bL(A^4d@;zNI}b_|-Eo+}W1k4q{e>2v z*BX`zd>UA-vn8jfW~UYePNvvpL~HIFNQ5v5%T2MBoQ$cG?< zyy2ligv^Jul;$ByyV0}ICoXh1?}P2Ub`L9#44u}*XCM+Hfsf?M!y5C{25(uB{#eo2-ujugt^3#2P zzOU!&V~`JU1kv;E-A#=yxt+!*l{e0KmCV=7vERyy$F(IUhb$+w#o&;a4bW zfk3+na3*Rmw|mtShBLS%Y_tCez1im|k$WbKVgb#_ZfL~8>xO%EV1CH9)pF7{V-A>9 z>-J~CZj)Ws^knwkI|b%*>}R{FmKKE3_d)S?;9sHKrG%|4#D z?lxOrS1tlrH7$5)GDC*CZC?(u2ru61{lY1@Ng^PO={jI=1C~wih*xTCU_k*NB{_@0 znMU)tpzUyTO{iFVJvH%9vXQbq*ysN}?_XKK!pY<`r`Pu9-80a3s=4MlU{6c@QxLER zs1-Pi+yQDlkUPU3nd!gI{wJWU1{{gSGwV_Q!{?=qzRG9h4`DXXkVuSsm(|ciwhLc? z3Ue3Y=|IeLeQHrdtf+%;!5_5ERrn-m508$M!ws%bd#2sNUgM;GCSkid%Lb`O9%%+0 z$G&>u9ctXi!Rs`0v9tXz7eZ!Qf$u+5bATEQRGD|*3`II;olApN{yf{5pxQ}JIf8zP zC5a*T;GwI937Xf6c<+n#fm(i=ofqgPhNMyt$n3|qi-g6tYiM%^k_bQTKT?(Bp|ekf zJn%597YUMY&NL3ZCN-_O);9$nl5L`lv+&KCpsL+reRuE;MlDVy;hf{K;ywI3W?zj)5%8uf-9gSSO?w zJZ`0x991gy4^L&Vc&0_-g?)?W((_gtM1}w;YrrN7S)8`|zdJi=%mX;PFmNO!$D>KG z1mZC-<1d>_=K?CBB)8o&JW=Sp(XxKtS(+!^t7rKYIR8&AzzpAcZ=)bR*NG!3+Rg|d z!JPZeTCac@)dk$pcPk~uvMeGMx~Srtqvl7*d|dyC6gpoy_rUnaYn~RgXJd_dHmGjl z-)B8bzaQJ=IU#MulS01xGQd!^>RK_J59b~roSAr9bh+b5`iTbqy&7!K%(2d4ujTnX zWV9d;w%x}-X41JNOH~gm40_4|hyR`SK2To&Ftxu9GW=dPj^vGN#a#OG03_?WNcU@q zpyb#1Mh#3SP7S*;kTOUwq}@ zxUGT!`bj{~Iy};Q5@=}zMg1CkZt~%3yJzrZGCE}wyTV2@;qHLgJ9ZO$!PxAo{nmaV zHF^^}i)PyE2U&b7P+a3w!kwbnc<;$%2!KbR6#ezU*8*@u^iYivHkLL8G9empy6id0 zOLgSPEjVA0g?&rmp-$SG95|`jJuth9cFvN8%?{e;3iC0?Y}!VwCT%K&gH5k=yxyB! zMSF=8om5jaCER5%DhD(n)&cMNSn_oTsoe$>q4y^W1u0hgBnfvkScn(e0T`a2&w)t?u=q_CI(PiR`}w<8PGy zvh@OC@Q?(i|+MhbFV4Hx=YazbUGOkx$ev2*Txvn0|vlF z2_wtIY?j(jxC<#_Tmh=p`tv!WXK-bpYu%&T=*Q9?c{*5%;{mAq+F)F%jnAD?ImC(m z#qRuN(F=WvNAf{4-=m8G+!ovL2VT&}u!9EbPm5#^Z}!lZpgbd({)CXD1F&|17}`U;0lXFh!4(mrd&!MALY(@*>-_OS1MR0p!G||_ zD*?hT02yA(e(HlJV6JlT_#hT{69Is20pKw}cE3*o#)Gj&CuO|5@Aq70rRPn?Q>4`G z66^D7cC(#?f&smS?M8h+Z8M9##rD86%7O2k&1bnPe!r8gR9uJ+)|;3KjLFWguw#a9 z_57bCzHK&$f)tjQ4-n?V+X7pdC25*=iRj+Jxl`l)8abfFKP)3{e+6|# zKPUd74CB#U#OFd%UNlM1f5h6&Y+K%KMUnpbd+9{XGdC>N)N`iQV1tav*!Ifb+|vir z^BPV2ZpCM+_Iev}rBi1ILa4SAEoH&ydkWC9S(jOKgO|LSa075E+_azKYAVC%lktl4 zHRQJDBlTuEN6gUmbXB)$e0xn-_WGi|*ub4O2P_PHXBqq9rmtIJ_>h66TYcxq!B&k! zSPXZ%^@ixB>3LDtyMdDnSKrhaSp+XjlSAbWlW;V8uRV>tYvU@{r3+Unsc)z;EVW`! zb{~1dZ6A&xYuvH;(1+W2bg~@|W}m9Ld+PiN8+39t1c{tM`h4aYiw>^&OrPFG6pvT> z1cpHVu*?z8B#~U@K4igj^Um*BOL zmpoki-)aM<8-blZ6SU78=8?lL?TbtC*R?5%#CNPbzj`Ik8yVxz*Zo8_cyU%G^tBQA zLnwBwO(8JW^fQlfPmu4Fu3jroUmkj>>bQB93iQJK!78Eq8S{$nc0<-F@P493i4rqJU9^99)gmm<#; zzjz+73PX5kEPWLhaNtq$H9?sz!#kE9U*)G}f^usgfFoqX(Yz#!hkTIs=YyC@`)`_> zN!zpoOaTDXJ(0~6VDx>sH>Ms+wGZ33m3XJ;RUL(Jq+8AQU@?`B-OUb-`)&|J|8aJC zAckRAB0;$(Wl^@kL6=Tti@cjhD%;3?~u>+bqo_D95LfBq$@` zh6up%`n8ludbL57pM&Nfq+@yCBq$R=2oscF4l3r3hsoIHssVwT4;dCj=J~B^t_p!K?Z}KY9@&8&V0COqQ+pv{1 zfCXAy>N*MgNB)~g4Q@Ez(%ZILsA^jKU&I*xev8baq12A$Wh8yobD%|;oO8$V9c@gc zkvWnQl$YJx`(w02fJ(o(#GXa{`WxcC6M!PVDzDgZvLf96v7Em=DK-E|o zli(J?Uut=!A|Zet5hGQ}p5t@dFIzy@ZVq&Y>A@HbMhXrU2F>pNn0g0Jq6B4~a*mu8 zwr@c5_X9=vV+#SfsXN;LWZ;&^(yR4brs68crak+(#TR3*+;naxJ-_S0k@L-KUbbKs z%QhFLYFd*7H1%b5G`jV^ zBjU($rC0fuP1*Z{J}`nkN18Y@G;LIn?vIL&Vg4Ug&S)cjdjWv@%<$i$p$UI1yrgQ= z?`iMDp>!%RfGw`I8W<8ULFJfror5t97N1R*fTmQH5`EoXnvT>P!?5XV>hjE~o&D`M zhok__E~(1}!!evbbFH0w9?h=)_dpNl?D$iE_s(6=cc=VyE_3VHYzpvOW@3G;%;Gb9 z=C`4WWsgBn60ikr%h4Xlg54^oWwqy0eowlZOW_7WXYWY=T(tSzOL^scAO6=(U&3bv zr<1M*HiLiDE+A7DTn!EeuYI5k1dYc{8!6}F`*0Swb@4DwV z-=a+Lx@Yg_69-dg%aRk&Pm#?>{WQR<78e^fl|Kz|>n4JJ6w8Z2K2kXp;ziCTf1Xmqb~+I2J8qTXW^gc@>ceA0sX*V{V7luL8MM2!1phc`Fg}%Z-M=gCpyu^Cr{E~A96=+<*G+*2mU4&X@4yMG+{=6`_r27Z+Z_%Ag#oI%Q*>2 zG>VsWxh#wI0(Ny?6}PMZNu4(+aic8cxZ2XqA7`YS-+aj(Ww|j>*(69FRlU+H4+29T)UjnLiKm~*q z;8W7gRtrSZ%?_ziqx-3orK6=C4*}cSLxx-FWXhaHe;EMS!3NmY!ae}T!1lt$u)=$o z(LL<;9tIR4hgCYt5|bp|Tz^AJbQ|<`vac5}v8yyRZgb9;d8`gqFV_*fUUr?_DPd4> zgFVH4n2Yy3-O6rHWO8ZFx1<#S_yPb401yTMi0=XX0KgFdtO0-l0FVR&5Y7N%7eLGa zh$=9EfC7kR0MQO00s#OP03ZVZ{K@XaAAvHqaSYO&QjQCFTdlT~oJ>_4llMdl*G8*U zCq(N1SZEVP{-sby693D`_#yo-d2IVg=gJH~)LXE5SGC9 zJb;t5=?e12=01BO@%MG|pBq7- zlL!qLosVs^-|!aHQx2-P{A$!_;l=#RHWTQ!h4TNI1^Zv~a{JOmex`9+AKr4ni`@Xj zC$@$9E;^?GC&7YxBVgxWqs9W?EvTI({2%oHX_5r&)ex#j<^PKMQ+U{W|M~SB)ad;L zRQ^vMgWmEmCoHF>-7{~juY*Fv1=D=P-b!WIPEN=vUrg5DNH!*?w9)Lpq2)*A@1;4R zGZk~IV+V7chsW(1ZVq~f|3e<gHJ8Oq(#M8#)JVvMDdT|QeLgCt&ucqvlkxam+gHlq;O$A2>@y35zZ#KuI;*k@r1GeI zf{XXS8hE=o+SzJ(m4CN3KFZF0>rN=J8uN^Qb7%QM8jXmm#3RAMfbZ2Vx32rf1=bZ&c ztJ^1-ne#0K$Q%4aA2Ke_Tvp?e*RAURs%@b%-E+wn3|H0ff`u1Gu9Dm7l<5iAHB^SNJi3cetn*%k#zlv~gqvG`HNq_w3heE~qROSl5vm369N$X;WP%N3ZqS6rkYs zlu5*He2ceDpp$)8lQSM~#SZCe>&wxmTzg#J>Mr};pUiV1hh$Li=vjrW&WV$Y*pB7h zK*Y+K$Bp_5?B?ireo-9jyb-FuQh>$QM#Pc$tIfQ(}?-_26~>#P^(ApI>yZ{NbuoR`b- zQ48!0CI4g=>#iCq8=q!?yBe;Q6z1C6+bQsVsMQtP8NJ!)kg5!x1fISK-bV43?J8nH zbPr9wCv_y)th?IN3j^ENts|(H|IF`y9YrfMm&A3K(qOR&-?XHza$gp=xt<&+^1*;P zzBeQF{iEpxF3mRA-cKF=67v|6BtL0(x#?^4jh6@M!!C0Tb9F@%4C z?M0Fizv>TlBTkzt;Z`N3|2@Y6=9DG$x*1U_wO$txQE3uwp96zAJECXy;f&|4&g&T~ zKQ57sDn#4;G|+^ki4#&D7pJ;58p87I`U^DTs@_Fx zNv<|mcF14-Oet?q@`&K{bih#WjJ%wT2$p2gYZf5SfX&a@J)8cB+8%ke_$tF2L-JUU zgpIWPw~g}|fux!~XgrVBF_2rObEH^f@PPg&>3LmXz-F|%3)$Y7dM&dw`2Df@K4adU zD()aBov+#yULeD`Y8FhgxJT>w+JP&jpzg_oi#`QZd=roNc&H}Qu3`Cxo6!}uZ{ilc zM~4Z*?|!57UGn<|Oxm$Ouztf_+HQdsL5unc<#u~K@zqMtBfDvF1=4&Ry!F4^$a_r7 zvSk)u3VH~fd}hoFui8)4c&U7FuB%y+^=SQy`YXdJ!$k(BDGd0*)eS6jRRdJFkG?L`}_9!$Z4RBqjT77IAgWvo%+Iv2{JZlHwqog~igaH>;PQ3T)uJ^tk&Q z@ZCV}wwBYp=C7C~!~3P4c9Nh4r`}Sf<>d;4&Q1|VgOR)N-SGW=CGS_=cLL4rk_J7t z?ms&xJkgkEB47lzR$kXn7QDe+5uR=xVf~Y-^X02Aj4f z6q{)#L)+uMcT$y$UmuSM@*=6+^7B4fXw^Ev8q9RGLov6eNPbv0i~1pl7fAf5AC@%E zS`$jrqw}{&lMCW2#!6NI2?Pr^$dZxwmtF%XC=7TjLMi-*0Pp>BavSj=C5^DD6?@PJ zYWE!OTd_f!EH!p0iNC%ii-j$GTrk+0;PlX|L^Z{i1z|avw7a#!% z|K2BeJdDCaB6|A4A2ZIWo+w*may@VAq3RqBO}azxwfH5Ohab-{WKb01fwlL*!(eo! zak!EtPNEu5Xg_g+g(2QKef?d-h-K?>APwRv>s^;y79`u!vZGVE0+;y9NMh76PO zY=o0Ib%PRDQ3mXN%^F%^bk!uG?;BbHksg(TK%t(6yg~G0O*x(ib;=7sN>NIS~Z_Y}_`4x*;78MlWi_$LQyPV!?H*Cmj&PvKjh((O~ zAL`9?Z?+#ZAg_#nGy1~?`#;J4k1|++=MJry{||3(9aqP)tPNwq7Z4l*!9BrU10*=X z-GjSp2!p!>*AU!;2AAOO8r&hcdm!JCea^mjpR>>RzW4s|{*qojEmd7zYi1VRRrR#c zC=?YvX!h{49Dp3_FF3%eG7fg7Qw$C)F$DT?sG^d@@!72Wt6+cf`a0$f8ghQ^z=LdZ z1+BQs$DsO&!B4a}t)j&~w>666m8=y7=k+rUU*Y6#jdDe^VX)Xe{x{6m}RTyiGY7n{Rd|MR^3g?z}5S0l$6ixBQbj~8le#a zq|y(5R@iSpL)hi-1^Oosus6P6$>Iv$ege*LA?Z5EY;Sf6MIO#%RSi+JryABVJN&c1w*Pgc`cp~IY z+S?avPVb)PV})^l`unC?$GB(QW-EUZP5+_(f1?Bb2Ry;y%pjmD^!_hp_=|Ya&1&^N ze(-+?@a2y?F-^S__#e*eFFeNx!4N@~pU7gJniEem+Tr0!n00*A5K~XYktog*!xUDn zaXW%>B89liSKz4L($L!T3WrP}Nu|A&Uvu)soP8fJ#0@+)If(M(03L8i!&|4)1Oj;C zzZ3D)j;!&yHqn1e#Kuldd4}}aemGWOE%6^|0qpVL6DHUTpFoSC`L+1(M2yg?EXLHQ z@H+*4{@(wCil_g_sGSx8af1Z|ke%(4P#CUTnf-6+L7B+d>4KJ;{1eD=N}F04%+En& z00JF?=(w@kS%|R{hkQ7e^{)^ z2c%e>7jW>r1WA7Xp#^q)LIBdf0=gB&)XHD?|9IAm3f6r9>)OUa;$tbW>f^wk9df%Q zfsT(LPjwcOqS&|nESk4lv-CI0@9Cds#c0Ey!!tzYh9`QIR5;KVyRCW*tP5`jpY6R& zxN2gG&MujnqVm<4&(JC+Ix8dkP{=PbhXqrGc~QI_XYgYD;r`V{AE1Rsvdu?;T?grB zTX1-_|DllBbaaZV{q*VOk%AbXqWdgsEVFY)U+pL98hgNLax}8p%{>-e{BT2Y>Fi!D zy^K-NN?kXniHl0jbGzD`a1=p!7DasOO+w%@z}+Kl>H^=ZvB?ZwR*DlL4UfJb?G?3N z2MDq5_>ZlK@`Sdf0jIn|d@Hqtvt-WsMCnS79_fB_LOh*!OCp;Up1itEpMGR*DshVF z*MBQx*c&$tQZ_7npNH58?U%*4X}T@-jfsKfj*XGFA5EZfUA>TB&Tv7g;@&?V0o+BW zxc|7+cwfVR5a-o$TfJYi^@cEtc!Qt3vCqABKdn1C|NJK(BjBKWWd8ykZM07BSl+8L zW-wr;O!)>y1UYZ_8d}MDj`_(Zwak=x2R3`Je<7|_Qu}@$u1x07vL{OFHf`ABsZW$% z&K-94r@p8)u=sWrKxdJkhE}WxFB46?;Y88cw-e%0qaWJ+=It-gF;Zr1McSU!OqdrkuAK!;wX4I0eIBJdU*27Jqxl=^fk-3Mr%Z`Q<*>3iBB&v1FJrq|c6g(m2; z(ynT=qmN-P6Y^E3&*h{gOO{W^Ef<~uh-eA)H89L4hr9A)ik)X-xAYyk!WcK9&QA?Y1Q*Zw+3p_cjb=KHD^qr$F`N$mo~<4QVxxR6WL ztNTd;mm580x4oQWl5!#cdgR_`&nctC&tD-FD|TuDi9eBBn4tHAj={i~ZJc5QuT+Je z>-I5+%ge$vn36@81eZBB#ayo^sKHzV29?0Z2|rDVjL?m{Ej}}Wg~uELe5BI*Tbx!d z7pZaUAU4KKbo~6A?+{X+&Fln-PX2QCcWd|VC-Hvk2T~DU;0DU=mrrBp+b>D3y?bn7ueH7xJD#5v2cm3lf#$Jy&nvc9ni|Uoml%M@ zh{0hnR%V{b=Xb13x5_G2qh#8wOFCZSDH|hZ&m}kJ;gBh44qz0b+g!77fAlB+BVaIg zSt?D>>tSqJ9Q2XU7wGWe`)w$T3G`=G^E9eWqSt&IN%_nZXFkS?hcCveo;SuSX@4@# z2$rUjYIgbqp>zzS7O*sP^3g-NucJ3WaVrN^yep*NZ!4Qeh!d= z*E?Zf84xz>yyemx*hbc`6&SPq#Yw;e?%~rM%yN8@)^O9NwdoQSe2qJXU!es`#${8i zo@N}1O^MWp+hseNatB3)2=ly}HtAI@dEGUbI$*XLqWjspz(blxECc68t1G){KWBRd zC*aGmCONRl?4!Ez$z9N=f;RD~*Z4l_ajUMMLyrF3<|h`x)s%e2_nO7a^nTm78K-F~ zH&;Z1*0S~CKbqZ)M0gBb_!7%D3Fs1^UNGQwhLP_dABem58^3meJ)(=7FqwB3`1Vz* zKHN;(L4)`D9nm+~-dA90fu)vXb3?k22mPpOJVD(4Kds4j}eV3_~&9b7n;zY}fKAFjCNRZ9j=0aR2%lYoy6? z$Gt2qzwy)A@ZRaU^cooC+EAxJ0^YD1E|DiI<3q-eumx5T>;1-G?U=eBZ(AFoLOivJ zbv4YOT9SmY`Lh$Pkh6git%i+PUhlV_0C83B!k}aS3}v<%?Fb2bT)wPmxi5=3;siVB z6>nxRE|0_siPxWy58;Hgc_*_gXUU2t=?!F&X&-~_-5llxuTujv7IMgFx&C77`#(oM z{1Ny7{7d9R|3n_yb&XTMHKA{9G8l+qJC4EMk&~%yMcCh!M}|)o8>p`=O9;cnY>t?2 zFpl9CD=A9*R(}k`EnZR-A69=%ewY&?3*4p70QWaZco{6bTz$zzOBj`>ri-R?;o~(0HKHa~M449d?=rHRWxdh~vf-QzX$zbWI z8e5emp^J$cKWrsyV^-m;+QQ3`zTy2)I@&M5U}=)?c>jJ%?59}{!^!;USsd7CkcMYI zS?B2mc(FodVxpF$4Ss|RgVgQ*Tx^Y7@4(R=K&Ff!({OCCT7<`sqhG&pK+Z(%hN%A| z-LiDDjw|2tU@7QGFzY;@xo{f0sxPNw4VDg|M+}yZ+tO9-ojOuf6{q1TGj2aMcF{Q-?%k)~rZaX~JZsoE4=ZLzQJecmX8`&J(IWuoM#kWYP|y0IG^R3Ba$yerIT(-aZ^0V&Oeoqf}bXVCgfp zfybwo4(#zeD3hydj|zc<=@>P7DD0148ih~K6x*Ay0b>{0vA+FKy&_OM1?6mw?d|Qu z`^Z?m;KwE?{*O{{cKcSQF0y@n`ze*Nvp8i0$)NUJz?%_ZO98L!SsU}#j9g?p`u33* zK?Zp{U||9*FoINFuOOiI(B7J;`4hWv_9-62(^N6MCv%5o9-h)vJS`jBB;F=AUi@`Tay_`@1`?1WRa9(84h4!564eNJ^jDK~t z3S}WfE#Rs>+4XlWDkyhQkLq_T>ZXU{o<<;9x-7(N&V&JkGF_e=>L|W})DsD-@E}&EbrK_Heae{Rp{Gvn%!B-QfZbV+=NuGi35iLIYE9y4U{%TviHZ~9d zQQ+BKxlG3wBh{0abeYb{-4QA)fmJ2krVxl)X7|7eyq5H)HRIATer^k~46&^xu0f3L zXY7&AUCY;i`bsWF9{b&rZ~%wn$k8jG2rYD3w1cJWp$f_l0?h8K&$8CW<15$qJ^4D*PVJ-FLwkQ!kyq}cquliT^;v&S`T0Qg0BaYz1-LOmA zPKM9!PFeNo?B5l-JEAzP-4(4hW(wi}&KGEm#)F^W9`Qua?hq3vtlSC4 z5fzL>4_~Uy5o)UX6t>^(q3*f3@6UWFP$|&)zO`rPX4y2fmlc2ihIYHtu5WPx`5L%- z+MWXMUXJ|!&!wi8r(R3GBnsEqJhKV;S#$vNm`OkE0k^*Iw;yxw*`(1Y}HG!Q^Z zIBbCS!#I^pkNp;&hDJi42U{Tl9?CX!K?p)D?B%;RP#}sf#PD zgtSkh-;%x$kjJ+{A6WiA2 zwpg2jtQ|B^Cbn4l9hmD;6OK6(g)4@P-6&=@Dsi)hjQ0rZx{PtE@m~Ur#RYxl_5|OD zwkktY+CW*yD(|1VF`S#Oe~4RS^{8KCwJ2R<-OUILH^%fE=nW=JNSK8%W`ib?+Q4a0 z8hA+A4?*0-XwV!Yj#pi0#aI0XiyQKSF*_uiHtbK|TMEW4l9^Hi)IrOc?>u{R9C4{& z>En>)3{dfXrqr;a41@zu{>McRcyV%D>3l#)Vf)2$hS_AR+H%3Trc@_eJ<0iim-BAU z8Tqn$4}0HorohTrrxE@gTbWM2nO$zpj-!Mh99|v(%hQ|NbJd<`jV&n$mNS)~_C2g>%5-e`nr2Et@87wLnzQ!@ znvp0vjZ5p-x{V3zZ)ZxupBk`HZ_Ih?An2&ta;Mfw$K$5n{y_5&$FTIOtxt~yC5!Ep zneJ-K5Ll-JkJUi1Y7G@+Q+E!s;TD@IZmmdn&DuQsYxIVOwiP{khifY zT*WQQ&4nj^p+TpAZZ41z^CkB&{cem) z1>0IGzD_Fhm|KKwe@vM3Ix5bko|n|LDAo&1Ncjpofq~^h@si_fRc!i_{M3uBl(AP;ynP}=Hc0BW7$+MV*6o0yL+Sm?p zO$*FC30T<%1aLgpcbgO7c!yGtl}TG#<<%e!i!2YzViLSgbrpSx^83&|OU?`m+Rg5t=6fDW!o$Nk{p8Gfs8y zE&h71f??9&0a_eM$OV4#9Ag|wnv zU3st9jVG0(5jAa`UiN|b*DmX*nLm4d*Cj6^wtY@1zC=bdarN7^BJAM#;bFx}cAqkt zp)-*#;)|lHJY@Y;RX+hk9=yJeu2LkBqgMRniw=r14fR;tjD2EzvMrNWIK0f4e9LaT zZ4|m&q6g@6B46C@m*GR}!f7kw*78xTpPhI$};fB?lH^Mj3@@gZJ z_uV=nS9uf}rE|%r5&M|;QsROi=2s)7`F&^mZBBsTd|SSWqbSN+!bG>6i7W0cEw9(# zrs{eq(prAzEZyKomeidV+d1{tk}SF7McUP$&p(YEzd1c)E%+mXjN&qF5PRYVj2|;T z7?ff9bn$yp_J}-W$Q8hLVwP*G4f-C?5`~G=eOP}q#;+Rj^6vcp+YUKsLIK1-t^07V zy6P!NxRXdU*%Zt_nDB=iW=>{ETgh|CDp}aHW+=5GpB(H6ex1&VCZ; zFDxo=Gma6L`a6QTjVo7DRNZE5v~vI-9WQ6-g_hHB!ut07=GyU-ARkGQKkkm*QbI96k?=vfRvnrd^zep$klsk}@La82iMcUCgT-uXw!w-=*oDiBzp zx9j+I_K)c5{}9ETllhN;Z$eEkFyMO#MVQM93`hB9R`4q;^Kew&)vSPzeUg}P7Y*VZ z6xbjtx|sQYTMhjGbR0JvN)nPu+; zov@9n9)sIESHa=Vz%@F^niE`rIt2xyf+&pVmP$6fsSXpfajC<33r?Jyc~x{=pJeNI ztomh5|3^(d`_!wwf3gAo*L?nWqyH-v@Ynh9gn`S#DUCfEwWnfl7GHY#3n*+@)w|+@ z8=sR;%+7Ui{2kn!8#Xs5N`;4>^TLSEEwN+cl2vO73PB>6sY_5t#=dLUB30Z$i>4ip zQNqvL)^*6|@c+0Hk3qW+g6Y#II5xlY52?(oz1L5W6@~WU29$2DzxurkhFg~x6#9}G zZ*m6jDRPr9i)~M`_Z;F!0%(dcH#jeN%cY?&pF<0g4q>RG4Pk@(?-1LYjjQGl=E5xp zuQ|x4ALh%_O$*+#0 z*7mA2L(Bz|%R0%=itDbX0!R+8)?`WPg}lN}LUx|3FqtlAP8x0wv}|sEMIcDO#Zf9B zSkDj1NypmFf0@1X>=eA7BN)%up}6BTPRH*{yxW{dhWQ@J;-+=G6v;1a? z9^)6cJFY}!)6=M*Yodr;^~2?`%y0T2*PXJz(1FqbJqUK++;Pj#V~hRpOLHvAZ(V!D zBp)(9FSklR?mU6+X2Ajo+lvB~rbjquan+8wBgD4f;Lxo2;{2X*t;FtA+k;zex{q%; z38MLRi8`*|0kS`H;=+nIPZ$C^egu(xM_Fk31AW8f?O)=_C;7!u$Mjy_D2{cD^AgivHtF)Waf8j%YGb&!92zQO_%Vv2aX5?W-Yu=|ImfJhcF`ENua%sn6k0+)G#!5M_m zf_A}V^k0OMx9-Wx%xyOW0V(#hKw*_3zn}hc2bQSs|9JfS=laZpuO6Z4Iz&YWZ7@x1 zJ^|n>_P3|jZ-|S>P3GSa7oDq{zi}J>f{u_g0PaMo`*&r#VaF`Rcg$tK10CSl(Q(96 zm?+azo~t!4!%IoRaW)Irl{J!` zqz)%`D~uNC`1MrLWWc0^d)$+(>Yk^ByWg3tD&VH*+NCAI%PtpfD?1Y5u;*)&i(+UO z^@^NK3e#cr!2?Q7eJdEhEdTOXUC@?%a+R-7}95lEg@CFUYO zgbr3EHWwttbn!`mU^AmDdEN!O1l3g@@E)SFRD1yPA93oaY7Baa(Oz`L?Fr&7YSmdW zg!6HX;}@d>U+q*_#&7f!z`n~On-cpJZS^iZZ)k2gzE?62fBzr-dTZoqD zk#kccDKTI4oP*Q2Q#p!#nUn7>Ec-eS9=UAjLpaXt1FW6}*!}QM@Rmwo?ARts%!X7jrrm5%w<=kQ82v^-$V~Z- zrH$VO@S3?fvd`FbwvzlO=ki0kBV{hkmPjaj%_7Wvi3W6+K;f4EN z<;ItRnA(37fWMUf-xq*?73hB}!VAzGt~y}Q$TC2Ir!kE1LX3`$puz#ySbo^Jr~l@4 zw*$43>TnEdwr!HO5f8~KSUuXW@lhDyNep|j%|_B;l{BcyGr-a`*|R}Dpdqo6D@eVr zI{XfmX@{l0*CBy^kM((9XM9yn+kl%4V`W+whHkeZtJ7vldiTCISl-0dZ7^hY4VX3! z8Cb*79HnB!(=dCIRKKsANoBa@5FPM^rM^V3o`E^Z6C@v{uX#O|-l5^90VEA4XkZIGjU=|o8sc;R}0gA9uHb-GMjwPGP2FOH3%Tci;wetRMAh2c+ax2^X*$J zO*hqY*YxwA;(4(FIF`d3MxZ+3^TLm|L0s~MGS)BJtchWRUnie>rJ7sE)oB~$b;Tv& z)oKrA-=zs0NuVD$sSQoc4-1sdzW?S$N7QTjq1rwh+ZtSF+?13GYWI6+V@GaQ)!f?I z?%JzyCy(^+c1&g6ORd@o?$HO#&)DJ&Z&wwOJA6EY8JKOV1Fb%Q1RyphZ!Kh2z?m9o zQDt7>0%o=UJ=-leF90#I|Hs*1xjdHsF~UDGF>s)-<^^KFA2~djfg}A_)(f!=mdXiPyxJY9||A}AYvGSReB0X(SI*Y)la$P+4H2UI9j!}$1FmZ zZ;4)gF=!tVtt2?PxM{;gd#8d<8z@)I^xjs%3`f1X|Bk zd3OFRd-S-y=VrQ*0md7(Hxg^_rgm@jchG0tTJd%#I>f7y~`*0zEfovoPf zrSr`!Ty=BpJ+V(dS>jd3yLQv{bVfskox)(Ui2GcaqsaK%uv(|feA&=2nL?Op%{?vJ z+^#%j=sE)k`dwJV^`x7)9?2`)V&(%kGTSV#oO;2E2LXW*gAcKbp_b)DGvW(hT8Zxj z7|7)oRhV^V9;_us0qAe@dN{Yl*L_v?YG0A<-W^KY6_F5hJ-ZBw+;8NDy3JiPco8<}vz~ICTVZ zGUyQ(ju@M8$)iy_t)nvUYz=SJ@lGdvL|-JlJ)+(*!>^B2=e;k2LyX|OWXDC%Fk#KW zw{UVRk|@Xrq8!*XDafZs$mqMrlo592_4EvlL_}KOW5$H%pD|z}pBmZCuy#yroFAt& z5M<_WV^ouJtl7Nty+G)IGtUPjW1F|Hz)|lI@+#!vmK_cm8W+ z#nCEttqct^g`7xg6mApYaXSnHR=Vx+=fNzxH;0ffu%%1i;p7E?DAsHCUpG5LD_(W9m7rYHp zHQrfE4SNFA3(&nb!TR52vImN54`aWbDkw(|0lqNgZDx2iytb6}a@ZJq0j~-2_9uNV z7Ce3gnLAr3JaY))`?_eyc`!qkP}lz4WIl}GoeicEg?iQHbGVrfPl7%t&3@;_(3x`u z@BpPZy7BJy9^2~iQRjY5ymTXuk;Rq$k{c$qXA?>+*>CouzNB`verB*e@9}hZ^hr+O z*8)C8qnzs%4@@k)SMu>hsGnEiG3|fmd>;a9eUBb~`(t`|nqj}Fskf?&T&YsT==yCV z%8%}hFU@fCUnto_#w|zV)g}n1sP5H&dFe1RejsGTJsVX0+U3R<_&Nlm(hN#bqv`aZ zw|CufZcwGA^!^Nuyo@sTXbxdVXF|3-jjRZ0*011TFE40$H-=aHFq-oaDv2^_Bv|=F z+R%%UQpgeup#gKy9k%}$VukR5Y*Ym1cJYQuY4n~pqBd^zCzUPW+0B(8{x7d6nL4J; z^pvh3gDJ=fw|L42z$E8R#U8$`LI$F}cRM1ly> zf*mcjAuago^TLPwsCh0pi+)?-IR1YdTKDKS+SS^@}) zTK!10R4c;)+~4V?pxZJoyEGn_gFv#4S9c(q*q&(Z&+W@8kE*8nZ}ZN}370WC4lXBa z)(K6#PJa5;f-cf+{uaqr^>?J-nfiaVzZsZhLY1~byZhyI)uYEXP+NO=x$99_f;(LF z?n{eTFSVCSK8rjP+W$5jy0A|&9dvMVcWUzMtANd~eMa3T&$EZcDRE;#I>!?S+Fvz% z3(i4XL+cd^i6*m6d(&-~iCe&;!SX&yZI5BC2B(^<+|c&@s{IEmFOMbbsG)V%^^xpm zgPaCg$E#)n`0AUTttla|_xDF8L{pzrWiwLw{bh;TN0auJ%gRLAbXyuVAYT$O^`RM| z?GuIdp|OAzmv2IF2yVO5FWlH@Z=XLG5&tZ%%#JeqLb+;msGS{U{)Kkc@lelZ{+4BV z&94Po^?@mqi4r#KetyqimCGD@=<_`W8L1aajm-X!fE>Sa%L<@%TtNgbr+-&)R!k?)BXgB&u#&_}l zmZi>HTwY{O2?Ik+6qi6sHx3B-A;%u~(FKi46pawGQ28edYNt9MQZ-Vs@YqN3Ky`ZD zX99k6{-1nVSqU&p)=*7{PV1jzK~{S)083-ZLKIrE13E;+Y%&G=z$%j)?WmAIBY}cE zQab5>N?PPWd|~kSiCTp}xP9UYU+qZdokfOCkd)ppCv;n0 zlBY^z^A7<_ErLt;l_};@m$^<>8c z(zk2V5{g8Vafs)QCRt=;x-h1R!_zSwLhh&ReKI)>@-xC;ix#!Sf94o5eRF3fvHnBg zV18BqsiDh4j(Nc+6liQnazD{0zMtDN#;pD$s5{r4>xF%Be|#%hnRCgj3+t^KV}iQS zYhA||w#7SuL)|XZAxS)!=(14t5=67+9p<{1cC6!q%7A0HGOoW8(B?1<#o#fGCZU&a z@R5k&dDM-7Iay)(IKlT6e}wlmMH@114IF8bu(Ng)KYNogqqow1qJ6^k3DJCZ%nR%7 zCVG>X%Cg@Q?f|cYPeQRmv~eigHMq*VQ@HgzB)N-#a6AtFG%Z+jS(`>I&fdqiahGXAFyUp1d)_u(N!%gAVv6-oF1D-Ch zParq&zRQ6NS7L3*zHnY36}_K3rj9E2#oBr~1GkD8pyc1~D6(p;Ggh|NkfWy%7pNsg zL7CeJ$keAhShEExq%2qrdPgR}(O|nXqmZKml6E4|`S?%Q=TJ%y{SD%~@TAV@u^3rJ zZFRJ(TkJdxEjl-5eYd*=BfPZ5ybaKh^S6@N!|dEIQ4QhNDRZI2G3q##jN7eE5dm_( zFJcqu1at;>ch?3vF(118BKKMVr8*e@$z*&0ub_(c0&-JY)p9sFOdt}ywibTu9AMf| zdaPfB-2N0<_z9sT_@BqAw1~9wB!;v`c2ro#n~V-gnD(?B?{#`X%tw_sb^d6Fa3<+K ztr7T#tHVL~PFcu}HP zWOM;J*rAHFQkirCdDwx9_)-RR0lC{E#*s2df=@et^1kyTX89JGq^I_InWdA5O|TB9G{{b`2cocqvH4PA33HQJVd?3n zfWp$u0GPxxE5nc;ZKGg)4(geyZfTB zIHg2yD&r(Z-`^LDj)s|%N|-XO^^fnpU^{i~9m4FZv{WC|{vlLL7ozG=fmbUf=N~cc zUqHCdXycI(!>0GjaozgwvQl5P=D*-o-w2Fw#P79}<}{x*XR^GOIt9YkY+gJe!ss=N z#80a5xuKX9fA?jxT<7xAmm_wL>?Z11FhA}@8@Xkr%HQbogOlb-&F ze@$p3BXiTv|D!+HGY<}^GlEH@>sjoww|nQ?C{1}Qs z(hj7v+!#8J70;#~Yn(j-LJr38~!U z*H1MqRE$*}>Q)1%qvR%6du`ofG;E!5T>Dk*8LrLwowQXM=z$QUdQt}^78XXhW3%+i z;rM#f5+eIAXWl_VcDBbVMEt!gX;5zGZ9G1#3`+aV-txU9COpY&)kMtK)oD1E1#Ss_ za54j3`%=7H7TISn(N|QBJf$L$;GcJ&RL>;Svqd)NzpbkAO8Jz(hHOcS6I3F}g}iCE z`k4vSMkAg8JhhH2I99J4|8xLDg(4?bN*i;n* z#pL?>Go>0yb-!T$>(AiF#edDi`(_SUC@6CTC@8ALwj>zxL=+2X6yTrhe`hxoC}_9~ zXeg-PI|g4=WNqiUF`AFcXgy0&hmS=SZ6md{`nw~@`|Ph?Bi76jI$L!lKwmAp24-RA zLK@Wd(TU_Ynz(GaMJ<%s^-`3o^tiIGvV)|XZEFwEQv|0QQJ99%f-c?W4z*I2sfjvBUmi{9qtlVdqVPa8&-=*mW{W1qW31hDW6%r;5s{iM^DYEwjxcfhznC=n9@ z2-|J%>|)pLXN+ctHu<5xy_kziEgM2X7TB#x8N9(qyZcaOgPxDBa?f$BSCiFl@ziL7 zG6~qkEfbk1>c)==?Ae;MneqE-n``PhS1K1|?9L`Htmo1;&=&EDpZFWcUB|F5R4{4x zD@5p0Ow4M9blLYcv!#N-ogN(Sy>N(6jQ$u$c8{AmwAEm(RRjs)brE;0NTVL=mLd@IqYXi5Ade`-| zkM7Bs-@ZvM+$0pIJJb-m#WU~?IcRMeJL8xQEV@j5H&5PzDXtXqQl%loCFCnLmUB*g zA-HU)ABR}P6KwFZC`jY^Xv`DmCtbC#!>hATi9U>!t|4Avs4r~`Cp^*A=w#6q4sUY; zt_xz{VZ@)j`0%+@UI{Mk+P8PNVn4&a;3&`vwevS};URCZo!Nh#-@{&$XuKn9 zc>WXVug{%t)ne;11PaQI3EX%~wD*H1O$@V!M*2_B-KUgU8)I>tSIhS}cw|cpG#uy= zAI<7_FsRTJ%H`dh8f;q?dm?4Tq2EMbQ#^z)8H`j9NHVm}>)fRk%~j_U4mUHdug&Cx z=Lwzn(d3sME~U#Y1D>wVcUzNDcAdu^J3|MJXFIp655UlY5To2oZB*GWkI?ngI1&2% zdDndA^u!zfE#TLg-e(UxsXaP;eyGf`4w`&by)`xkNBWF=QZ{L7z7 z3cE;1T0DTO#e?;m)Ah!gT3be;_xGFkH;vNMp3T3IO)hrl?`FQQo!%ZEZF7BY4%oT^ z>cbN^*Ki8nCo`4;u4gymYI8rjPS#S-vN_tXMn-=P9WniKa=kk}IXsvTOLfDEY&yTS zO`$YXe5j=+NI6gNYU!Kmm|5mu_n|AWca=~un zRxlpOv;QU~et5B_hDS1NcVbYW|09e^iqGK-;Kg~S;Gs#>!LMn@)TtiH$=L>X=Ppd& zLz}Aqyc$Y$p%rnog}zfhGK4)ciOnujJkk%&FiUV1Ka(*MdTz{&K6oeC80(yZib;am zAO!{}@X?v3Ajp6TFuN3)7;{P4N>LmMWylL1|JGPM?%nI14@uc2)E(jftls6ry>vAr!JDQxb7XnaL^!R!E$I z%Qq5}k;{Y3bEJD+adaAs0W=y-X8A(SP+)oF(sYw2iT!cP(KsfsiA&Bm|p$j z!1gW{Gg*)H7M=EU9?3D_FuY0+dB3O|=u zt;a0f8GheI$Wlt%H-jY@LmEXkZ!#<-@*Z8Hh;q0zYVkOERE)w;sUwJ9gv>mi&&aJ! zfJp6>*veszdQl_OwPa4Nw{8`uP@r<;M+#f{O<^w5kOv(xaA4#8^|Q_~6L{$2DdJ?( zI&wxCvax0oba0X8)8d@pwhx@FjKYXL!=OX5nr+7?LaGv=zZiq&jRHw#m zl1PU(>6NGf5+$}gbMyAIoOitg^xZLRK))tzgz-{0tL6{4fDZyTD=LU zCyU|%ZS~9UT~(M`d|C4JppD};GCof43kohzv?}WfButksm`k*C=512pGNKh0wy5uP zM1kk6s0vfKYdMOgl%z*lnSIF^Vr@a}h>FtJA_8;!y3DPp(QrH}H3Nu0#TeY<0eC|s zVPhSp@XU@4{HlULStw)ezi`L3hr?iOfRR_gMZJPk$rk^3-O*E6*3nXs-8O-{Vvd4(^*TR-GCpB9ods^- zT)Q3y-|vK#?L9^7R$x7<#xv$&zLc;9r1yb{vAYWU@GO|)l)`K0{tI-S%^t2UC2GTL z-D?EK7cvNr;ls(V@{T?lw#|;I?|;vvnC2$%ZYYrEbE26DLEdD3VS>Nd8UdU!5adW4 z=tl%cqzMm%u`tRQp0K_T!aj3Up61D-(D*|Ay*cD#*RId!SS&@FDfstIBoU;i^kQwT zaEoH%@}7v8e7d?a_-RulCv$Ec9;eGgc+q zwly_kXL>S`A9TXJFsR`OP@JAi!9jl2-Gz#gP4G-yg2|- z{flJ2xfcsw3p>*BImO3d6U!<(RT{XlNI9hue1iX+WlG~H#fT)?OtUB(D_&%NUD^w>2&`F*iF$lw^S(9}H zanDjhmVUSQ%prsPHX^#>HICgC6F@vst_JOw?WQYb`*0c!`^3Nu25!vq&$ z{Q6B|N&8BF_lt4k9L9kPWM1NjS!1a9Fy_rFkwluYql&yq=v3m*-B^ssbq$TE;^zct zA-L1QL)DhzI&F-U0MeJvOABmc94?Z&osVZ`R8oY-j>YH_&O9vx<<*4PAIgH6s1?rM zzc6%WEkSR27-nP%wx$zyMujKvQ&P){Ra8^nVxK-X89#n*Q4xMCW|+Mb5()P_dsk(~c)7jFaB;qdSQbf8^-Ls!VzgU%Qoo(8nm?_n z9gAxCHl*G=PA%m{PJ_rEJk4vvT`$XKxXQAuFj!N%%+-**;-kwU1WC)LODau-H!etY z3H9UHJJJKIlR$pRkRTD&doHah3J2q;M2j-x)ghUU+OTf>0+gK?_jNKQT4m=zXMq_D z+oW0aN=uXwSsh~q^i$UIvf!^m8%BE~hGA|v>Ybv>T|;vY%6qJGd?jz9FmZ-_{SlV? zOS7u0A^6psVebzLi{}Cgt?ymYnNWXY&X@;n<_Wy*7m8Q}Dd_P3FT4Zr? zqHBxrm@Uwq_$nI)9-_q~E}Wa{uF5#@Ll!mGc|CiB)7lBCGdVCBzn*l3P>mRON3y*C zm9I`XDJ{>l>YC1jlS=oBQR`<{G$Y$fOP(2N2kxh;`e|bx+kAtS&CTJRU6vP9oAmAq zRp||%@ZzR32-Wy3Noi|o7O3pvdWIE3BkVof2lN0xC2yDju7X|7rD)CYe)y?(*it65 zmDSI0lgW{6Q1F-V)O26a5?5QOz7;Btu@ae2uUx8}ovaCERjzHv-ZlqXLhQPcLF!8m%B+a zCP5RZQAbV{V41pqa*SEv7`tCENJzJf>z4)1%yUH-dWZQLmP2b?_kKKfH@~ExE_Ao= zG9cSS_lvV>on!4-ksN`sEW!GHCg>mGU zU9TxmeM)4&^%)Urc@@d=O3JsU6qsj}m17k)3I>*8}vftaW*XV z=b=yo!c3`fS1jgw=P+($Cm5{%R7(3QARiu6qL_RG*90&- z&Z`tu^;8-z+cU4Zety}lqR+wz!>~(T@bhK~E-9eO8dK)#tF#jpb6-EPT~AHTrivV$ zMfgrZ2MHX z1;bH^JlIPuPf@H-FiUB&L8U-p%3}^NPLXAg=kYEjK?vQqHsH8Nd;O>!h;p4^_O00( zkq7t5)lJlVl6ByQ_nF7O*ll&Iy;3ZJI$Jo$_L-Ql886sEOqs(to{wCD+k$)gf~MgA zWA81as*1iqUqV781(EI$1tg?fS_!2jq`ONxHb_c`bW4|XNr!~AAV{ZlO7~ma|NY;0 z#~tH+y7%Mz;2G%knyxKP- z*)ke}@_CBe|0&x_vS@hmN8?!}$cerFt~OB*>p{$pNMcG`i9S+IAVxe_d=7b*)x)ac zm;x`kk5{C7#N6YHnz#OIzpdJu9avya9!k2Wwek+cxxMDGZiWRDBK zjVw?fTt>He6S}_GCBH68CF^^q&-&q1-m9cKcGBR&vy%-wEe1;v(>WP(m)Wpj4q%W+-v2bCcVZw2I44iLY&!WpI1;uh@CTi;FM4QW5F(Us#cm zzFafPqH7FAqE42lJDstu38$=|Vdz6-r@bpGF1kBu+dR@;xf--dwW84FhD0JC&*Y=s z9a^SR%=7HebZ+y`2n*e@CwG_$BHfB*jHPNpU!@FjlkYDc^Q0qa2Iie($8+jjhcDpR zN*Ks|G#uIp%?XZ5&ibrf+1cmA8FJrgO4Z%LpHltfzuPu0CBSVPA%6S_k6xq}@=mtP z5y7`@`~kOZWW%>@%v^xmHkgOt+cxk90urr)dR{Z5vM^@0s)L-GPKFRznmy!(i@vN9YL!)3uwdSh!ECL=a%pzmuEAb}^4%&eDzBHJl89xKcD_)PTp@P~_S0h!(RHR7c|rZfn2PLDQ8 z(NM=3kv9H7u4iX6JqtmQ>S3$H*cXGheZTJG6nQ(|JTa<_rJPK z|5Dd0$L^I{X5)A1MB$o zjuL^=)7qj5sMbsLzfI_~WC?Wr)O6%6Ra}k@Z+g7ATaV1^(-a{_?tGT+8Fso?{`{e+ zRPo>AY^9~V8?y%A({Fc(>{I=H&*3&MEVG}?>h}lpZQ8xixU2}tlSiQ~^(T_Cm(Lhj z-o!Q-jV5#-OUZbHuYdT!ns|iz`3}#(vL-=@TPC$ECa6&AD?YVdG@)}m{@qM(>skB3 znTKA77t`4|Gi#tKRLw;T%_}Y?PcNKYuExE|_wFqIDpuN>zfkg{4%uQ!`S_|8THt9E z)EaOQdnXdzcN+KCU)m`DigS=qjx-^pksfU>rnHW{+2-cyeC%R=lhWs-HPy(Fma6~# z28}_lI44mg1cYT21cYY@WC$|)4n{8e3GRM~LTSLsm@g)i)Y9})X(c5_H$oLn` z7W$!wvEj@Z6;sAjfuE91G$_6o#(M`sXKvR8k&0<~Zr3?$&o{+4aV_RzZ-ch=JUNqX z6Nb_?&`iRmA9W~Sy#1q>PT-S7c<=Qii!JE`#XrQoG4)SW?)f@;qUJLzb|B5Re)txQJnUtsSnk=eff z;z+7FdcUK0gs2qjJ;OH~CPu%M$#j~diF3OuSn9X(b;mob> z%)(*>+d~1qe4{1xvL>n@|JRQ^;5&aRpUrFVEg>M}Y$w?GA#y(;}1OA9uo@sT4UiZL^pu7 zcjGneo}ZWh?b|m6?VGZQ>!7>syWP9LLD1dvyB*z|L)*LaGw1{dI&y)o_HXxILqOxE z?DjSi`g?bt0v*1CZWy7%jN6rk+e7Fs_SCc|BVZ-t=JDMRii^k4UC*|!$XzjXzLL>J zyCx#^Gb8YJf8}st^{Dm!VSAUjg4Be|L2E7rd07)|;T#^Ws*DuG@=@J2&3j z?-^Yp0$=YS58-|HgJG`dyUB&?I3bGL?}@PwkM8<rJ=IwwUk9PTJz3Oj_aWVK$8;SO`O-kcxN%Ja(c*4W`SFFKp}t)QHJ$U)ny zD9yiUcOLFLTJ#_Ggf=Bl1rHj2Zpk0YZC)0m@oGYmJR{plFEvC9q_%lOMvHQD&c^

T_*df6z=9qr%MT|gK`8o_&vOF0hL$y6#Zn{`UwP9+%`dc{ zXCXHZ3H;4Y<^B74nKNj86vtyZOuoFl#_`S}R**r}aSnTnFzISrS=we{;C{0l-@(Ug zj&=I!9x9)e8vm4)8^PypP>}WlG5=Ex_L2m)-{H$?!{C=#K6=&=xl~h7zj8X{7YxM+ zFhh^{#QBvoDlW=WxAHILmYyl}?ig`lTuZwu8KPn3G*pOmx~s5>%l+&xN4`5t%N6L- zqV>GcGstN|sNfF5&&wmEiTuzuxCgf@_2E_NV>zUGMnP3Q&5Awn9xX z5mx7iT@1R%XS$9Z&0}4i$-c9+t;g(-q)>J*c9$BoJlj#Hbm=FSG18`-Xx(}yxOGTq zoDXFX#)xp3TbeXw9b0KmsfZ|o1MCS4#p_&8{JmcJL%f3Lm_1ULYdF9VNQ$A)0Lvp z-mMk+w6YL)>|&#AWy8GrmP>t4@`Ek%pDMX-W|mQwCwzvi8D$2g`WAhIqOSMTA_Ki| zg=;M9SI&3|a|_w8e!3RF`rMXo9Zf+=Zm5LYUDU)q@Gh4gKNX)RZZ-&eUjFBz==4)4 zBnWC}u&+{#_|)t)+^x-eP&O@m`8m?=&A;WD=EFa>$diyo$Xnrz5!$jE)K{^PN ztj1RUUX>Qb%ywF;0c!Zn<$xK9<8ej(R&me}64KpqNl# ztZq5XPt~hBBGSJy@O&d-c-hHQ9KY6d`NPIpSGwa7k5mttOSicBzDsJXGL>cjvQvsU zj`fs=UqF8NChxM^(&>@*z`9|~bF60OC{f`D%>1U?KEdRLne_8Id+GH<6kICt(CEWX zQ`Vr0j59S)#oA^yP4sB2vNXewCWyO#_tjh#pIPvDQcho*$-2692;$G)>L63KOuJcq zpGOc~@s)owqa0*_ZDbi(Nl7iZ@*XYawXM_;XeKCU20R~@%c>XzR2{;WtkBj%y&t=Pzu zEi&sK*IM=0I->cMO_JPk*d$uftByxKayos#m&;W@S#9u&{cyXod1h-8xo9nrtk+*G z&ssbAXT-aUu)CIf2Zk0^^T^rQlhXnYhHszrMUs&{i+O+C^lU0s`jY`Gb4NSWk}|cQ zgE6gV&OFR8_R%fqkKmED4VDn)D47Pvf$AouWLuL}RbBkF70=+Bz_-NTWdcb(Vva`e9TYyo(h?~ zfI556`&Kz_ZQuB9G(6+rGQPGidcI=oc1+OV^S$LnQu-6L7jQ}B(f@AdmF`G*}FNGV(6wauT$m%b{mniULi^r8q*)fIQMe#9tMxTjxhp-k)w_1lLwMev;yq(S-mX6b1Z zg}K_@Gn27M8NQG50&;(l?|7>26ED;LD5TlvX9K^#D0E7N|IwA9*|oPr^JHfxP5QSj zRla0V_rxBfzFhksV~l4z8?}RsrpAev`dX-7!j+I_{|t4D!X?qHz-QY%1o21Jb>eTR zQcDfws&Y&{h^#_ug)+$pLU18%)R6BZ?s6BjKl;uI#!OwF7p_}>IHBmyCg_XbeI1;( z9NuHtl_p*7dPDj+aJG|&_5G_BbuLv+%krxL0h4c2MiFDnW2??5)!aS`wWkhQoTwi~(WtdKo^&@I3lXc!cNe&#%0a<^)5-ieVUtly}-V94VWz9Xn7I6HHT+KfHXCg$P z5bbUi8zK9!M=-=l0E&0cwj&fl1{bFMNLtZTTw*T+A zLP*vdokUtm>B`@ZIY!VW;*1gv-HSiC6hXlwY5SV|INVEAgoB?5AFz7q;8?_}3e;Ox zvrVcsNa3}(_vZX${Po)PapCI=xgt|;)#fSFj6b*cm%0cjm-=!=eP(yODuqT1$>(YP zHK|gc#eD9>QCX96Xp9(q)-Y~%p~QXn*CM0N=(7{%apOqTBQ+};D9eyEfMwJXUkz6a zJM)ZuA>?}szRA;eizR+4yQ~$v(rbk5K5v;(oG9>XcfN0*KAT$nOM|ZDC2p|hozdX_ ziJZcvare>L{la4})z`MqUTkozyRiQ8U(SjABGQi$!=YMCr9aPuK0-m+`D=@0=pxZxk_RreG_;nr|vZxCN9@+K{yq_DE+y)^Y->~hH2txo6{vo72aRw1~b|x^ox`&uVvN(cdSVV5g<& zUNq1(Jui7*?Z&5oRzu2~gV8|oZVJUjKy59oIcK$Jl(^_=GP#-3X8-DsA=g{FLeCGk z82gydT3fQ~L*LuSGQa-J6J5<%aeMeW(B7MD#t!w~cQ+Itw|DOMxI&pIj9;8zXQ=xB zeD4z}V#-byp}2?@2MyO5-2Bp${M|g1`mA~9-+DwOYW$$IAP3- zVJ0&oRz^1cY9?LN^>#d{t&n~ykn+~6Hx@$7(X~&ysu@VT?wHwd9|kgSCywOXEIxgxoAb?U`R(uiI+i^R$Niyb z12S{l9rU#d-X$ z)9$31O33aSt6DTq;~HXVUF&b`&1$ME%X;~#eB^!_1|Ts)aSLY*_b4n1NT({)h38ew zWv})WM&Cz=GjR|uJJ9fG(Wn}9)swGdczg2n&)Ky_^tkqBt13K;S*>iXIkL9MV(WZq zA7GB#s@pn~!hSF~WIouXph5P_6Sei?WMeD z_M1ihnj-0!kmIBpw=wU+zK)#Z={e&_G7rkMM+EIJ?LZGH#&_|NXM5-#b+KY^(ML=7 zlFYHhU2ydH0~E1cJ4dY>hZ!(te$*9U`*mE<2Hfb#L=Jd3`|;pjt`C+!=%O~)`;;_q zu0s7yE#v{~7dA)vP4l>Vm4k@5dIe-UnIOZQ;+b|5BuMznN(8&8H*4ww0(uCawRBDb zQ?zSH%!FxoX0m>#6K{mQ5-UdhBl0k@fHpi~!QU05qW9^qm3Pgub`R$+4*H4GitD3a zJv$CwXi^WtMMinX5*4+-jIYV4_h@2;c9YQLdt`fGW`fro3EG07?w|Gx;ly%gY0}p; zO#aR9OcS7C+W{P6O&9z6TyCZd#N?DJ!xUbdDXaE!*=Rl_%O>bd0#mxiwhi+^T$;EE zda)L>D*3F?jcMD~4F%U$AO%t9H4goH%N+AA_;bMzZS01x^7%}x1j7#1NBmE!o>^d! z-+zcRmJsxWHRulaOWEMWJ=rA8vNx&vxS1^$ZF>^=CqtvJb5vkim6AX-x?z#dP`z%XsafqwYLj5;{0Wm*_^HaX6Wk@*noDrt_Y126Mg0$0&&^LdbLB z^748P*)yhHv=3*Z*N`5|jnY~*+X=^~+1Im(d%;t%qg&Je z&njIGh1PvHw}Uv%72ODfN=`+*L@Cj}qQJmnw~oWBmD6@x$}iK{v#6~ZF9)+1Y(1=l zc&>O9zesy_$o#@DEn!@}b#oc^Qrvv6^~X1G52`kmQ;dCrhBxPR+Aw*FY-ED8BGQ3( z;l-E6JF8Z^fM}ka9i4Wka;w{T#_~Ib{L9GKj{*|WS6KEaMMXm^a`C|evBW>BAN(dU zlvuaPOw(o?3lzqOg07&vJxo ze{+PgN@3CJv+c9-VAas|d6v8$^GPyhu=q#FB2|=9lbs7W5I=hRp2??-X4=VAQ@y)EcF_AKHE*gCFQ>%}WjN|{Vukhy(VR%Y6xST$a z>HN4&skf$R8p7gjrk(U_bH`Ih#Kislt2mi3ZF=vAipzCcQ#Ywl>ASziG^U~yK^(*V z(CweN1~L)?b#D6BNzx?xDl8L+UX#`+b`L|gK+M{nODZSNwwF3tNi++2njX=v3IflB zdrL$1xJ_@sE&SoBoi+Qx1CrA=Mh7e>0hmssuUn%X(=cSyl1W%_sI=xl{LXA8av(Ig?3;2Bk6R_gSr0J^A>7sG?Qw>~mhjaFCW_t*-5 zRV<^4<|tuY?Th-zA@k{SR@I>?Xb4V&t)7Ar}4P99v zvKd3qzfk`Bc_eLw=1eh!C>1WFD3@F-VGpM`_2Z(&&Z{fw$(eCP>0 zx63DZ6iF4K`53tQ!i}TwZzV$Ue_jc3vLM)i{ehP#y7KG%e`}=r!`}bU{4Z`z{<*FB zr)4R&f&4O2^Vv&ET(E>V{A4-_1l0Am7)-q!@20#DoW1ohd~=81G2ZbnE^Fr4n=_zp zfcL+=mehYnQkMT5?Hr#!U(L9t5aRAb9^?@caaX9>k#W1CpLA#G<;tHdoIukIGSkjI znZXfy>Qpvy=&n0*6**tEI$v-$rh8R8o6w$ySOFnumU_K@g>)l4T16a(lt|#2d5?@~ z5gwImBgtWyzCn!meBbY0BEgS1q+o)Of_uRPvW-B>Om?4FsT}oDTaF&zYaiJxlQ%xJ z#;>G+$q8&+Abg*VY5WU@o>FEM{i2Mo%{zqHkJc}N*o6#*jp=t2U0aSFr3wNR-NB-Y zBoX3l3eRo;)wC<82!vRqBXOY1LJVfvLV)f_ixQYrmIsri!YI7(w(P5Lcr` z@eGk6fUpuQOEmLPPsyzAnU72~P9`2rH${MiU`?&=&Q1dx*ReC*a)IxD4xTiY5c?-y zi^WOvE@i0r%2+Wt8&x=8ij3=6kAAuEjI)(FEY+SqsA8Ye|lmf2a zA^Wp&h1r|=7ryP1ph?$Uc!nG?0A|)?fXeSE;3VvYEEv|u0d3Y}M4AYauJISk1^WI9 zH0kh;lSE1)k;0*0E)Zi@qKzPdq`2X6stRp7*lq}Td4cTj!`Zk((BUP|D`9_X@bGsX zJj{WI^-aLF@&>X58CNf{S=ZZ6On-Q23J-UJ;b9Ft90&(5{pm5Had5C^M@fuCBFS0- z97y+T5Yy@~JmglOQ3m5vc%1hJyc)2>Ib!6nsuCYw>@(&Do~@hX$rhHggz8MNv3whOeg}TO z=kS|yY#brF1Lrfiemb%~GSoBlqB(Y`^ldq!M0BD#Z@$v`UxA_-iX>4J!|3K_tpTE@LZl{q-g5lnx9 zMH8=pC;$$kyB6qA?>-b&+CetE_o+fU3s1Uq_pt!299A@til%do?{98yw~}kejHJOpG4mg;Kwr% z7??tYMwY-3euFIc!!;L?2E}R;A^IcnI6^Fk{s=-eQo~P#n3s6J zz=Js~2*^fcFt&UHEaIzBg9*$~vDlcDIY_9KGRt0w=7^q{`^fkb{sMLZB|!0;Hc+g+ zkA=(h+a8GZj(!3cUT=_PFrYdWEGnkiG%hfkJ&6#@{|}ldYeB%NI_yu60CwdCN0gTg zPkNF37o2*b7C@v?3`B&LKtwbH>(^N#$VTHFAd4BSzP%PDgELeZ8`pueH*_AJ^g%i}e1DLt!cq^Jn;#w|(D_y$(SOok(H*Le3x;L=q(VZ_FS1|2A zXYY{1a6yi`77ZqmlJf=}14#;8O$kTXy@9w0ZXRlM9x(N*|Ahng=0BKF<((qyTi|BF z3;tsoS1<*FPj-xrySc!ekjb{J9w z!2vw_%?i-MS%4t@!ynE>e_kOg4Zv_*5!(*l!A z*jNRm6atI4fMIWXAKK-J0xrq6b5jGcKm>r5l@5SaJX}EPIlX8j=y-;aFF|UbdG37rK9R&-;Gz9^o6FDO?7;Lyz>0(I7t4jepqom@uD8e; z%794jpe!wv0*@7n zfUgH$J|O)NeFYm<(jQ*ZQv>!_3=em-;Neer2(s2coWd-_g!zT~5*~8GLuUzis0k0( zq`*rD8;e6if<<3VmPQWCQ3VcUg&r*X*AyO-GU7=qVL5ofW926x0#J()%jN}Y$bGsV zTgdKVaLc^NTbCX#j3;NZZC%wYLupt$=Kpmmx{X^}TjtMGtsXqzHgl1D9e?jez3FF03do<0G>a zK?}qw2|%*v2XF;d=e|Oa9o>T=M%sKYalAoy{T?pU3lV_Hk$?WOWq_+)IHDK7;K{96 zgxI2gRsKsYu+1?BHG!x)3Is9oJ07gxs12yfM)Sq|zXE_ikXtR(QSw%0#?0~RujhEX^LqmZ{y z3L=ARFlvC;dKj;G6JQz?RbZfTtZBly zbIb<7h7;P`*NLh>0awZ5fXt)`mO**I*%U8uHn0nan)ik_LJF>^aN)faejWRNwwG!k zS@X=g8+LgBsB=+3vXwZ1lX4hZCNK~E#lUFw6~L9G3V6tc1%wf(iJwcwfQ9-Hj6LEP zZ8>s%W&lVn0B%UX@)2oJG*y5iK_yV!bcWf-0JE=W6tHjbHIST&!RS-s^2vehTqeM5 zxDK-1*6hDBDUlLp**|}8bAYQ;IHE)gcoMKx_Se6P%a^4Hh)hahEcU`8D@(Bc+*d?8 zN#Qn}d!M4E50UN1r@bpc*Vi@!GvOc&PWOTpFx*=A(3T5+-Bf}`67n4eKjv+**nSIu z*`j+51M@2k8_yG1bNCKMOT+=}Ob3hYdHzXbz|_zKDZie0g@mM$W6S(d1tkh7E9(|0%CNmi)x=FVK(J zf~6}buvFv!4ji|(e$znw$xuSnZ zWf<0PVLV#G415U(VWFX(<$PMk6pjBM?h748kz?3|9k}C!KDJsz)t`IS-SZE z7<&mqw4jFeQUGi?*5g_(fR7k}&;`a1D6RlCZ((Xl|MO$vgZD*>9XlMCD090-GB#ESZ9G-m%R)R|_K$}rVIVwfVP7QEIa%OWYbDC~qESm{elgGAIwvkK4tH1ud+zq0L`}S_zwfXtRjr6{TgYEd$W|^1PUP5~^ zVkHzQdqSVFu4ikVSdfRc59QtjMX09^tRKy0N0yTGwPkX?$0 ziV*1n8sU!8>KH8cpPkfp4L6{#VSiyXz*RXMQJcybm@HOA{_jq*dJ9BsmY|VrdkF_& zs0H+QoB?A0B6?HFgb9Yg4c5P{ggQS2AmO`z3;?kB3C{fIPH@iOOMryHweTE*p@(w{ zX!W1n{XR#ROj_d8e_RIgDGk8A*vPb-bRUh2%{o7D}X0UrPs|}bkKjB4i>n;I< z{@0(&*Hc=sPwqX~XWbc$N2Y5K_<#175j#Y6w|cfwAxmM_vUxG;SY#~yW9E6o zgr(S5zGj6Q;Sqj`?Wd&+-WzWVJ!&E*uRhL0$LaKEQq38+({+Dz5*kXt(bYksw64-a zB7+K%JSLEDD4!roW4PubFrZi^AjEbMtALZv4v>ff9C8t80%+jQ?dMk@eIO0G#u72m z?x_!f6jMM9xisk7xSxYkeijXM zZIIpTIE3ggb8s)m?G9AI7NZ3n9!OTPAX&j39u3^#l@WkRkgQ@svON~_3b{=pT})S$-!hgTk~@aYwN4k`d@XmLe3&@`Pp zksOY1LEcmN;G}dIZq0<$Ke@t%`;@W?sNBKvBeJeG+$7$@4I4PW0ID=S`cN$2Is@a1JR;z&H|C(hK_^2 zG#ZkJ$Be&#uK;0DkbN-U(aMF>bihtpN`SxYU+@rA@4)pYJOoJ#?kf?%gaazv$RSgM z;a_5SC_n?xvcN+}S`YzPkeKF6JX?nI(r9a|xovuUaI{Z2OxN8p;q25(pa*RUy_0)f!|2^X*UBtB;8of4U$GO+3#1MWM{}Gv!&$}-?ml|S%;EA*zg@gS!)&2+S$)hBRwJ{4 z^!>>k_6|FenBl4g83l2Sj+gGj27>!#WIuR`YT8?m+!W1kn6a4xtBqrIIIj<^3Py<9 zHK9`JDPhiya71e<+#n^=yKlr!12tF=yZsDgC_Bh_Jp0+vBi5KIv?7L@ZH7nnPwz*p zjiX5C-?Xl8gzwbHS`v_vFEj8ad*G3g(=hS!dp{;)5vSef3mGQsBp5b6N?#%SswBu` zDBv!H`haJFGv`V8ef^wW+?Aok>5Ds3oh!)n>&0dx-tW};>^*_|4J|KrbGLYhk0=v( zShAl?4!l)=5RqN(J$u%c>?l|tO)jku67WZ1yWv@Wqn@`T0uk8--xIS-E~2tuF}~vB z_YwB=^EmOZV6Q=0Ju29|9dO6?TkuiqKZ-kYYHn#;m24KFm!C1;JfJ51Q}5gNDf>A@ zH9hYXen-^57-C-(l<+Ao!u zvLOp)h3yA&@lE^nkI#kPcJ>vOb(2VmL+QFTZZvflswpm?9U~3}X4w%Bb(|vTYjS1J z`@8rPm9);wy*%G1wG6quWZ;-B9}L6H2`o>Ed3-U{7wXAqOtapQ61SN@`7I^h_xO$T z5l8c_=NQ&V&Bn1{{P#irk-xA#z%-RY4dwxfrL zh@sSxx3>v`6)@G>Gm?3$qSx|*>G!GXeuA|c*X5Pjrbqs#PcU>JFrinx{4*l8q>Qg`~8qSR18DjN0h z+6U_XSH)f>f#*94eroF3HiiPEDZ|aSk@wjn=4EE7E5_e^d$|>_nSR(%OWK~eTeT}S zr%5_}qdwaginc50Fks!eZ61JmHnGM?%(1g*`h0bYVsF=NElp*K(@@cd34#_lJEu(R z@Twi3{B(W2pBht7?37A9@tekL^ZTeP_D$;I>*sXD%jeG2Ubo_QO?x~Xa#=RRIa%el zH{1-S!In2e?{~yA?mb5n$ccDveUrx>XqOuOhs8=t&tX^E+Oi!gETtSK*K$nHu2smm zurC&#PMzjgotCuBVdH7I(SbN_xpvPUdNQPqc~5P2sjtru%dpe2-g{xyJ63K`>e*^4 zcN+bGb}ni_hO6uNr2NN~8#IjBDm8XH*f%pQr~KvYI$F0a1JvQ@MlrV*#Yv2$30rCO?P&% zqZQy|3+?Z3KX2aovsk;}?4ZY;k>EF@Fx%H|t+lrbYx{6BA0e<9tj(aYH$G;zjrYSb zpv84=dU4aIA*#8mlZh+X_71gIxqTS&Oewnuo*=Z5E?-b`cm&QJi$wf#IcQ}KHKxM{$It4m zm45agVWVM=-4Uw}BYF)AtL*Q39mk9cH2YYIGG-E$Gi(jqEbX1^9{2=VN9h*p?{uzP zwhD`KFFNJT{0`klK1QD1*VoED>+gA-+$nsl+1QMIClS5`;k`YJXg@L~u3tJ;Pk;2C znYfZ7ovT-ljA7=mMAJk-7>@=WxyevHuH3>llL&XxuBWJZVbtUrKW2nQ#JBjl(uzPs z&wH6)QP1YBkhNY}USHv>klu|eK3E>&I`U8Nd3V~0)Y=&wp?JWm@XID^9gQiFIpKLg z<%Gx6!I#7OM39-H-r)OZ&Tz3uQPhPlOSTk)fBnlG z8+F?T-_YzE*>qMLIXYep*1a~Q>g=B?4fkDb(k9cNudLT5M3>5D16 zN7yT|w%bqe^IUT)*5reM zl%UD8f}V$*$|&om=QeKoC#z(bH7>XY;dD^dXS@Zdg^duoQwTme1BYlR{%a= zHm`r`_i}C{O-0G$&+boy?@@nq_?y=JOih0LRw6%7KaA*9A}`QpEpwwMc|(ikEyd<& z3pg+a*_OV?aL;C$Lr`l3lH>JUoA^tz?EZ65_rSwExkaIbz@4-Dlt7WpQ9D87AF)p-3A?NIQCG z?lH=w$E;l5j7hPAr{BW2)(wFxAmrW%qb2MC?&7_U({R= zc7<+tBiE=K=2ZuML6;?{WV%8V( zO?7|2d}I^aSxD?Rxb!IScCX)UXiU9r*r53P4?fmRD<8He{v|%&5Pu#=?k=3>2@lUI?X3>rRV+M(F;c5*~rrkk3j$5?IEk9-e0(x1dk zfueg^EjFiIwkG@&8X5K!wMDx^oyzW*^I3bNxYp9HF?9*JeuvX9YglSC+hN zGAZqMW#1@ziI)|0fr0*TNA7zUk}FB5<*5gizIq;MnvhqfexKFnQ_=3Qo$6Cji>CrL zLQxmFq|M|eQ=@Wua~vf3mQ>Mx(e+WCQgbV_nKo|XhMrVRfznVV-H`8^);87yvyd|C zrII>*9s&ejj1`X|Z-3Gxz7lL*el?3GDK|v6FYgq>W#u|p@6`8olId`5gco%7NaKMTc6n({+P$>4_VwHXNtV2CzrOW`A#th2d7Wzpch85sc`Xd0j+wy-PBFFV zr~VJM5(Ac95l$mkX3%8A=)@}}n6-p5XEQF6GBBKfRsP(Y3dAE5iVts+O;Jq#WQI0; z+0A8(Gs5kYG|t&ui$r3Uc7$Iiw9wl9%pD=2Vdk;kP?s^)bEQ>p^{Kq$*Ex)_S4n?u z@~@GuyIe8`I44_XAO^HIV>St?iaB!(e7=Tt(%4iP)W>K(QF0=a37l*^?e8`ef-i?V zV)Wx`hYO}l^4->vTeH*J1uGE{&)Pp6_0mXOgE!Yfp4Qj#J;M^|;Il{(_QP#aI0cdgD_G(o#)Z;?Jy;c$u?>@X^Xmml00opq);OS&oWG<67foWKRu; zjP@C}3Y_od#>?tYJs2V$RhSxNtRuU~k$qEPV<%|udV_)=6f(Ut`j zQsq$s-qM4(xsXpSVi^6HYX#QB`t5Yy3DmylLBvf#Gdvw5RMW%D$nCe34)pZw_ZdD` zbK>iJ)$gN!gd?P3RxqI{ar9oEz$9h($g0oqMU#dBilGr_u)tz;TBc4!cTY${Pfl({ z{vZHdU|A)i&)nn0b0#~JAe8gh4o?aurDKn-RWbT9ZTV+U?uw5Cj|IViW*YOD+{}0+ zL8L9=lUX?~{^+T_qQ4OSXbTNPOktp$(F%6rlTu-GMr^9|Mxxj0HO-B<{V&qCSvxrJ zIrJavK@Gn0p2kQ$tW6xlGV9tO{vfur$Dy5fs|7w*?PRUyDAv4Ut>o~Daq*24e4#P> zUDZV7Q_F*5%*@Z)D#qvZ^!p#5@|1rnT%G9l;h~P3wGec{1LmkIe+7x^Lh}XNcv3ld z1zc-B<;}J+Bytp!b+E=eAg8?f!H6;yixh(N4MI@kR$dc9d}3O+yu)8x^S7%{=*=rP z!u64({)3{U#pS4m$oE)!@sDSfI8M8a`@-lwbDT+aI@t&MPl=y#Vea2~OIs{4V-g@w zKNu(G^Ip5g1a>!1FXn_suhiG{kN!G#n{Bz&ufN&5z{}X~4@ES0{mX-}*%YNvX$j2&2ZRH2-_y{4s;3O z4>KgXI=6RKlhFS|3>5t5%@M``-YL8_ zrpx7*r*b>gdr9XAr19_9XIw*}I_W3}n&N?KXqu&0om=CHRa0NY=j&Hf1p3|ji+z zpED-DY3WR^cvbdc!`oqGxnX{)JzJw1cKFR_6jJF($KC_yb}g2}S2 zfFn+2QUlu5!24w9CGRx@HO|LX$qkm~Hm0oHT#kl~1P~|B;KI#7Zl(#VB$wlgq`_Ku zXx9K1T^Mnz6Z*t_o)wz5a4$b8=LE-)dAe+QPi(sv{rk~VE^xe@wre#M{y{2)dW34N zoNur<&6DD2c6adYE2FhvYRiZElLh@-&)7{7*U@5)PulocimSTTi3Q~TEEqD@&K88G z-8#%NIYW9oYg|;@VM!^Ju6e0w49QDE#tcO)(R|U_{-lL(s=~5aYxH7jev4HpJ!MD^ zO?%N8-Ly0mPK2{lqQP_@fABkYO58&>bIc>oR0+8kj|FVj()*m}2f|rqd^sZ%oA@Yv zj_1k3AIhejI1Wb&fg6)`^2@huuc$~XUr26#uBCvw-?QSBX<&=)4|tm#C zvMBY9Iw{&BcyoTqE+7bvS)i`g(WtVk@kq2XsBIUv({-OAXq;+^p0n~JUpDcODM{R& zDVl-?xz%6V)Y@*F^UTzJuSwY@of_cQbRIyi#&@f2mEn*WsI9fBkrc#rG)xUxXnTez zVnWj&Z7+-}tlS=(bvD32W|xe*a~@-_D>~D<>a(tE1FGu8u@B4UBnPjrIrY=>4r$r$ z)+tWD1kR72d|zCC4TB*N(@GPX7DA#sPFeXkiO4JIPqv4fO_Z3^HyIhd}=ZoxvpLsp+oR} zc&&0>8}#gW$XQ(y7-=;4P?w4^0ryT)aI}sAO?Tjh09EpUt~atSgMnUh5<0uhnFOAk zKQBKg-pjuO5}3>Q_^Kat*N{=)bSSTP%f>nG%m}4Z?kVV@R*76uGSI$yKA7%vEZM++ zkp5inex&|~{O=FtqA}NVSQ&&xXAt5c>m)|G2~WM{ZXx?z_sg|E@nqkE8f7%nH*eb{ zLpYSp2ZQ7^`sf}bxqX99(jvLbe0ayOiG)>~=h@LXD6gekP&?233u8fq!`3-pAsL7w*DA5gX6vL+=D(-IzRC1kslvMKk4F6rg z(8DeMXRr2fFdYX|=pv$LrA>a|bv%7ZUYTM_v5{PmZpwFx^rPIh)5XG6tj&37_=Wt> z!PJaR!CFUe9kp|lp&pw_Fhow_gIawDQy1DyhK37&I6De6A62IuaWhcuu3aOxI0`d( zmfLZzTVJO-JI+VAeK6)+@027WoG=+$A6=lJy1>BUvE!}d=;ZC{XM6OD1U+CuhC7E-2~#8M;QyiOEyJSxzBW*X0S4*Lp-UP> zx^pNAMY;q8q`P4#X@)KdX$k4>E-C5m?q)V;`c( z1}UJ#E?zlM>3PHP-Sy2C{%-@wzlJbMQbU94y}1U0Maldqkz0|={$$v3mH4Xj>ZC#Z zwOz9^M6HY_?#FFqmtB5(2ZWt)S#n{ob$o4!JhgIY6knfQ4Y9L4mlog?j1#!9;xcOb zacapm{%Z1UY@8zWd2Ia41Hyq#JZ7lmMQ3Ezls!Yoio7B+GO5boi2kzth9zFWe1J@G zl>OJe^pDe(u8fvs&SX!g;SQ8cVVoIKOe{2IvsFdjegf1v6 zW~@SAzC^nqx5N(Xv`iaec_|=~j7NDV<`CVp-i*91{7&N((Gz+1WmED}V=cg6MD58* z*JLi7Nx$^6zeyrQ9XJ<`&9s{K=Q*P8;f-St3hnpuoCTYg@2PT#e!3C=*E@}r>`*E` ziDPQg3m_Zl!Lye6T(XZF#PRZ~G~c~ePK5?~I1?Zy?(zHrKi|u?$Ag*zL12BMI$)KVx-~&%~D=J$a>E^ipb(%e%BeUY6y5Q+1QL+MqOhxc+-8)F)0|wsE|mf zM~@o+lScSuNKj8F=zde7l(fb1q$B4Pm`GL6>Sc#pn?O~sk?t7xzAhmf1YH`&(U;*6 z)%iTB?LpmU!ysxMU2`gSzoOtlo$1IR`d?~JD%|e3?tYK7kqNPj4BuCCZ9MFoH zS+O~o&BZT4>C-(N!@fgne;HPfN{OA;>r^ftUbL=kUv0YdX@}q>th$Y5dLirUXR@S z$>HJj!oADHk{%pE`dcP<|s^Q%SB_09rnus zKV;zMb_N@ZIfsvnHn0}xla2k>!E`;o2D!xEQqD0IEc>FX;)W)dJ(s*%alG0*z~Va5 z5c!8BK-W1Jy2P{VwO^3xqx-!yl)>MA)VppCsrPO55Nlv{rz~o#g|!`$|2KIM&)8Kg z36<2LD5prACp?>Ro_uFbX{`fal#iyEZ^e14FEi9)}QIfsGeyCxdLxVP zaeJ=(+??E_6{E4|hy;JISrGlrBX#|NAuFs?W^2iN@yC?IX(n+DPRKYrlfJ0zn+YZD zKP!9&bWZ<4GWkT4I#!c%57@1!m+aPA{uYbyd{FpYQ9sH2$^a4hc177&)Mr)s5ouX_ z*?{bEQ*D`Mll{zop7gx1!>A)wU|OQ7{(pH_Qb?8+ALD{!_Grpun?%svOl?|V@wDpajv?qic^E+-4^)~A#uQ?IaFiNXG?qCE{$rWL37aY%m% z{lc&bDZYA{m=v!m)j8%qt%3*+*|_8`OJa-Z?RCz$ZNb)kJGdj%-LoQXkCLFu=ggP+ z-se0+C{UcxR~)W-dbY^tO!3u#S)x0QsiO!HIO@x+#^5*F?_F#T{okU4*%MRU+V}q z$j`TZ%8~oLj63ZS;mo4BBzV0nY5pc<6&-4-MwsHC6soZ#s6?FN|2Gj~cT;jEnh)N2 z`JLvkxU_RU>VT$h53C>;ca;zEZo%u$V0q3#u>ANC_&JZTfm)mhB5i`O$-z7~fRDjD z(nnDR)uTeN-kiO!h)=5)6oGB4je-Z(GK9tG9o;L zc=6x(z(aqg9}3_RjsT^Z6DNaJlP6-_pu->XUm`W@_RG2bI28REi!kv8auZH5Ff!-6 zj;ODmAErefb`ubekSZ`I!edmSvknbz{X2%VnO<7a74|!tocEovkcM)k4}@_xbt%0` zp^9$3w}DBTG#1&?ig^hMzJ@F(Tqrwr9C{)f=DkQ`Q!ozUxG0=u|v%{0>$ihF#D;kl&Et(R+- z;Up2V-CrWz`mC@Ar*?gwkRXu@c*8!GBL?VXrk@>tg=!#n99@^`{=)9o$D$v0PX3zN z8^sjbsu?n(T+W-Da`MZATE<8HXEk-HjE~jBit#^L43otgs+*ck$j_m45lNBCc!h#J zI_;Yuwr3^P*NPt)R-}ph;*IZ7Ec|LR_SRT4w&HfM0P~0{B7Qvx{U~;bwa|0^^1KKx zEs#hiOEgU>OMv*1Ab$AMqIh&2yjpY}w_`o>=Jz=Vt?T29Iirk%8#ji`BZ@0@gV_hK zMzE{a_@LoNpe+R?*WZRgElKeq(SsUg&DN@;nW>u9xXmGCihljT@_J?Z98hrzaVZN< zXoLr=XN+QoF;>V#T1w?ysrMuHpWBn=OYzUM0e={8|l2b$q zM@^+#Rnc8X4kZ_R8+yAfaqu-##>ZLm<5AIC2DC8E)2%i0{l(p~#LKHi6>fb>HJ=_C z=V?ss*d(gz(5&{gVMIWs3nJhPv>5S8rr07!s4LS-+{*18xP6|Hu%?Je&UANFh1SR}s;-&uu#`?gUeP+58_=@ajQJ`5W@d$NYYSeI5&r($mDM}AJ4WvOws2{hH0$=U z)4Jll^8Vfchel!c-SU64@AiFU0;x{rQfBq@49i!C3P0aCtd*!I-Sy|(x6Fs{7>E-? zPD+JDZX|tSjfihU9hf2^h8Q4Posx6?$AKwrL|^(IZ2!i&o__#3b@d!vV5I{VS09+V zL7*85Q6W3{C=eQpzHBeKo++WmLUG7Y6FO|r+qO5gz`3MP90H;j5_yv8nIbFOw9b-g z-8*cLd+YStZD7iY4Hov!`89P^0q;xLV2Kb#(zf*&!ov>R<^$=E{K|34Pl++dq_Wp~ z%K14}=^x)H3yl%Y%idL|L{vri)9pCAsoI4mJUbL@&6K2tTAv6VM%2V)+x?f{QHL~j zCC`0L$Azg^hY^^FU@C|-%`YpQ9?eZlzblb@`y=%t zX*vkEcI)N&t1->hx+gwqXQF$BAEj#DOTv>hdQ(MB$za4r$h-WfWLTzk;OLvyM-R7G*hLOENcAu^o%Pc;>M$PGCdk zwqmZ%sgAngiQAe>3GK9*b$rVdCvLx2S5@>#;`KYJ2= zC#Bv9g@UIdH9LQWW|cz8jYyirg>Vh`=g|+AzKiw0&=|Ws;t7Jo;hYKE%S10 zNVqISG5LhrzJC66c5am?&kx1qEY-8t8}9`j#hhB^5%XhKl<8T&8SlknJGBCQ72Z~b zAWwfy(hL%L+C(2L<}nhI>HVa*ebKVcSIk-kJnYZ*Mk~%1HKw@(*SXv64>1UQ%lR@A zLx_UL0v2#5d!_n0x^3Ld+v3kSR&9L+5odj~f;Xftgp5Nf zuZQz20dDz}fJ03|o1Y!$Dw-xY9M=E9W2+e@8zg6zVwlxH>RGxI>1CXn=iA%>gJ#i3 ztM4OSGyq+|tYb6>=(uTM9*tQFx=6R|A?+IuH?2=dFR<3Rf(LT$dpTJQXjY$sAw6oh zlPCk4^^lej^5tsiYER6mF`L3WlA71~_(B>oSGVE7Q`(WBkIBIF`U@8NdYVB#R!}N~ z*}Km`cCxG0(IBSeMXjxF8$~f0S5p8}&Y-jU;saHHaMrJ_tzPoHkk*9mQu=M;99fs{ zmj6s#{WF@c+3d=sHiB5HBO({If^>t!TOuO8xW>da@1d&wbyg0|?vrw28w!Y{9h`B{ zeVK7g5#Dc*g5F|`TPiP-`1FW3#1Twh-w^@}(HfyWA{O;1Yz3~`pOo6qE|PVWP;a#( zckfpiQtypEJ!OdWmYRlNOs65GQ-VlUXstZBlUp%2jRSVgT)`(a{D7^_s;RK z?`Y6T$biDe4bkdKmb-w)8TClmKaIAE`$F^bTN6)X-JQQ8KqJUs2lg9+i6-~o&Sok% zx;Hl2)Hrq_65QxUIQKynGv@Z??yb<`s{PGB;s-V|=L+JPbRG0RRzH1ckoE-Ie`rwi zya}0Pw98hzh+Gu$r*&6|a||t!W-yGy!iRe6AJ+Ao8>}%*mE%hw#(?Ia z+Qm=&^Zv4GO&7L9y->i8-J|lfWF1^IcMP=zd|8L$%@llgSj>@FxU$(haasGkxJLwe zpZ0Eb_}nFma>+=Iw~C@@l=Usn*;EIhkJU+d;JeMbiJEiPu}jpBR@%E?R;RyF;ndCh zJ+TyAu}-T&(aa9UKr@Qe1FN3_(CkIrTJVPXdaD^-Z9saRAz#=q*RFFlw?(We#c|cU z^;coTKIl6P%LZ6!hs<%42 z_5rM+bAukOy{x33siH!AcP}Ok+r#j0iGMmH%Ar3DkSzhaZD*NoAQlRSYVeFX)2T}x z$XsT8(3!15_2$p@*6EP_&D)0sN5Axm2};+7dEJel(%R{hSB-kd+bLQVlDTm0qEy5z zT#vOrd=R~@+8^CQs)$K@8hb}$JEzl5_Oh=c2hukhI+!n5ahg+_oAMxzUH>YsHAI{F z9Hq^5$@%r7__#yBWd^Vc8WspQ9M2oC^oci9e1eH&VOPm}b>6neN9oA^L*_tRZfFNX zu8pyI@!Bc2x9-G`qZY)vpT^o&Hf`rnl5!McG>hxQmPXG9N1EwMA z6G#OD;tX;bfH>M%m3=5LhsjXf%S6$E7>?v=+ijLz(3*G{ZK186wB&uw#Z{8S(^oV$ z)oIQ6-G6rK$xijYKRA8O^qEC&_d&qzSuT2g{L)B(FvYX9y zM6hG!2+VXu#E18lzkzs7EQMC#sO)MyPn8I+rB79Q9K{r63Q5bvb*?==HTKAQ)KMJ_U_)1y(4Z^+tcJb2mXur{T>OAt z>*F3`N$K{@p(Ep9Ig(YoJ|@*ar2B0LAg9JKz6wH&U+DJv8A(^hR1AY2v0MSzoYY2Rl*=;ImC?Ey zS6+Vmn{_oO;;x|8rbIA-I?Fpw3JL8O*_I93i8O^vcJEA(BW9sFdKHY447%h&Rd$RH^#=?H95P^vI8v^o98d^Nsho2~Gv0INrT#XmYD>uf9@in!$2Th9WW2uv{gS z9Etgd8M0mgQn%aH4YEx}nooTvV1f?&1c=)fZ=Ewo%w{K9G(&09w5uSeJHfe^3d?FTBM7cC}B4oVSuYoNXEQIxS{P zxl{W_UyZW+nMGZ(sSbILJw9lE5P?B$(gn0B9X5DZZnVmoyMh`4Br5xxWyHmlp3j5} zezzB+&S+Iz_pv;NH0h1oN#A*lMpBaHkPof(BORMJf$jK+4LTwUo4l2lAFr{3ZYdAL z0geej#F7%IcbcTg{1^L4I7{*R9E$VfSLD#)TGvs`dFc4unFwk z4&rN@zGrFecNcW?t6eo}YK>KUl6W(E*^h#iTM;LGGkSxrTpOF$W=wWre0|xi7F*ePk>fbebP}!AGsR2Yqj|EqH)JV}`V80o z#xtpT!g|;O^dAC4Dx#MTGUlNE2YkVEHAAr!BHx8!GCBf7fImc!E%0X&ij8om9j7WRRFR{Y+MMYdk7p|L}ky+}(wllU?k2x2= z?+}&6CUf(`Ti`n#XLzZ&Pd>^_^6fQ&bj!Ar$}4|W{z9e<3>M=QJ)1v%!phs}u^kaO zrri1dy0HfMRNcmMzT>|5XAP(Fw}4J$!M-#^pu@2-RPNhQ8}ZbYyDxsW@twBZV?&l8 zy-#r?=@j5p-VMnYU;N!h^q~fiFFsXgzp)%b-|A#;vKoEpM0-y!;uyCcb*NE_h`U&e zKFk<3mYcNAc9e5Fy!qdwcM1@R0ugfYnY^1{@Bgw}9U&e?Ij=2eWns&n53O5tXV0I> z+lV^!<~)dv2rHNDS5siAC7q5uEqV<;T8utwC->w2c9VM5k=r?}bB>mHpDz;Mo;DYh z+)<5FcwXdAYtLOtxHNhqcaKa7GlUa}CUgwr6rO$bp!dzAQru8ElbYyQL}bOVf`0DU zoUpz%cBxvt*LJfi^y6-W>R|b^w-X*+?KQOZ^yeI{DiN;Fv?MXdRJhWp{K}c=;Qj{_ z-BCMG`_gR-9}}1K=R;1PnaF-EEhFMN%~+am7nJ&sDsYtr4SGK<{1=(M-1Q!)ZGI4= zqz@XAh^RQ5cp&{r^e4kT{*(`)r^&wIF4++JD4RJ41wCd9oHKuO2SscvI*o&>#6Ot2)6qh(_ITIp(941@ZbitzR;i3D!MN|n@`?D>c8i4pn0lN@Vbe0i1(x#TDNA5@9qFjcL}Hn;l&>C%b_v(-R3 zA_i>6e6mbh)*frLkvX8BJQ3m);CwQ3XZ8M$2s3cF9F#~_hA|&3mtUA4#WmtZ1W?4t zw|EY9$);>B+oCaLvYcr8kP@Q@ECr_QcG%Q9zO6gY zs|ww)fszXZrk3qR>m=2HbT4%6M|wFbF|b9m?jR++NWbePM2$_?e1E-GX=Aau2dUX= z+20p8FPI{m0Do6vn{qr@mQLKD!{yvn@nsyF0LgmE&&cJJhUxa=$Vhbx&j3qTz_5}e z)d5<(<$iKtCqTPR6n9KNo8CXl*PoZ%K zm~*!s6zu64J;H2Gjm3*%>nGU;Yd#xxgS9JB7=aW3J-}RGnvOXQ6@k9PW)^=LH;)~( z_SVHSYTz;GpoZX4G`GE5*#OJ*v~SWAi|h8u1)jx(b4TqE*TR)LwuAMsb?zQFSgvCE zM>6>_QshL#_(QW_hG@ogB~CLp;UurPl|9@q>!xG?`55UOH_N`=SI|`G@Tg!b7lAu3 zF_?oRnf&)#uoT`^yOSPztL^_2x|95##!dd?oade9T50FEn`6&X@ecj2vWw*d&obQQ z&e~(!8|tg<&Kt{cDb{Q0Lr7Yvb=N$^dDvG4%5e3cTQ&7uP2p6Y z!0oEzkkkQK8*iNZBN-u=Z_~XiE(d1rJ5A+SOFq06?#%}mHsuWY;3^{-s5~owCX;Lm zV?+9xYy(Tfmxg{RzQ-sfZv?4EP|8GUWzTSW1-X&5(#!Qt_CPUvN_K zJ#3Kqpha~;LBLRa!_|ne+w=Re2<~^8jt3W;xw`2&@l(<3F+$oEnApxJoXVSeb0uM@ z!u6$%o4PJJV;2~M#k<)YN(1(TBANProj<7~_TJwIyS$bp$SMx_f7u03 z%TK9IxK}|TML_F5H1w}`Q!3tZ8MsI=C_E4L^`={{dB72EZuaKFS!l?^D?i%_`uig?zqlyN53boYpUqWF zev;?JWT|k*RCW#y=DaW*C60XE0#%vVy@~V4T2dR1Qjfk0-#iJ|b<8`Ydl_+w58Si3 zm&C`QUl?~8C&ne9YSLrArVfp-Ef=4EhkgMQ9M^?*Xy86KlOAuWoPPez;mtkE_o+jO`W8wUq8eAdI0O1Rx9=3)kFB=}oB*q9*U2bIS|1q&`T_2K5G% z06+hMX1M2G@--rykid3%=iJM!!+oi(kis?n=Fe{tK!%;e=K^O#5Hv8lcM(5&s?jYZed6kq*`(Xhu#-;N&*GdCnWQ=D4ZY9%_uIF$`k@h16dyvH1?;xmbevas+>dA4uwe5MlwYWjo*HSljndl4Y zecZ(LzDd5qa8U(H!ry2_yz2r9O>ZXK-$c2Y>4$%J{0~g?J9p1Ly^SWr&5_kSdpA~r zg1+^BGw{rH`*vtUT*!1ZTPW_VqmHWPjk?`I%e`qpak#Ze!n!N>mwNI$tjSKkA?Pak zsqV?Q51BbZF)t)?go&R|x`w0HW0W@FRWuBE&nGnf`nh0|Zeh8&m`8(n`w zETZQS>+Pl6s=u@Y+d|=oCV%~oilNWrO-6rxCT;zSqyF3h@t;rnXd5p~{?catxy$>A z$SYKm5A`P-_4{{+#Vj8iW{?9E<)x_nZ57-k>Xp9yU!Nfa_1kRHF&evS!098gouzy^ zuO>t6R@Lq=zsUih?W3t}+kng&Q?E_U6f*xnbAp4SWg&&xmu=ng@A}=A+Hnf45UOfk zVw)NdQ@(P@&A=Mp$A(2G^R|R$SygsX>Rg!m;@EL?dS{qXu_+&W2j3BN4GV5+?Aq+u zl>dd!tN(kTwiX}C-xz+`O9q~mz4dItal9NV{~4?ghip?=Ju9H)ZRE@<_j)awLbBRZ zs%lzC-dDCf-0Eh2tcp(|ZnCc!=J4tuGd6Fgl|hlvr%lfQDW56N&P4aiu^O=#@UgRk zZ{v#&jOTdKs6y0I7Cl$cvylH`W;C3re&9`44Sc?eU%76?pY`i}fq7kL;Ui27~88`$E?8A5=&|h0ojlW6{3bi`;5s=Rddm{qQ)|CTPzLT7S(Z zswxaTZ~FyaY(x7CDIyP(!XCLMK)<-uR9C0APjB`o*0%jz0yhRC^8uyBD)wp<`iP;&oSk=t%dKWjyi0I< ziNdKi<~6h34;HS#9V?rO>eZIUL4O>GYzYWJ)h34f1lCWsg{@mXE^4Y(fc8Fc? zk5ihn*hROEZVCD)ElJD)rdT$IJn#TOyL($vZzS5+JCqhP#aS+5RAUQ7w5`Ef$+4C& zAOWX3%q;tUGJpF9U_rTl)QD7TbjB)(8vm}r5tA05tkb|)P@SQ46v+=0bhTF(FSz1< zOsZkh4dvRQ?z~!E;6l2nJ3gpUJ{PYpgR>jki^y6Er#N+)H-7;H>Xfh>Q;T@Sx%by? z`xiW`o>WT@V5J6urCT`W^`e83Seo5>CrtLqQ_wY{AZ)S?I%nq zuF)}d^^6K1qZvur_{fF}dgiVuLV*dSRUc6Lu>6A4#3VH*43qgt_r~OfUH>OS|Bt*k z=ASSzY`LGG)$-Dc>qkbk&;Dgb;D-aRuPGg0GiYo-yaD$F^(<1h^i2pR{_?GaCliKr z4rfpEYQ(hXu#h6hEdQr}hfxe8^zT0?<0w8RcM_lWXMLu%q8rvjcOm&d zBJ}^r!C9y+X~SpX9mnZN%z=w;hHC3$gK?tZhP8rZ^9I+dAjlO zNoa($dK*Q+vMoUi2hHmB?E>eQp#K@foU-;kIIl@J8N!Y&pc6>TV!U2}9rJg_R*Cv* z@Q?N%NMz^SLyy1rG5KlF#uwH89>z&=p3OY7SQL|bY$jRItXz;AnW21xq6M56-9GJu z-q@t;dS}a0wlazx{q%;ptxdin+W6V-iQSL(QZzTs+iD1VOn33|S|SN`=y~s^WJlze z7tjbW9w={P3|*@e6XC<9$$cUWbT72Wqh7vl9J|)F^{{hz*=~h4^fk@Bx_n3?FjHs7 z&9|Zo7jNFPgwOXnnFeY1d0R>5I^gP*&btfu@-E=QJcg3^57_Ui?2>Y^#7Rt6>C2X| z`@{RKN#XG-3}|2##!yl=PIX;w;co}if?k@<^4=?2Ht5mXf2n6$fgXjGzy=dy7>oUy z=i-tfe2?_<{g&6Cw50$Vraxtu2bWhm2n4pm=N*6*;?E@*M+SwLD1DYZsOdr+Gvbki zG1RwN*t^H->|F^CO3WhfQdNmd7uJ9EnYA{fI%I*Yc-ZoOJtE`EluZXin24u}67>Z} z`YtpZ854EPD?IS%qU1kwR)lb+>nxtj%l+zA6B|zL@#hwVA&Vz1(wl0JLrxe|b3!J$ z4)6ab`u%G(B>7pNUs}=q(2MXPxy(@90{W@bF0`ck`Y=R7{NITV%Y&$QlU98;4;NK= ziID0x@iT=X*jAYkXdppvfkNpvx4cpe5hG$djB61^W6;P6tv3~8RmiS;d^=9!g7p6l zEb2^UqYlb?AF<4E|Hn`(LPUo+zY`zRj?8iJQodQ(lh`3=90$H-8P{!ykUJBMnwJ=g z-S3gNrvMeKYH-@wB^oUTjEaZX;#6qCgB4y8cGXG5>0qv+U)a&DA=SlY-4XGNuK z-c8P?5Z*Ibv&Wu7*0lG9-x2=E!`G=@b{)!5nhhn`NUDB89LuSRsfa*B*)z}-ocF2*{f4bj<+oIsEegTB_hcszx2SlvSz&1(1(j5lH{YiQ1KY8!$VIl2HJ`hQ z%(AnxYzJ&1*T9rVq=CRW&Y>^f`+-pG>{fR)cXeGpO}NX6+1em}ExtxHmgIxPv zg=HMTIk=vc4gp~pQpRq<-^@~2PS%hi7zHR3+bb(0fUet7%H+1~rq~ldtKWa7nomwb zGMr!qm}~Ggvp~PIvUFtCs{<B|eNH0}WE^=irbM0Z?lNYk?=NE%Hyp4|@JChK*k z^mZI$a*GA4gwj0taA`Aohthtz<jm!|N8kr9_c=SU3Pe|YJysaH!QoPP_{C+)hW{}-fWnyyS1%fA_}i5 z(z__J^nJko>&h$iA0O;?G}Ef*cgBHjVr<`;#{8lYn`q$3Il0jW7w{_dOw}+WFeV%< z>n^v_0?<_iNeMQniwZHf3oe+6Uvzcbe{m0vtvfYxBFbT{zuNYFh0r)O_OImltH;#8 zU;huhMD}S1)%ekB`YkK~h38v_pmBVBFD17!v17aPdVNfyAtB@wCm!U}^H2EZ7jtT{ z;jn_{;EaM2+$TPHr{r54=j3`a=VX2w7p-HcT&aQ;J@l%s_dOI?&6>*z`l{%}Np*CI z-ZY&skLxvub500wNbp5a{!B-OHx)#dI}Rg#yG}OJc5ukIL`0U~5w0pg+MFb=7&8}h z_QKSVJR_QH&%mahyYj8J<+J3R&0iy`-Enf4LDO@l9^+PpCzVla$D~J<6=rFE3Y}2l z6WyNTVNd%d_mJ}!ZF%t~#%FJ3sT~@8@fg+`-k%-I?Z5AkF@<}AyYB-OHn{*VnlU|Q zk*1e7kZ*}7keo=D0xUPV7KQ3;9GnG%g8r57`=Rx~HjsAb%8@<1|HZ02@I)xE&DxX- zm|%9AQ4{?5hiYpiuQ{ys;OM5;N^PN_f?QambkLkWqn{v?amF z(1MJCo@@K-NcD%3<_MaG0U-zK(`|Z~BhyP*YOaue@V0eiQ~?u|6_)y4NFRZ;VvQ;& zVuPg?3+cCRA1+3wjtAC?Qs!3RH9{tc@2N2X(Im$$GJCw19Qw`i0c2*_uVKWAG_Qi> zG3|4fC6}#8Tl9a7^Gpv`JAC;3$Ep{oOc)k)U5sgIhWdkC-_;MF<BpIBS~E&d^fA=v5GFWzFH!!u$C5VXyO-= z8soxwc=t}NsQd@3JF(cza7rjKm`zFS`)`fm1mGLqHIfuoWFi>`9((V&<&vOt`(5S4 zPRliR{+9Va&E`Ci4{6Te&)FmXxTlsd5FRmHQ48Unmvnsz>xG>QMco5jlkG5wiCH>R z0+3muzRl6e88uCx?h}#w1hWqRDn;uq|1@>4djNTe@Zxj&{>HgQJshRk9F=opkBB_X zYi7C5i9AHbC!EToXU@k|P@V0}xZUsO#d2e!YEBHQD&#xhyR2{mq$|RQQBCOq`wwWX zi8xu-0oxvJkMOoliZ8KWk$P3E!zXi0+utqv|) z1AWAbj&Fnb$7M+_K-A`?VW?RRYhhP*EQZ>~VnyXWC(nCDd%gem8;JgvWode{^zI;A zid*A&wN*fUOym+m_G{1nUZNy(Ya+n2if4?Q8#CSBW;;yhQZ6UE?Z-Y9YmR-<0Mtp# z^+#;vC6%n|4`rz}#Dujwu_k9!IhxM%A<|X?!ENFRS#Ww(Agjbx^}QNl=Pce;R;EWD zvO8~XrnH)xc=H3;7vBnTA4|no@iR+jp7I9rjXbE?gNJW|hU@?>`+j!KXZTa*IY9ll z1g==Pga;X~*w>m77C3gNJ~bP}o*$~}qsJ!Or_7oj>h(*8;&#UC6N3EywAA9 zhBT={v<+!YR?5{jRpnXd4^np`flE3kIXC9(Kdx#SCtWco%d*MG_{+#gja~Suxe%n* zb=#%(2Fy(6=M8pF9%Tclwq0I7Z05#*x>$DKsIW@sIG;1z zyOwL&B^^FGm0Baq5tmT_<>dd8HeLg3x#`Fe9)b*>H@16+YeggdzrciP?OjHv-9nFO zga6!Mr(KJCM?d&mnj8H|Fj%Gb}V=_J^_N;$L5U(Lp4`cI*!(~v1_o2zdlM3nFbX=b1LQ*c4E z?Qg57&6npBW17o|U8(G7O6rBL-cV59yma@ja1#hRuGY^v3yv-JC}pYZ`hjdYuK%QO z_e<`q2kHm%8d~(qe8Ax;yE?br7u9`NSG$Jwhtis|8>`NoB6!pjiE>ihS?}}nqf0fz z#TM5FKy~^An0C>v!0`*`M{CNx6Zrmil)dp}Qj5eBNE9_`oO0n;{SKgBh`|K=1@i`b zk3&xR-25ejIt~Wu^^gyOm^vW6Vs7OJnR}8yp;+WqjVbZGxNF~kUc5{XchF|zeJ#0u zX!$un@X|7+?Y$8eDb4lIH4LFuLhb7w?h9?k-o7(!Z5ODwo|Jc=B*?RM|o{CXKIOB(Lfv3!cM0K3c{A8)u?N5%JO1EN_ZzgWt?VEu3g&h9@!@&LOlzj_|FHm zJLET~U#Ar?v^A%{FOrzXkRzy?5I#as2+SRtRI}ie2tqT0lQ1MuYqh;OoD+$QVC|D5 zrge8c-_h_GHdK^9(2w?s{K~TJ&sipUplbWwQiZY>!f`1V=`Cpbl8O%b; zu+RTx^zH27n;18_5LVmk27hxg-EUnL<)N`~K7b0P0B^i4g?YZ>e;4*w=x{Z~IqC*a z2MHGfZ=_KmA2<=LfZxs=K--BcByc+JGztR1>X(Cf<6KWLL@8Pcv>%TXvy(YrP?7?4 zCgOjP2J@duLSi?}MF)Sq-R_C4r<#r}$QH6`L)fit!0rrzMmMyuZEA2tLA@g(WDW-9 zibZ-w8UNM7npU*u^_C;RJWTR0ptBvNEkf@FbE@yQz>m%tMn0SeMH0H&1bfByEm?}R zX|OynH9dlvn|CV40#0SA-G<<&aufE*STP6JTzoC@Wloe0Qf1sg$rxV8a<9^IfVsu^ zpp_pxNp<<=kDEIzJvC>A-_GN%jIhgyQXs#m=bC3tKM?dr<={1OK9(^x!_B2d7n0gB z%MSLgK98K2-q;=;R|yDfQw-|!H_b+FJp`N(C0}rL`2W~8>PXW9Av5v4jTe)jfz4D< zsfm<{eIHvz=J_Td^^?7rCH@JpPnCA0XET1C=1umoVqtQ2K5Kug(h586I|!KuYNS~g z`Sh@y`_!*XSM}lt_t(33RbhQwsd=1}R{n-2oy%*ncg|I=LkJLr0K9H^%V(%tC2)9) zV$K;h5;VN^8wLQs==CT4DbQ^;;#}-)VE5N)LDG2~veE80zR9=Ri63?XoH6#}a4GpW zasVLpE};VR?^tnJL1RC+#LJ-M4*mn*uw_~H4n)lwf)hQ)jEmD4ag2%Cr9a)&c066P z={}DF5uG|0?!tKcq!Vb0DUwrF?`0dyTeWBWuo%769o_eGG#2j}sXSwi`3t$Q4d|*# zD+?~J9){6sx=VZPOziO@{SwTETQ|>?(AU_g%@Tkw8n@c4@|V!Xf~%oy15YJ=Y+Syb zZblNM+TzR3F0$XM$1b_w#yS#xK|jJiZN(px`{tYsWMhBroE00wvl*pv5QW&1NmmgB zraU&}Wry31?pt*?Y~#y04SCHvw>aVAM$uLEY(J!yZCjT`6_m2Uii?H5v~F9A5JP54 zg}&epOo53Yu3F%6C1>bstAVL3V#pQwF{;c(Aw^dqZt>SgOxp7e`5?}mJP_yS%l{_rp_M{W?CHW$zq`fX5kWLB zcp#e5ybz@ud`J-jhf{4?^bK&Ug)rY2-@vMHlU_m}gyBp4zmhg^* z=d{9VdYB`a<@e=N8h_M9`a(3fEfIvjqxmCVKIe>WWA7XY(W8&$ONeK>2T|(kKK0ut z-Cd0KL_yoa9}|(=F8(p6IR`$*{zubO&n+YYSn1Frtj-TK8CJN z5$b9H$%=p71etRq@ww0AthJd>p7F4fV^(&la@1sI_y7lx+737MPXu}ROw0Y3Gzc$d zuL=1+J)#4<{DeBHeqB0QS#Be$<){u{En;J|Ue5gf@~i$!aNOgI&Pu1X`hy_%PaDoA z)Vib^(?)ImtM>kDe?7%Wi8`fB?yp>nwlKeoqQyND$e~36xT_{if0S;Hat|@zf=rEU zO%CJDzUq$5)0FS|k;kZ5(BCJ-o*mhkEG?lm9qM1~qJ~;-EG^|rN@FIG;&GlLR^(6; zRyT%-@~MR>N&}TfU;p?9())>#!C9Zv%$g5ByS~yd3C(vX3gGy&C&uE|Dha4jb*Kvj z+A$!qis^ z9xQ!Km8a239KKL>&UuabP{(Vm7{&CZM#JMmX;*l25lZik(`=4XyW*Vo!r}xn$|Z~f z{~jU+S_Q1}rJA}hbY}}B9t1vm&`$#3zg2TWYLN@Kc&sd)1MTB2R2f|N#|Eul1y0z# zBa}uNjAI5^`1cUP<;V6OVUP6tH=<<+^!pcxvg0L0px(*#CgsOWd}F^Jo~T*FU8-Mv z^)2Xv?(ezI+z!%;n_@H+vDq8EPKsNyTyc;w2)lC^t-m|vf9Gep+|B=L$KOi;KdTfI zQH!4f>7J&ZUOBO@5~dMOOL9Y+_NdQ~eKDwi-UT_Pc0Z`5GHFaCyLI7NFX~oglPFzx zziq+xWyLYo6Rt?Qa-gdW6MwPtNpm#J9&67}f-kE2@gFB!% zIQaJZN@s1JmSl#y{KeXnl=(>YVA`n&ZQrYIm%}{09N62*QO*zcHdnd7x6S@Qa-()J zevpplA$FXrj>>Ffy;j`&$#RM@n|cx$8|o8`IurSNl`k-?&*iXfddKobqe-9$0GDVM z%A|$!>;b#s4(~wqMV1!6ed@LszBL``1pfwf?)?NVrpewA>K;jRhcDw%b7>`snf&1l zw={m!-He&&g+`Zeg-7r6zl%uYaz{jtbi^Uh5A_^NMsAW;&dqNP0WW$f_Yadq)%`G= zWrVThl`=*G56s8|z2Xfz2)BVAsctf&fZxCBs)9VhP%0JWn3->WJ8XVbl4(NANbiPh zvvgN%r-iT#_Msk}Ng*QP;HruCW|c(U$#&8ypZSgm*s}w7{u#P2zCGQkeEmQ(=@jA& zH#q|~L_o`#e0@qM>6G@PvE0hB^0{1ngUf0C%jECgxfczG-o)zm(E67|)4~lF2XtBV zA!g#!`A*cmM{*^P=A0Nzq34kt^(85+ty}sZ3TE=PF^;@GtD9Oe`9b;ym4(W*r;;H;25@tIqm`-;Xf1IK9ky*81@ghOw( zUW$Yh9e#S%z4|e*WfaLZ4Y1Dz9Q#9#9zTNQngrN~A`-trOP7q;Jj4<{7mn%~Mg$n4 zrMF@6c#@l*zv@2yifH6yzwQboP$9hCrw?e8!~bRcUp{eUTQ#)wXFd|sdxk*a6u|!f zf-|vr5EDa9eN4o2EdpCckm?{`HeVhC|El7HnK~H$dn6(a-$p%@nkvG1h?JZHvet>@ zGR_nL`i|Pf*TZKR=#oXUmRlE7mj(5YlkMjJHj@V&DZ0O{F=IAUc#&NPKJTk#r_3G~ z%WpY|RSTCuuu!Ip_HzYAs6_lM>PCKL5Ov2C;4|J#t(6c89l43zDsC3>Z6q=wQ8c`v zp51pY^R@fn=b{X4ga7pYmcc8u-c7kCE);{5Bo~)%IK!C^6kZscddAzCv`_i@CJ8cO znWrJT!$4{f>n6D$LL@uSv1?y(8^T)lvpwA1WZYtn)9`rr>{uZ4@S_sQ}C~;2TY7D1g$_| z&M%m2M;X7A?CXeq;Z=lsW4WzWFK<=+yTu=K=qkul2K}v zf-)bwTwITy2h-)eP4Yog-Y-l8)$fx3SayICF)M+IR)sjHLB0Lb9p#F{EBlXLXLt(L zS++sGcoS&OVrv1Cw7IDxf_7+_O*E!sb+j)}5bxocJ`3i{Zkz88S6EhjC0sIkw>Jd; z%c6sShb8R|T6ES{(!*jgoW)*k8CFG1r>?R7oEF~H!=85ZH4=NS}$jiD<2vrQfz7+%QIKPE)+G4>( zwM=S)a{6mb&?r_7?m+STH5Rf#xZf%tYQ|e>{+EcxjC#RI8T0sjonC5rSrDr(MNDNC8fLLWdJ}bc7x1k~twcWm42;X^CR$NJ&JW zLf&}}5_c(iOh^~>#UYiy_7O*RR0cc#T(O+z8y&oIu_F0t)xfG$!PocoBGR#8DD#*f zS$126hT?TvkMK_I;wl5Qq6IUv!Z}PGC_>aIzI7pqTM-#`!$Vdq*{`;+t-_G`8M?`p}IA!Hc&mG z+o1DB6bIc*psPK;zZYT1)(aB4UL_JR*wOa7dMTndP+K_MK=M_?BI56{fN-t7BGv_3 zqfAiJ61G4pZl1bj(o<}KG{&U&=JdpGLD;&8XAb#qife%O5efQ_&y<_r6qh{1jmY{t zs9x-4p2;CE#%ph1+$MS;$2ohZYNkr!6((-;bZhhc%lqGA$M{C2-+J4i#dG-Zg#N$n zk$U6*0Q2bXD(2CZQx-7iu=PIpiOe!?tTt$GMCr}DYrN#3HsEC4XMb93$!yfMFka<8 zP2-xHENKIbo2Sd*E}IGKn{Ac5PkPU0u1PD;iF=C@OoTRfmpLMMzwPMQ`k%33w2^Fp zE53c-Ww+GiHEDd^3lRqhEXy#M!Q|7bBp02j8%@G3u8;2T%e$pqToZm2A#jLW!&dOx_6y zw|u(9S>X^>#kE2eMeYyrNf_CAev5X>9m+jnG5$bF7vqEEW`gXADq&bM=2-Eb?s=if z{%!mhX@j&p=$htN-iV#(!4u-Go6<3{zXAheuFDs;rfDg`PX&*jsoQ+q%OPM35=|rX zlEwnIJvCKl4){I$5)|DeKT$Y`*yrbORTgvXiS)PvB6D&QKZS994NkvlH6xct`;VtP z=6q-*IE9M&w(+m5aaM;2XxzW23S!*9;I~~yE#fG-Jc%t!K!@CY6el7j&Pi0rKkX&1 zeWQKrD?=2`MyWPLuW`7E&H6GJh4;;!`Z&wLNXT@CS|!)LEQdE1MYAvT9{)9$p7{9!9&@cy;R zpZ6^^X#Lh2#;NjNI%Kmt2HJYo8rc9wGIr^o-h^jg$ZG%edhEO>%@Qct=peA5?)Pgm z)PNYhU`1ogFOesO&z62h*lYC%2-UK$0cEPB@N3)htD!g~oV1nVyrI4A?n>W;>&Sj> zI?(;on7`&h@}$GpQNMi<7LIN`s=={ld1U7I%!4Fm^^Q-~l_cixU9nm>$UX}Ux6N;M zv-ig6u|2S%O;~V}+?3cDC@E=22)&aSx|zlqp6(HPH>N+ZDCl9h82}M-k@E$9Ovzm~ zX{&a$K??tdOZPN?HY%mH@=%_|tdYCDn?B^!A@C7w(q3&}Th+YCr1RZ&ZPLD|F|r+IFSM^Ib+F$);*Jz6z0u7~Ea#;+u$KAaC}}4rz0pI94sn)d`u?mM zh2O8Zbw^7$#}hp?N2HCxoXgDo$0A&WlkNbYzkiHa?*p5Yf*1116X~m%dz`3()mKW| z(qJ$~BB?qqiz3o~U6Xh2)!0>3 z2W@K`7tRNXZ%wXh+Ix#x4Zktd&K3q2K5c;AzQ!fbCDlUT!p>1=TumFZ3dQagizNU1 zSO8|)8C^qMRY`HHZXgsgoM@RtJwdQs^Ry2slFcti7$|=tPd8ExiHt+llv=xvnnSBr zvr}s7B30rVukBatot9I*5$HTiDkp%6bNE+g*BB9ZVX|Pf+dkTsjDvXvFKPp@1{CP# z(^S=BYnpr{kGJT@4vg;ch5nSWb_Dqubm^181D{tNN9XD3N|E?0md+7GD3E?_c$o)} z>dwj#2f%sT;~Iw3GMSAx6&66NPi2x? z+JRKX?I|R#y-8Y9h|=D}ZN}Bb9!q_R(!RkQqIY*%%l7k0;T2W+YfK8|>U6c-d5-f_ zN1yfVOALp^tWAFX@R@f%U)v)GT!Roa>-Sw41~`AWem(!)t=9GIPkQbEQR?_<$l~u; zBH0awev`#4#QD<(I)!zmO13(wB-tT{0Uy!y5 zfdFV<*R;~@s8FbY@?sKw4OVW8YLfM}5WRXrF*kDWbo}HuhDf>J{b>U+XV2FCfB4ht z=S7ToKO4nF<)+i02>0)mKtAi{QoKcalBPapE!_YRj+G2|>N1jQeJIa}xu7cSnw`8C##J5bu6Shg zm4$%XWy-IJsLQ6pka|+@NLWj#bxyCWN@aC7OCXVT#=OGd$@iYs-SW4pznwkjP>NlJ zME8BPnyIoIfN?jqKbsQrrpNDwJj%lv8S$k31L(13)*4!AH0nkLjcK|ZT=h+er6AqU&>QT+4P0f zvuL7OuqaZ)m%;k>qB429N~2J{$PbMLq6eAwnLR&boZ+QA!F&-2`htjWX{=UOxB*+J znM+_Q`3wz_Zjo#J5^uy=&s^%ywq{GA#=g{xHeb9KZ`ieVw=r`m59(FSGX~#n5?gOL z{B%RR4gu~K+LHKg8)b{^8V;#!$xmxjcuZ)<1vf zZ!|c#<4gO&_TM8=bOn01>ijJxG)6JW3?wwHF65GCiRE?GNDL%?|Fika2>5sNSL5FU zI=0+qiH~VBH#vC+zgox7zW~q=-llF|OuVdS(TQ&wqIO9VS!3{Mm){0qIo4S!(EqO{ z_@ufB1&XlZzpVYY4h^iHw!5$#CII_E~xr){x%Hg5yPM>=7la<8QO9rQ&P zO*R6(9>tgIHPrk_Wwn^qLDq;RW9O1=zQqUNC?T6%4%}I5_=6Y<^iR4zXE@uVWes@z zawwOnhIkPbK%QJ-^zXO$E--of0x8zv57Ren$~aZUP4X9c5lQs ziLGS(hkGj;Zd6gVZ(YMj8n>;0DuZ71L|J86p*u`6>wR*#|I(Hi!sdXU z{FI|DGVQT)C$;2e0t=OH?O{O9e;m;%!)|WkWAC{4M=_U7ekh|lW4<(utRT{kx$i0W zEe|2qOKPiMbcAoe+x8%jgewr@PYUt>U2Jk5c6;&lfx99tJ2wqY@kH?5_HO$tuL+Iz zG&&*gJ=*1I=gAA=;Yk^ zb^0}$fw})W53wQ|-;>=FFXrk{xyiE9y`Ky2&|K%M!1D+WgmHXu#5#ZTML7Bgs@Z&{ z9Y|ZqZ-fsgRk(`H96a58R}|?z^9IXbnr#+)o^2L8Nw1%-mnU!fHD)@%^g*yF%*@H1L^YQ%E%hhR zPx)WKCaSI}mkJv!hxL6LYuP1*NnrUV-Gr9y_d3tS$x|^V#;d|?^WWI*Jl9;hCS*Mf zj7n)l9pzQ}`Npn|nIqz_34Y{z0OV84D~T~DO*7fN4JYAyof+V&uNm#M+kiwZ6YRhn(fe2Y4L* zVM_VOG}=TFKk`cpe%H6x^u~6)4v19EKwg-rA-FDA@?TC&mS3rx9kV*n{+Bo+uRX~U z)@L*-cV@B_J2B}p?7{!jVHTB`6Y`w_Lfwb>niHD|h(~X>1tALcr%TN&9KxdObnT&i1ZMKuE#jD?7=>xyKl9FC=~r!%9_pJ) zZXY{xaUU$0b**onZ3(2CbuFNdpuTTf$+~FGUGn~1l6~VV)o>}-lVf-l|FI(~i4mI$ z`GpVhC4Mj%PUV=d$;etG;-gkDYt-Md@`ufw*n(sV_$U!&P+X#-{kH8rU3Gp&bTvk1 zbhZ7pm|6B&diu)@EVl4Wtff!6LqE+JJ_y?|uqfLwl<1Y_Yy0cj+pl~sCJ~?wAgA;Z za8I%e|HHhrCSRnQQEKQW^|MsB9;vugClg~jqpR1~Dk;gB_inv{{@c#2ccg0$W{gkd zv2XVpA>bWCX%arZMp(o=Nm!Jgob8L}V~GW$Yv%cgseBp9eWJ9~hJ_pOgUd|!e%>~p zB17D-utVk53_30gtc;9GSoL|wlb?}|B<^;3+qQaCT66OQy!^oq@D0a?ZxNvu(gC63 zi$TEP0`FXN{fooT__k0UN zT%SZBk;_6G9zkYHIPZ;QI2koYUZGg_&u@vPi@UsNd+TTR0g6B!Y)-viZ@jVtXxOj+B6*|Qj)Nbdi#=cVtPp{^sm`uk>>)9(S9~2! zw#klrcj|Y4^g>d6Wi9@UH1c}O8hPggOW@3Y!7zX+?WBj3xGI@kjLBrgZ?I3ZCnwsn zcaaYS!Q^P^59;l+OLNQ=b|`98DL>N$_GpM5h60$zea{A;c&n7VwF*!%;eSu@-2r?xqi(NKG1GY5 zj44r1$|$8FZyC8Ur(R|5g*e^W7l#GivEeB?Q9)WmRqxowlAYSFQUiJ%h5bbggZSUq z6T`V+^?uJy#@N$f-^(#Aqy)kEx&!WWFx2jbifvnCjJDpbw@;92Foz0+V~k|%jShIR zJleOPHAzEk^vZ=C4M3c>G#q3s*u*5$5LdCw_^5oN2J0ixf$p`s<)O6bAX@z;o|?gS zTMISoi9V`@YO7WsBc{t|AVhqf&PjZ9P=+@+T=erA?347|NQ<@|0k2RA2nM+t3SLTT zgo?QB#4QamX0`;ei&^g%5AR19j~kf|2>LjV%16a?nSRHF!VMTquS2Ui4mYA@4`gCz z_WG6=s@I;>C$|NUIL~LSG0tFHwN(nzd9HCR)6iajCw5t^EbDmM);04AZ8-E@J89ed zJ_)S@Ng|;z3%!hHjfwCN6MmT=je!#H$M6j9Y;C z^)r8r^KA_YSm*;g;L)}1_vt7_BwOK?;@>NZq9v8M z{PtsdpcS>0rS3md8Kn`eA)fVPdi=*d=%Eli^K+dg$MzI7B~(L%I+)!|btsH_n3m5a zuy5Ue`7tF>_z)R8#@L4e1w<$Q=vJp_d~w)dsYKsqsib?L zeeW@fDn9WiiAflANlR&={p*r`8}n4r;H(6EX`u?m1Pg@HYFn%^yAyd>TQ+tstLH0B z0ly*-k?;4cISOJJnG#&50#P3xDQWq25#7$)xi#!1E1++B6x2hk%j~$j!YVyqDIV@l z16W+9`|ySSc_omG)R%7p|a=;MOIwPtPb_( z?iFF`aGiHINKU99Ny%w(_sV!lWk>J&Xi|<~>9Q2J|15@|p6sKNv&QFDjc8K>@6&(# z;;g?Q=J}(h()SWM2(yUvKY^2@>V(8Ri@$T4r(b}8>@pDM;eUis*^ zsum0duQQ_)g}2}#+U($^0>IiI21YgvFGE&|^+tSq<=*h}5%ZX;s(4a5TjnbTL9IlP zPyU*oe2DaX`vHc`SK^&u@1M{9nCxyZYrBfn7mUH3kg&4@t=r0o{4tY5t@Z7YUN_#3 zWBd3>gU`{J0aSUIl zqm~PD=)yuOJHQ$zeHflK(O`@DPZO%PlO|WQm-eC2{!1W@pNDQJ8Re&mDWns_*K_B% zr;aA0%Au*QI;&ZL#KjMNvmld}RmymPrCcGTsi``9$44mc@ggMTD^-IrM>0M>Z1MU# zfkogGYQjUnDW|jj=@90G+4mDp%?~^Vz;+4?btcNk6qe^%<+2_lBr7UUQM5@2Rk3@F z?_ve~&tFupB?iyTz2im4iDKF}*t^mNGdab43l=M=y{+5P{H?1eeh$c}!^Yuky zOg+!>)$G9>AgeLU;g@$zaYjBQ@)ICaz5;_Hb;?tYk;is&Yd$8>h1xAdd7Twhy(s){ zFsRj|=m2zBs#r+@PfAJ+Lb8X)P!4RI$;>c4#Y2pLop3S=JgLTPGYFwsmGUSyK!SFU zp)AlllMQ+QuK!vK=2Co&TbRzvYVun?<#5?#<#pnEDMdQd`@ZyP>v8XmU5Y-+EvN46 zG3WGF>IdclnUd!puC|}*OBo0Ro=tS!xV;Fu(9-E`T41U92+aBa?E6x?S-6*Q-}H^# ze9-foEC}$p5D{8w)+AKFCqD4-i(^a51T$&cI4$lZgr`3p8IRR(`^h)E(Wmk{7$iEB z>e|-Q8mY+t86*Z=V{4WZ1!*wd8E zMkM_ajdu3o`3$zG|1^9g+Xq4kxvOX!#g(fqihwBJ$tS%OZ_g1?2Iq+Hq@0#4s6&UU zLFybIw!_w}hDmkVo@8JQbIy`PJ(JqbGU;Obj6t}~sDViD?J4xZC3YWs9?zyy^Bx-s zizvcFaNpnZm{1p|m!XjZ7{RN@GkaRf?U}-8^?YF~r@6-x#5R0Vyb4-Xp9}f~fs^x8 zyCXIw>2`AHYqUxh!2(wsg2_%~_cs&8GMVp<(2x8Yl{z4nCM@=hC%uEdQq}QevtJ~w zbrGf`qNDs(vm^7mCQR|eO)~dXEEwfJD)Z;r36tN|fp&Pdp}z&tI2jZXYLa6khA>(R zZ~Z=ks%(nh`Q08v?3NwZmv%whRGnNK-RrfEHo3O^e@F|n<5#S|VWrP4W9j-*nqzn( zy%b6DVh@=pEn|5HD6C_7Mkp*(coZI0jCKMoJ*~eK3DFQ~V0y@2iMLh5vXU7{rtpIY z4{%sGv);c4kPDrtW=Yiz#arBY3bJ()QlGFKuC##=^dq-V7xw(6{t^orekNa{44x43 zWpYK{YQb5uSZ4{5$EQY_kDmy_&Hv!4b3OTkLOy(d%*6^sw~Cgu#Rr*jH;2s2I;Vtg ztR#rA{Ur$$u%hbNizCCUR#^^)?LT<0iXae@%5uPTsv^dP8zm;n&t#cT&(tF(YS!fT z7Z;P+6U%3>cLUAa<@=obws$&zK4|Rs_ALbME#x1zFT{|@;wwzYtP3Cp_SIP#F!+SL z1!D8N9RvDa_G$5zoW9_GdoIqscM-@J4YBFoaPUvvO^tB4eP`f!{#sbX+g$Xe=PSO( zZAw~zCr+-7aZl(m_G04r1(Jln>p5DzyQ@6(`>^p;?c|BS>36J%QKjo3dcO#%NQqN(3X+PpaYbYU&zkUlVSw)jl`lh?T<6Ma4NDH;;&Rv z#owSg&*Sw^S&2_;(AA=s%q$t$sW%_ygj%==p|BA8{D{mym99vvAzVGd%gqz4tLtA4 z_+>IwN`HaLbJo!uIn%2fJcrWCKhy5}!F?)Glz%o+)N?lRM!_4ej3W3aq50?5f4nu^HGi1D-f-B zoyCUiuDA>&64bEc%mQ8t`e|AaZ z-X?3kaG8EsQK0gU?W$<{U7R3m_K3YQMCHx*7)x{E_M*!;naXdIb~LWt4pyYF=C3%f zi?l$Wr_S`mF+Gtr0qyeLP)dLjnc-!Wff~IA$mKz()bG|(0muu1|7dXzDP?lhi&9zF z)=QK+=~qXEkK$ouQsMFnQCK-oz98eo;T77=ty%d__xfYdyDg0W68}|lCOEHPj3jr& z^kNhmlsLimV)RkhbN_|XpYgK7uKmk0a$=OGo;zsydAenSKc)X4@juEZN(!mWgut33 zy8NJ4hDh9}HonP+ZJ_}isk63a^AEJS8O|#sQL#d?tMuY+19n^!C#BwNNPDH;oqhs> zNYK{Nv#7(eFE+|`HwxEh$6q+eQ>sc2Z^a^Yo2FOXNvEZlEbaY(3)Kz!~WeFqX<+ zD_SpEIpc?-#?>uuKFmtu7dzBRPSR6J2XjqHR`Z%8Ic!Qz)?|Jq=|%h~yg))1%0}=^TC-@DlD8iid*093b|CS`ern+h zPCK0j`kI8riby_A`>id<@sT2uN7mvVCN?YEaj-V2uWhFtrTk!5m52uuz8_VE>GE-G zd)#GW(-iHg;F9c`!hVvEliC2*W3QCO!zVfq^}_X(EBzooa7iv+ZV zF|6L~S@M5u#K!$D4!XFiH_f;s1-Sw@7LRUrw(4J7yo|gw#O*r<1La;dIkij?b4z+J zoBl%f&hlMopt*IJ`~6)qhOWs;I78flxanSCMi9))1p) z+*0c0xE-ho4Ex9+bVB^~gQfK>etiG7RoS9I7}O@JS-}-uP|+DpZxq-8ybE3l43G zZlT`BwPDZrnsEyPmUi|fbh&c`&$yTjxc$-;$+0_@TV{42@L%3>8^Brp={C*>uM?(w z{wjbT?jfAZyhaia0M}W=w#_iK@v~6a-B_UW*Ul5DPV`Hh8kd{2MwR-)jm}=(^xIR+ zE8Z#HQp}Ou!kf zTFxdphUgLZ9Eu=6A{OOb+b$$F@2H7l%)}cc7`kp_fTi{^#|-L{ua~@M9d5sj zZDQ*NRXs8n{|LGGL$6?_$sgA85{0s@S722Gyhk0-z<_~kJNC1{`J%GX`p8CO>mijd z3dP8l7~D8Iu=>o$j&f^PGuP5IW?`?K5ArjHbN)CKS*0$>qx=QWd3BZzYp^+2Zpa|w z5Qw0S#Qd}xP+d0T&A})Gz-&c}s1%UCYNCv5^3 z%qEBV@Y{hOK8%%k$ya>AkOY%)zmF&BZ7TaWG-@cw_aTbk&BQTtLf8h0-Te5slvpdh z4uhM#Xo{0?${j@S%=Se!sYs3Hv9IUMj5iU2`tw7NHv6KRfemLOihel^>$m80+nYTd zzfXX5U-Iy3=(k%Z3SX=#Nu0nO2CO?I7{&3*aufaf1hEsC7>DFI*DV=#8F(!ea`}5U z;CY5E>w@1p@<9Ts)aeagGhX-N;BrwP(K77s3i1II8Pb(yX#a*cVZDG7qL`ZZd70fp+ z1djx=PIUKD0n3<=6DEk7M}lho>3zx5N52icJHVvV=9uuz$|BZa4$Q#MRIWCB{t7)W7ZAL1zPrqY#53eZ5UWsyiUb+~DRgf=b%WH-;F*HmhsP-Rd{Y^9TmRO`&J@Bv9 zYF)etHDr8gbaTFTMg5L$B^=f*sdEA0dgrQ&aru7NMEu?9(NAmsXX2C)P4w(-fhl#(moLFmmv?!REvLp5SkF;mNPiHLUnSdWQ zSPpjQN*#pw%b~@a-R4w;2m$?Dwb%1wDgg+D*P-OOi3iP*n<3BoQh=zy>kxIc3u(g2 zSofgO!hPoW!S`Lk8&`1HG6J!s6z?ZT?%h&w1cz>*LgwGEpqux#YFI_6yve7WFc<&BTXCaY9i%E^15w%D4-d+zI%?U-vg zr|~E}ViGDbu2y?>U8z>-du;xd%{%Wosi?ghR0vD;DeQSl|7O!9Ip8AJ7#L3Y>}*WN z?Fhb~9p)9mhYoUQS< zzXK4%XtB-;2Q3;pT{ZW?CO=p4mB239&fa>X+w$9>!}*1)JVg5?LZu%8_p-D!Hrt6a z84K^Kq`U91Ke!IcEFXLS^x%4`O+?@v@pDn?Y7IEkza)w~!-aPC*x+v>tP`Kot;1`Q zP^Sm4BTo3m$5(g$wj;8cRIDFwHy~Bjz8eJh&${Qek9z*spEm%5!5M=sP$p5?)87z% zT2#zZDt26N8N_AArkN3_CNZx~>BB#(e27jh@#1O%7t)p1;cw5mffc}*Dyh@>TSog) zB`37SI7hu{J@N^w*LJE7XEWEQ1MxE)qWg#FcH;tlmGw_XO;D02WYo&**hkGMaACP_ z88P{8nf-jTZqyjYikL9;$38ZFSI|-;4vKtK>7G#x_!2 z^!EM{*Yp&|?%G~)y_UJ@^b7HY#+JRx$gf@15rA1NuMG2T2W9BM*!{-+&fPp-Oe8iL z3X-2*!JzG5x6&qp79($iuFc}cNoKzsLB9eMy2C<7o$t$TYvblFt*PS1i47JNdV625 zdo*@(Ew4j_Ow(ZwojeJ@0{0@Bqq`8!x4-ls5Uo4Qjz$feU;FWw9$uzVLHe1N9;nIA zkS(a4037IJ(8{S(?R9igL!_j%Xj+aNgez!vN>OS45Q+Rz?c7{b-ybfQ`?BBqD@}Tn zBnmaq$QJBkbc>ZxR~7X02R!9wsW?OG5o{9B+w{OU7sIqV(-{sma0IUJtL_mmn^3tGb=|WAo#S#wXj9k&fMXp z#jJ`4=gh{ON*@X}EoqASiH~#=0pC-l;tWQ0u9;Sryi>LFiiW5y)!^oxx$yxaw!t!F zamL6o<8<%1%NuF7r`pbIa7(QP18YMoqk97!WHcpdt>M|ZjZU3!ejXH%6`?$nmw#Qn#4f}W7@s{KdfJkKk zE{1vw$>^F!2|s~?4%l`6(Db4X^egml#rz;<#(UC^l%#mlh2y6C*McXMXLO&&s#?0f z-aE;xgc(jpmL%c(yBtkf%$L1({fM53;~|>Vz?-W{zn-d6zKZ>#GQ`)%tAbtk;~nY@ zCoyxiHACN_pLU|(Z+O=VQWG*zGy0?s?HBH+q4q0g-S}Qe`#C2ueS)SjYGSINTYDID z^F3z!i+z9q{f2Or72Nq*)gZ?myp0n&w{Hpfiu{>H30 zRVB%sw%*ohXIdrknJbLWjU{(1dw!t~!~KI`S&yz$&DCvm{HlQbSHD8;U%QsJ#8cjR zR9a#rEfD@{jD3w^z zU5#q(lPJZo|D#q;eR%m2?@ss`Qfkjw6MR{ua4zvPDcUrw>4!xENuBAfjrM_@jJ4Zx zQ@(`@NuAHFg7%%sDE`s1dJVIZ!Elf@k1e~7x5r)Q=Gk4)pzUZ5LoUzq=fHsjjvy{8 zk7y~~=uwC)pqp`pyJ-Dz+q%PNRTVvvh|Vl&ITvUet#YTm=u`YAyAY|24o$nUmE%DN zJ7Ax&6hl9?E(N^{=l!Tn%jeZud>P%-(h<{Qk|KMIGLCJqliNNq+TsP^_qR=1-E7}8 zU3A|bK0K7RKb(%SHDtP|pQr|I;<#;oEvD8>-982aNJ*<65QVu2iT;Iw9ySJzlO?%+ z2V&AHRqb{`aM1U=nh6Jz#dc?3dR$i8Q^ke@DP_4U%|9;t{67ZJj`?qF8do~&-D7T=rzU9?B9)0pD+imT~al8DgC ze4y1hUao^A4(!aU{Cb^PQy&aERC7D|{ORi#w{(wQyD`i&d!z(&Ednr$xImrjsFmc{ zUr|KlYrBF#qu2-&*NbLXD8L8B2n_MTfbMyePDDrgM>UdM5Q@w`lY7^okfc?i@kiT% zL`3JYZ)B%A-3X)j1|zm>HRxSKb9@A}@S^K9E;D*EEi4o3T7Z`Hk2Z)b|7lR=<2SZC zwCT`Mxgm}lW?haRNFX}bovU6a=$sSLnP3k9>&PyN;rMXZYos#p0tFGv_=b@);9`L> z2Db#Thkw*>T@W*==8DQ83%r!|Muv+*Xut^WCWD?#}6{Ok0mukZ^jYYJMBjxFA93B#A# z?}(jhKAJjH{iz^Y&MU>?7wGqM@{trCFKYyi1?jvBC@cUcX3Pf>eR*g%Xk^r#a6xoT z-8X)Ij};dgbPsJXkKh#Lgh5d*3HZ$D79~JX9y2%YN4#HHIKKFuzZ^Dkb^I9Rmu+rm ziOK@cr;}y53ow8{?x^V~=^iEmXE%V{;r-g{+m)WO=G_i0yS2MKHz z8OMX&B%Rj$Hp+E+`wzdG4D1!NZD}O~shm&Sh4y=!PHrbBOgrOX&`b!Zz>Tt$?s8AD z&j(yz-aTx|3RgaTP@}B`ytr+a3w%5En(2k z0K^0^;)of#V@(eQmW`fE@y)efy@fDuGAjsuO8ItJYRy8jQ967TJvC;}Xn?6eS*BzE z{nz82$sF9JzSeM9v1```Oe}v0C=<*>H1=LaZ+;`i^C3%`o972u<~vm&w#(^BQk+#m zlr%k*wiC)(H2xmIkV<%lB*JeOKbr9v?V)!9+ z;j~=wpVKc95i$@3i2CmQfEEjy!zLF=ty5W5@@>#b9>}}ed+5xdQihLh_gn1FZ+EEg zlK=TQ7m)(cF}h$b@OABwIU2`6xQ1*K&td}`y)=k|t15ncNtE)MHEV&*`*S?KcHCdO zzh~=mhYNHhB~5$>a84=Dbr|pK<}H=rc@O2crJrSVP>XVv*YtE!>Z?k@iyf3#5Tt|t z*rB6y9AN&KUy8hdx!+luUk@_j$QWX6#1eEhq}brzFc)3$9GLk=XBLXoXv5@&Y;q8h0 z+fNhg1iZOpRevCm`$?|cZWl($@JHo98({IO{O-e+k5S)AHs)X53^A230)GJsvc`cFCbf3sPOq!s&sg-k&Rdz85KOdJb02pmZjkcTg^=9;vtB1)nx3kuo?x#5@0aN z8OjS=xH7C2rs`t3W`6fDAcQl3=`VDzrZ;+?TE$B72w%M<9~Bb^-*(%@7(WF2+IuNj z5dfAR-oPcCqzc_~8Xqmz@^Z^s8>x4F=(gw2;AC`N?7jnVKbz5x2Wkjcr@6!JnZ8?I zSjDkz@?5`A>=T;Vt4OyT0Cj_&f?SlNQU;*oz?X&Nku~MHVZ@xNv)`Gy(Ql|>F@3rU zFu7KIyj42V{O07CP(`izjk79+Wq8NTK;JzImZ~@1pbm1f8fDz-92bo~*KMU@dIJ!m z!K03{xzRWe(x0RtPiJi4j@4_TNfQy+RskBBm6p#c&LvndQBXx@@BkA$auCUdIk1f%fakZ1$R1 zz!IpoQvn_<{_R*?_xy<%?>xmC&F$Q<<8Yw?r%F^Q4g9u~;JV8p8}$B1Fi(dxpr1UO$w5Ba2br+Q^*U;7X}g zmHh^ARl4;lHIevyP3`xo6Mj6(4H>EhNu+~q)DswB{WCtMt<^4D>jB9Ntn+JonilQq zpQVVt1G^Sm|LE{7Yai(;;6?? zO4x3PjfFKXXIM!ZPyL%Bz<5BroclT@TK{bAkDyla)})G7nk=8S0qy9y^>s;E=K>h% z`vK(iU&EnnueK3cB@^x+UZFzin<-v?8o5xf{M;fqD&!H7;j%4yay2%)0Uz_45b{_A z$2TnywSdk{hv7gz^3-dLSDYuREv+L0g5+YGI_B$NY}?sz-vd{%dfuVX+>_5$JBZ8s zj^!KqP6Mo-`=FW&@L|;$oa92rHtefI@llu8gtSQJ6`S0ziFz;d=QFZ5gfg-P1oSC# z{@5~V1%o*-DUhQNa!9rxI-M`*KQ6tYlNpLXr;oTQmT1GeFH!&P5ees#qSt*QvXS`& zOT$Lo9hsheEcO%abF{3Jl)kfo+lNk>63PT4={IxtLDfN-YXJ+Lv3DOQd1xEHtMi?v zAE}{80SO*cH6#NU#xeLs;-W>|jU|MsqM<3OIfDX%PS>_MLGx+A0ipSP8tlGPQ@JIr z+5u_(Ay@#(6>iL09q6>=Cshv`YmFbeE`RBw4=L=;1 z8B#M$k1hGyhE)TBC__eq%xhMT3MsGh!h~mmHU}i>I}KLLs~}zR=ef&T)9Zj#?sSwn za+i+1)>Em?1ez*Wu`>@eGm1ptxPG(KvMM5zOz(SeEyA8k=^uDu(#`&Bddl?vEg*%P zZX0~v;Q?vh3LWs1r>L}S%5+(-Nw%UhGms7>)y<+D$Z7vPaORZBm0ep`n^{#-%jXGX z!iT`Y;;OIpr8}vu^*ym!NennP-D_|(?0f-z$716{Iw$&TH`Gg`(&z$lAlXCTcYp zeSq1U_&y<%MFRr@jDh_FPmNw>feS!IWS)-p$V;0IfM%;Ijy3-s+^)O4YnucAL&N0Hx zziJ-7OB89He+GBUyeW}Mv%h;NG9$WtLj{5Cdmj{E-Ifx)rQxbhnBqsZD#?LCZ|*Bh zqM!|LnXO9vIf{VtU3j|k)>_+pZlUq5+Ev(XtD{?sZ@kpKS55N%n@)>P&;z)s9jMD* z6j03anIigK=isA-J+VQ>jJz(pSJJGKu~owzF}pq7#Mv!a=sgs-fT7lKw2WfT?VLcp z35?lovEE38R9kPTzc7dPQTVU?TLGPtN_CN!XW)kukSTmw)M>pj!(+rW&@9@{O^m4y zmiJ;_K}WsB_vsFa8@ae@g1a`MlnY|wBc&aqqjni1`s_W{P4M}K$Z0k!aKXW9p0p~`lW^}P3v7Cbj zK&luum;V=^P(WUX?)k{g9cW`%PIFuDCS35pf`^~PuYnWe#}Ay&N!a-f&4o5mbEOr! zPGfwULMrF$%p#`71si{4zcq32-`-{_;m^bC)Et9=38%}H49Enxr#_H7@uO(-CTL+# z;b)^Sh!7Gp!YlHZhqJ@s?g4QpM;{5?ecZZ8fGsB;_)ZP7z{Gca&O9dBp-@^#t{S~3 z#~JQ?K3^Ng+PTBqmg9f?Xk;t+l@c21`nE5YnxqlJP~wi?5IW_@=*!~(*hHBJCo8t+%@^SW(M-A%3F04K|?{Y@tBxQ2o2bc*iYw4qin)5LN`O1|DQn7~5d)7r?Xs;IH(I#Lw;)Z9SdjTzX7P8>M!D8MJY{5P({qf{>gE@;@7KdDFN`VsA`G4s82Jp(7r_b27 zZ6_1kwrApGV%xdN#I|jl6Ki7I#>BSvzVCm(-Ea5VeV#tItE;Q~oO_>hySu8Ze*GN& zIC}@w7MWj%l$v5f#2TMv0J+h*_ggmaMUF?$nri%xVNXxR_AgHj_m6}#+QAva>j4*j z_P`dOE_4ujHIwKjo`6^?dvzE*g{`{0nqI+RNRQ&Q&e`qSkJ&Ewi&DERYrvN;8Q;~_ zc(da>s!!!FdyVUAxNeQUR=Q_R$nA<99nAmEY(FRd(W7j->vsiQvt(Xh-V>?&#t8=W z|Ckd}))4hVhO?H56>)_-5h!zV1mw1QAy-&z?Imf}DK&!)sj) zIR2hvI)8wraa9yJvRz+pOKpvzsux6QGJ4mD-qjv>;%fa)F` z=NO17-GxUFSJ;kDTfrBf0L;q&%|?adqXP@57LZ%kW`_#x0?9_dOU!!T`{{?AfVw1pO^2M?i1wuw6-C90FMoASD0F&WX zgl;Zw(MuimB_Rc18`YYCp9U%jWBuaHA310sTXAOR8(`-lW>smdK#pq$JFbV}H|X1K zMyZYbj;v+Y#OC^Zg<6029jf^?Moya(vkQsLtp$A z(oE6~EQUwaQq12SD`(FV=xgl;^zl6}aVg{a++@(Ek7zX~YXtlaD6S>jel@F@7OIax z`50H|x~;a)D}PzyTDZUmj&SpWQ@6w^>BS3@EFbD$^~ru*vj_n7O{9cTjoZl5cm2e1ad*lz zZDSwki%n5?N*F%v)k!zy=nurYo|`p>rsaP=u5MoG?xC;S>C3#_dom4BA2qJtpEMt) zt{}glYbQm8;A$tydaMl|rruRP@t*@ILU*_l2!7?t+=BSgj>c*@w{PFoCyQMtzFdiI zj*&j^$^#{)6A6BODLIwxfw9GqJZnO1N9^dJ`q2Nfm$Bj79CHsfju#*`fHrkf_ z2by)BOTXz^{-*oI`}aO1&Twu|tZQ#J0|2E?UVgbOn41|iA`8b(_t?OZaI1ENTgobrw&_*c;Ptjj~Q zg7#geOwO$LfN_||&IangpE01Ftv*oQVP5aPop+%`I8zTe6B1TY{KDamj922>CeShv z8&_>0G^|Wg?OFS)2erhS87}ff8np*kw?lxA3(D#___XEF&E98taM9_m?X#OSyK692 z8qy}jxZ$PkxsW7qUT{}%gk-Jxw*bTnV%c0u4D8;VowXyvxJi9(8pE{M)~IxhH;9xH zq=N%RntaWG)RO-N+q=5uZHh3;b0-F6O2y~E9UP`-~MhmOoA0165O%j3e*CY z(DM`dvUPN1L%_+76WpLeJl|yBl95@5bsSuv2I{%n}&J5LgOR$p9+03 zdO=c}oWnJu<<@HT*8Q7`e7V=CeWbq6UHZ2Nt;IVDo9Eg2Kgzzn3nxBu4?3Se8r4(a zvs@(KJ7MelAz9z2i{v1C7sL>v8TSEvGf0-vJ!H`gh)Sx7=|QzB8}Oyy377e9&yhBI z7+|$1w?aBdCkFzD-39sU%w`gQsO}kbAB-Wp%M^SGCvJ{bZhfg(rk)L8nOjhVw`7SG zE636LE7N!+r4Aeh6w8SkNwh2^0E}OBKM&;|f+Zbk<_q%G2;d%={^Tc#rqBWS585Fv z*DkBPYM55D`KWCeY-PM%iExXB)hqHq;&asDa+y5?W8=yOJ5U8oh(C_RGMFz z-L=C(g98UTXz&wIc{iiu&b_!}GjyUU+$!Xi7J)iWFDbYvSU;XL;`c+tI+ohr!E~D| zNm(3;xhODvrkM{5!-pG$U)cbhzpCHbUdF)34-j19V5_vg2H`kgQ<>p;fFc$)^B)#J zbN2gH+&b9q`}$y9&bJ8ARL^&MA$XbW-Ait+j$j~p-RzN)-`+H2CayR_8E5Lfo!`L- zJGi7+f#j|*T_`%-VSjjVv8Fb*B7=Ar0H}{?1?d0Pp0Nt{yS$186#qQwQkM2G6rsnU zfTH7X0vig0tS+ci!4zF8A0!@5fRjGH5?kHsS${UqKV*o1mct$y0Gr6?TS_NoSm6&T zsxjHq+`*k;M zMj{l>emR{~BMwjr=i!WehqM0vA&j5@q%m{hXHdNBE*53g6AN_beX3l3ef7QAgmx9x z8`j8yBD}h)jQFto+xL$Rhi*dm@LGv^^65!K`|8tAWADy;TBCh>pI@u`YaZv|!#3uQ44e#ci+gLD2Jb z?)N7F^fMNkR{72VM7a>K&2RN7ZRW<+meYft$U`aN%@4rp=hT0^i>A#T_ayGo!`wwS zu0w{HRC}({O3N4tpaF%vX7KMGemy2>DShwg&$orT*kvsL;I{6m)X=MU-|gnMnbq0r zcOShI*?mP!e*{?4JM?9dGlu_glUr-_1@UfKe|4~F#XMZGv!3z&UHxOY5*SOjiQ#Y3 zaf0?VSnbPc!{rOCteCFPPNHnkvjlGO7Op`TZb0vbN3doBUSd{1_FkPjHSpX6e>sNX zw;6MCfwu$0yHhqe;)Hzh%nXzjlKUn)6JBZUI*XyQMOfu3IFT2{q831d6zWw!*{+b?pnBFe$(va5#pf?M*>-O! zMRx$Q>^^jEa`)5A#{k#0Z@B%ASVzyaPIo}8qK}vX@k>ZO=s{)#ZW)f4V6DUHb62i! zZuKWfZP`<0gL7)NAX0pFcYs2R7r;g-t6E=0Pq?@iCr3Bw+CNQ>#=qz&77*Us z)h~jxKe|eNI=dfyG2JUp>NV81`2x8Dho};WpCT1u#qeYYx4m_H06hZ4XEv64%)FMbAnAVg zXHTuzKeO#*G80$@eR(^d-xR)pt#*K23xu9MHO72-0ff}x8ka9RrB{F5OkW+|dE*ok?C8BJLDm(#g(Qc+eB`{qyIm zvW7(8hdTtYdmW+syOtx{DIv5pMGINs>rJF*`02?c+WEF?;%YJwaJF#yj~0TTy~=!6 z@Qn)9D-)@aLBZTef0`FLbM+ZIlskwD&rcb284T0B$+KI8dG ziU=@tbzHE2uYuOnb4UNM)hQ(~aYcx~$bzNWX0E^BhyDuY9GD@TL(S6x`qF*lHL>5R z!%vro!*c)LoOTpGT+CpQ$I!bqYgU;Txo|C$((dCg$7E+HK`~uP@`2|6(ldVQ>EGh- zUW@y-cle~Z6C;i4Funzu1rKB1m3(wA+YCrDOMBVzu%2|0U_^o%gQB_HyRPzY2R&7n zei~QP&u|722-0yaVObciAlX|iWlw@vYt~UELx*5NJBJ+xFU?7OtS7%}uCSt7 z?2L{Zc{f*VWaB88I#qsgqFEvat#jlHCkETfiFjB=gCGXKdAa}&3>4g_;;70uF3eyo zV9rN!9g&NM&>i1_IuFkuvroo5RR(k{YA(!}w<;o5hk#`4g2iC_Yw#VMEL9j)jQg=D zV*}Bnu21JGmk~IjnEs5Z@wvzd*iG_6yk7S*QlfK<7{s5R$$(0L>VX`qo_ROx3^h#_ zD(yf09*#A9KJHpOKA2b8v&n4nyE-{~cFcy?`LLL0?a(Tp+MYiz zbgSAPIPdoQBAUP+z4;Q7Qd)zoAs3v=LSz6%p3BZL0%cg>x$~qAkwt9^OySuJ>*6wJ)O6`Fel) z{rtSr!E@N__kIZN=Ii9~qxQ_L{yWE6<&ocAyT#e<^5Tv0cm0jcnzQ9Of?I%CAM&H3 z)TpD5`>z*cwbTT;G)E5f#~-&(J6rHP``0MRb;!`jGup z$6rZ&`q1z`W7EKcJ-J_?XS)R$i#+md{{Hcu`1~SQH=A(N{}b-juqPAnqqWs_Trr0e z0m*fA>J$Y9mptMG*e@ib8~r{xXgUCtTz(ss?x%LyuW8!IaAeOUcJCSYZ&uu z=l;BY*}q~adLYyxGc!S2jT3V@dLMt`X|D&kv0ine8jTi4#-=X!==lMDn&;NkyyaiH zc6eG^KQ^^lXPQ5@c@23y#ZED2ras)Fp#$oM)4#my{XD8x&#dmEn{)E5-4!A+ug}df ze!0RC8$||m2dRw0{ed%-_yacyHvl&dH*7and(QX6`Vv=Oi{}T^sxd)7Ppm!iWQMQ3 zmXcn<>R$RLCtw#a-WmuHppk$zB^?({hV&~N6HN`Kh1bEVAwC{xf0~pVztp@Sl&sgQ zl@na|sk}>rVA2oEg-6ATfaV%Fz#8TsK_SUi2Kl4&pPvPg>k{UK)3+EO8Vxt#+evprA&{cP=8zo)2~`2>Xrd7Puv7Le#0&We zLx8l8^%)yJNkqhuowlUVf&`YenwWZUkd<)0wdsl?mHoJzMu@UehO>Q#vG3gq(+(Db zemPIj=9e97(aL#QDPum_uqVAVh;~z^QguQBY-D7{)bXQM5ptDA3(6GDiB{^6ZJh<| zItedBjH!}pc)frx?3Q_L+N{LiRO5YvF>0C)0v1JXva4Rc%`X(%Pm%IhdsFJoE5V-7kEV>0qGzkFfJ>ER&Q+y&t>g+0~ZOMcq zVMinGC4-#6_@duL^sJMvKMMD!iaBKCMab!-a?~P5iqO7o!?0O2^(x}P45z)6jmlJv zK8BK5@|TBLqb#ZCBe5?}A>dsG0r%+<#VIHz`_$2Mi6ibaWHlv^yOyOR%TL!I7B~Vw z;B`a|I;H?dVmKHOe=${&J{<6bV1J3~9Ez7wGgZjiU_y*wbQ57xV}MI{M!4rf$Q(FE z$CJ=hHJo&jxdkW&{4p-)i+~6xTNQyDaj|y4^epJrF<7e_Pavs8+o00tDUgMV(+E$& zYND+836kD_J%%UC>OVnmf;lAT!QszvYl%!PlClXn8Qt_PD8a|14cecIc*o;jrNVm}s#+M*WJ*@4U|s!y=S)f=Vhk9#z*=OvPM`?Q93h3je5>1W&M@5j z=~TI*LO_*l!CJAfCgto)o^VOnB@Nt9eTmW(upXS)rHYI)c}kA=jZ%r9a77E4`IuFsw7pYBQPIh|Ii=sn@G=Ll{h-E zsFPZYMRM7IO1PwEPA-DeXJsN@ zhnQW@jA2Cp`XY5+jy~d;JWV)z~^Y3haZu0?t2`FhzNnsu{H@?zkgXqcM9KXYn2rbE+lfbY9l1A>-RPgN00Zbse> zKI(znitD-B8Q3py7VH|9O?d~t-*MaK=y|WyR~IFo)Xra(Y+puI?ywPmZ~#u%3QF6z z-M7jCe%nZ)U#ww*<{!0zja99BnSz<6m+SU?7IJpWx5#(3QW{Q+5+JJnyT*jre8-Pw zSyclqPFk3N1P>So27R{>0ANSL<=br7k%eEe^}q#oieoW8bi=u)L{v+ z>EHyg$*s2#e$y@+{~HN=68f<3wV?d5JETZhpT^T78GGzX7h&(dHi}a*;_KB_Z{O40 zycy=j1h4hDbo2Yvg_BH6W7GaAgxu9>?ZT9B(f-R)p-4mNMhLy7yv30mqdN>m}jL5vcFDr7jC%SZy3fP}!{<2ONv@1;yEXUQVX@?xXw*CjrB z<)}XMJ$`K~l5)I~xu@BS>Vy#2V+GGohE>SII!q(=-^T#0sDcdd#nT=HV90SCA*m^e znv$@iyj};9(I_)uf`jd^Ox}%vK+=M<%9PFUE-o1>iJ~H)`=3cN0qVu!Pp3rCKyeqnI4`BAzJ1`c zp%>L(NthAvD}v5R1tj6s)KkFvqm}r>P5H}XLMPNyn2Ev-`T9O_|I>%{T+zhS%r~U} z^nBPY^&oxC4Jo}0QGV?jnegwet#=QqWdeau_j(^kmQ|+xVt17IZw0(6V0%#j_CHM( ze4b=v{%s0EtA;{vpV@Ta2-inS2O0Xzfxi~OoCkWuHW7l8>|`CFm=3u>1D}v&V(0?q z$*?JQbhb}Tda3X4*_E+s$QWTyeS%sEnynTJ#bbbP-q9Ufgkc|3= zJJy+qKCj%>D@k})-NFh)21b>AD9)1@rKoQj^ZxHXLoJ|)eIpE`Y(-RfKM5pU8cZBP zr7E7a|7{Qi(XR&NWM5pkR2&^ft=9w}JYu7XIr(j{D2z2Ui{=#HAOlKB%l}@5L?aEj z^n_Q9mlnp|C0UxOzQB$At)PYweq3|59{7cQ%}}4VQ$KASThmj#{7>G_};JLiS8#~(0s;nCav`?B0(^9-)R)*O)YioV@8gc)m9Ybtz+F={*HPp{Z;7B? zJD_UY%eth_X$|our)880ZCT{w-02&h zX~RiQj8phMdurM8<-caQ+O8H0tMfz9E{I-xtZR^`x~o6EQkE2jOp8K+ES){i;m~D$gsSb{3P!#zOthXc@lL7KfcY;9BPatTjJH{7WoxGqG``7 zB)eF8Y|wf3Gr#GR*Z$1U&8yS>>HY|{G$))9mO|PV{4esouV0k*)fKIbYJH+PKc|=vxA*xGK>) zh2r|CKf)&9puu!d5yJ3sm{~LcEb=Umc4omOt|~q&2Q0G~7U#|lP2KT{zWf=M;+$p4 zjN)R{PVX@b!Cpujk-JPrdICQyCV}^broczTKl78Gvvw&Sr0zKtoP%tdnKAK>OqIPE zRAU9~ZZ1RRkm{=tG!m>NW86{fWHVfyN{vnJBdkw(t`CJ?G(*#gODeMfuwZx95%j41 zU;;xalDr=daWwhT0pD@Yhna33^3bxZsEpp7FT^JrLw=N1?n5fr-ekChnH)Gv!3jAxv?S^07lQ zENIa#)Jeio^HhzYfoDA&@z=|M;TGg%YEM%3If8|NiZLUoe2#C+>%_pu|C zOHlGC6hshygOM$1v-BlB3SDTeCBhRxA^9pH!jwTI(a!X< za53|Jo8lmFmqj%yyR+b^zuLvr-AaOQ*@zvH2g5B1Z+vwupvg-b5izZQ%gso-Ujhs2*j){@QweJ8#1AMPco zkDJ6GfSW@4R+MSE2tA&zH_vcCT+9>7I7jbmP~+c?f&8O17dd`I=UhSi$hk4des^iT zf<$C=V@dQO165S?d7%hIEzu7>zkN2j=;h9>52w`lOsP(9+afa-(S6<(eW(m2>2aq5>+h1|7xeJ>KD}yNsak65Ay@| z$Z|9%g|h)1P6!1K0nJjtz9~Bei3u9Rj|2mOtNbRLM7Ad4)mwCzu}=*S3v7?Efl}+- zQ=GNdi~fluHZu`;3R&r}cVpyjVF5GjB1_!|zi7o&`?fCe>Zh4Y`#Qg)+;2xhI#xEi z3qYe@WsUl}sr4-XfG$5T(eaPmBfQCW!{WePQRPtxsPY*&WefH=$x@Q$4b@50(;w@J z2MLY)?&i;8TO<&~9KIfcpdQVeW|CKpx0XLs-LRZ~wg#Z;D}>Ib_AKoxN3R%cFvN2pq>=JD}y z#`!5-YTMt&3k!yZp@D0k?3;OQMyaHz~B&5g@tjb3!}HpXk9lZ1wqtkowl`3J}duB34Q6HDD%1A@sigw$Z%e& zj>nK>#pPi##bP62Lv-*AD!U45GDv0kvh==bs|}9!J!nSC#+SDG9WWA$i$E)!2gsTD#8i$RtI~D#vI0YTSM-}fyPV< z=-IdQb?J1~6chWX17QMXQMl4afQsY)^^rOwa2XJZ9elI?% zL(K;#SvoAkMV`tzCb={~(jR}C5mvL4JzaTiGg%H@{mxeScN@Eg^)ssJqVld~N~!ec zX1rt4r22#G8?j|Xa1xAkS}#9)ECg#Y2F*y*{7y=uj$e)AJMG!prNcb~HEpR`hQn>C zl*{}JnEBQaA6Mv?3w?U93*g(TJhI!T)Aa3EYKV?e)BWwl*NLR4y^(s7>XzU{24}PH zx~rJ!@jx@F8{=VVCq0)N7M+jx>*}d3dF|9iAlI=CX{LA|6 zmrrHsVbwhkY$TfpT_bV{n#_9B+rIyEMWb%SMA2vCFN|zi!t-g_V*pkyBtC#uAhX1M zf4q^`$At6pj&s@h(!kGB;2}?E z4ybZI--Z)--b7#P|3ObBDGYNwy&;&JY2^9Pgn*@rV{5Nj4`3 z(I;xqPd!5>2cX{10h}IqevAzu7ptVWswp#yH1l^_(ZXPY0`bQ%QH1>v^1vWQV!_mV zL0%mF;Ydh?(3C+T4~D_$di~!mgdqvyh>^=cAa2RQu)})&#CO0@SJbF6l7A7Swh2Q# z{x@zcj4lb-8)^W&xSpGh#T@>7-1wicjAr(*Z-1! z;&EW8gRwBGB)^_fMc~9ygvg`=VD_XSXi-Go!}1`>%7{^$gdrZte_@5?`H9bfp)Sn3 z(cmHfhm3{!LGtSrRRmsKS%}Oc0OnK*f)PdJBg_zzYz3S_rN$Oisl_+deh%R3ovy>) z^yN|sTD_5xuh$;%grfNc{^P|}h#sJOLe2iSa`hH@YgF#>CDrX&z^#)Q%>QPbDCU>Y zI}J_i7&@AYqosA@gAJ)a)L2#PcgGE!|Wf=FiV7Q zjJZwSJYSgY0z%BM7J4yPF%fR&trtj*U9w%A-%2XD``uI^%fDk^?!l=x)@)E>7MTrQ zF;$arH2S%Jhb&Kj=@_S%o;=^yQxUQ1PCOEDI(oD4PTpb{GpUtrKJo@wdTXpXbZ53` z6%QP}0SkZAuF5VnL>#+ITeO1TUTW6#lSMeUweB?gt{oTkZzeX#%X|9eG&KY5{i+Kf zx`r1JZe#alMoeTgKtD&*LQgW+jXC&LkCO^j)SF~W`e-%X;RyFO;R0cqM=mzaOPrk^ zeiZsW*P_o=6ny(D3I%v8L^xe!(`GkC5=0Xc^fWCv#uo}Lk{uX=chbPqWExq#;sE9C z`|zGLj}~j;yBL%8@&Scv;kp=?c@WH;0(>hs({F)`LovVizEE3Z% zj{*8i(YfkgQxZmepVmyb!X}UlHC}2=b}ADLo$!^{kbL}=cmhyXx7>o1n$Is==Ugu7@e|p1w@n{WU%g}Q2Hv~^0Uso3o$5UY*&+Q^De(YajIl;+q;=&A| z9MdSE^%M)8X%YbXe1TcfcW}~p7PLDHXH-~6TP75^=adZ7(xX3HzbWIE8r2s&SG>8R zK+;P+eWF&VQ##PdNmB(6$tkzP2+dCzM2x4oC4W)29l=^9fsaBA1c zMTuiUQ+B?`dZlUp!KKI`QA>8z5OvGNT`sagwM79>btD9gRai7)00t|hM8$eO^zC72 zl@L7x_>I6(7f01NQD&F;O~6qZN8U)C&uMXqzP-hgZIO+A{zKkz z8qtA%2Ry)jBab6bry#AgNeHR>3p1r4G-T zKM96xx#;?$BnwC|Me#z>#a^lzOFz(YW@VgRd|R%ZVclvqFGz9J3D0L1K{BbD0=XsF zI5EKz3L`T~o-}Wzh+$RuZ`!HHdsb+<`~nxR(zXin*adZH>ElOiMNt$n?JDavRXkQ? z9)NeO69J3qQ!?@AI}X3O{U!0|&9lQ>H|fk$px}F#b+Dp=D{j&uHgWs?hU|PQ3K!5} znSw*K<709NeJ7|ph$5<#9f600#t~N4udqD`?JsL|;Ozq}lelbMqD4@>fv)>w`nB&NWXZXRp{a9q#Nw=r8L#Q6x zxBX%N!MXi%KRD#_g+frM6kf1=dYZazHmf0cmnZ1*{wGl$&93lrw60KThhFgXw51m{ zP-->%^*zh1_5Out((b$vF$p{EkKn2Bs{bEmYQdh=#PM79n(fpHgsw*%;n4dnz*-_J zmjGmy71my9dLdK1J*zVTdA&H+PUW|wDifO4{tZ_Iy(Jij6&~jmRy4JP2@^5PQ2t)i z;|bGYXTZqqDIu`oixfe^Q2mO>DA2$Btj5PV($`G=c@Md$av`Lt zDV^?NKe1C#`S3)3c?ii({Ayk{2WSjvD-#G!83j~wwo{Z~dEwFEU3$FCs`p>O?Yh;{ z+_vCcmaYSce0|;>?rvzp$2)CmKD@h7ExTV@?}u+=8_F5KT75g3ZnmPI8E0xxx{t?n zw|$kMImH?W0G zjr3mghc}G!J;vdAb4_?1+-m~w(PxlkX{hW#?%Cwuhzwr&zLNUXY9I)MZ{^wY`>R%{ z$}Z>Al}i$`D`wBb?dGuI7SIs2nJVR_3O(kzi1G0o@ci(wFD3WAE$^ zX<>D1Sp-c8N937c&;$K?P44}DeH#F`RcmkOTe^!s6(D>gaGW3C|KyQgrOj`DcQ}vR z%Uuqz{CEv0L%-_T!S=NSD9+{H_3&=}EC>ypx(E*AYgK?W+3M~W0xShldRioe+39%? zWj&MA)Jkcx-E*gk^bPF4b*1Bl@zpZdw8S(OKK*ENGv#FJMQuloHT34P_rs#``y|J; zPqu;5Pjo+~QWgCA(!a-lSU6i=-KniUrTUUs3iE6CZ0|9VD9Buk?=SjVYxB^j`H}E> z>+;*+o#v*z|e1Ke;)1vM9CB zEtE#Pu=4$iT|wab32Pr{jFMQj`odV}Iyk^`S!o>wV-@}FM zKD%ls-Q@K?+*OCSxF5>=wON3=^!2^>&Eu)_`T6-!^F4H01>j4a<NoV_<)! zt$t0`Z6>l72zZX|5TuAa`Vo9RbfNU;YmJ{1e^$4$SCW0y)L{^VKxOz1H2m1V(oWbL zRQ3HL*MMkk^HZ={MEpLG3q1Lqge+U!IawONghU2eEB2!=o_KB-ij*AD} zJ`PcQFS)9RI8^M&E-EBHnC26X#*+ltwABAhfd55JOZ}RLwvklZi0XIF`M=X$d>=5) zO&Xq<)w!tb=D6u%MBIJ98asMJJ?YNC343loz+1e+YdPYu$+TYKTy~JwFc&!SM4*`g zrCDdITjdMTH=NhU1qCk>*QmV=;E|@r50AmJ3a$qvE^c!jl(lc*?n?GgJ-4|rB-i|o%7sD4Elx1%6{ z??`-XP&Hb*X+WLYuWUY}%FK|58Xa;d9ZI%wa3gbw4u^hC^4o_R6N-OW^k7EE5Z-%1 z)t8b>9W}`~HpwG4XfPclK()h$aYp}&&-@iM)o4>XB$H@Vs&NicH(Fx(?J^tZde(-^ zIjMeKZHP(>*ZXY@>BZtGlTWU-6=?szWP5x}CA_U?@aTUxJ$Fl6W1aBYmd6wmOhHvj z8;{x){Km;Mxw3BUHZt6>4||tUziw-#bNtX<%@E?lpq9fjjN2h#ZsU|)-+FZ(7i&6+ ze#Do#Tv;*!nZcGQwNid$pKSFa4}pu;^HkYs+`twYq%5Tfwz=_|{8ZjIv?_ zN?WeD`pH+3b;pE9pyCKk!|p9J9ZTcrf`@m<ibpkS=ab5x$x@`y0Ot#{bTL;ZoeLbLG=`l&BbMhDHnmXwX^ zEs6U)5t7%lyM(iLR%`e}4}GVK`qcQ~0T4(r$zOu=GbA&#f3d~F_8TRaVxt*pI5d3e zfw2M81S^IYX>bjw1yccIPz1}`AA7pumLIT#)Sd^aSg9M6$E3rK0FDf~ID!h!1k4!N zxt)X?>&FAap3tm}B?+3I3cX!OQ&F6f7^2y6t|1!tyAnVrqJ>lbXc=Q5anLt2?r0Il z-TTK2w9+HXH^{F*kVGINBz@L_D%JiC`q+?q~yYuoK4URgvFJsnmP4XyBZJ)0Co9)cvEIH#Gt-WE>JFaq_jwM7Q_1n^+y zv*q!C0mS)qza!rgd*{@A9b3A@6=C9$;fy1eKh+?Rkg1oO0ADM(H#P3_($gpvTg4*e_PyQKM<#O48ZJhgr@x`jc8hR-d zKaL-{&`hXwnY7pVm?_uRr;TTbK-p&HyWX^<3U|2oA+_>Kzp~|Z^jp7X5t}mh;{Yl3 zg;}{eBmP1_fu*v9xkVG&U}QzkU9TGb={G75Rw5l#<_bLgwJwO80w}P%-av*^KOj5j zCn|IM+a*Uf?4DTk@ln9>kA*0OYom#AHJk!Unzay07$46p){ImdTpts+oUQu5l>|-o zXdl{w1v^Y@Sp}s|fs zfA$HbFw>*~S|}f8QycG>r1YMzS)Eu<`mFV#+EDt-1JrfaWkdH#(%HVOxnVN|A4b_qm2sxs*h4MqiP{Ww zSO|l=N{&-4%J=&B)1ViPyFVbmYOA|ivaM16i}L`&8!)qBwXV(y^Qmp;+P_ipk^s`T z%m!_1y-xCM!ZQ!+Tyj(i24TRJ1?ZF6toJzydYMa{yw2}2^PN)u(2_kRa9I#Cs#$Vt zk;{*YArvS{#jToUpmy$fxLf7|WPFnx3?y1EJh}C`hn(=ax?4Uy@N#zIjN_Tpy~b@9 zo3>5FJN;EUoE3TahXsAjN^o4P)VPYp0*_wa=IjLo`&AHoa`k{SAn0}9643t}#cXu) z*CUggFK4{xh=|A!58QDcCAFz>d}regL0kp14L;=M0OGSpB97S@PVn?}-RTMWUQEU( zu{B5?!B8T_0A3IHmCG*Sno#F5xwyLKECYmoCLEh5B9i4m3zu2hm9@h)h#&!@I%s2n zz^nLVcTlxnwSvfs8q_-B9pKn&fd>?^3&^{h5MH4Syoh;lz99LX13@QKp{P4u;L%$` zbqm(QH^j`oGWmPx(@QmPMePFVC+J=*uAh5c?%A6&yJ%uvGZz{lIg?WF>O=!rp>w#ehPm(q#OZp&jNoz1AI6R^AkmJFf2*T zr{cI|n@+p7`<8x6ih>}sAFq*@d`Iw_PVk-%*a-781rQY;!=U{>3NxMwNSO$jg6?Pd zNDdMvI*n8>6qEw5b{dOEwus!V)@=#nJPz1tzC&i;pi8H=VJnOOz zjUur>lX0Jco;rQN1Mr#m)klh!w?jN>5|U0Xp1*E}oGb5{9Hl`|eLM^^ndjfc$;z;x z(7Qr^#zmu*Rj$5VKA;es5X{ zqamA-w4}0MKIIKcil}Z!NP&S@fN~;yZNBxy!a=hVnX1wA0Km}dmG!}gK=OfmqT6m$ ziKANZHDw|$9_HON!e|&cIqv*6@|ox_4WNi>!Nq8RaiRTzRoG52(Xah8Kl6Z19fN@g zU;3}b+t>rpm_ctORVeYb2116hDS&k3#vnSY_sFhJ!>~}vq*c3VJj;L48E1+Lwi)Sm zk}m-|q+l8w4*14}{z{dfCY2c}%^fr;v9oYWubJ1IW|%z1Ic00nhz{)!ek`xb}RQy~U=)nTmpCf{2NeQ3Y3Rp@?1>9_cF(Sytu=LEHd zaNzxLOLk`rSf+8IwO;KZgo}fZFljmB8jY;_DzV`X0H_Xsbj1wA-21vmFTIw+XP=6q z*hrmSTw@JU2}k&~B)>SOF*X^HH$wxvr46g)eC}%|shm8u&v$2BqOA8DcFDwmqk_Cs z6VXBFmb3zsr7df~Ft9BG`Ej5K2Nl@z2N4XCe|>R?Hc%`_ZVU#swv*4>s?s?NT7 zxjV9ctR!;D`g9YerD!&9<=#>IfD4{Z)dXJopyAmFhHh_CvXisEJ{jh>t|i5t<+c4} zi5w@W({WG@j(jEG*=4~=1Y2&okUJMXZ&xQfP5U-LQ~mFs*d7hVNJ|yugqCw^^K6o(1MK&$B@hGU) z)I3UxHUgU{C{9v^zuLBJn2hMw0EnC-kW>ZP{m`hhUil%{g#stAg$2#xh=zYj{A?~N zP!-~9M;6r6rAw7z#XX zuL*%&^+L+fU(Y_w7RHwY&Bx^)~=2LKNUVC;e4= za1SoQeOX+CySoP`I0Sds;JU$G10?r1dEOu253attXL`Eo)T!!XM@sAI8`5<1oYl#d zZ9=7t#QycJL`F-e!SPO+>}mFT<@$ur1B&PQ?&un1@Prit{Ws5SJs04}DTgCQ1?bNw2n+Y=0Vn1DBd15mhN<@{=cL z`a+9P?2s>pjAQMG|KAtrE5YWU>*H(rS19>^sQ>>t-G8sRc$L;qsKCR+ZD}LIvH#z5 zy5Tbjg#Z8TnBp0PYK+MJFB(trmVZYw0$TNE5o$4^5uz)H^HU5)$xbFC6w8$n8y64L zv5oFcEf0Mqs#hJq!Wy4TN@u3Xu*k2#K9BNK+j@NCehv*j0nWu;hk@*sKKUWi@^vJo zGp`&gF^zYQqL$ z2Gb=}fdBC*_9pN2`~J4uA7PA3&71!YUl-4Q1A|+|bV*u2>b6rJ^{9CFpZa2NE)WqfA(+GW3_h`9$;B`fE zb9TESRQk&j!+TQVx3|id6ic^yTxYW8)i(B&rE+#h;=fG;40mN+FF7ygGCuL=8!G@X zSkt#|#WwkZ!AD%_t2+psh-9?n>2G!s7@-}jP4Vh^FFP`;o;~z6V$T~)6D?-t*Be3r zM2IRB?0{VI@vA-;x&Hu48FB)YoQC|vc&DL^c-*gchNoM7%l`RjfoR)ji(Vw41A4^v zEK>J}_e_!UWe2Qx=_BU2$}8GE!Zh=^!L(LR3VY2XwOnNu?FJNn@PP)*Tva=NJFfVm z;IEeFw(}wk;AWF=+j(;K(T8$z!td)1P-3I;`6sgg0Da?~FX_6^FDHWYWJjcUQR3)_ z>+)+(-cz@&_1nh%ec5q0hdNO}Du;3?*(8_ zq%eV%|D6eqr)ac98#<;B*h2qSq|^SWFehqjO9>o{eu&~N9?3FhuAV{lxdVp#=u#vv zx;3^SaeliB0I+R`wJDEeWY!l7KGXO64kpYqJdpc#wR!sp;o)UvRN%lue+m(gza#CrEBau_Jag#-z2EJm+kPf62y=4)Og%<K&PwxzRdstn?9CJ zh_lsm}*ao;q8Pj_jC6#CH(+MEk zz~6c3$DX2-KLUMj<1gfm_i;;95SbO0ZlX0}s-B69JlO?2Qu;-g+bI6-9e-cD-xu}1YdC6JjuY`M z?)p{3BR-!t(d9U957CF7werC>t%a8lm3`tJMWH=FJJSa^RyN@5J{NN{*3&f`tL2G; zDRnOe@Q>2WhXNj)N;9G|KERTIM2mAxGhu-4;$ zk3%qU;Q+GrcI?-5(d9d^&(yt}@`sca0D9enrG0i6T=n>uk=5T6ei2gNpv^sZ8>oQk z8X_xDp{K>)eEfR;xr!l^9Wml_kLWqzEz&P3*SmgB|KNI@)JmVaXeg_fZ9%0MWsVoP zf>2m=sFB4{L%Bo>N%P z7Smb;?}lh>d_%hc^y(3TmHtu0x3p{cVFQ(T+};5@b5BMO;(4GwGqMWs4l>_Y{m<)F z^v-|?B>{Z62kbL*KX<6$b3Uip!YW6ONx!x1{wuwiLQJDs^@BTVyg@#O^h?5cbIG)8 zzzh(l2mMoJ>j=&@<@vNKKjt0+h&&2hguRFHwA|)FJ2i~mu7uryg=_O)cfdY1#{j-b zc|r%Ayi`mwbFKN1BLx%4^dp?=Lsh=-xQ|L55asY0RnxoOidluEX(1Q?JgM%|=T08i zH1GF3>XVh<2&F}*`khGA2j$m>x-S3}%0^)$|9l8C4S^H`iv9#*qCFM`5Zj>gGbE6+ zT!2hoHW!rte2VpDx+Oql#Iw*L8^;B<4nUBm%=G5_FOgr*GJxPKcQQV)|FiuHnegLL z*iF{ypq*4M|x@A=}Uxu6E!-p}tVCs3pwWMZ1i`}w>| z%~EhK!r|nu$`Ld6Sv`8=e~JgAV~Md$t~H)s({%YsUH;dFlUH2T)LVN+vBV(!gN&bW zZV0&>ZDzHm7=}0R=gDVAB6egF*iVa)8+Uw0|Dj*s%`k>d>8MzRSpJ?Nqv10GO%$k< zaUi1|XWn99j`q-5-RVnzwQMf;mO;FV$w%`2>Jrvpsb=*0|oRi8f4Bk5vQtjuu zr0R)5bC)ZoMl=G=T=H9`$FbhrI5U^(+OHMgAPsBly`Ct{Qj~(;y~91y8S?EyTeC>$ zWs9e2_q&B|zL)UD8NpX2#MR$Cb)k2TC!s|O^@=Bz@S9Ct+4s}p3%-*JtZ=&g@B_D5 zmT{VVtI3a6*zYVXiNZZ~hDT?_>#Kssv}LUm(lMuet=;hrj5zqgrfuYdNdWbgN=dR( zw!|TwXV$#O>c7}8=QcWtIBaF2sWV=!dX`Wl!BNbLSoC(fE|FhMW^mWF=z} zr!(%BM``avEr%V5o$7z=LR~V_!XNHIZ-Xtk;;IxM{{ydCmMmD)SLHhO>V#ABC*Moz z)w`uLiwRPl{@aQ8DOY-7Yn_70eZWw=9vagW#Qpa9T@P2;H(T+4_b*c(dmc3>$!>;E zM4-E-GCIKzZB5VbCHyw@{-jcgJm)T%pv_xs0i6Poi*J7wcEV)OhEtceL2LVn)jx3a zM5YHQ!Z}kCUCDj!Ir_&=V>*eD2u1c4R&u)kH)LqDvNF{ug1pi=mJsl;Is?`uB z(NC?Pz-#F}SB2n+;g+B#B@B9#G9G;OfPQmAI-hG01Q!&x{)xko@J)$CGw&}r*MB*2 zq3-fc5SA%gEFGcgl9ARIbc~#+Alki$rOqLKFzEr!tj6}Le!Ugx%ub-dxIZ%6hL@C$ z+`oY_CtR3(5P=(Q|Az3FJo{>cKAu7Z3(xU4`RMm*KNBO+T8M`|LjjUn6UCR{J1CWQ zTonOO=-@4Z+mkrPy4NG|?w5aZTA^;NrYOGesm2mgWP zvzK~x=5i&~;gFjE)4>@}Q)rK;AP&A8RY`NDSpcw;dz|@_c&v~>F;+qZyz6}8NpBP1 zIqFU??3eS8M6w>WJF(CLk(}jSud&#xoJ}`ijrn51n*F1H_O|l=dIFxMD09mJsAPk^ zz(2j(jbkj*b>KxWEK7(h*{)>u&(@Tk2Vn2%+C|!v%hk=$mEZh+hM*avn~lyd{ipu_ zrgnLD=5L}m@w=S}1hRMWM%m{#9CQb?dj5eBr+B@CT1NXtY^=c^IeC9=;qT1*VQ;P7 zKf2e1cxei~@i@OR=zOI}6LG#cyxcy&c>!wzE+Kpg%*8)=I(+O|hdriBnbiL}^zT`x z{IPvX^P{|!pn9|2IK)cALI3db129M2y6)icRm#^I%g8KK`ki|2m}aA#YPx84<8yQ6 z4)j00&)lcCWk4JYH$0O%9?ri2_Cc*T)6+B_dii|PFQ27;jQnp8Ncjo#g~pzP^&mD| z$ajAPfbHevWA(;CU3-t3G2~&~HedZ4(yX&5NzvDod4x?5&&>DIFE~1O)uU6t^Dv~J z#an@ULeq1HK$gxNp)I`szf7|W)+Kx z%C5-|Ac(mw-lAMns)Kk0{0_U?=sY~z0@YC< zQ$x<@p%%Et#Z@KZY;XQk@P_&Q5lcRiB{iaCoYx%hrN<`~*LbNiPx-+Sth=iUUwuY_F? zoPV79y2Dc|B>g<;y>bfllP}hw`?*QRAOHOi)A;Ovvkzp{qK81{XbhyAt)ws>)cAjU z?5}5+0H=RB&&)>H&6?26N3X)Jhl>0V6KU%7p6UX`=z-u!tZ@hTqDMHlY|3Zv@i|6& zJ)ikuS1EPk7$@`^G0+Uf;3*`2qWG^k_Fmn-L?k18l6c&Y5=BHP*UGshT&XX4+PydC zgs*p*IpH7QkH zAa@yw4+|+|&n};#SmQ3AHB#fd?yggAZPLj2O26*&3ynvPxTxGpQmP6(i^mwGB&8(f zr3l~I&NHroC?I68Bgv!!QHO^!-!@Mq&ecnKldiv8Ykw}Ggc!K;#h2P!c`W~ZC##nc zCztaQm%rRJO0fOHxTqc{YQI1&_2?OXw36!8e~Lp6iPLn4-uY0{4)XGC{x{3EK_w4f zLTp02om!L@rnrpABNY0GUpXNl>0E`n&JjxdVgUV3+6 zi-0``sf9Ig-8)ZNT=#@MRVvv%hwEXf25! zfNL!BYi|FhLNT8tvXt+6^J+zBTpA`K8#NO3%-cIFLB*TBEchE^=WG|PNA?`TdLMZ3*lhvvQ*pVx7L;lGyY>BLJH7>57jp{2 z%h}_>5PNloYtZ@lGZdn8Z+z*MN}J=g!~_e_5$Hd!jrIsgFaaDmW{^U3^aJY9qlE9s z*6H6-;8vD`HM1A}3)K?^ErEsQ)SFW(=x4qvTyhIhU+>^cbqhl;%Y2pIhw~-01G>EC z(@Uey{~#E2^O11xP^-%kK$K}7?eUuk)Ve@Z5f9YU3gQ7~@ zUgtH0$*{z5_m^&au>e(zBab&)dQe}yXk(&{;sUGHng~WR5j?x9o0F1vFQS@s;nZmE z&wv+yl$UJXzVysnfKz(=w`CDNAKL95Y|pqQ@b&P{`A@g~;}6P@>_*z{NnAV~)+;D+ zf8!dr-|P4S+D_!AU3R@vk>#|P34IU)$;KblFl{C6qQN*)y-CN--n)dlzWuDm58gIz z?6kyI$jg&06^2UWEBoB9swz_EmvPy>B15JdRzWs>7n0cx+p-TwyC6zG=-^+)t=+H5 z`ysZT{v1=&*k!AFSbB?ekr9@IniOfc;Q?@TnCOir9{234c$}3u9tMX6lF?lFzGUtjC$yS!f zm!@GugkeYcVwF|G=iU z#2q|$e6#$fTn)P$9Yk>0=4y?|!bskYOGW44`W=S+;MccuJ`K5oo^f(jC$H^4FcINK zpIhwtFw7CH4_E(r6*0QGeekolwsbIT*8Vg+Ed2xWz^`Swy|0#<+h_I5z4ZXD`rK~R z=fOGjneF5BfYv!P_H7G^y)nbJ_j%Jp#`W{wDonz=egcv&?8_f~X_mw~^?TBa(dGQj zvE@X4qa|$QAHAU>p)Hmd@-S}PDGvi7AS)MDma0{KU?^HWRNOgWTUb{VhrIs9ao@be zmU!gI73~?wRH5A7eHQK+xWC>m>}37aA(`)BBnYO48Y5q?9_~`OL0*Sw(ven>y5D^j zhV2g0&K-u}HW(k2h$p!h`E_?gAxP&Td~&+_VBxI)2RFmT1L@;W9+2K&r6ee&mMpZSaDN69V&CTk`yjM7V& zM$eV~W;Ibr=!edh;+&SN*Rg;AHRG16t$m|?VURHt$$z3dg0YPPnn!y1%9#)o8q+|N zNdvn4;|3MslyQ`Mtq|(+6Q2higKe~X14Z#4V)BrBmq!S1j<>Jr&eS1vPhPJ+7cYMJ zMLeW|6)0@tc04ba9*b^wXdW4w?8IR9=JzkHpciRX1bMQ-?wc2K)qaX4*~NMvqrBc;PQw|E(Y2uE6u3I4EkL%zfh3v7bD*ZG)9VRlq_TlJ95*!FI7f_!b;>$%C}+=|p@LzYBt~Vj~nM!w(8gR@1RJS;E*(;u2(G z%us5VYR{KAxR+1({qCqO;l|?!?JT1jGcpx)`{mM+yq(m=p{=5J84}T-5_=TUib)D* zd4$8AV{-hwLJbARFhY%i;-zFtWa7r6vXe4Yg{oz_pRu!$X{m?{#++#K^1tGofV!G_ zXyg3xpQ*y6rrl-l{)UOC)1q~J=?@_%8igX~3n#)qQM7-0~Ds|HmZ+unGX z@5jOoDLgopDjPYp1v1)zM+-Z!rC!6y5VM|s`F<9(dd3oDx|mRBX+RJ1%wr??#D*%O zUix$XA$p!riK>TpQ|O3{@0im@)Y0}hJR|c*NOKa2VZ6+H>QL~1JgRSR$VuvRowT6j zVSJe!ejcPwfyJYh^h;F&2vU?jsF2;}59KbTU4X}-W$Y)U$uEHtU_8%L*97>A*{bX16LDcQLhlRv8i*Ob0b6f;Eajp5sTxJ`wl zdN~3`(wT!9|7w#JA|d(Xn-L)*A;YheY?Jm=$ykQYy6tr1;&3dJ1$hhB8Bk4?zhKiX zn}p47R0i^}F`RQ2b2_EuDfh3)QO5P4Di0lj3vfHz$Kj^&!K9vXTneI?)CACi%C|vr z(#&A0-GH}2{L+c)bIsC^6<~9;FHLY2!q%p$kWnKUx8z_y*%U!OsSGA+$Iyf#GLB#H zGU7Fb!M`fhc)V|HeXt7Y-oa3yTu}+HwlJ?J*g8UCba@5EaJRsXOwmfy_S|)hp z^erUc5jyHUFcor=ZXoWFQlhxqS}g6+9;|Af!VU0U9U8()Lb$a7-R2I0SY z%||4=YHBH=jfqrQTHd!8>88>qJhLkiUS;*+M{g)KUuWgI$$GOo%DF?A21(6S$E*>Q zJICZQ-I)Z3yYOvIq*M$3`rBDhi5`4PU~0rif{V)h)B<1eIvrnGlr3#btOA90X{*=7+iA zLDnMUTU2Is+I~LBnBQz1=dPOhFVm&$aX;9`HJ+*;q2q1ZDKX|i#amcidjq=+u==&Y zvLX($;`DE=2*eX^FAFN=#wDV&H^;qil&I#4=pTddQc()i%O-FUqK;o(7fC4s@UqVs zx^^=jg1t2ua3)l1QEL$Lov0|{4ION$?;0(Gv5X(Hso$rY_v#4^_QDZu*17yDzn5!P zPO3Kx^<5J>I*4V47V*=`8ZdE;FWg%NS=;R6ulH$j+2ar!SC`bx% zeKAl=UnFUJ5$)%Xu66mKt93?rR(%=5C_e!NI~J|gMY`LcUUcI7<0%OzwtFA0t82^C zn#ZiN$mBIj_^+JG-+N~%NFcG2utR&@_x+rN?^ zwUf!|)gAUlLHqO7(P&nV(3I1N!p{62LNhW=<~~h2A=_%H$GIUB=ss*y6RW|ViJi3E zQ^$l@*tD)R!O26#G`loNd>aJM1ndf4GM;WjmVTL+DoJ4>2233cVVbQeohJGkjZ!7W zx#^B=QTLn-vv&L{xq-8^{rKzw2G|7z(OO}Sgk%#1&`s(a5n_EVEL36QZe0cn>yf}` zj39O*>#!sD(v@#Sq|Y=XpXH==^y=n_YT|HY=0B9@pS8P|6TuU97F99Mrl!4wjS0{E zmYv=KG2uGX!Xa((N|OFux|gAfQgh-FEW0o-K_Xq|N5FwEw`jFN(ecKwui%;(tIH#t8$=%u>ahLbmiK7R6@ zb@eV&ZPe6Iq$)vdrC`S5QBAQSQhq0xL5Xiui&k8OzRI>i9v#9M`8As=f>GteYZ{~Nhq%J!V#z!@ zk(|FGTQ_vql;&iA*i`4o%C^6^3JaL3C&%2SFrt!jtsCT#ag4@HJ*F^HXO$;z-_T*) zDnR)UGCWm%@KP_bj9nvy(V!M-6VEA($B5ZmmgT}|Z-28l`Hd%YN2%3r-5eBD zgKJF7h2^osLmt^w!C{H_-lNGO>=C1<*9nY4)sz-~6Uik+bLXD`QQBl?j@eYP_}eV} zfk9VTQPDB8s!9j9LfdHa=vnkFn`#o2<B4XN6(%f(P2{&zgB9r&s~!08vk+iemxQu8i^l zx|;GC0(M6X0M9k3`rOd4io(W%pBx3;$s|0V`_N}G){BS^p-$4G4O!H-CzB{9IC8C? z_?t@uAXe@%sT7i_Zen;(c1_v!5gC)fVg}hHW#osOl;Wp87B%&9S{xxwtl*cCoH*`B z=|{?Pq_<{tsT(*yQqEz$>I9$iT@s08sVXJ6f}&F%ZoYD%H2x>pH77Ib%*#M$^0_p>eku;P~t5BcSZtDq<{me_G^fL3FM) z`$tJ9VRUQMDmpIEAclm(mEFPCwsi3lypWSqNBow#(L(6@?IDc4o&p5ly5?Stz?$RS zf3}DVhEKyGS#JW_922U zU~vx-=qbQL<=fm=f_DZf200I5BIt>Rt~n;5WJ^V8lko>=ninK%=wp@@ z?j>>`#H4QBcnSfct%tZ3H-4tQ6n`jDj5j-kR^lAVIw2QcO6TGc+a~S4;_WjzbWDGl z!R;=x<>DyAMd@;-c^cR_KsyPd4u0HKxw0_o18yW|hWK*Hd$Kqc+9X%9OnE$YtS`FL zd`BIhT;&86hf4FSXrT}jgU=a=7s992e-sy0)ZiYS5XJ_EtFwJVoGg#}6BhckH$!Cv z)pdcG-lywS*)?Q}|7}o*=g`9>>=klT7dV69C5uaMGJ0Xld>4kN78Pni%KvV|`-XAI zB;nm>+3b-qq8*(=BVHcdlg6N;l&?QRZIdG#vb>+1AAie@C%iv~EWAl?#+sW|>t2M) zrd2hl-5;F~-_h#k$jzg@ZcMRn47+0EK}}o~4H858L&XJqVp)1i3UD##)H)#2f=&Li z@NQm{Nx+ZL@R3ffst1x)Sc(1xqi^3M1wQDwQFC==!Y1q$*cFgDE?oBuOfB6|8F}yf zH6xZccQ-~d-3-sjaoA!0vl4X!_%<|BT$6NMopiUzhb0VHNht$!T}#OWP-ULL zp=1+?DTFeU-emvgh6uAf`FHJb#2joFazZ%A%|$;e{&iOEqk?Dx`vMILsjVKFEUeox|E-uzkLDEok zXLE_jPeY^%@NS&ZMQhL75j+zepr+Z+^wDCa9uGqbaB>obs|(LD^#?RqaBtZi!l`nR zcd0-c4dkS1q+Rb(3vbE3s^Oe4@FmYUQD2uTn+FNNHxK#s9zhh19SeU-7hn- zd*b+-rme>(@{k3^*${bgd^=hKaep*-q#GJ+kkcx@&{oPNM{$y+vNg$Fgcg&EiB}%$ z4OZ~~5s^f)31gXWuc!4T@c#^^3R)FGkL-B=%QoXay+KN65`PRF2Nz{;NpNsxmHx1e zRd~)|PD@Zp6OIt<7m7_Bj1MwKQ`2K^cAEXXo;?4 zY=RjaHz>jsV*}f!l_TXAaIe#~Kuu+{M0IwvR4qIG7`S39(Mm$jpP*;3(N7M(nBd$v zHLcZR`I4R~Q09ezwy8q4lNN|0^>)szngii@$V1vIGXeYeH z;i`w1PvHjoStuU_wj}AGu_--e#J7!u{M--I9a9{g`cUG?FYUQ<=wZV-!XUaC zTRW|g41Ka7tS~v+5{*uL=@TWLK9prlA^yym9A>jD3hYO9Vq@@4!xUl5S1l#;V8y-y zY{of#N@<-c3ljI10h-U9OOfdW_fuSbzV&jP%f(7o(v!0(z2sdRQlMuiyA|wj?Dcp7 zf%Rpf52?!LAyDN`ChB(C?VLtoC36>#%!#|?9jt4shM*h6>avh)_W^>avC7*P{ zqu!6&F?mgw{10#G4fwkc_UcOouSAOoDg4|C4N~KtO(^uM$RLtU5N{QFM6_H4+S^i@ zz>u#d6x1PaWaZ3@sF^zi&Y4YVWAq8vZ*Q`=I(r+qbhzY+wB0P#co!YRgJVn02HP`` z5lIZyi)rvS&o5xk7ZvS%{5pX-*wj?1gZGgW8d$=LUJ~=#Pp?hceDn5 zUuTm_BQ2pLkSFUCmVxNEL0V!Ygtpt?eHfCn^ZK|AcLuFn?h+Hj&`?7i><&_YcedDW z=zs%nT^vVJ$&Sn$sC9p(T4$`k4X~yERRVWk!jB@J-bt5113yPT-KucCC>V)0XO%(O zjFpnUq!goh3im7B7ou8IOigB(QmJ`rGaDJ|m8TCy&7Db&bhaaJHaV_h`BH<3S+aDu zEGQ>NKjhQ(JuW-}jz;OOtO6 z;;5}8Y)|sEKwaAfS-3e4MVl!VICDcXnT%2&mG#P!u~)FBxbMSUn(Ho515Byp9;?nH zPz35`_W;PoYkhiMK=w(CR7Du;nTj;?(dSU@c@~JIw)!;O6n8JMu zy9SX_C-@hozHBj~o;Adt{LLqP`E!BqSaj;zsh7af${o80!S8WtiMy*?`l#7!L%%%j z$OoHD(bp>@MIrQ~9q3#$h4yH^2IcXQn6PqdmJKx6x#2MKPGq7{_AZU08` z)D-;lM9!^!MQuYSz?S?qu3_o^I4M&;^lNE%GZtp66}@*2qPJtS=x(Wp6u%CS*YT_% z%>n%?efe_GsoGge1Y^2s$Ye4+fxT)qtNR%#`bo9;m_UTDXMK;FFy zsAIw}()ArHOTWYufE9}VZJ{O1HMzv)liPd1{CqlcisUZ(vID;|0fSK8sSYft)`XOy z9?t>?YY*#tHtfmWjpj~%wJy)${8dY1KWN6nYBbQ?`wkSpD!zJ%Se^?sE0D+p+Jvsn z34>EO>JR5*_T*BLC!C_+i4ru|D`E3<&X+0{{Tju7{X_ z1+9^smi4tKpS6#E3R9^;?3-0C|1&GN6R`MnsCil;o|_Oj2DHv7hp8*O%~);UJ+y%9 zneiTz034rjIHskQR0#(4moH0nRoUpzR(f20uhGSgSXNi}Gb?Fb?sJt#`%+WvGB}D7 z?ht1xhrbz%AL?YixvR-bl!Tv@5oQ}7;nZNz?C@R}=O+qL)z_O% z^<)lt@0L>}A5a!~4xI09{UX<|RJ0IpMP9IpdduKWj%5DD_sY!V%v;h}@gD^2$mxMT zpK;%1u7Qz{f59W!xXtHK3L1M3s1W3;?ES4w=pl!{4(>t@4AKyhSeSQ4V1p)k(VW=J z-eGn=27&Po$luJ|71R6y^DRUJ0>M zLBN9-z%j%w*_0mefJY7 zOh+xp7WdZRE0ggz7{Q$A83WL!VGc30tMKU8U^)fbgh|@T3b)Y|+-LAF>a=~DBt|uO z%hvcjBc_ed@#XJ)synyjS*qTDBR&2T#}NbGoCKd#D9Z;;zHg-9tUmxnHZ8%$Tp)Nc zP=TU{?YJZM;f{@Oa~a`EH=A2Cb!^aFlQw)OYejm)&-wW>Tw#cbd8be;#>#q@py8wb z#iPafC<1FNk&o@NLAG*&SK_<6UODP5V33;9MtJp!8aWxvK2*uArv0-xrY92B+}H$e zpcT?u`*RS;#U(yCylz|KM%(QW(JMhoo;-~Vak_vNvE6^_Vz9kuTC zBxoN^+nc=L8fClCn_d14;pwJWfRETquy?|xGwIPn;_i`|K(3@zD69eqn^>;ZiEXDD zn5F`^=&;MUk4Vr^)TjroYRw*rQAP1n_Ipsgh7X>LU}b)>28Np(3P&cDG&oRkViN^| zyqAY0HCEY*U$So+-8J3QnjeM za!axTy=76Z80KXW8(&tVIf(7&2`kAKKElyy+n;cMQ)R~)_1yK4ohzE^g{H%Hbv2k_XS)mcQT}fZES0cpHXgAyjGAn zRt|CyzyE}CPmTS25$tTM6MmFOPY%f-&-Oe?D7T8oyJh}6!9zpvJz*1uTwYUl(jR>O zDS=nskl(Sp3};;%jfvX%RPOx=qAXL82epFZ_{p-0E*4RholGSvK#6<+oQS z_Y`QQ%%kxP1Gl8}qsqteZDfl$?se4DGaR$VB^MK+QdDqDTvcGyX}3?^tQ{2~yl#Dq zpmvVGakRZA?cD7bCtd^TKU3l8L}(KPx0m)8_jw~x+ft>gXb?(7XsM2rd}n{Hjyt|3 z`%SMaO15W?ZFsD z>!>vf#n-Ih?lpKr%OOgNFX!p_!1Pu@$^j{^{H6CRhP)D0uaiqW*e1yVUR+UT+hXwh z$e-pzijI@AK<0XEq^nF{#gAWq$pog#Vd)~a@**hv8Rj^v7*t^5enDQy>Y?O^)-#*a zGpl~86mnsK$Cm@S4@egkI5W@VTU{ZE3v&ehg|2zQ9a2_5)>Hl0hx==BM_Clp$(9!E z88*w7M65HRVG5T*S#02@&v#$fK^yfUqCF4|IRK9@O3#d8m(_V4^`}Qac0mTxk7gGV zSxN5G4;sR1m(ggWMD=0d`l_`OKPM*z=ktm(w87LPko2Y)oZB^BIA1dJ=KyX1gX@cl_Kzn zV2+?nafC$12&)SPF%s8z?z6oN=H5PBuc! z-Oy5oSdd4-=b$ErtmizNPNBS?fq@0)uTUFa9rzx+`x_+1Fa0>3D)OO~uJKi>TBSd) zyr-@`1Gk;Z7eAG{kixAgq78&&oc@{*)7{xbGUwdPX=w=#yw@j@p#;sI7eNVdrUv-X z4v9RF&UMAgqHTmJ#8t&aK1RRVR`hBi>gqxdoY1>)|SQICmB* zN@(GeeXbLpmvVw(YKYa_?|W!D)YsbFq;8n|J5q0E?diPQ+0gH_-h@psV#xN4%{v7D z2#t!3+LBXsP(cuX+aBH@W{x(PAq7o32#ZnK+3N_AWV8FgwfeqMxN6Dta@kDM&rVUEo%n$uOG+)D1hdF4OXZ~22!L61lo1Tg8uMQfulN=i(N87d~XO>zDACSN0J0~OoF1@zM$_; znpr=-6sPrT5Sm`Ne8Zl!Ed4|5Rdl4EiJ_i6eDT&bIvQSr*!mGQV$egm!g7?g)$2Gb z$;-Kwn$p9r{M|BhUx_A8;6c~X+68G8Uzc?!I9>RBp_{BSX z=PX$BxDVT$bhQQj2AR{?4hqmf-Q6-eP?sGtOQ{&8TOot1ucF6-D8cO4aIJ`J&y9@W z5TQg~+fY0n)bQy`vI})vvtpMi8!r^=^qsHogoTB%7_SYe-P2V<3~Jb)cDJUIC=(vU zq*Kdo&HA{4IMe!R?w&AI7Dqm|p)nGPiBvIr_9tI0PwYv0goriEXoo;GqGi7tic2}a zc~-DS!;HNHZsb@Qjp||;9yW4f9LRRck>c<-J+0x~ti>j%83&^+eH3=yD)639(5k}S z`I*KR$d(<;k+h{$F=DDgI4NiMDp8*%uMJ9Ec&j}9+=3ly-C_UJj$6(JjwVUr8|H_$ zrhfmu0pRAR*7g zD$0&QJJ@@1#pGFMLN=E7-#n4JWn{&W+?@GZxoZXNgUlqJqhadt8huRvw zvF&KNzp?NYJ_b_J6m%W&4wEp_-BT>tdZ%9%kp>Ycc=YWZ7+~8FYu2&8uf#woK=||; z6to^O94M$yO(g_PEvH`9ulu$G<1nx6Wl1mQjUMwgO+RTy{*|!asm3ma@TSfG941Gh ze&kuen{`DH+@ajdwekB%{F0^r^SiOS;gdq?Ptr}QMBl;+i#a+u8qGuzXyE=BO^FW_ zIf@6G>4N4QPO02~i)UnoC!6$I1#_A7QoSpbO*DzznV(FAnxW(8aUFba^*;PI6%zj* z-V$=t!NcmF4vKU$UT)1fCl`N{=V8RidoI!!)roeIw;Eb5+>WOD<_*?sDvk#Z-RikQ z!9bPXkG`E34tg`o1BA(ID&2xeNJK1LwDc`o^|&-u!X-(Sq}{zOl&c{j5gSoIJ?%JE*+!_}Vttp%J$X0DfWOp= zKGi68$YfkIowKV#0Or|i{EB<)s)Q2!qe^&*nfQ%|4Ae4)m+B8c_>HA>xKxa@S*l_1 zu8Ss-mvVhAl^y#?i{QgMbKPi{Yb1S!`;n$lhtQ;78a=!8yx@1*iTb!MrjMw@T!e$Q zg1DqBQqj>za0T47NE>=e;_{LE!}8J3h6UqoLUzbU4cLWOAaQ9|FE*FE%Ftse z#JKsibm+j0)q+a7B5vi8@X#3@iSFg#PH^zdh5AsEQT(O2aW}{d|H!>X4UT)hOOHU$ zxe@#98U2Yk*9bY1UA@G^PK1u@puCckj(`zVtP&rN25&QLjCC2^rtBLeKL@Huu-$lL zY@I}p8d~9RIZ7ah`#vj3$3;R=FNMK=m6mGv9TZ;LkASYy`Vp%GMu>(3cQp)=TU?j~ z4HhD|;;UDe)v%V4&4+EgGc7b35Kc*@6#r6^-E~2uT(pY2eNfn zEuR)^(Tq^X$Q^oU$|xu2#Axza?le{g$3j<>afzA;ZR)a%oQNCxAMPu&X~u-L?>l@p zQ{LBAVn5C*M+F`+H{f`0rX&+`e>|L37C^J2s~O&R*Nmf0yF1h5lQw)*I~$kela|q1 zGHjLP3uD3KalM>XCczXbhZ(xu5B!Y{}$nd&Mn7eXp-N-j7Wkq1jW1W zU@pdHu@`XHMDbdB8zISO$uS4i`QA*yElF=Y^jOe-d_L9WLmqY+7h+m)*9=$uBz-ok zoJrMYS?+}1&x+0gT$u*0)+X$k|C`k3aqX#uhYn_t5ppvi(cHAwa=OqaL0lkVgx67- zR0c-O^Q+21rTOso?9qNdRMSDqzj+usz_Wv4mC`^C3%A)8zm% zL)hazRQ0vo#lm~53Q_;}MAX5BTZT;0)x#5@)v-{Dvw{}S5dc4e2< zrKT;*mN7Mk5q{rw*90|M7^0^N_E%;L_S2%VQ(v=npH}Tn$Ql^WHlcD1EYV-MYcf=v zcpjB0RiOJEcxW;}nZ%C^v(XEgTFMrbVd}2k008)&(UA+vmTl<9ryiOu@E&Yz$H18t zxu>(rmI_)Ww}7Z6i?)Ix3(68U=v0uSGOEQ&wf_J;f}S#n(A+whTWoCf<9kob{6$YR zdUBn{WgROEjQK3LeHYECjroQIC?PseSFpJ@*Hk8G4KVM6ULX|U#egFa3U@p-Q?{2( z12y@EZcuq}C_5?%nAp+b0l^QP=%#x3-8CP6Fm0^>=0cHLa*(decD=GBXnz7|NuxwR zE6E3QZmxx6GvR?Z#FhrdtMp+fGO;ynm5X8^}xc-3~IfFH0YRS%V6ZKl8;sw{E3ozUgQ zsyYIW$}s&ac~*d77r{fVS?BU>E}|o)YO6R!>qyL^Dt299V9Q1mNu(~DO9F8Tds7SK zlPcyCCY_0+J?PR=`7n#lufj(d9Ny)-sU@TR%N%m-9WfpS;65JOPyowyVln9%l*r$~ z3=S9g#{nez^F?KnV2?$`Yv1{$raf;Fkg3>x&D8&qt1^3wVCLy6dw!97$v$X1ZpkY^ z^P3#50HzC#RcY~?J#ra|NyV{c{6Q0s zS0R1~z#}2zixm)4S#2Nfo{**|)f7hp;Q1deHy5Ngo6>_W?(cuPG}+}jds4iGvtuz7 z++X)pRr`dE+nyg<8~L02&2K83<0Xo3zum3aL0awhu0@RklS8e0<% z`Y~e`d`*hwn(5nf_%$veWSB&ou3{~`n#sKWR&&ESh@kMg#nhg+YTTJ03>O_q%ao)7 z8~^lIotedqCT*KMr=m$Qf0qPKO8Wb0pT#Apw_m5B!5WH^`Qc+B5_V0*hP21xZBE;O z-lyNI&w*xE!|e;F69{Us&Xdc)&~R*i`cD zo@RS-hG-!J?XPMVkf69;ibQg=vbI3F;#F71z%Qr$U^^$xfhsj3I14X7FRB`2Xq~j+ z)dI9V(w*$fsW^oYkRDPwiMGY>)deMNs7O)QWj<6m;K3gkh*uY*wo*Kq*5nB8Y&s() z#S%H#Rb9ND4P#ttMi{Ye%LVCaE*HNLh6WbQCd*NHmbchs5gEygc7HBnkFY~>x6p#x zC4aIFK^?e$m`{F&CL*7H7+oWTdS@_UFA(_V^b;9mLEy`p=8WD(@Xpt%sOeTNF+X938pM`!_a@==)hwZlfd+yO zn!H=jx((NLZv_~y2s&mZ{Zg=xN%%zgo2ys;#S}1SszK8J!@K0&2Ry!S3cR+~X&TG1 zl8%I`vW@z_SYYzVcm>&t^P2;aD0CW?2HIYlH=D`2%uI7 zR=eAObsRNvBQR(3$5{)rB}~sfAsI%lgqRyPDG8_Y!i{e-b4SST&|vSj znCOvI6o(9PT~dZ*2gqMnM)#}8V<2BS>TqD^XGeYVhJIACQC2T0OCVM=Xt#kNM}7L* zx)#*_Y|&#SI`GifunvCKTot?nZsT1NU;6Z9k4`y}nnMYS1SEG>7+G6saho0(C&gS2nq zA2JLKdZj_BwLb>^t@vRJ>ol)_fK@ViH2AdR=Q(7jz%0llp}{%O_niRqa{DS>T{|>>R?>grmF&7H$#f z0qja?4^_P>uMQ;ssVKQd$xD_zWKuiqvz^Plt%5;v)T4;$Qp*hQy+V{nLg_I%0 z0UW+w!r&-CZ3bJSzDmpqxV!<5#4D}Zo6RLgZai69{mj4B{qd`fC@#1k6gH;w!=468 z+RQmkDGJ+V$r@^z=@($$n%AprZfLF4l)K=q^L#sBwS_r`S8sO1!b7@=Mp-asINvpv zh)d5#lUR8`(DMrDWQ*Vv6!rFD9&@6Xnct_KRc5G`i|D>8(dQmF0rq zM*L=D=u~f@5X10JRUTn+dlC~cVkX(T@;6))v!tB)qgz1gf>eexQSufcLb z51gjeadDzT!?9s{uUVD3owIMP-ye)S&WRoFC6dy~8sz5MiKd~1?wn^AYfvUHhgP6i zlCjRVMR@WSKUxazXC5e-!@xl#d-9nNMi9Jaa26$pMg4>`hLmyRu>jI8opbR33^-Ho zqO_~V@iNx1Z3D<;N4kvP24?Ly?3grW*v6snNT5wMwC!XQ#Cp0FVrX5oY%t{OT`E-4 zg7@dss2FrNbf&AtE)*H}U5s>-onsEEIt{R7e+>G*DE|)skL<(m{g{nEHWE*sh8$;g zY8M%-=XzK~g$sO4SG46#x-8d<(+7Qr%}x6hOEBDMrjzBr$3lJbTSesqTN;n+oyM*j zf;9Z}NiZ}`+vzt@>ss-pvW=kFx9A$tI$D>s{^edTmHdU!PCeXi_-Bg6ELkub0~pKL z-hNH2(`6@zv-nd_6K9G~f?-q?jAdhcV<7~WL>MB$HuZXYT1*wg>jB#?7CVA4LdCe0 zD~{enG11}$O#mpfJ%!R32+B{mkj3oua2rzUmOG1rDy6czs-F73fH-huD15TEo@sw6|RR-qa>TQc+GocOim zdF}?C#1*|g?A7*_xt*^=n1m6uhT1Q-xJkkTyqO)QA?M=6nZo^ARtVwhJCI+17kFL% zLm}KMb#UX3;jhM{k#by%M^FP{zw0Z1t|xqb8&9IBm71q5N~h@*K;FEN zp-51O7K!ktRM@x~VS7u2$)&1w%HaT&CLGZ@VoHx~=I%KO#cL%XqHM@fCqQjBru1rf zifvJ6_dwIPYs!VrCnFxa_ z#GF54D5#>;(X~^9yjrE(=nFcBQ5rPskaU^%?e4s54Jm7J@4F%*7L&$ifS{QdTpf@< z9*eQ|u4p1>oz6!h+Pe?^8EpA^G_VcJ+%MsT$WI(U>!0X$2H29_cZ`@t*=4A*e+SVm zLz$aCk$~*X$b&Y2TaqkRvByv!tp>u(R~-pIVUHJU^|6sziv9~sKfP8w>yoae)Xs5+ z0Viht=mA{rnZ*Z`Kc@|zZ;D^S*K)Q~d9LYrEVZ2ddn)qAiaz3DL)?4L@RcYW)j|6V z9(}Z2t(x|b?Zh85rO8CaE6~EPm!pOz@gaf6f!|r}^$Iy=JZbQ!M26j6fvU$r3a7

p*5o5j*;Pu4zooCUW}I-A{4pww+jCUP2+VA$cY_MxqKim*DkLpo z{KSUe2?+C~Oew_mqSKWw^l#om3V!l~$ix5e}IMf@haHK%i zrSmOgwgI1h^QmUrDa$XAV(vkzq}zwlV`a!Ku?6|s{PPJtPPpJaWm)vXNx1Oz>RG@% z%g^Cx$(>v|`F{V*Dmx*8bV07$k>xosSZ^zDyyQa#l!>&1Tjiyu*(23W`ZxKzgEnY} z3<7814$+^$&p`3TYC&2E>nNPWlAB$gXi4vuvF{zu*K)8btL=c{fB13ant-F9<3=Rt zOkL;6sw;zR(+Bx-}yj#DDTps*v34yU?*JpCy^s8&f$wC78aQ7CuMBuqDf_0 z?rJ63i3ZI}WygQ(2GoDNGbv_d5O+tlEP&G@N*HF-5VPWFnL}wD_d5YXsnI_T%q$;_ zDk-ib1Rvn?9RrQLz;^-yz!8U%jAWv+LJS><5f(Irh7sAFFlDI43_GElNhJqOPz_7c z$3`PXDfv=&$h}G-H@s38i}$3`DFfHs>xx0?*oY7kd=>G*JBCCx15?|zZd22&@s9g_ z=!F}&@1&tk);6CK*hBr*Fx?eMMAthXQG|W_!sbs>Ov*L?Nd`C>{g!30vD~CA_4%@x*UND!>y%gCy=dlFIbZc3XQk9?m6r1C`R)|r zr#Dn(mWIzo!8$4{kBpi^TV0=+)^gz>v172AYnFhEy!&4`Q>7bh>4S2Pb} zWXs-H!fd8T1$d_WNO}sQcm)$EV<=!yfs31AJIXH-h#8K2JBXfXCztvnQKyMo!wLrQ zaZinedb}x74GU6l_b2(7`p?^U07x8c^4dB}_jkP&)`%ae0u`#Nq1zq_NUN<~7W}Lo zVHj}zTR{3ue9RC9ihh&Xa47k$wd zEz!wm2F78XoFyuLGvx@iXPR9}Y*EkqY8HjfWzNO(UyCw5AMy7@EL)YdWjJtj4s0(p zn#&887@wzEmsFfJY4)SWM1-(4D*7FPn4A2&xJu>GjA^&Ifd%g<%4QYV(OR zBo9q7G{63-13@ev@C!YLKOBrCsXQsgreYtQkBW*o1=jM5C0W#H5Rhba%K>)EYyd*nSA$`QbA!3P?0f|S&4jWeKmgwZ5idWtN zKad8hW;%Zk_{okxGigF&e*HlE!g_@`+q&S+`o!7~Axz?X%66wj^2R(O2 zIq!I`0ftz|dL3ri-67_xO)wGj*O{=M9Izkd7R6EN(TEmnfl zG(N!>QSc#~yD^DoipXk+_d;-%2(!EomxILp)CxSY>?F87DBD};0O96vz%H4x(d4WZxO z<}_4t(H;8=vAK{a;PVA|mjPy>3U~b^eV@$|U{lG-#EKqeRnt=ksSrhg`7lvKvx?)S z+zg8XN2xLlek=u_R@AG0_aCU^YZlb@M@Q0SmlMX9NK3`#jRGAQ%U6qH$3eRX+>)a0 z^&DGcQD%@o6l=Iy7-1#Qa~7X`-cX(EVhyy zQx@-Opg}|^&0Y@3pTkc-^RFrLQ?);VnvtrZ04S>=Zew#x%Lt}lKl2&ORdlUcaxCTu ze?+$ZK>0X(uDXV`ooO;0Nr3l6ySDJo+f8G;KE=h%Kt3v(aTrEnZ)H~nHCCqb%roA5 z$``x{tAjJ zJQA$PIA;S(5N(!G?e4690{i=}TTb7*9=kKbevT&+Ikju2k>EV!6s~xJSu+UJ;#wN} zn$#niNguW8W)8X`<7OPS&B{8Ldy0g3XLzTj)N^_D`my@4F^p~!h8i6WGXI>U)&2vY z9;Zd}_7bD7pVt}h?Vq|ac58+It8yo`kgwt@cLWmezYpL+!y5N!#qsXkniuBg({!2T z6oB~??dwS;dA|wksq&(AG-6eO0YQS=0XFM6tT2*Lyd%1VuqPV*kc77&uTPn`=9BL; zpvy;CSszVIc{4%Z3n0Nf{jivLwRl?>5Ze*a=d7d7-PFYH`MF=w4g_Jgfm9Q`+9 zRl=&lT!p4(OQ(@v64Y*t&kk=0G&}HM*(0BI!QgTGS>Z>m^;d>b8nTOZ9BM~N!*Js0 zW@t`}}kr%-L?RWVL0RAfoBOhw7XY+0>NCJK11m1xu&*9*6iW@Y* zT$Yo%r9;X434$;-4zs#`Bs-tTNTx&9U+Uq2I6Bc62#@2;F3l(I`$_DoSQ}3W;&M_D zSvhK0sFf)sR(uue*%`|hEn3AA5sZLb*!gyqIT^e1C_O*9OcK82Hm1`0ku+5kmncm%G=I=MOG03Zqfk3ZbQrcnElO>M9!-hR*ZrhmtmF#w61$E^W zP7IgdmbbERR(^63-u%_RYln!fJ4b6{eoiZCG;Ct5XELQA2F-LSC@FU|XpAU_cB;1e z$Hq5m#&tNmdDp`>1wcP3zbOh1brEJPaJpf1SnL&|u~?76k$?NLyqGa^Z!-zMxtW!- z5pZveO!zIszj8!z^}FgQL@;gpl4ql4t-ZAxUsk}0C& zpa~9uWv7rpyXl8~ye_40KcfRUB=^dAtNgdX5wbkWjbKHduB)67IMNB4~;w}E` zIJKiPzA}<%VbQ4pw0(Mo1;iZ#xvdX)csLdo&h+-#1JV_=x#X~kx;HCTuZrxok+bYy zJA4wuzM)OhK)-;#;Oecv0#$bOQoQzQS8UxROC)3*T?!eXC|C5=C5{fY8@>tivn^*1JJGNWj+S<4I=p&G zgLQ*a&WUmUo4Lhw&`L@qHE(zx$*X=L%Y;1Og-(1;7L@4_vCMPbHRCE*Q~Pt`s)-qn z{H|X-<cVO(%0iB+K1c3;>Pgk1sJv?=#oBfUAxp)xKn+^1LF{&dAnnPaKFyPzrpic_b#krX zyZxHOtvJ--Tve9YXFE;)>mAN#sgx6r)1q7@x~W@GWZCAdoo21)7E2`j7WjpgB0Mon&N6ae~B9L(wBb9zbp+qa&J5ze+Nmz z97?g~iV^coSvKVaoxKMlCy{a@zzC_D`k%4MS*HIrb9hN&bu3bYcH5zTJnyQ>U$xYv zn>?PcjoRZ386St|Yd3-EF8>##tz^u|`rE17e8~%W+`Z5F_=`o3KHUY<4pQ2NTK31N zE)-$6Su>wM=PyN8nodkyrJev1Dm7j0qS>O_@tU2)fx`S*y$50Jdli17z!@C>@+oE>LEc|uAud{FR1wOw@6Zn zU!_7An>hYMg%sZFB$!H%V(s`vA_L0Hi34c#?>i?={)};v zoI8OU@^#%-D(BPG6NUvRr-!NAXyk19l*MaJ{scUX&f@8nZR5{s{644r^UbCC0a=4@T_dV7@Aq9`G~GPcMko(yYU&7o3)Fm-cm?E;K>1;d)=YYv(!|cY z?@h5b8dUVXjdsfade1!D`Gtgo6h!SsAnK$SKFF9Gks5dmltuhPq$Z|UJMo89>Pf~y z!?&u^DSy?7Lj_W7{ofr*$;Kq2xF}s#HmIJ2ZiYI9Dke&+*`mVIecymlhSJXZ zAMC7Vl>ZLjtL}RjUqn;G7j(VAIrN0J9pW{_!0kWwx3{PuM=m+-ZcqvU1&*Tzq73M< z3Qu%W%0cS2WdJs6RP3R`g*H$Ppmh4OvG+(mpvK3MVM5}Iap1o1 zcyKW5?fPvRiYut0hD<6-K#n8oSpL9RWNhxwAQTI+@Rk#@1VP&B)9lBeD8L{u5%fAJ zx2fKc6icR_WIhb!=b$XJTrpg8pqhi5#eGoSD%1_k$$rkK^XXT)Vg4T}n(N5_!G-pV zQ*HeV3a=)%;%OTcizM^zR+HwhHC5zU4A&n~EmTaMlO@pcQ5_kv{zXj=V{j6J6<3qQ zHekK{PkBJ0k8V-FaE%}1@67dO)XcgtGbZ#NHB<@Nq6hz{P?}__c=IrnupwO^wJu&R z<P_f{QmvIcJ4TtKS~B3O`OBrA@Q?(2zW86rj&L|?q!ciO z2%>m4qQr_u;nFm0oErHs<)6}^R&wU5IgNSGiGf5-G?CL)QBf$NsL>q0LfOc_XH@dk zc92pm<`|tp+0u~isQD7+Af+LsXGw}ey$Je4lgdhUx{KZ1J01 z%1MSsp@aj9l%)iWK-Bd{6`(dojk3mq5LlPGNImK6iQ!;FS?&Fm5xs{RB?>Va9v@Jb z6-h1Uhy-EOXzI&!I}V)k-~AEMU^h>_CeI-GlHTY~qIz9mIrx?4D}^Pg!6kS;o!N0l zO2T-4n&mO)tP;vzEFXFSsv8KP|Nl8nA{t@(AD7UMDz(z7TO^T5sOiTL%Bu3jt@Zq#V zb#(*j<;c}%ZVXo?Hwg<2D1=Abu1$LE>HB4Y$WL?08Gr3=Ks~7R2-)?G&hIsfJEy^h zrvB)k+Wyu;Ys%+A;b6pCJ|iH%5Orrm#jdS@ujC_o2DqLr z@)IYaOA|)t`{}VCobUNVv=QVPjSI_W&oU^Z9s-Yc|{y;jn z`)LvUD`QIsC{+|*JxjU1i}NS^fkSmlXb_<3kII#kE{4uDJ7HmIw}38PMB%lD?aIjI zRp(xcy8qr8M8@bQV)mG-s2X7nY0xs7h+3d|@jt1k8G0O!NLp~vsHPsLwcHXWy=K~c zgVjw{gU@w>e&9~YXVdA&YJ2RiZFfUg!P3TWGl^fk$ESuIgKpqa4F03AU29uV@3jot zVc)2+vuINp6jkAhMZO1iLvIe}2fj{pQC1Uv&VrL?3N*^L%15`w9wJ6V62j)~#A6}9FP{i9 z7%A9|UsDhD2wCjHzf6y54TV{s;yQpZm6!B$l;&c?~IF?-YQ)`9*5 z?yC-Cr@gi7wfSc5u!>eDIo#%JY`~)~G`Q-2KANY(n6_=5Hn5UpsXGWKN$F1#*AEM- z&bRAGw8Dy)jI-e5sV04j6EoSWXvA!4S3X|;5(i@+-Q>p!v0KnI!5}Le5p_l0y0oO& z05&(vP6eze#Jq2+h};!{Y|Jn@NRkX(uhz8?X!dA!z74(RrJ#)KZJ6qNbKol@#YeMk zlH%qJM(W#Zs)Q%K8}g9_U0LNccGx;Xn5_ua6?!X`A4o@npD4Oc-Qo_JxZQp+NPezP z6=_kaW9J$aDTy!Ek}Srnw=dCk>cjILVh6zEKS*BhdKfSp=zEImjCI_LaBRbSzQX`| zFj7EZAD!g^0!!7lYt_H_KhE}ns#kqNk=FtOfqLV99b-9c0+|e1+gHgShyaKm zaEYvn>UF6Ef;1F?QA3~YNa0)($=zvACO!q*`ZCH2uuP&WEY(Y+=p9_|LKe{##dQf` z&^rS!8Wz~^xl)d2#!eht2NjrV+Ib)Yd~jeF<_P`#xv}*#e)i+1i|PUFn~Ck}G8+u% zb}JshV-uAWmR$YdhbUf7Q_1TUi2_D9FPS7844aBv?){%K63DCX*>Hv)=kLPPl^vf7 zi@vNwp7g?Tq_%D-ymY^Tj$>N1LyIbO$0kls*;O9*L|Q2C_AT``Ey3vM==c%aD``2# zi*a1WZkQ4$M)C?wZMdFSzB1%KmTLl*A)(&d+p_Hg4XR?YrqI%(qOj zrRoXHEss&YN3e_@W&b^8`BDqPt zL`huxD_N3&09-!e&M4w!KN|GSoGn8#4B`M?QK!R} z1-K0vk~19ISx!Nnks;~BY~FwuNymB|dPkFWpV8~NqdEt3V2bw>q#V2H z1{PI-Sbr+ zpXD+28x&aZTYZbEHfkn8CGjzS3NFeE_P|%%2tojNW2HhUVh@9{Q6H~hal*WivspC_ ztnotyo-(7PN`&~FJ#GLnjYiCl3T7n_Ze*0t`o)y?lEKK`$1AVi^n!AfBT z71)CtKq`9JQ(ZM**AMh+V^EET>S1I#W6cJBYcQq-kUub`ZzHHCA@!0b4~z94Xv#$0 z2=A_vbyJOW>g{y}Ia(r9aV1Xf@ex3`I`OSanTGP2a?_tth>N-Mmqx@E!bz4=@7^S@ z&ezTPSNIi3e=2N2GYO^jws2bxV`{%k zgAY~sk}MhJ2LNS-F%!U-WbATDK_qzxI52m~Zp+AJuccWd$^yh;=)XeK8ElSc&ph%m zqp-DI(BY!C?PyPCHQwyrl5PnrKmraV9-FNw{=$ARGmOGlLIGFW z@31*6sA=ST0lV*7$ev+uhPA1jTY2nY`1`TMg{}7)!$V9v^b2B>{*0WcM&!w?bP6QXdO}897MM4b^5@MVu$du-8qrMp;3i z0L|!K#ukeb(JJdG0tHuElQmFoU3_#8KtsCE^>t|GnER=9i3E-s7T+N0VcwWGFlR$9 z>B3*A;qsKaOtw-zf%0kclD29<>8NL|tr_^W#F&k|#LWhO#>(f(310PbyUUNLao`Vt z)5C&$VVsUG%3tk*`DY7b9v1}gjLX2)5nxzw z!G2b+(>z_WHQ;eFJkstV4lCuT8jHQuz*za!xRtOk?yc7JcAZzrH=Xv^AeJSED&N^b zBP6IWzUD#p#o>M0Dm;O~Xw5q@*EBsVes1y+Chca~+T=dozG#P3i`T;LV;)3EDAE(bcJl3?&W~ zj<9Y{SDL?kiFgn<{xsc5TY~Ku7OO0l`DO=u7)(KXbrsI}Kg3WgV<~eu^xz|3Ix1-K z(5FOUNs0vrvffR>DhM>HSI3BZXeS!cvImhJRP&G;&A+008LdOUq1S=F-`trF!I(_F(k<0rQq}j1#eR%r zTwd+dtEMFB?)#l3AHhHygv#o&t#p7Tqj$F@%Oc_eA||A{HBt$$QrKbgo>PtkV546H zSlZsfV_E=rw6DMz0~gtV050&iG74a=Rz;59{jBnax7BG~RwMn!s3yr9Y*s`CGfDRf z6XMr#`AU_lc|aUf6n42?YH;_?g*UXZmooWm36r+I<(P4;2}cv@W~u~~6X#n0^X3jY z9L(3$>elvQUwjyIuiaia;YV%o7`{zOe98hm-TXxLy7W=S3H=+>lOx@gX@(o}MZrJm z?;RbLYzPa27MocxmFIC}3s{+7II!axcAqE=#4`6Hl8#<`Dm?Cg4uVeWL-bt^{^>!L z3o;_G*r7S7VvKbU*}A#9ofhW%UCOMEL7QS_Z(gxZoCZp-nv$w9NrkhJ6dHZN_RxmU z&dMScF4bM)*|zOouX~$Ogzwc~uvXpG9YTmrOQkGhgF^9Zy})Tp{!;j$x8qET?D!Q^ z*6*QZm-T9t1@GA)<2ZyL^ES`Hc8|6nD+dgyTnvs@KeB|X$>sL22}-WZDT`zpXi#Z+ z1L$-$leE?DC1~R=q!c)|&m>%rRKajZ(>^+A#@!Le`*SQR)pRrA7rKx4=t5k6^~_&h z#(CQQ#i)gkbh8x~ZU}6m89~=;4HKdy8KjsS$lWwec=5`OWR!zb~IWi z>`1v0L6$wfT%#4thFm*vEJ8S9T z@%UhG%8R?>ikkfVInSAM9a7*331M`!=%Y^%fg^7yuHx?DQV4;O##?WNK|(6a6dP9C znHXTX!Y8ipu|#9qhDAiGHv1ZWQcH+CllcryleIx+VJF~6JIwxPGR1xA7lfXzw5ckf$d)fjnG|)3qBr!y%yKYTluQW>yP!Ra zCN1bhBS%!=1_lD_A8@TQ8}!s74U|Npzla`I`b2NBm7RA5i|c{Aq(DC2nFqR|8!cEl zx_aR*cc zD-}hG-!hXOT7tOskggxJ_BTLs*b3!-B3 zL{?=pNorEi4f-hNFrIXb_o;v4bHbMf328L9nEL7&^iO4%?5jfg2qCPcH-xq8-J0hZ z-t1g1eDKwSs2irsT4r1+;Dn^NEA@HRW1|%!}7K`?V3h7cR9#jDdE`BkVr4{f}&Zgx<6+7SSxoV0)mY2SQO%!O!E9MZBGzxty_=>_}K*6uA; zkG+=`EL_ysJ1Hky@hGjyOJzx*b{#t*UlwGTQefB&kC*grFxaRloc-|}VCqHoF{1Nu zQG&7AlO3rs!nWa`6dz9$>+!h(UpISTIiO?m??d#en)(diI<--wftkw<-vK!y$f!LE zfuoW zoiGUQT2=TS7Svq5TsekN^+GhZN8iu%FSzaC3YdMGcTWZtWq50t*%A(G#|R(lbtib; zP?0=G!2XGWG^y=@n2$4M(;*s+b6nnQ70})Lg75HMd$dTyF!3}+e~FpKnd{!)7w7us+5l`4`!5Y_%*6z@ zx?Ll5*^5O~q^4zLlld%6Sl3dMF6-p$Qgn?&M&!-j%!%#RQQ#@E(vcTO87^1!Xi*3z z5b6q9Gi}@A23)~%El+?=y5d}iJ_`GAfars7{^s3$t=g(LPeP3iK55wN6(FwvhL)O5 z`*HSYYr#O*8mKqwypoattH=4Fj*RsSwp)db-^i_hYCQ{Oh_KL&psTW-*-z*UcG@>fPxfydGuBUHU=06xkeTkda zE@^24nQx4iP8Hq}8kXg<18~2l3A13QR>+6Hk6?JgbxJy6=OZIf`=`zu5n1jZNMb^_ z-_3*LG4iKy5=c;5k&3OlWbj%Pj&xCW(OS23v;YrYK9X`dm8JCK1&yUv_eYQC%F%ga zJEx9bSAvvvjYp&ydX-fuvQh~wrO2yOmj4=seutG7&YbCBV5Z)Y3PeUslKcoQ2~`sNbt(Da(gFzu44a0m zeZjf2qyx`PeR<=2yJDlhNZVO6A?_?X&p^GmoyV987+YXPrWR!S;TRP=x%fvFQsFIT zCLFFpZMDvvv_v;Y_m)D9zT&#wp7`XQRfxY2m#ST1je1N*p#nZgV)8*%e@>vo$vt>1 zDu}7sqainN!5$&J^0ZCXo9sstc+(x5deAjGtG6e z`CY1K*L_q*(uNNlZ>32>Atgx`-4;Czv#Xeg(r-pI=xX0Iu@!{-Re_z|BzaSx-Jc*- z0o$CG!X+pTf$eTiPTA+21{3ei7qAOlD#Gw@jJ63bdtiYtF)zcKXWXYa#X9 zwR72w5cEzs>r5Of8H8gqQ)cL6oI)n~EH9!>h9KHyr}j5CRyWSNyOUf3a~(R3m`k1{ zzMAs*Au!OnLYOeC>cs&Ug)1GHcQ^64`=$Bm81YGdpFhitiBgmufb;4-GlzC$oYCZ> zmq9<6EHckpQ^SIUhbmsVmzdqdN9Y1M-oq*1tV{_1V1a`#zZNhn*2k;*gV{kF^Yxgw3i@0wr1&fW8aqgABe4N?m*&JD>Tu(0d zb$Dgq@+omM9dfrE16_Cavm?fzz*X-d^DfZwx9Y|KyLoaPAK6+g_T6M|;9^Twsitfg+{el=g~VOG+?qAcO5{F?0_I~2vk3Y;NIR>DJ8|P^o?G!%Aq^yn z*aY2c`26lynNkp2o|6~|#w;q_Q+=E&+Sdt>1&HSmA`|L@ge$=x+4gBfCdrYg3^6W0 zOvjZJV+hqh{{De*rAf;WiIsNf{e-?P*`+YlwHWsu0bRcZvCf!nVEkAUW>iW@U*Fbk z8B_FLuAsfd@RGaM36(#mexTe@3@IF?duBu+`lN*KP{Tg;2JcN~4Lfgk}aE^aWygsQ&gP zsNm^%M{_p|*28MA4V2CJx7^jDG7GEH|uqmkk6}RatY4H0wM>srh|BIg&*i zu4HI;{>NxB7lC=M{WB^>@dWaYzjj-KO|a$XK50<%6_9^G(_Yks4gynl1i|<5cIOE@ zcx0f7r^e7={wxX=t~Wcfs(U11(jA10JfQSI1MlL)&YyiRx}u-sPTF!q6&%Yxax88X zYyg)V8Etr_pN$f@vNsSi9ex}PQ|}r9+q7cJDk+F~qSdZ`;#`K;jfnwL5@x%&R0+O? zrqUx`WRdN8L8IRKZm+Q@mEql6Z`wQ=Ga)$4-CQ0DA_`3%^-p?%a2QpFlvT8ihm6ZM z3o}}*3z6A)X0e8#&xtAoz>l-KJ^4x4&cqOxZeEh|xy{TpbqnIdqGmP(KkoJ1t<3EMDi%+vvz9aueKeYOj8g736wu+fy z*YyQ0SCgxtlXGVZEr#SjI_KW%TR(};oPSaN#GCqum6fXP-rcG2YA%94A~1m<$enX5 z%mJ^_KKyf%&L8z|i~L_rNSX)8_F)DUH6{^OrcAD12d>BIAzygC`Q`{bQW zlboP1bEOSEXWLJ~$EtObgxIm&l@rx}=)V7G|HL@=la*u2cN72IgYrkQ(|dBPaHYj3 zj5SH<(0la{m74PTuom2y_Tc#)kAWTbOK@ zsw@9sJa8&|VqX2fQnHSnM?yQ^3m;6kpOM5}nmN{6lnZD*eyLkgD_@ozPUaVNCGdhu znPCDd2h<^F|E;G@bd`rYhjn|?4T49MwMPVHW5{)!%%#Wvn_4&|CVs0XKG7qVcWdIw66MZ7ri4q49HD!buY`K7|5W@q^392`S#m~CKn$1PY3Vb zcQJo>=hXuT;Bih}d%fm4X}w>x&M>wX5yAf_LCuc)`ga(S#GF^{Xh6j4Z7G4Q$s`!< z_?fI<$Gv2i2lahPDyD}PbDs;~-g=E0)GeEbVotLn80Yp)B-&>e|GxtQ-L?|JP&PauIR7OfDXLVX7|zlNphS2i}kO?$V_0)kD|Z$+RmY! zHrj2PukUeSNb4`C<|ef&^_hhA zIr(+AeVxQMe@3z*w#+s^?W2H+p5b&Pb%in9SZL7Ueam0l|9xulaq6Uh=|y!r^R>!jxzlDfSU3H4GLo96FG=8VoBxGfeJc60=SbP| zG0um`{)r~_=aRo;-|JL)FrPhVunW1>ceeQNHkiEGpC{P}Z4PH6>m!=3nLk8Qcfdz- zWJ2$EpxcZd*Qh+0${8aU*?%iIG7a_RwqL&-_FUq>-!UCb;lEE-{7_HGTJo2vgO$VP z=Q+9aT8oj4%(G{z{EE#v`Hz;Xit4n#!v$xvk*N21;tV$1{4iBfsYl!Qkfjr?vplK$ zo&QJCRYt|pEK%IuCAdp)cMpW% zu((5T4=w`)hY&PKaCdii2~N=6;4X`PNP@k6zxGUT&34zlwR5JcyKilwr}>Dw!Yny8 z({nPuzG#bAI(kd3b34PS^(3J_*+N2x(*5t_!}mnlAz#ijWY;oUF4wrftaENxp+1dn z>R13*|G!DX;bby|#fuaf?0Zfs=JAfg`(mx$?csd2JsHb5%SM`eB zPeBnF{a}6*0w*w!y)P*=kJq^wC{T&#-aU=JaytHZdrtb)sY-u67-DC6Jac2yPnf;} zVotE`7qE@dGD6N3emd}4{qiPqA81{Nd#{S1nZy^{AjO~3&}hyar;Xz86ba-NQg!(J zk@}_XzH{G-4M%taY(;N^M?OTgmg~7t>>T`V#q5^PSx1SJ4gB$MGPzhZ&S`aCHM{G4 z>u0GMb33;&FStAnPibK1UPV)Uo8Q}T59c;3=e7cZJ6p7|39nPND7Tdbzht&^u58zA&n*t6QIoYA4YV6Aj9K>qS5v={cUusTogbKPyevwXkN4+)Qvu#CM>j^!b zzQbTWr_zgD^^o@qn&(?%BI^!??{(-)MkJDbstIpI;w#PZofO{!BmS~FZL#}W+sB#+qH6lFKeUOFR)W4$4u|wP|-HH`*Z0lfz zNr@^EqSQUrV0%*}i;nJVUoJoPOKj#%fr3y@-m;7Am2E^752NI2SWOwt&*(k#RQyl~ zok-M;tMpN)CsUoT_^M8Kgk_#PdL zb_FK^%k@Lj&nL+NPMiKnt0%%^P5C)R@ZT$7qP-Z?WS09Iovss&RUpf2Qfh8|sNB~S zp_urEvF~wpo*Tcz)gE%VVzFZBLgJiA_i!`4+HMo?ds2KpI@C#45D(p2Zcnm09~Ac% zAceKLr5(Xz+Gx_y-01JRqM~HQWq9ogX$4k@y}=v4TLx>HnSu9kSZGYXYZXz1b@0^! zRIsuksG2I}hJimmB9?qA`=&Vor2vP)HJ(GFDPCx$kexoDd*JuuW1sZtpStr#C^TKm zi<4Ya7ap$Q1o6B`T9n;C7vIEInFbdxSenaXCix&T55MN8oPRZ#%GFeWswIQzw*@y| zFR$p`#VyXyAF%KTp)E!m_wV^XyMCwy)B?HP6`2&^MgIte=nDN@OeAcAu!PcgN7)tVf&Ckj zYP>e4)iXAbN2X$6ydI&V{kU;W_IBLMd(cc<5LdZ@Af@Nqrq!~I*O+mQ+k_x(^(Nj3b2fBpvX$># z|1yE-iaM&=&PLfY$16z*IyLkQa)jrwKAt2vJ*Hyy_#M=L$=AqL?veppR>Yo*{~Ud-h^w4R z{v)YQl4Q_2DmPf}#P|nuaDkB68mF;};a0qVl>HyLL~tCpu`1&LQ+$+NOjrB%m=5G! zVm;GtuzZ`D9pE0L7fP7@_dN)nC@2Hb(@GEZCO9NsZ_Tz!iONdvNamv5Ao2^+d#{if zJu0r>_axQxb!@;~v_T{zvZ98_BM-uWpv|!}x4fLDdLxD{V!V-CkAa}(eQ`vF!Dt7l zv3G=hMAtzwU%rNd6~C@f&8$YwIaGEw8@s|sAYP9u|2M2yUk`+n#@ zLxAY5`gaC&tGUq#u>^j!5wb(18>XY%0P&8@Nq>W)jlF^{f5esK7*v^8aDOz{5@<-f zVq=9DYeoxEWG951r+F!k4{H_wr|mwm5&uj%E-z=S0h;-Nl@sBFpobyP&`@J&KbU53=hq6D2Hfol_(QiIp!>))6Q4J#0`nOTf<_VONCz(=W%wr*|FuG<(zNlm z<0(%!^BHnBgG4ViyIi5bQRmYa^;#-#={Ei)a9ASww>jNKZQ|t<=ltMdXQB953Rbu} zP}8FCfoFkzOXQ!3IQFOBilx%ILG%`%0ZML;^;zBz;ud8J39zLeV{4k1u7`FVGEpx1 zf|3rC=ojijHVR6V&Uvs$jRG|pw$1L3z^mFBt2sSp{8r%eA~3o9jj)4J;i=copT zn4mz37*YJ|uOt6~Qk?WT)FRU7LNtaNo~awZ{;aihPSMB+4f+9nV6dN-A2KyC3B)gF zVdJ1g%FZuVXW9*)>}!W-*Q<+NdcVZuH53`O_|0^SWQ!6R7)M5wV}+%c zk&>+@V7rv0p^-?4v((8Kl?dsR3a@6ie{uz*laBx-3UBW(_vx3Z3FIxPiy>(>;$Adj zQVYDd*n=ro_=>QT91VirlNtAUbm6S5&F;m6r*ffDV^bhE0(q;^JN-{F?gYJMxm=_n{+3B-|}vIgxb;1@E;b6tNRe0>YkM6=O{WFmhQ99`vbEP5`w{q}1d4{(MW z)~J}!HYu%cdiN``m}v)U2`nksJRxLwvy|bW12ga)b8oIS5IM$!Zru)Y$}Lp z_0I@FrEwx4L~CqY^Yb)sv|*e**Y@wYlzZNVNW@DG+jSfm|d##7@4D9t)ix7=4$ODMnWFE6n0`odaT zdsoz%-AxsKOCVl+ZTacDP1<~m3nO`6e$A$mQ1gXg6koS%ODqai!&PG2*cY7!P zC3p*^F11>udo7fl5)vPg-j285nU{Y?TuamC6dRScKya8iqkC1UF$#(WfSPj-i)7L_ z5nkET$alLm7Q>KmsMjuy^D@WnP&|+{`5B%2x}G7_;P4IajjYGQ`0dj(LuK@=USKQ$ z2degjQt#5ZCM*rBJ~LQ~Rp^}Hz0pDhiOqW-SGDj}Yje$>5t}j((`j~TO3Dj0f50v! zjON#bxL@zWryuVL-ZX*e7ALGi(zgIZy>^Z3deSu`lBOSxhDaDr_-FFZ47R#wt$DjN zt%NM^xUX@cT2_rXLh)5?+5Z38v)r?Q2IZgSH_+dI3W@>TL+@MNav!7rW(1^`KdyHA zxB#&LtBv`O<=I%^s)6|%)9tRg9a+~S!P}Kq6|7W-`FMxm;XDuzRPL8mWJ$7SgeX-8ZuZ_!a{A}t-3 zbGlc$DE3L<7WW!=kCcCi?KR96huE#>G1`jAmb1Dn78pr5oX@o5e)VPq?9X#Z-(va~ z)J;HYdv8>awo``ZBmJ|7cd#DdYKvEHVC;GpC*I<{iMbD*`o#h~+V;rTFmT8#E7%at zp5<*~c0*w72Gz=9CI(*Dx4&cjaY^(h#_k7{{3Cc9&_GJKC3=&($?8nEorL)xpKc2Vs)dS!;s@<%@s4>rQu0FHR5J@^-hakOc3RMO-{>h z{SOv(3ldn7-J&o!*7Y9Cj~FJ7>;P(?1}Y&| zxSudiIjy(O)#v4}ES4kYF9_&F*~?En4L%df=pNjr`XPg!6e63sAkJMQ+M!?dH$qAp zz?b+>^pN!U4d{JOj%KL9_Z4;e-(VDv3{s?H{ohG`L}p+pu~@=0b*1BzAHp|H>mPVJ zZTtzx`=ur1#*;iq@R@4yw1Cq20w;bq-%`m?0$hrJdxi)&oQX|*7!&!wl*7?z3rNS% zcy$9cD;~M8II}Wg7yhz6l)Swn>ld~3jgUSHtC~XNUvw);B@9dVepHIo zD${|9O(-wMCz7-{hdtoaL?OtJwW~L^mVwL8HClgig*2-+?h;?v=>0wAw$_0J$rN4< zmICL|7Xvz}2zZcMPPA|*SZdCCCoF0Eau#{oD?l>RG=>t284{ORE&5%#CDG`Th3@fp z-uGM16?UZh?xU6i=hB}*wIZ2D#=~bW;p4ITm~$(%mPX9Xv zs^h1jg#5|eOD`IN)|KDL(7J@zV|7gApd{)tkDyOGSrI3PcPHz!F1qX660$!Qylp(8 zAb(tsw(y;`JFs^nPWn>wI zq?&!VT~H{!P8}Gx)5faKBx2Orv;GgmpSuY2&$Dl{EiLfjYq7#|}(R&dU zadJJK{ie#d^hnb8d`ZueQ`_JEn7MOY+(+4qz_JdmQy0MRib1-8r^bi?89w_vS&Pl} z7tBzc^*I?(^Ao9NI{jg5-XA}aQ)Te=B(ro&#b*+kX{bkH<5m3eJ81Y_l5xtewoahu zoI}C6PpVzp^Vj>}J`-`g4RGnpgv5Ka_ZpX2RtA^?iq|?%$(4>!yTeM!w*}Xsjq-b@ z|0GA`(jb5Xr)H6n1P2x~2}L8qt7EgrEalGLv;Bu;g&&*dP!+4AV|sFNg)9hD6b5O^ zsL9R^$q~-S>@^{oKpPHtdWJocYPeNS9z$Y?x4Cf`<~S^;@!wp74>C?&!v#qo&L>le zkTm*D$WL(U$XEgUEZ0EPJuPh`v3b*Xx;POudlrCq${hOCO){Ow^&f| ziyzSE_OQ2;h8nvZ)WVP&UyttUTgh?Ul-C@u4S&#c|B|yW7pdaD23?43k}u-u;u?m7G$!e=4)DPM`6a0VmrGLs`XK`s+=5D2We0s2%{U z6+t6&u?gZ(FmgqqCzEByx+D@e8bs1)EC3#-K!RprY++2}s7~tdGak{&vtu*{H}B4O zA8}tAu!CHHP}0$C!?8W8rqeCds+UgE2^>^pdQ}h_`7S*06^}7uNYT96iN=Tnu48-{ zTyCSppWPDnZ8&J|i0HIC^55`ui6DSVU#+`>2$ z1I8KJXs%8*c@kXHoM2M0QBpQC8gu(r2G{Wja--i~0#CsPpr18fL7#ryB&c(C{96|e+~7e^*YIa~^k zxiNj}CZOG`IyWZ5+k_IYShgTCjJHP9`31`$CQz#5;Z$&X^TM$==;+2|DO7$j7BTh# z+%mJlh$w=Go3I+lF=Dt!(?Fs}r0W`TOe}NNw_pICPwGl4?0TW}T?!xnTIILPwN3(> zxu*}WaQ+y#Dw^_+J#4J{_!&e;H9O$h_4H7vo4%IrPq- zFgx-k++=QBbw%t&oAP%?xqRN3ZA3huc&QmhP6HMu8=jIky1Fi`jesf&S6v~}d8x@6 zJW=Kxf}pzCMkU1d=nqPd1aZ2?>`d&xZza_~cEh8pobqn>ca|Gm#Zd|vfqJxU?6{az{nu#ZCQbf!x+qPC&6OOuiwT4`p4dEEj z=G8_Ods`G+G{VMf-nqE+kLS|OxhdZ^``GjTNo+`Kfutg&>)y9^`M@OP_VPZ!DbtM6hK8FsI*s6SbYfu1^4BaEKw6BMSx@9&OL61jxV3u5a{UmR9l4|KS2L( z;Pi*RhMW`eZ>DOWqC$9t1PZL3AmijvaATH3!wVVj!q{YUQW4#dM#m07lB7X_D33?f9ZcP!%I zB`m8C#mBhVe>dhVtcK-+G9lP{Wa@7nA#Sannor8w??sq{^UqLZgnbr0p894fijR(# zUFX7d34NbtBV4ZN%I`M6^$U~DhSmn%1ag$Y3G$pD8DAsJz8XVOm~p2kc|hI7f~+|^ z{ryf~foT@|?z6yDVoVw2ik`gGwtz^^B+-W;*Txb!2C13lzU3d`nQv`}gZH>OJWO@o z?Fsq>|1|wIAW$>b7!L|3>2nK~B@rBLYGP=&CtbuD!D_$)yvZ$GuBKI??biK9;-~uM zKApD?oSG${!On&RH-(hxF0hOAQC|<7{T7=(AkY3q!=>Fw*`01bxK8by&agU0FmLV& zqz}*C^mnWwn=?ywjO}gYLQeAxtWGvlu- z&t3^U!?~9iN0$Pt{~QbX{>+psytLEeGh@3=mJ@6)FO;n_rXlWp2@o}7n-IMZDB}4SaD901vLLmvrGmLOo~QYVPQU`A=d2MIa6%cRJ;` z(2-SsLDjbwnlr@&rE$X3d#*w(hmHPv&>nhQsOUkUWj0san~v6Bo=kn5qtexq8#^I> z(qP>ruo)+C0XEJWne72+pgBbbZJFtzlAocHtT}w(YRU4w&J!kAuA3`}Y8S z_?NO4aC=XH7W3|J;{~~e8zFx_l!#jpqliOGX1Jl2MXr)EW4o^v)#n2xqYb%yYKYMKaM2_V;rH%fR=~g9MzTXBJ;tc0vDml8Ou7eOM=vUI0 z{`#5NrFvxxqEHXaKXn7{8_Lr`;}BR1y^e_tk+`|Gw|bf0W4@#ovnZ0W13`2?aMRr% z$AzZ*S+u?w;gL~c@U!uQ2(jCbe)sm`sA{A@nO5OTf(RUk{Lk+PUv=Fm=tGCUJV{jP zP+r+2;^I{&>vG%t6_E->=s8Wm0lmO)wk(0-Ox9;t25do0B-wzMe{HW*9H`R2I_rEi z^_0^6*Z6Tr{i-km(RL9%{X0fYr{+uKxK1QoTC?GvFhR9%k%xYx z8;QEKighwA2YE--w;%DD2B&5P_3yTQK$Z9Z+U7~!K@FKMphzNvg#Va*>*URs>G32* zocpoi`Q*4lZJU7TfjgO&4XaKE>EX^w=yFXqAx3+fe179?>1YZsHAf<1%Vpb@?$1~i z%`jn2vOjm#!94c^`sko_-lHXTJ`)`afq>c<>VGLnxH9gLIaItV`foB)y!A}98T)@5 z>VK}n*=93NL|o`xsu^??SD3mm8H%TL*+>+M^eu&Pu{;5&-fHKf_{fk_NtB~e8B0CI zOg5BhrjA>J--!g*qrJjR$gER1thm}r+DJc5HXc$QS@xXQq7Tz$prXk0PM>Gx_(IFf zQr?l*jIj9Vtr`SOs0huq;2u0s9i%y%rXW=6Y41S0E!Y<<*hfh8j2|lW^x2<+eZ@`sn~+oYAd&a4>o+aim~*u2Y6Yp4A{Lq?Np*U$H!1c&&3KmFsY`d{QVtDB37`bnalDw6Te($b!}0b)cFe zi|E#*8@}NtCXW}X&bVKUBr5{eHv4%uh~0L_&wCsvXi#anB0bE=l_HlS7u--wLtK7-gipZDyC{!I4>@#cl`Zk zuD1<*I_?#nR>kaV&<@o?ac&=P$)mv=bvqJJcIPg#o_enyuz$Vc62g)1&;vejgOZt;1v}j#U7jWzW6gdpr<3C*g}!A zrsyA69-z0wdU1EA*Bkzk&sU34|Ah_(SwMW5gYlGg8&YT{7?J2GNM3JdiF5EzYMCRE zXN6wDtk*H=rlliOzdosuK==L4sh<2;^h(L?sovhM;Z0DzStHck{T}D8itd89GJ6|X zs*d_ZTRVBYT#XW>AG;yBRE=V-ZJ2fVTe2Un;^IuFSI(@x>=X4V@gdNnH@u*C8boww%L@P75eEO z()y=5?PU5(FJ^25WoBAWXxi_OHG%p9&PwkC&3b0iFg!+*db2`FWLr}8Ub#TrY`()K zjvmt4je6WW$0lfr|OCc{R^(|%+1UA=cm zjGg)h22Ns|uF%<1HA$v)aB!9W7sQbctBu?MWbUtHr!=R;4cSEH51RGBv55jaNNky- zW`l_LM6(`Fsu~S3Iv`SjLr)d=F79{N9mU-#ajPBi8_peu|4$ulCs=FV)`%nQCnxIj z3`e!f%?3fa<-5mXubYy!26mLm9&Cw*v%od&*N^fBhIKtvOU3-=eFm%gpF3Q&xN{ z13}MqLuZA`3hKQG)8<-#oa@a7?NfYOnRV*n{zgkgz)*?j(yy<`6=^xAsW*dP@3GfXgnb6206zIK>oCmnP2FvA?=YaOQ~%u+ zn(c@xCP(%Jj9wCRLkrDhZw;2SdjcY_=a2`EmpEQGt70T!3~d`P(r23W%8CEMH-`aw z*r_`j>2pfFQX2dCr9$7}!%Udi5=X&GRr{G{eFF{ACCo!+F}IT3Q_sYJ55FL*(E-SP zy#Os0EEee>>z%zPJ>^9(WRHHs07x#$0Nb7tpVs3j?!rRIN;o^_EIL3>G}FH_jH}%3 zN8^%9y_p`DW94ayPQ9`aYc>KUIoKAZI-JoX{k<((3P zn=|2IP!Do4obD8wX>}i>z#26b0R2-KAyqPSAuvMmj0BNiVKK0hf7f?)O8i)Om_~J~ zcW`Cd`JpF3vB!n=X1dT!&(Ayca40ii^hxaiyWT9UpGlGW_LTVYmh)(+T3>`zGpbK& ziNleb*Rd{6r`|%rwJI<04&$f=O=h>ytgvL8>-2Pq<6ULmfkeQaqbD$E$ZPHg175W9 z-PsbyRaVW`!7|4ozu^WwjAxoMW7Pv6o%*ZZLVHP^ax*m|85)cn7zd|61u}0n>kV*- zyf1a@*}2m7beAgildPY#Gjam-EO|B+!>;aLD&aq^bwMu;AIRG28$T$UCK;a zf*;yS#!*8AF*l2&S!v0VM&8?Fub`{x6_@6%%z~rael}D7W`Ib+yRD5OwiTEqEY6T` z7y`c^672`oiB&YKC5<($Wa05z?`h3^+E))=3Vq@wFYxGy&|wR*D517Tcc#_`n&#Q8 zPbKGEhRXnZP0Fma=G%iG!6l1pJ!9;p5BP`P61|17kvEGjDq6LeWjni;%t?MWL1qmn zPFzde!w+yXvc6{Es?p9!UF|1yNofJKJ7kiJ2AIeUUG!PZg-;qWF*h!PH|H7_i&C!~ z+Luiz#L+aM^@cu1S9gSx^OCDRG(kr3yz&bl=NdqOW)u*-n#H+Buvlrf#oBLmhL%H& z+=BIcRtqJujs8k^>iR^W+fnkXft75q3{|C3ZtZ7r-wLrJD|XM?_iNwN>Qa0Hn_ozn zVo2v2|6pH9j#@{5Zu@E%>Pfg{r0zWSs8_2xgW8lNO$uj(-{_V3P>87S3wDu9G8|~@h^Tk3zu_HkE@~_?wRf&lwb0c8@;Yx0X1&m zpee&<&%fN5oFA(y9C&Rt@e_q~+E`)m%7IC-qqWnbNS9Ch{eFzeyS5Z1+qpfypXIbO#kR-;G zQb^D+v<(dnZiA=ACDg2W@T@+7n_&1 zdZ`gL4i6z2RX|5b)W#khl1{VF+3-FFjvDbDl8;~-OcE_X@9nQ!{H3L%SXeQqxqgv{%#d61qak~{P=oK1!xR~TrV*q^PQwR>t?PgQX9GK7K%FLHX=&$OtexUl)Eq_Ke;yB6e zR?05rnP$;t_}VatOr-{u%k$FA(L-{pcVJmbNyTB9!~TP+r)urNFs^d3<~J}_d*3wW zMT=TBvn*B1ZiPLO46-S7?j&Z^J10OJjddJ z+9aCnr(k?H3%L=%2RbsaB>r0{g&-q4Zud@x=%YrR=`tRXE%!yQ=_qA|uUE(Y=$KXs zhr!eQ?gIL@pQ}W%GjJJY>`Nq^gUQ2B@>9b`u<{N)+M*p1L#y_BvI;=pRTWfCy^;}kUBmUJgKoR7K|>)X6QU%6CJg4o^mS>_Z$lwxszF5z&bKI~O!hpB0<9Dl zC2Gk*AC+D6y(Z!CF?U2ZpxgTt3IgqN;%7e0g)5mP+}d%(MXHixdQY)<<@Xf(%)041Om9Dl2u{HBd^P`oKSHxp#lG#yRmd zQ^(#$b7N z{0$zjazhZZ*c)suQnqbfe=U4puuJH<@6u0~1rr5MB_eToL(G;5&!D%U@ew!qLV-m5 zb&84>fahm5M;0nKt`}R%;lsv2JnKFMh4O;hX+RBz6Wu*j_qe$g9<;4?%br)Oy~z%A1H7ZmcRW!ms;;Ud|nI5v<8Qa1k3l*bVqDAHcb}^+ki5$}hYjQ=VU$1#MJG2I#ax650PO zJTfL67`+vtc9SZT>Tv79ef*iL0~eqo&Imhem%74IG<LC=cntx+Kw`{~CFU${P%ge;w_z!lHF-sM7`_k8 zn4Z=P4X;(9Uq2u#V*F>I_chRGjt%1=Y-!r!A*2@a4dL9hTLa&e*^Gbp?8g}fS$Bzw z(%}Adxb8zF+DHAcpQr@1L|SbWNF@7V%(k;A7x*mF(-mes5x!*oGp0+QMr1CJ0jaB_ zrB*SXI&nz8tXB@h*D{56Y0^bDmFV?HbWU`mS!b+02%(wf@>EnRu=&v}C{3EOgSxYT zSx8hQmjf8nnhcALX<4%sWM&t$&f2~a7%(QP#ea5*!CinuWY9i+6eXq}$3FhhUP$XF zBi7>hCGc#N9`^s8S5R z}OzFJAP8v@jGa(8+gC)q0UItpue;MJbMM$UeY z@)5s`P&O0Zy&9fn@jBlWrVZP&tpev7$vWYTA1l^Pr6Q8!hylrh*WzR6Ef;75W3A)< zGz;~Q6>M|K{h6RsTAAkHyx)%gCxdhE!)B@^YDYsjEIl4mCkdwt<&gJJ8tCpPg>!(( zydEutlbvX&{dIN@U9mLNq+Da406%G)zObEc`a1|LLg|@FzL< zL&W{UWZVl9T)|M@kKX!@zIy39afBn(Eu{LhxV9;bdOep*T4}x3oLJ=FWi1QNEt0oM z5`Kqf?>JIrFPd#PnnKCbk@iJdZ~Dg3|8Rwc%E*=lCt76Xrx2(EMrFt>`!VTh-Vr|o zrK5%N4GQr=#=dy^pMy|UHcERNAEY(Yn2zG{w@tF>l(~DPDWfOreT?WE<%VNz23BLg zjv@HlR>B0+D$yIf=6lc22QAhY>iw>zhpFg z;(TI-6Gwk!rnZWn(jX0YWYE2Z#llZx@jAitpmu zQIdscqn}ys!z1*O8nfx$P?JmkydW|by8}1Lmv02`2=-O@l(w`8`Mo45{V&l_a`OCh z_eJ51AY1W%s9~I;)+@iJWySGFcx>Vg4Yd{6F-v4V(2?y~^GHnv4ar#raaU(6K_r|*2ajs60TB@B7 zK^GRM(|x^V54~7x2PJ3@{t6F)16{YhTkw%EnU85-KwES2&`xMa8m^^@eHbshlwBBx z(@(qO-{Ejs)$k{zx)bj)(dRKZi1vpjZuzl1FYn|&Y54BR7IMo*DIgQI(-hH`EglV8 z+dq}7(1nKoBSSHu!kc~{lL&_y-zFVTlrqtBS@R2a1pOUA+Q6A|-P`+yFWJ)T)&ZnT z@9nPvB3i>8YRQzwn}<|?`sE9X_=YQ?TMrc~gyiqI)`GE*6RM!hG>2mc3?;o_(O(I0 zzxR8SGKJqPgJs6hmy$N}%5~q5lQMJ2C!FF3aUo$1K3YaonjfNWCw5CZxU-!~8_|Vg zHD+D%d zUyntfQfLL5kS8vZiI`Y3!YaB`Iga~wj#6p&SCGNHTcpT?r=ZR2;oiR|d5VF#i-|3Y z-4B?tB+t(%F}l9}ACfuX?i+jKl2BWoUBfI4VLMYV{S z1Mq85`7`>#Ks)aTg1*eZ{B`+`H`6xfLYvY&m9U&07E;_jPJG6s!vr0{3&*498h&!+ zbD;7!5DEE;gF`(lqWu%QFmEw$*^D}g3{vHOlvh&rB!qQu&{2^0c6ZA1gNR;d?!FR7 zJ2p*2kp4;C_N?Oiw{m>}FWNepNyIjQ^_|%QoH3^{uCjis1;Ie=N2<7qs8Sau+Kt)< z5eiESh%6hjtgD3cfV9{dc7oRKO9?c0nmv7SzKe%gMdRtOK(CP=p(yfas@*h_S2XRn zW`EReCmSCmzscRCK~1cvEzIDt8u_e^AAj=R5#Wc=J2Lzt5NfWn#fjRxcRr^8=4h-; z6>1!P`;TlSTtDcZx@y!cxIfXpAEZmKB&9`QU9CCD*&Xa>>06y)$Fy!mdhxkmI``pn z9)`vMZT?Cdvi>+XZB0LcFcY|Un*8-haSbXwJpZu?t9MP=K8LdNa*$Msst9WPQObm6SgzV=p`ka?4H~XDyFsb2eO=JY7hS?qV^=} zN-AP+khly{$vbwHGfR1R+C|+Z0mo=upZ4K8l9paF`gJ&ErNtu~`3pJVMfD|E!#GH8 z^p4)j2Z`~bEsmkkXj%lnqYdJit5sTZ@;W_}G~-S&Via>_D_`IkdYJSQ+SG%Cj~SNP z2&`S9QF_ccRcQ@P+^6w)l|FtH10IFOcUBFC7 zZ895$90kD_V)nR^X6SohhzcZNPZMt!W7)#hG)KoS!q{8Wsb~R^w8VOTs;2O6GohwE zfflfZc7y#aW|C;RQf?)0%vJ;BSqmC_(ea|I{JNDtR;_YVBMD!qw9~JL=jmDQ)9SnG z!yY%Zu}TcZ6fE*qT2Fb;)@@MR6H`=?*%XGw(O=i{YU@nW0kD?lK#zlaUVO%_{hV^o zQh}PIpwuvNR|_z0#Z$79@J=A|i;Pic>urChB&~u|JED~w9hH$cRQ9Y}D^N&b9&6tN zdp2jdhec*~>D^8QI45v}JV>r5jNgz)A}x>6tV+NnO&tZP8-#etRmI2<##2Sw1ln^$ z6d7Dhl;eq7Lr*{<$3>{QS?Kd>c?r}PPx=lGkxf>K{S1gg_*dKCK;l*67u&E+&8H2t zUd}k!BrX$1TThIGQiYwRUv#0=M+lVmAKvBrLp;{@MX5BJg3+G3lsXyZbIJlmPyHGD z2xgq#@wv%i;?=fx zihlhV37HJ4xz!5x1_0tFKpn=4BvY^WQYi8D*DXK&=31~@C1zDAIRSUw`%3Y3(Vn$K zLICAcvTFHa05 zXrGb4Gg+x)+iYl}2&ZvE;1u2Vh}?z3B()eBGWA zfrKcFyYOPV!pp@><6g7<6h=``+8S1Il@*~1?x0$>|Nkt&SSiexUx!HB0f;`QQEF}T z*%fQj5OHyD_rhyCC(NiuFaf_i5bYIdR^h_9QiqC)UndlgfVzW8t&pP)*ep2(_;G&G zod$Yji8sDK5S%@qW}auVq3&p#A{mY=8?z(9!WQZB<)s-6xP+U-@8mK=g!`n+g7Ts9 zhg}=o&oz`R`I_qqBjIL5EZ|Yw4kK_9Ty56PGmC?G9Mx#v!-G#pffDmg?!$R&vRKnf z9#dxi=aqwbTgEAs7dG%})ECq`hEA;(x#dT_LmNs5w~f;v+qT-6RvInsKGU;9ivl02 zVI$f-g=;sRaSGHr_b0R;!|~Th*V)VaNfP{4I*f5BHx04aRB-t>fq;)-r$63Zn*dGD zcYi{Ae7Fch^><+8394(!jS==Vay&QjQ#|kky_fc>JGRV6A>%DN(aXr&m5o+PrVI>q ziS|Uq(Z!ZTy|x_JdwZu|_PQRs)s`PHX%LN;2l^BC(h3oUpYA<2{gBUosP>w{|A^rXTK7!Q;qmC;{$-i zkvgiRJlnmQ0m&-$uqx7^HlKk(LhGwGtILM38fm@PFcS)jor_mGrtIE}%xQ^IKsgOF z^CB=?yy2W9W_%lNa+x3G$&Ob$*3ptdTzdz^s|72f>T$ocBM7yucH?`0WkE0jAi{Dj z;VY}^Wky)yo;OtZ5U&$ZCmi37NJHUvqyQR!o(kCqJDw$#aPdg-QZ?AGZM|Rw6)zY{ zu@7tSf|-Cgx!#*=?_Ipuqvqj%FJdiM)+qVij#Lo4FFIEtd$j`(K_KHkwu582B(>FE zQy$gk0cD`%yd-2jxS;)iRwddEjxTe&Ai6{9Q6hfD$)zOSN>oWk7{Q+ZhWZ9l_Y?fw z_9tw4Qm+$W-renIF$*AwJK6okbt<(Tim9z{);g7_BVpz^R7~mG?#Bt>9IZo&A_&gC zLO);aE@&Bn+|0M}9IK;>sDrZV3zLRz?hF(hl{&&~n%?b*deZiPa=0g=@+))=&V5jm z6r^DHx$(WKa$N=1hnZF3ZPPlWKm#^2=^*V=qMJ&wVCKv^jPL<~o0@qR)_UPrG$Ceo z29kl+cMgD?-|bNHgl~YOm^mG;`YHU`APr##M;-*X7nCJf?Od=!>hTp9EX`3;!sl@s z&D@c>RF2$J&>nVwJGQr+FR%eqp8=gI_cBa6!~I^p%px+_^MTUI@&n4HTA>q0Dq}eW zj@f;WAYnoH0HSW9Gw)Y13ul1N+V>(0rHXt-@&Sm7l2 zVI-P*G?$D9?*!iq`0{DV4yjj_+Y#4q?!OG&C{?CwaRouug;?3v>_K=ME-mD5F%DdTznt2tiXb4z*8*?26cVQKJx5 zW=LxTUO;JY_Iw~iCJ|#I$|6}i0C*&rAEom)5*?s&w4L?y-5yB17B&cI2-lu92p{oc zhCoPvytwl5r`vKCL%=IQ29S1QB!)OzkYOX@O0}FyU^maEVhl9+=-TC)E5IT!#5TY^ zH~qcUSK{&KslSieka+15O*)?1cYP~(4x2!%Kpo3Fc&Ro(bcs4vfGND%VHMN%jA*>w z&e6Wyo~%qZGE(UKtF~M47y_y#M-0!2`+Na^bxe>X3xtCmT-pN1HK>>u?R-VJW~H4g zGw=Nwfr!P|A4wJtSr>7_QLn{p`!lySegq2##|IQ*3x>#P{0cB2k_^&m`#8RohnC?c z?r%YWjmur}_YQD0<8MgLlk2|OwL1Z0DD?yp9BxQ`tz|7Rgbg`%vH)qu8Z=cA7P6Cn zCJ;%Y!ORKzRkPnufl5QvOx;1wbk@ps*ymG(aA*om+|v1 zL7`wDhKP$mM9JZzWhVJ>kCQGvQS&QaSB(J+B#EF}4kK#4bxEQ@ z(31r{HUw8l-9TV{7{WP}TB+w45iH<;5J5xHdV+{p*!%}x&M3(d0Bza(AHjQC7Zvb6 zc3#;2@l}&DcJMZ2i=p)aNer;_!iYOs?=^Qr_+&ukoebjIvx?tnuRFNC%CzY7i}4>4 z!lEXvAlu3iDUkss-wOrR_!aw~xxGx22P)6MB&{SDln$S|h$}R+PykjtOl?|!KbJjI zs~r`3BshQe94#0dI8&njPb4Mn;9=tJGfJH})Vkh5$f!H4_3RM8X}FpAP_^C8DP6xBg@Ve~$?gRh zHFZ2ORYM*Im(*mCIJS7t__hMoMO@n7`-wP8(eEnmJYxGuGDSnSr0r*aNI*PJ)EkIy z|DzNbx1;9f{yjDv2jSC6o?9*Db0qf#l1hq0js@_WJZHq@khc*mF3&+}dqZ-LNuW@v zJvT#01_aAW9wAP>pN~*AYy6vb=;LRlZ zZ*>DcW?`F%)=2w$Lf)n1MB@(K!ROQt?jhR=^$_-ddtoN%8XXbc^T*U+-3-W(EzS*O zt%>yydW4OCq6W5_DY{(;C`hK!5y<)8Ia9DDiTKA!uhD)FAxpf&U?Ts9HP8^vnk7HtWmkJEicXc!42*2m9XE zmRSRT@wRS$ikKG=%xwFR2tn0t&+HWS0rq>j zy1iTnkZ$(6AQw-)a-0>g_Z6ZK6q2SwpMWQBP7I60(a#M!3mt^CFtPsQu!ueiyk7N-K zh|2+d#O@t{07-lHzh6*wje(8#{G+;w%mZC}mWzrY3km*2sW}rzp&1JjbwjZ27PA?_ zOtCKtT`zXe#@5vQ0ypRWJ#tpm{6foad?2DgNz(QW4GQ@o3ZgqoPGq|uQ9P)B>hG(W zBl_eESlc(!K169n21e<@w7&}g2f|O#UW>H@;7!dtNLO9$h|y>=|7C25RM%d_@3q=# zJ4`WeerTt2IyQ8nZwGcqw(mla*SNG-y+_cV?7l`Sj;g&#-QN2XqPVKhfbH4q4xh*R zeYEc#9FwB&4Lh0HW z7gOe;06fClqsl)OQfV`}3(0}PpU2$MfZQ%oPk{O`^9b0EMmqok-tKFEz;Y_)0|NK< z{LpF75_Nz|i}w7ATxi97fU#k>Bg>KJ4Y?im`-12uQRfk!Yx5iyrUwcunY@}2r733i z6nCYA2{*PR=~NW_baF3J`m{Y+PB$zx0@=!Oy~YRVH)_^Ki76+y0}`oAJJME7{-1&= z1k8#J<%j(i1)7yHLWA3Xw@6Wv^EeGF0Q+2`J*gd#)^;wk+_Pwpwr^=V)lD|xv)p_D zDkXN_*3H;VLhPIo#_9K7z#80e!ATK_=+7b6w_dMJ4KeZQNvF>x~+8Hd*^g*`d zNP93jfpKF+j%vu|HU5m)I*k$>X0{yx(f{&%js)KAfUDBq1Kiht?ga$KOS>gUV7-P! z#RI%An;eT=X^m!tCC1hl0Yy>TgSo3v?^Tk~O3TiCiX0Zj;%G=)w0(}`XBj8LIQDyU zTi7NQmM)VAfYT>#HK21Dzd|O1L}?~Nyhl42m9)Ek#n`?wpFqvD`x?doF{c3L&GhIB zXl!XmAiQhW%0~!)hN{D!lcPY3qYzE2#y=5_BYI78ax7a_$I_3Q8xJ6G#0;}Z0#SSpJRG`~`KXM--EWynWQjwxY>7$mZ z$xA^o1_xed{0HUbCE8~?>@Va5)fF97gUxfqwY9PXx@%7gd5SPax4wwwOe@N$*?kn2 z+l$i|_c?;iGDz9@PKd_?!8ek5a7Dnxr+F%EQP}gqW7B38yr&nUPP%H^c=^qNpK*r zb@%*an9IdGfOwJp98@$A_6y;4t2w|S)_EhLA=z_iVXAs1P?N;1`%HBO-|k>bb`1!! zI=ldXajVIgL=oeWdTqxC<&Ia-J0zMtZ}29ox25!9^MLZ3Dt`323q^6NhI%tmw{x>Q z;1)~N2aMAOjRlEMqJX%pnmaGR3zuMWymyT!vQv?t&q(*I^Y3;Nm1sBHmj-r|xm$HqWAlz+Pk zY+#QQ%&w`|wOKSv%!nXYwF}v_yB**Wsvh>8>_hFG4#MpydS&lL(w#g9^#lEL1gZ#s z%G-$c9Jn78f^Y818W<<;Cut|nW#1c;dc6@HmTW$uo-DO-V+%|M*iy(r077S*XN`74 zv`lLDoWAxOgHrABK#LWxD-c7sxW%zVL))8~JLndrb<*~1P+&mkn#=1=lVZ<7IE8#K zpj`HT=FnQ1=QIqu4aSin>KmX|>|CIKY?!_mh*taeKmg6E4nzOn=ZM~*^Fw;mRuh0Y zB87MWJ#Ahpq-%?Ng4=ChO)mAe+c%#Hb=JI}Z~POGhGC6!=ipC7SgAL;qeg}CPvEs{ zdyn>edP-AoJ8F^`-_Bj3cTs3@t6j`ib=}jneG5}$(4US-1B&eBa&hp*9~23IrKmOi4;Eq0w`V4FRl{;qQoPy0nzMjHR%K7 zs&c#IHhGvgkz$#F;nNp4GW7(P$xD(N^CIX4CI_cj?h~Crc2IABB*zM}Q;MyJ zp@$pN6NVRakA$_~>3X#t#|WwGI04i+`SpZyC99`-aR-^qO$b{7p7QM6fMZPd>-nNR z%t7dOC?x6JBFxUDaw^Gt0PDz(vC~GwU$f-X{xZ8UlD(|qhA}6A-De*1bUlH{-t4hy z3&f)PJ7pYp|7-ctmz}VGGWdOe%#!U)l8R?RJNQ$>fpuKpdoQ^QCB($Z`?* zdW%IS_Rj(oxpG^{&ga+Ff%~ zj$Q>oC|t5z$zpIfe&kFc_n+sL%lC`9SlmURU}f5SU}Q-cu`t8zf6diGipp7F-Ce)z z#;RG$^T0h^4%d*#Yu)#+O9=IFr}?~?lc)&e80P{HD@PoJ;?_vR3H6X)k~V;Sl%m_Q ztq(o#kQL_rhxkc<+zy}_+BhL^PQs3J$!Y$5@nTALH_@kSvxigAVtfo8|BfqvWXUO_ z@%O)ywU!+2g5l#QDP_Ps=DQm|E(T(e1L}3{<6NshYT4`u+{*$TIhW_+g}uh9M%wM7cG3I&GSlkoV78w8ktF8g1pB_Zs~7zVaq$HZrq z_gs4RalQydB)bN71?zvLnn1$+?C^FUoO)Tp{c|Jq`4v|Z!IA?HVE2yscYcQ<#^}NWww$cx5{lxiDB>W9DwC|52 zDd93+R4Yz@9MJ1ZS_svzo~?)RESOFis;qJgLZ?n3y&H66_bMdL5dTB(koc6S+6HqW}_rR`3wwSpHoo?i2YO7k&;3)Za*v z-72s2am5<3X`FiGQgT&&Gu2Q0Eur2R_bmTXjvl+Wa2;$F9Y3bBP*0ukmNC z^&~IPysZzI^EV`{S1Wut&*Ia)MjD$?Rud;(;Oy>RgQo8iVwbgWIMVMtv{!A^eHg>V zCq*}Zag_@@!f?7FKq>vSX}jbC=?Mgoy~YIZpF#jpyXx1^h42z6ArQ{|dRXxmqz_<5 z?#8Jks0b7iSjKi7zyyr~Z3O3x^%J11A&{7yp3ThyPQOCPj;&Y^kFj{~0eUXZq)?$} z6-X$Ub?v!}&q|;sq3p8b!zx-7K2|1--Df3#bqGNxbhY+>sd*7dK%#~2Rw`^b0)cgH z+e!i{BPnqt^`y6)(tL$7n{tbq35#q}xtl`?P~c@`+ngc)qxI&=$BptmXs ziSC|SoG?pXw&MnbA^m{-N=MFEdgI9OGg!@cRTkwnGTzMI{U9dI+>>Yuh%* z;a>@Jv2NUbv8oW3oNc9Uav^e!3r)m-&6wFcfh=2w%yyp`6jGHi)n*R$q(oF4>ozkx z2Zea09?{(_07%LG=iA@)}%}G){5XKAnZZur*+H!OQJ_TU7xLmVaL8r-gwXcd+>({C zF6&jgHg{s}N{HMjhj8~})#E3B2y2dPAAvm|x=uQT<0{n6<6aNr?&ckW>4dzv8*m{B z-RrGKMdxJay!&fijeLw;e#^<-koA-BjUQtHcv3<=4(?|Dt$bdAAf7MI-5M+XyvRBb z{JRA3i`Fp{Tdvyu38Khxl^Nw>FDfr2`aj2Aa)yFHj^K4eqkHiYz${9CNJrhFyM31X zNuUUE47=IJfRO76jky_|!?^eTZdgpXWs0~{NJ-6Z=5=!X1 zIIW7>yq`o})Xo`RWE2=QvR{KN>+h$-XsFPI&DS5S6WK42hu!QiQp|<%<;BIM{>J;c zj+I9_R=DjVu)_V=0x?2=(EEF_-u#EDD%3xIf$f+B#fbLbv%-jBD{(ml^gi!EhH7#> z{%*gXxRBau#fz0lMic1fNW9J67x9K-j`(GQAKzk<>=agt&E0`ZD&3HhV{>y&Ls$q3 z%j&Y5;4|LV|XH2MdVcjo%)VMM@IgwTANz|O=+m}x|TIL347 z*BAW1vQM>Z4?lH(saBY?eeP7-`gz^Z)_j_{$-CG~7jRg9J;O~1h%p%a{-k3ok#W!4 z{R!6TeQHYX?jSitiFAum4-no0U0?cNH+z!g7THf%8G`RSL=nYq#E$a&WX&F-=DFuB z95&4{S?2+F+xEMrkl>N@7r#Hr{%gMg>)zcvK#B?U>&m!)-j0n~vSB0po4fBI;;3>? zX>)P$Fd58BYu#WN`aCK_v5(@4o46e^$A&z&!9l&bZL<_nQaZGS1+i!D`IS9;C&J_? z>kLr~-#0-G2tpB(TXy@fE^s}W?;Ar|WEmDJ1gQ^mdDV3r$~ylp(<%{cJpzrpJ2%Wn z$a>g=yDzYRx+GhkXt{%L%-OF+f}Cgld^9bFD2#7Hx#D&yklG44+r!xG)!6LZy}h@# zpi1w3VY8oCdg(1MoBL5g4Ay?+<75@-S%2J3F>Pr{t6)7(ZWnb*-er9TGtb!mZ=__GgH=3o++`62EB`L!?aKb!C=c;8p^&e8-Oh$ZHr6q^Dpf@C^@mft zo|~v;->xV5PUde2?uLSnSi%zoZapkMH%HBqoqwYSPw$B<5WVDcNZR>}G!i8^UJH%& z2lmW=pzC(q`bkM}mGdvS5grb1dJalJnt6CP-r3p-f0y?&vC#E%egWEwr1IXp3u)r@&5ddfUMo}g&`s5$8qi9Z@5OI ztj`-50;8%b0W{Sp*3WB&GylkW*+EW7Y#-sK?D3*<2nQ5Wdz!b-Y?#Py6)GRn%KUn= zD1+GZsZ<|VdByw1o+mcx@}cX0nWs}ve$QGw}5E4)_a}z^gnz@*PK9#8?g(B~N4yoN11*jI)da)0+dQ^Y?kt|BX9P5k5pvgl( zw;Lu0hf%U9$#OAy=fo;rbf}jvlXH3&Ot#CT2E8r1LMdVeE3cTGq%)0zksfXGfaC4Y zO8TX$`I(g?D*1lczfxyRz)E$>HCSB=*ab_Hn=saXZXayg1T6-y$nPCr}xFJ(ySW-T>jU z{*ZxL^*s~EGkF*q-D4gabTGVsluFz=tpsN>nzm+_@thY{PjY!u$jUHZtU=1pKKCkF zqa?AGvdNXGk=VcY2OeHcK8NKYyF3Jm+T;B-=y+VjV(t=6Hn%_W`QG*V8iL=aM!^!v zFt-@QDA<64=|mQcna9Y3xM79h())?kr|*HX1DA)O(Nz+}{Qq9?ye~O_Gq{?ZW9nUe zZOP=M#Z&XUz9Tc=`k(KtCzv{&@&LeAAyx(g&6&ucb#Gd{K$W+Rhs>%YSZXyn6#pDP zLEmS&ibJ+mj)9V;z#+{TeBl46NuhwFGpfLA5G884X9W`*QY^ve{GwD(vZm+O9UMx! zkn%5(xp440^#IsUQHyqegEIlmVK@ZC1i5A1;Bs%WJ(b1%YmmPH5XXCca0qTNbAm$A zF4RAl2MHgLRcjb@uM|gPlllEq6-Z=atD*Y;h4@U0ulokEUif-aEDRKXy{+5Sj|!s=C?v@s zRl)t}LyNXj_x^zBqs3nsN_Y2MGsma4@j zJJkqpUoby`PqY0Cc~I!uxxd>!RaP31Lg031h9XD4>3w>Cx9m9nSItGQZ~OZ!(D16< z!WO*eC|PaDoe7bWQ!)RD=9_zm+N^7n8?-WP$n7SUZN9&hY!o3p*T?fTkCj3Kf~jph zhx@xM)bMSb7s*nFqTZ=h3d@f6XKWDJWOg-$AcjfVuczirv2j?Gecma-H=r>oxO_ot zh+TRaK|Jz*OPKEfb#cbYQWlDU$a~xRKfjXNw@`7}dn;fhD%i{168(BkC}Jmr+7Voy z#Z4^~*PFZr9dFBG@^vNzy?==omPLLQ#SU8d94>FN9)e9>eSU! zm2G=2=~*)GrUC5hHa=YWUYK~p*GZ~@1fzH!!Y^NcZwol2OHTQ+U%!GXYkdf5+g~b) z#DX~t>ZI=@K$A(4-CVbfs-~^XcnlCDQKc}H1S#y|wb-s^KwitDP55w)BRaUO|pA*FI!;$2s zGI0tgobm!bkQW?_-)GgHFF8qwW}k;(?|Bqw#)K9y$o{^fWM;?WvJ?9R-gu*Ssz9;G z`>dRy>|7Q&@yPfAyvv_UR<_u~_-)M|#`UL|X`DUnT)-R@`vJy-url0}&M3`) zaw7Y6rWVVnE;wRJbq4$_!QLBQe?1qF_;2>xJp7MKi)xAJ+WpfUSc1*Y`?GU5ww#2? z$mzU{@?b7jNzyhJV7=LI6*wOAU_pyHb~~T)-ZiUm zTZh;ASFqf%bByY%R+wna&awR(0A&fUbD!kzA8_Y-_s6O32zl!`$&dL3w7*b)Yn6HM zwsRSkm|BesHn5-Hsd*Z=ozToahc-K8{VvtQnq*K1!Dz)!$j$EqSa4K(z!{}Ul@xm( z@VDsmmr`2=!GnytxV+roy^QML5&j18o2@O;??cIl$cGo#_qryz_}L;{aVDQe-nhZy zqR+?1L0_&1EzbQyh^u{DVWEk|Fk2B!`2I~7lVf_6#6 z89=5w)BU_>maqkUf0iZ$fnrtcQ-W1CZh%| z&??oyO>?#k{7}qSen|I2CmtB)lK}`b((;iN*W*5jT~d zk2pHm`L1yqQ}EBZ@!R@9a!J>9m=!0x99N0R>*oKT2c)c30Hp8wb0J!gb0|~FpG&T- zf}qy0-JgsOx&QKi4Bm2_*LvOzo=yypLDGE(W*U!=;O`nLPgZ@2txIOO?z8UCX{;f+ z-}}3*&jc5%`74}}xp5j9ca?!NO3$^L6Nk#%@OTQ5-`ii|{*cCcUelNS9lVMf-umlb z|NftU{`HTaSng0)#H0<2(u8y#SgOJn>{?tb=~e^Iau4@^#^Lc(0R_|hhHnL-ds966 zaMtWMTmR-K@(=LwmvzZl=vQ2EJ>`IXe9HUh^%1C=Zv_t3Tk#VcTInFSt6^96)wGmb z*>>f4w(NE9h{@;k{>v>R6n4knEEr?groijO99A_c?*7bOGf&rLYbf6V7qY&z3LC-Q zZmz)N?-VJ2v|Zu1+7&-(4!ZvU0-*1tjIDol1(AQre-g@8z3j(!8 zULk+jn)5NZI`4Dfp;*b*6hZa2_ zT8wyTG1_+vX8(5+rsJtiY^OHqI<;}ldoudnerdv~jraPg+5YHT5f3f=Wxf>t`rnFp zXyKpup^%A7aF0S%-nueF3s%h=kM$Jp34*Y>x6E*AG` zy?{L-?P3w~$m^xR#4FaBCU)@mkf(I*ThZ&Gg{`T-6yv+a2<5xQ*uLXS(GM-sX%)Io ztI%~C?S8A5!XN%t95&?b4?Y#!D|su@p@qMH_Lssx)LU_Vw)nZy8cy4n58I;u(13Mt z&^*jE5~lxN^LWnBC4bA7!hoS&{Qs7NVw_rxLkl2N4~kQZcxn+(Ez+q)I<>e?Ev{3G zd}@(TEy}4yIkl*#7WLGkom#Y0i_O+L1{j%}`En{;fGj&0(xO+2;<$2Q^6W{g9VF-|S|sYO4vXr~sZ z5im{zV4S}HIDP(6eyx4m7#%o$K1TIhez?9LuJ4EI+u{0lxV|2)uZQc);rep8J|C{n zhwHDy_1EG0bhthpu8)W7hwt0JzppHDKuWjYL+>FU-|~96 zz8&N%?uT|!uIJJnU7V*>~om!+*i|f?lIP`%k#XN#;4|Id386B7&%5bb{*NkH=~7{d|D0zB>>j z%%$HNbBX=Z)PCqe9M_=-N&N4BUmEd;e`(wc{MP7-{#$c9-aj*N8tP9C7^ zW(5f5(F?UlS02dC=x+FuI)P;bSzPxaojHjnqy|F~LEZ?{`Nw z#WQTPJbOLZ>ki}L&EFL5vC*xW5-s%caL8U^^~`eKINsAPkAPT_d|ZA_}}Hr?ESjYTVYRrE3B(; zh5fg;!d}Kp;cxG)u-E-msN36LI%#0#<6~UGHPO z#k0G+q8}9X^ze3ict1USoE|<74}Xt_T@w$Fe~-Fda~(SH9^JbpAA3-aJ*dYXv||t2 zu?PLwgK_G?YxUVR@zjIYDz|G+1Ms<;{>ozMhkTk{en-21A68}9pO%j??W>*IY?@cJ zdwb3^+AY~imc{b+rn};i-IAAW7W~W>u7{EBSp)w~^Vm2acYAJ~YY+6Z{%a516puad zPQ>yU)9ZPg=6W0~UuWu<;TaExXHuKlPU^2j3vw~PG;)x2Gi^~s`I}yUJ_gOv7~ojh zJ%P6Wl&`{nrVlx=hyPVR-^%rQqqoAI{8D&Vp9+~JTh@q0Rz3#CuDQ?oTY}T$OqAC_ zKbGYfWNyy4=e~u!{1{~Ierjo3p%(wp?l%Qc$G*wl;-QeIwICyFotj$o`{DZPABvwm zmUc1jt!|Jnyft&hsMn_g_=|5vitSqg(2XlbEq|+jc;0GLJRk0gS9dqX{s(2gmEzNF z{^x^<)^=Bi(EhbMQ_*g%f8qY`LP=OaxA}6em2b`<>!@1^mMTO2NL5b^L-w} zwrNsd>+UNe?TS4jar^7+XjH)P_&73wpyH>W6&FZh%L#hAN_zd&$QK)@6Hq&xfPO_G zUQgS9q7cugjn|8O%>NSPw%3}uzn9&6PXAhVmxnMy+hb3CW)0S3K4A-|Hm#o8^n(kJ zTF6tIaY*AbL+49#NaSKNoZ7@g3eK&W=ZP@9lipG|#{G|9<=b>tFx=`R8B%_+M&4{tr+~ z0|XQR000O8CZ=*&?bYQ5sb>KI@u&p=CYQmO0wb4fw+RS;2>=5K;rL|;;rL~Bcnbgl z1oZ&`00a~O006B0Thm6naUKTV`&aB#=&D4srNa4;t0fiU!@{jS){8#?{FaF`Dzxd5> ze*4Q`{hGS|#~=Uq-~Q@9(m(zu_ecNwSO4j!fBjFt{yU8D$G`Z^fBw%u{r1OyQ-1tk ze)GH6pZ)c3{?jjh`>Wsm*B}4-H~;vn-~HRko^tKl~4W{TKhs|Msu` zUq5Wh{*OQWlHebdj6WPQ(qR7W|Nd|PEe+#;U;dlF{>wF*xIg*lfBmoi-GBIh{JVek z!@v1o|NVd9pJv{*e0t zeYqddt@{BZa6SK)NND^0+n;{-Uw-=O*Z00t@SXGQf=A4ZABdsC196o3m!B-~!%x5d zrD6L&|12H?Js`w5C8c$zy9ghzoP;2(BfbGnEouR|NPM(`Sq`9CcpWu zekA<`&8v@I{^*bVKY#TLZJz&vgi=lAj~|0;UeNpa=S;yhuV`NXFE?*!-u{^c{N+D^ zfc%C2&mRBt3*(hx#!%{i|MfAX@XsdW^9vDQ$p8O*Vew)7nuQ4XfAzopB@6k# z#3B4QG55Gu@aKOTb4?@BKmKLN3n?vqASsMHl4dO*NZ&i{f|T+xf)wY+2;$5iBZzH$ zj3Ac$F@hNT#|XkqA0r5@eT*QG2J(SyQooTo!+ij=)qDRl&wKYXmV57iW68@KKq}H5 z$m8Dmi0R(>mV-B7v(gJ7Aq>Kluz8K(!$Ay_((*Trs4(k7}_`TscFdDj~iBS%9eTi zvoqLswYUAnne+Oq!@zxiqz#NVGo+2|I{j7%Rln70&1Yo`{gE~)83SKP2(y`9h?tc> zMiVn1qfrS^x*c&GF&_S+{~5X+wlr9z4N1R6hu4-$+7*ijLm?7C={_yug}@{lwI>~X zrFm8U;A|m2)+&YG`<2nrUn}WsgIL?_y5Ul-*XhyD8RfQAeaOgv!(wb*+?;p~CrNQ_ zF;o8_Vej+axpJQWR4eT|sLe9GmxiVGCQcl+)i)y_;+&5T8ib*Et8r6&%(?je47;5b z3e7UH*)NgEZP~j_;fB-J(D$7K~ILgj;pBY|>r48W~5=LQ+v!jEV z)97-5gq-X)Q{s$&)b5jg?6REm2Tz4Q??_AWtal$Z*Y~hy>yFo?cfSU`=W^RU#P;Pr zkC5c_Vpg+DK2Y8;@6JDdIYuj!oCpNWp2@jy8KdaZ5!Zqo3QG0FvGP2_c8 z9+8%nai%>~${-nhTO^`Dz6uJapD|=E=OiucmOU4LWkFRgw@diDB}DmddMiUA>#`NA zQuI*9*)wdHiCU&h84N{<2SOWH6+!hD*1gW+R8?pmN1rN9v_>zDs~tHst6_MSgGO8P zaMr`orvS=(cii=~n?N(OBO-bKT1)5KDL z_a%Hf9S8k%zL))}M!%?ag#Sa!*EN1h4;9p@jG`Jj71da zkF`(_hqv8Se|Msw6G>C$L#9Wy4(ptMWvg2x=aW@OL;xO<{t^V9mOb`!_I|oSNc=Wu z<_eXcNNC3Da{J$&v(@f1!-kImBqIPE=bF>X%M#V;VJsSixOJ`8GTnMHCP#aY+(e9sbIWeDn1KCjbPTbiJ`E#UoMuf%+07Ama^|os;;rxsqjA~z)5Oq!#A{uo z*vT%>)4J|SHMo+OCZY$j+o|S6@Gg;ARa5iYs?}rT%Qh`~wGsS*y)1)Vm)mkJ=bU10 zyzE+U!n1BBEBo-#4$Hh&t2BDnW1ZrZ={dLRQIzGVnAS_RhuNDhW%TD;Nmt|;=EkNN zei=ye+~i2BeJO8mOUl>`USO(!TdHnn=MlX#CT8V*#c^mi3{f3lX4VJ&hm1edbqsI4 zES|INW{CF#WU8l%j`rsw&=M>w^Fs>@dWCb0XgPgU{CHi)+I&v`>AhTsc#L!!+!@OI z?!dY#+}UoN0&(_ceVR4%@jzrtdOTP?*b72yGB{t1+mE@tSUD@5QhuF(?lq5c^{(z= zuuycm><}h?2;LM}iJKv*zyA1z&E3P>FI;O`q=HE6-JE`L`%Eu~OqlAQc4pVeasUjc zYl{i>^o*$I*{xE{Nd=BqWR0YK91QiUfJK%fdtI%uWZbKPlwi}lF*s9*P6DgyOKsjO zuEQoX`<2L^?Ck1JQYhQoM9L5 z_ApZ2>D}gpa5tnzF3%c`IZ($;H+lVD=Lg-Z@68*IwU=<5z}CC8udBDHux|UF`#4rE z?G4!x@2j7bUuKzqy$JIbjY{-1vZG&y59%TrMn87=^fJa+O6V=DJBO~Ampzeg8V&>Qa%Z9jYeGK}J6In8*>oJ+2O zS?U?9dQq!bYSZ~p+`X{(6ost5=j1NagE^M6Lt_6j2t)XP@X*b(muB!Pobg5bixzb* zy}CDI(~EXvuDOjVN2QD+zU7c|i;n{`CUhdx>N&uqydQIV4$wvZv|znd8nWTT zi;R=%?GjV-dzSX(ShBeL)>j@=$aUPKT1FSw;N#|e-=$0wob(d(vx<|{e^nz$Jng%2 z(1w@ds&NgozUi29{F*|$TM%_-*0o5qj&4{(l!cjpjB)~LG49gJgv7YBV-~%NidI3g z`f0MR40C~Qzx2AllY37~19mU$?);66`WL<&o1S^?<&JY#jjf=*Xm9}0KrX+DAsep| z8Y9+w+NQ>IC1+IH+kWpJqt4G{*`T?|EAQ=UdBm;ApBU4#rMfDz?qXtgReFsNU@Eckw)$UYh#{m<4`x0 z*PQJ=r<38T%FJB4dfOXcKL7mbnk8MkiSFa9V(W(Y>Nb4kS1MQq#@&Co>j8JuU}fp_ zwr&uXfA;FyFfkF$`O;Fmx9BGL@6jUMfj;_2=OKIn{iBa~S36m-XauUa5cEFq85(>8OdvrTd6LvVGVS+fBSUt--jCW;Y&yQOy-lf9S&+ z2fSG4@r=RT&+$`G&pL+9-ErjPvb#u}A0e&0VkVm5LUr>TW4gO2v#s327%LFGhtEFj zxJaOEIs6svsIG0AcL~pJY>lgQ;YtnkNbh^GVO$K(S*ebMvV%|DJ~3Kh3olMrH>;hD zT@2UR+pKxO%dw*2!DT;85Y17?f07*+nzQ%&c6q*iewLu^=7$rp=`KHvc z34p)QF@~A{(p1CDD-Sdtb((nj{0M4_!+y}wFu!SN*`;_p{T?W?8QO$ye?NrFL+kKC z3eKHw=XX>mqXoDHHTvu`1kPx>PLLU)|8#|z*po-BfVmyB>Wf{-@^Em9rkK1HA&l*> z@>)6;sed?a=I+lZ_aHgHMT-q{xt;5}Gas*pUk{kdON5RgZe(}VT50DkO?y}96&-z3 z;*0{sZr#)w@tGUOZqM;Kf4*=cz{GyL__uRy^*YzvXoX`NQ{5`Y>r3`Pe3>Q>PB+J1 ziZ6+;M-VN_zJ_}r_m77vN?C6;p7VR{scK2CkHBKEYhh2uhpR%pPthL$vGfnb+*^cM zzP=XY*VjA}qIn%_XJgy=$30V3*Wg|T*a1A63}N8V#@ERF$!cfge-$wkD^I5ur~upemX{Q$MW&9^x!A$-$ymu zZsJEb)7x_TvFYtA>3+_6?|r&R`q|YviN znZ=_;k_GfHP|RpsyN|YgjrP&N=o^+!P1oB$kI=E!56$VpAuU`v?B0&0?wY~6wjNI#vN`Ge zlN1dja<^dNf2^Q)g`3}pbKz@A)xlQvz%6!L39R2n zr{k@nI>REqz3$eB8obGAUf9^!j`LmnzU=kgSw(LS{wbSvK?di#=$kJVo_=m$d8Dn1 zUvfu9G#pP@a#H<)hP%hHBQt)!?*g|=Y&RTR6?e#Le>nGdM{R$wM?ugqJu}=TPT=x~ z8uCzYGf7M~3FNpD$7bYeHU8lqA8qy}`X5Ws3)%jtCA{SgOP}L^kMg_C8NXSCQBTan z?`wFF_y_3U^NC8+Yw|y8;Vd$nHcaU8njLOupzEVOfz|BE4jRhv?2ooShf?t5cACIH zzRelqe`P4?PyG8^5=`Sc##_AO_vmHit~vI0f7_+zfcORM7MDCBGO_FUpA(aZ#aBs` zYv$B~e}It8c$aic>2q!3I{Wt7v3T`3WYVAbJdF|&5Wj$D3mJA?$KU4IcOSRTSBqOH zOZxiM8dYprJ~dn)npV=!;|4ri_<59?`n8W+f9I>kE%GKkf!XuD#R1Y+=c|QHU1_<+ z0EsUOUM&<@e0hrp+A}xr#-d#hBG!BY@eA0r(rli7J#N6Wg;g?xXrWeVCiqqYph>2=E-0uuuFNZu%PT-cg$Qlzl)0r7Sj2v54S&Vo$nTxaI)QpYMZlLPORkPXy2ky*2QV_fO#Y)=2=HoA40Bz9f7Rj^bhuk&mC9BLybd>heJXu@YAIGGHT1(y zxQ0AF+dOoL>(_Q0n`qj%QRKVLb8NSuOVL%XL6@st$on?fA@LL0ZOlbfvEthy@^FXS zT!K4aZHkqy_pj|X<}O7tmZT@bhWKeS%}FuqM;rOtruQxIG<|;UH8vRm_j8Hee_L&? z!K!H^diDsi+nB&paj>KZqRF!3=hvQX^lQ6~rdOwJ+H4z}c-;!Ndm!!R)0FdgBJmSp zT31Q4_TZfx!nD2w`Tl{iB~9w|7~2(j(m<)R$HqRwbxP%6_IF5S%qOzj=-V+mZ)Y=Y zZeM$~dF;8{*SO6kocYz}vFA+ke|dMl`Ly(o53VzPwQ)zBIG`^3$09x1Hn;;kt6*th z6XXA$ZqCPed2)JvEPj3L*~^mvmv5!dA@kw{T>`%mZlu}X09#SP8?m=2^xekRXYg%q z@#*V0wW->y{?X>XhWPo;EK^jwyG67=bQ}fH!iKbyEdt*Z_n|THBfuSge`z2w@agMI z%Lj6cfnQzZeb?jW7oYR%MODt>G3ISVQpbMFEL(Ql*j>^+aQY}oIZB)snenh(FIt2 z^!40w$qcC`vDfcXe_|W@CLqjl?yd9qAPN0!s9SqV&(2>n55_5SCCN6HE+HD*=rfmW zR*+oD{-mxj!>f;G`0Cnu{OUY?5%D=HS=#5QgyEjl6^46qqL4j)e~~?XF+==fpY+Al zdsGsBsi~PamzcQk18n(bXl2i}q1KxMTZZbx%9?Ep1LPgD|6ak=_(|e(1*09+^$}D0 zn&3JF^NvCW5o1qED+@^W!PQ3#Vz%{Ebv?{OsZ-g5&$JuxXrWQB@r&%~i|kM83K5^Q z5+cU0g?g@sEYzY^f7&lger}UVJZU9F+_L>rdS|=w`*Hi~r?%t27EV3yse2{+a|LCg z($}ZrSLf+#f_u&5IX6?AxoL|~5(nQausQMa)Qvf@avxz%=Rh)twsRCqDr-ap*gbdy zUM;LWdwrR=Ta0(-@oTsb3FqfQY?b9GIWoT6`b>xwIMwK)MwHb zbGGpuZu;t+^^$q+)}+=`dN8#B@rxwMlf<93*WsqG&g0jo(ihp|7mGe=B}9Djm35Si zYTuYy>7#)T3ZyTx$1jFUU)vylb)LRDUo9@LO1BU#uiy79t~! zkMHcif4_3wf4f!R{Zuz&18|Iy*eOa1w{o`!v(G-{Q^|koWa@kMp+NfT{9&i)qul2_ zs$03st6Ve1f26vx-Cj<_&k>~0uf^vo__19_{fFAzsKYKsS%u*45#2K_AM$Z~@3EVh#9YlD zcK46*(CWP#xY}oEE&bfS9(gX0BJpzsygcS9h7|<&hb`%I1o3m!&+YRZ+ie8ta}i!! zJH(HE6k!%MW}d&QD&{eo9osZ6N_V@@JN|Mmf5-E{dVS2EYv4BF_h3)j>$9Kq)qni# zKYdPSe)yVq!;64O9T6ovUr|0WGi;RU7tjyuW!9} zfghONyV)!eN`m^`;mhBJqV`$*}xsKo^+#hXjUt`uW9OCY4 zgNDO|$6fMmrt$M@>GNx>PpRb@9|wZ2oV?nLp9s_7^3w0{n&JXtmrX{oI-=Zo#dDF z@)q@V^aOwOBty3GAoY6yuOrU4xqj^>Kb~V#e@XCrCj>?E*Yd>2^61y%V|gyff6J~2 z)zjcI0%pcC_%kk<;nFg zAUR#sH<+5-qBysk{$3yEZN3lbe>T?m@ul=ZzW6cH^fA)-MZNSj)YXF|>Uy{uXMX*@ z{@%P{w+h1TJI}v&uEWcBjvae|{5Y`Y3wZ9*?2n$yv--nI0`w0m<)@-|TBQ0|>DQ-t zm%cOoq_0oK4~nO+FFo1sIgK|B;};E|BJe>fd0Fuw?kzRJQ#^SUG8!+ke_!$okiI&9 zoK2ek;3?6beI&NC{X7icx-M?NufM5Xxz)lyx^A-Z zFE}4pFjb=kNuPs`pI=L#e_vy!G&-y2{5;-B{3K5L{2KFs@rpcXaG_5890BkAOO}uL zxeDoX1kbJf@T>>rs0Rhw3wY>$4;}0Fsr2=!`1PsBPx@h0@AzsQCtXJ(TQ^o43yvUws&|dtF7UcxV=$ z_ys(SmPdojXwz5U4?pf~?$pve`LjPZl&n+tn&Txk&~wRsA6hy|fhV)~hd%r6{3#Ki z{?2#j@oRz)&)8$;e<9b8d0dDXzb42t*HL*NmoC(E=5Lb3uTLGey7zGd($@sz7fGI? zANwP`fb=!oC#@cL371d3>|4KrhD9B%ZuzdB zM^t-QtRiE2{NR%IWyCyNygwDchRZY8bUdD~loPAxD{(VEe`$4@;Pa^Mk6Y3Ar+A;| zk2Svl4(Xf`Tg1(4z3T)aK3=}G1~Ms+Nbn*bfFu#KGxzr+NZp!Uaz=( z>ZwXT`w(TIqz_UR7a!5ox8*#Emu!7chwHP4ch}E(m&bxDt36mGe+=q9*!cCO^!25^ zwbfPR_eH2LU^jT@984b{Iz#%R(>tqS{OUS=eF`nEe|ZhjqQ~uCasXPG42iv(DCt|~ z#Q620^zot9AjQeikD5_&4e6FqaZlosgE4)5YE42@ElS?x8P`uNb3 zuCBrLORI%R=IBnyYnI2=;+7Zk*&_EmOdomy+N_mv?ff|^`P8e03mDeY4$nR=ov#+R zY?bNje^Y<_JX{Eqxi8i_!N&@JBm2HUW2hgxS~G<-yxDL=(&qqHH@BRe$pi7}5W5VS zPmUmMTz-P<$I{mG#OdB3XG-+4#zQ+F-Do<6?w_pMKj3?R`uB3$#4O1nydG`$YJ?*$>AHnKC~K05v4~5m*3Mz$NTSx zeBXKWvbe8?^Sn%v>chDiZy#bBjU!keRK27jj$d7;uTS|gP4__IHrDm`m(0lN<2(3! zn~7j-^=3D)0LdqlqTmLs7PlPByM?CKe;nCppx4fsm-JI^DVj!{x8%7`#Y}b+zkF)9 zxa42m~2$Y1hUC;z)6*bu2a zrrqGZ#nS1ZqM^I|LOY;7kyZh67?_hmfp*fYQTH=mMZbh>vyy}f^5f8X!-AEVu`KW@LL zk8!TQzvMwr{&)8#_BPJ+LAUrphx9>*_|b3rpu?t*-dZ`U>XMdy8*X|P;`5g3C6^6n zFynMlMdmxj(MR|sYM%IQ*Xs%GfAZ~KK9oKN8b7|1J_Z^;CYC-37d7eiEiwH*L(9I0 z|M^PEV7v|M**Ld}F8xVN!UQI7{BC24l>4`VNo~yJL!YnN>(l4gScwSX^|kal)A)yI zV8-^C&t=q115MW@Df#0ka^~~V=J$-v%+%B1du&(g>=Uo+Y3!fsm`G|}f9?3W+JA@! zx0y1nO_2lJ#+vlWPUqahxiQDjZ~cBQxE4crdK8kS*|q!hNzC}kP@818k_+(@`2*YS zx@hTh^S-u@-)`59NNxTqlpp^4|M|cB;XnNOpZ)oN{^_^>`k#LNcfb49Z+`vbU;O6R zzx=1a``vGTOMjA4|DxeZe{?!JSZ*z)_36Lb8f_vSvG=l35@S8Hs#~yH8yLAGk+uMZ z?Dh?mNVbdtV6|@`wNUySAU!$PmdvN->U$i~*8GT>#qn zjX#xpl=bMe>(bt8>q1hvWCKZoCM3ZrvRAMa()h9ivI5Zo=>n{4AeO}_4(os|mNpO^ z&@MnN@T_d0Lp`@ZPZt2^7jydsxP1y)EMvZOzJS|2=#&+moWe}J^8v^YEu(hErbyER|jp6NVq z*>lMPN+9d1U)W~@LS0h#`aZl!4k2;xn?(!Bm?leWn7SYdumNVJQ-=Z4f6j2t#wm0@ z&f8Xd0J>`*0QJ)9>7z$Eqm0OSj7o)!pT`ztsKX__(aD?4 znoA#~f3Fb7ZJ^S=l@`68bCA?^WM@ABN$}DcAeJujsX@Aoe2Nq&`P3kF=`h?N$!-+&i_Gn$Wpp{C4rmv!uq4GU)1DRpb+LJuX#N8#2FgU$ z0riiUnEnGF0)S+fx&8wze-6^wZy*D0m9Me@C%LwQ9y)0Mf1L zgcJY|=KBVaAaN)_{KPY-S;?BiS=zUuNP>?MyTV9o<4cRXObTS7wi;}Qu zB6lGqCbJLi_aS=8%ybTJlME}EWq*(z6WbQZh+)l1TR_L&f8362mty?9fZ%{8fY?zJ zbIQymML|$!RDv%YRy~(^wCs{KsO4|p0UDxPS0a}H^ zEqVy%QzR8D1H=MJ0i@<*fTTomKoy|r1c^yc9}zmE0cPe=MXdAz%1RfpH)n%>^a0eY z0DOeJo#{k1f1e_j9Rf7dqL8xAKqGl)T96z)K-J+=i#CqP28F(M5f3PId?MS5~5WbuDkxC{mDTkLM zl_U&lfR`h+BllF|BQ^Fw+fIOiF!K$RE-DW6f3h}rA2d2LCj@Au8t{<{`4|@dw8d*0xC&DsZ;vnmlm1smMN31!Er9lKJ=?jX0!`ifg9u3Hj{pfb z;OPL(e|RP)^55S8I!Cu642yxzd;y4Iw=wEEhp!uviU3@$Wzyw|kN1)K1j^te6?&x} zsTuDOwtENu_$24YD)+Z}7mf9dB0aeAkxE-|>Zz79(kZbI03qZas0y43YUvc%k4=wM zIMVq@eY7AWfREHi=eSvBi^UIj5P56YnO6abf5*2D_n_4%@HH_|s;mbDHSZ7FzVJF+ z`XEV19QPg-$v&Fje9wby0Qg?sGf%i$ki%;O{Q@Wv;W!O)a+>vcv{)-RE}iR|@8OOM z`>YA(E5i*{?mjv3lXnveV(+b%I?mlD06ei9SW!0?;L{*2wLiT8B#9$^u4^A<9}@`L ze}Ppkqe7*-w1H$Czqc!E+@7Z@v^TH8kZm?Da13R#BVO2yd zkxom7CGa~GSLlO(XQ=`HX9t5^O=x>a$G@a*Ojj+Ty zmRO2L5-bJ?bSN`GEt&wZk{au~=5+2je+o+5QZ&picU}O%G#en5%(gfH?du_mFo4Lu z@PhxyIfy=DVgM1%)d5L?^3_5+$K#vrfIxY}p`EXs1slNWJ_HC*fHzQZf1&^)+BT3$ z-#80};#s9~9|=w_1#p}&5JX8uNb#Iott}|IDhEAW$9daPBmt?dc206(s|F-7f5y;H zt-^$fTaeh5d7$*=#WmRxUU+j&!i95nO)~IyO?K?On`^Su&c3=PJ2u75HAy=vUtN=^ zadUM|cErQpT$3G)e{)UJ?&w$7WJmSqu?-WfY&|}GI!#+nTl6_6Ibv+k|319nh))+_ zx$ejNQ~MU6ygSFeL6N1U^`C5JeN|rS6j$|f4$n;z~yR-8pAqF!ah;d^GplHe@YSA3Asq; z(3~;@vzH-#5Ff^US46;&D{KM+b_IV5-UYF7ChhyJu)ve0!zC$tG#R+dvsy)DvF=!d zh!Tu)1u;j*o?IB!FDxz5sPufS34Sq4lrb%%ihNc>$CHoNup_}=ZSFITT?xqzVMI^6gb4$Ag00MbR zelKk#ji$zVnFpz3e<@!9Ts79q1Ph(j65?T&mLxR_f!X11+c|U)T_oNujYvm>KMF{qT$3w6}`@cr-)c!8z#2&pKSrZO%CQ$G~*qy zg_q2ISfIoM#LyK$#3KX5i^a6aTRjJmbyTG9rme69I^yb(f6iCkyB%PL>)xd2;HvGp zfMsAwAGpHU3*`dk;J7L5#Q{+Cbe_;m^9^{Lc=5lP1!)ab8fN&8flTlq%Z+C`f5>KSyANW9VSNB|E&%}biVmErz;O=b+c59C8L#U*T5iPZ)IJW^{vVhZuk%#t(OCXuHMcBwhnmt9}ZJZ0KG~(lIL}^Hz z(q$v0C>*a45JWZXe&}Qqw-D0LkQ`X!wvCyfA;Oyko@6@Y#>YWZDbU++F(fmzhp58URZA= zG&?ra&ssU{XPsrY)rLEATkZi`Q5@P&!qp1%C@G!F2Ngj{bzV3T_EM_(ijd_ou7A=--ndK}Owh{a2Z*BAz>VBwUdaw*8H-;U$D3Fl2db z83I!guxVukZy_9Vb`}uj1KNu@?cGT9h=#8xJh%L{iclOyI_^>!fmBokPc4`Rh~-x9 zg<4X0)TC4!?wxSP7Cp^|N76xJFk?%Ie~Z{V80=%dhAb|80!A|O6bZs1E6xZ#71gd5W4;Sl|d_ zLl6*VI3y$HVRHx}mP2ywhYz62Sz0e7-Vxyt;$9<>GNKssrW;b;HJuM4uQ!J*1;=Os zOLwmuaWaIO5QjuYEFUcUZC#JPk%Bix8-ZCm4N~^IBOow*6+v{*85@a3)kjIF#(gqn z+Kxj10jo*oetS;5O@Zh)2p5!O0&Onc{5%LK1FkLXQ#PXyViCg=7R~ZlvnHHjw3`-N*_E zFvvM+GY+O^zDCyM)_3wFdH2lc+|I%2umNE@OdZ_grlLs8VKB!d`a{|vf3{6kPKE#zLG$GJ^{1JNNjr9AVFHS4?L{P zh{?grG$gQA0724y0h3sve+V$w^ELpz4~fuT0O^Wl0kg}!G%_#dRN;K0Z5gYXM-7}< zY2dP{$i`Fv?_;U)vD3}BfE3(?y}FO^Dw3|=ULyvAVAJuMB;4R6fX16HKxVWw#+%6H zY04=6U`ZA;UKefzGQFFXZ2LwlWA*e1$Kv-LWCWziOA*5~aJh73e-b(f+#You5kPGA z8d{IMoxyJe0;Xz3%SA@8t#(5d_0#dllbRo>#ebf(L7lz3-FOE=R7w@ijQ z$hO7QAtZ_tfl-gP_crYCer_j$R5pBRIcij=NiMjuU&eAqf53&rO(C$euz^iTFvcY# z{f6vBBn#x?_&y*`h< zu#wyTfh(Y=e|p(-5Ta>}%RVLD_+tkrJWIRoV6y2ycJM;3I~ei#_Z^H^K=&O?n#IQs zMwID&2O~P;zJuv&A3OMkG>_bNaKcG)-NCkv-@!ocI~b2(?mIZaF1qeuM3dfkFyaU9 zJ9s0v9h`RAkjoAxqvB%+L-)AvV6qiHb}%Ai?>jhxf5oml*dvzK_G^CSBJL)yI~b`g z?>m@u(~lh-*O7JG!L(^Ub}+H*#}3}eZT}z)_`ZXYu;spk=_vWw!SKi4cQD+#_Z_^D z>kdY^`gU3HOb~f%jofIBf(IY8M)HO`tv7O`HCYuos%~i!MDe%7@lnlaya=43 zY$PLUeHf%q{#qV++OHiX%|11VD8eEP9AEfGB4?18W8Lx_1Sjf7aG&Se>}#Eb@CkW3 zcaWgSR0Nj*yB|EA3=SFG2KTa2^^CPF$zJ5~^+IS9M@DKf^I2!;?uYiN9RXf9?Q;&-Y0YqarL!#X*T%?ZO)D*N z+Z=*``DEJk7PnO$$g&ECd+UhX=?H{mf1UDM%OvLad|(X@Z9^oD%!zP9O>KkRfLkWg z`5Pn{2S_AaoJW9545eEK$LtX_#6c>D&_7S+YdYD>FbL`R#~-r(Rgi(}y}drfdlH2O z1TU-kYwN9{A{~)Y8zFgbIDX)PJ9}5wI0cz7P%9()j1kDXXW9rEq{ez*Nc%*(exj>#aTq-~1UIHs9tKTj{7@~sbCBX*INYBLc+y@n%Uo7#X&e;eFUbrUWs zJr{_N_%wrjuUYM%fil0WyUl zu#?1XkV`?vhI`qv-*wOBe~F(485|Kfp|h&2nn(t@<8^1S*Asaz^LbO0jx(dxOqfQ2 z+u*fr_s9^W**2`Ht{f91n|^ApJHUD1h_cAaVz&`eJZwLR9APA4RSkl)ZyUj_gCdCB z->*(^kR;tDuul6P+rVXk83ECq^QMfk9(o%D$ze7UW|PntLP(j~e-Gl2Y;i{OHCnID zy$B)cdddK)liQGyKg_7((bjP{J!`rmo#h@KvdZj#RIYuZX-bUhTd)n9#Ew0zqVH!8GmZGW6L}B$$&t$^U<-z6*YgG>8^5d z8$q-|3JwXY$d+A&f5~49Hq^?k51x(3;nsBQv4xc(>cR(zM-219RcRye?4=7Qk~~7l z&xLzC3V)bgzmTSg{Td#&GGa5Nnq7i)0khcs!=5Z4Gy}8eNaxzmRcQOt$vq5m_Gura z#F*8_9nuc9?zn{vrcFh&Zr>#7@ITz?G+2;=_9VJiGroq*~NHqEZA+hdvS4a|I z_>F{jYs?`9F({@VJf__vD0E=hb1vlVhef=^Xy%;5dAc9+@Inh{@||> zoy2i9isNLNI^hQuk%RUPSzEt2y%2%bI* z(qSM*-V0r2FP-2k_2}L|D_^=t>IKQjeZq3*hO`?(f4jaX-ls9D9ZdV8asU!C;gHIu zcgVDgoTviIYCzRT-^^<;XNoKDR9k{xAhJO6&=Fu6A2zkf&?8k z=@(}Q2WY0I&3eha5n@F9H6$(A55Vbglvmq8ATGy7Y}hW%hYa(~sWX#b zz54)?f6vo&n|uRw!^^Loy{VEwDjwOdUpAusAhpxxH$o7dpzDJN^_(PXwxW><8!3uZ zk0eEOeaf;_7D75o%Q^x)VrYz*6j?x!tZmZ`d6qQ-BGbt~2EW4Z+3|IS;JG5})`*d= zHacnaDQ~|EAp?&e9I`csjc6P2;od(;Zbk-!f9~yxnhu)=c+NCD5(Kew2X^G1PodF` z;E|??wgKHvu9Zj%k4M@R#C#2TtoLK52Ak`FFuwtrM)rD1KJq70Kauf;s8;iNmTXIp zR{<|$j8zTmaK8#}$U>yIJjK+6d z1|$UQ?VH!QB7g#%5ErtojKaDT3&^uDq|Bg@f*~+f*n#N;ll7vFxoY&yXUxg=5VQ`AKyI zxVanrwMqNj+z{fnFCG0Lm|LE8L&&-%vR7(9>%K7`eYAzg9c5qyw~vaPe|t^j!-~c? zsCS`^*qc?pKZPDLr`dCI=Zag0Xk?Je=#FK6%R(%JFA1h zKF#N(^>vkSN6H%U1A&{5(w*i@`4>U6Z!8jix9eGH1FNjulYPcVlCsepap>Er) z4GC-ZoId+Ore@yte~(cQ7}@CJ-z^@PTgxyyTPoVBAw~*_~Aq&@i z9x}6A>Vge@)jk*->w#C^9@!6*2MT zLD#fc>d*m-*PolB$ay2HP}W5TuW7clMrzY0t8LfE6sKz~*fp)W$eKRdl&)*)`>9jc z)Q!5fp=;`>gWK-2ri<1Fsj{YieN3A=7rS2iK`QO2+8~g?dB^*Db$=N(F4&u%=zFG| zHGgeCj|@f19fdj@Q5xXRX5a1Biouxvh z)z%Sw?%?lTZ)8YaN1na}P`N{*%8qR#RS_A1kz#m+W$3mg-|nYH%R3txiWHADMO2a_ zcha^`kxt6^0=DdB-f5N(jJ$R=AC8Pqw>Ee^(0SDMX>DG)rp_)w#HWes@4|0>J{w6l zM9-`T-OLI2p!EyM9?6P-lux7@B(s)=sshQppwJV2bBK=MQw#Xk9E`T{;5Vb8JjS+> z8^0NS=RvovBi;CIBR76Cdewv9LjB^mt)<=g&1iNHe%spMjo+|K@BBuJ%Ll)0t@Flj z8@ceC)owlAtgh^7{YJ7!F8uaJszL7jW_5qhZ@5-_k?B zgM={m^}+kB`}&ZK7tP^ONg21fXMLUsX?>irdpdi8R213U!CNdGr=8jgZG-IOB~eiqQ7lK#%5$yDbCK?@@?|^Sl-#lP^5UIDPmq_qIMy#HtCak54a^P^NzC{jZ{Vq zhvA(q$b{ySH(UUlIr ztBZNAV*TyHRjl(}xQdYrS6SWiK?9?yKIryBvPUjl^+u{e?p)>cYsXax;l6X#@6p!3 zHGH^#oB1xZK+@6Z8#7iUU>#7f40f3vELzItv#gZpH#*q$-jXX`Gr1 zxqOU0hte{fnroFd*3?|64Zx|n*5#h2=1NGhxL?TuF{!x_P0p#gaEotJbK%a4Q*!|^ zmMbAWpSmhfq;YC4ASN{zvifssE<&P7z{N;^xgu$v@+L8w)LaP3;?!KP5v=%`c17@d zg-2jYP60tB3Z7{Q=0**Yr}GGG#S$PVsV53T882vx@gxF8mEL4_{YLr?QEh{CqNI1O z375lMdhXE%@%o9i4L<@@X_#jfqDdx9$Vsh=z)zE?73l^zmjU!06Y=R0w@d8TihVtQ zfUNL6p0d2yr~NjzYEz!_eD<0)nG97U7u$gv!;FomP4El zyQ}%JB(F9>n&ZT3-x;O2Vp;MP>8Veqn@xEN1l7!R1kz9IEAJ!_M7!yGT7)A+j*Ff> zYMSwdB)OGO_I_KD=PP>D3EzeNkSKzGxMwJ`^4V>KXsyx`;T7jizJKB0;I+PHQ)p#= zWK(Fleq>YF$Ss!vvPs^vDIhxIo=pK|lJD6Rkn{1LO`)zlzqb)AZHI~d<5hSuX(M=QicZL~tmq-gl>q#4CMH&#UnwHY>_d4ry^z*!r&Nmyd|}(P*FaeUw?KRw-IJL+Ec~#rE(P^ zM|&c~YEO7-%sP_yQZrH&(KBnF^ra7<_bQmdI#R7ReJPC4qa)R7)0g5bIXV&{R!0is zq%VbnWObx4PWsY^cb|}xzVwZp^rb+ojuiSyU%GA;jV82_az#%1QXp1;N5Xl^I+7m& z4w-W@J&_fL&m*wuOCy|L${qazWLBfk~#_ot-Mk8_^ZVsDDc3h3tyCS}%WHH`Ed zVs1s&W7HwYR%LE&p#E@)^)&)TLJslVJG=RyfO1`ekadg9WgL~%~AKW|VxN|RY zXufkVsvzCDchygSxpMD5e{S51w7hrj1#;(JoalG%g(LXRy^LHq5g9S>+?!(l;9gYb zx^r)e^@Do}`QToZCA)L4YZGj#4BHP1U?k(g(6OcAy#zWR*z!)lM_PVM zSSzm`_gWe6x!0Zxo_Fk7;`xn{3-{WS$#btg=bmlS2lv|Z)N`*pogMf36Wwy}?>Xzg zM15sg8%@`6aQ8xS0tB~~;#MqZai>6WclY2f!QI{6U0S5LyF*$i6e$kvm-~5td_QJ0 zb7W?AbItCVV{;ptJ)GxP2Z2i&MsO*kbJdy`Z|8mXT<9*J>!DGJjwl>rGt1W&;M_2e zO0#9>{vGXJKVZr2cSZSA-FC#fd{6xp+6oOGs0kcBDqyQtTNDXNVD4LACBz?_expB@sBFS>si$S9#qd>OeMlb}U1iqKd#F_2x{8q(w z8Emg)uhtL6AJ(He^S~qG>K^C0n9fi_&5t9$HIgaMN z6hqcB-#$mTZlOV%6%Bk^)#Bs4j^`;46d;)mb-h{o?Qt?e54|1QW@8ZoIvUpYv#=$_ zj;|BgIIb?o^L*;WKi|fj988vE-TJh?w|gQD-iOxhvqc_x_g)BgHG?9EFkFsDF{u-e zC_+;GH_!U7(30P=CcW?| ze#*p+%N@T;PY;vmrsEm$?&srCIczTKY~|sOvzA_JMp+=EAhg}&JM3)*V>)-?F(NBc za?!st%0+`n{!=&`FX4>9tL>;XDLAdni2Ny?F61}kU6#!jJON+b94`YC|q)&M5vYNoei5+1*O75Pg3rr+~WIwaFHGp$LE;R;n%i?7~OE zu$5|0KfAVElM4NKzqG3Hvv#_#iJ#e)M!R?Q3CxdWlww&rz6Zrk#^ z$Sz{Y5Yg_46A}Nc6R0S#%#s*cU9e_P`j=wcYi?E=!xd_a1L!)!9;kn@znid#gJW&2s ziIy-LzZWt$SEw?>iasmH-=U3P>;DnPYD550mp$652$$*$bG?^HKIyxkCIiV9m zb3!qjFv%Qqlir=7g3fd1m%|ldg<%24pd5Qe<{t~JuyL?ZZRt*L5ORL524B`M>9`{m zkP#GNc5A}$vyxa*cwC;78NH;M&;%;%QQ~f^Hk&HG#_f)Rc{Tghl3pvRh#n%Ix;FDB z8aXf9%8~v#!If5FKKRccb`F<*MeS^@>O{B7PfM0iO0~G$Oq3KU20FLJs$8}5iCT2Q z`5R+-u}@-Jl7(Lx#Skh^#NZZ5x-LfRrL-@3CH9||M7ZOyC1Q$j31VA9>DwF^vx?>H z4$0p%QdY}m18u1g*99F(7H}XmgLPWRb|qe+t;4)fRn69oK>eeat1$Prw=zWx8z?(#)iAESrD#58N8&Phm|as8i%$-Zu} z8WBZ;gv3c&69&QsL-jv)P$*^M8zpTfVAVBC%U$k_RXrwg230RyaFL|yo9HTheiO`= z8}FhRbC6WJU?FsL#DiAs03GdFimly{Q+-^aYUWstJdz?j&<3q~uNV%%RkZhVs?s`~ zC41AIau#ng6D^F)Um!9Y#IzJpn>ke-xd2?%6+y4=k6HR(CX4bd84pln)K*%W1kC*; zXka#MieI6N>)s?JgnzbH2tf6j&q8Mudc&LfX0PG{GU;Y4lfoycwzT`hT5^8+-WlvT zUq>~7qUK`y@hkjNq^}|ike_m=QnbR{O9 zeVcEE(NQ{%%eEhhg$#Rk z&E#{vdAEOeePJTGAJh{WofI315xw}Eno)+6x;*n)78~-UaN&LX?!9Zy&x5&~NQ?>h z3u;E>NXQ(y{KG}7@-aPX0KJZW#UFyuerlX;n?Cpp_R9A5f2PsC;?ss!8PDbaOrtq0 zYEPjnHB+zgE8e}%6&~UQv21nn2oIOJjz=CI~mSaM%sscL5m|vyRExz z8zl<;A#>Tv(|G!e6=K%$V^3cC8GqG+J>WB6ocJekrv8z& zksEHlO-=qkGw#CRj!oWoSrly68d=c%@%+S+lipWO?*u5)Xaby~Cqhii!Uvah)z&5F?pV9Vsnc;&7Iy9IYQB zaccgBCqTjp*?7{c9U57~Nn+d>w#b;XMl5Zo)t^BYyUk<7UMJoazc=6gEtB@@XO-#7 zr(cum9Ri*x)Tr@>7~T$$Z%u5ScZ+Lj?=pxI2Ue8AFzIiJ$k3YR#mV$;7m0%no%=0K zbuQuJ$*;+^3#-sS?%()6{lcV`%NjiU0I#r8eo8RBqSw7067W2Z#*Q|Wn9Gkgl$SEq z!I!iv78ls^qMhh_-WyyGH^09Q3ymZu_#(dY@}?5o%v48!^n3-vsQ7Er4HO6I7SEAv3TK}`y6C229b~gQ$I_L4v=|LL*f>V@wh0fbod+S&0 zmK7_%%sX^PyN#asJcG2;hg)(Z*PK#`v%BuRd48EBVL5Yo;(2X62?Ek2=a4T(xjmcL52Cip ziTGry)^-1c%QYy@S6Yp(Ahw{`hGDm?5n%@Nw_58w8-a{(qt|(D{X0pmHp|y5T{czf z`E0imIC|cudy1|1MVpuILTekGwy?gx<0-#dx1^OIph8f9Oqu)GE#riEYbNZBUXgjU zsV$xSAyTE(BG?a&J0SGU)&uQZb33@gGleIZ6H(Z$_EyG-sju|P`;2aH@Uv(~h<}`` z3}lmv3i)z1*$2;ldHV+vcM;<1z}1BUpaZXp-`Lp)VYPrP<$)&2IlS~~3LnKGe6OPP| z!?a{3fmd6ck;EfKa$n7yWUM8p>GvTqr4#9Fu0Q#!LV}+p+@EgNWae|0J-8X`*W-I6 zyT~@sbpT(Y^v8YvOx?F4sC_EO$=jkq8r+S17EXguFjUgSk0iZPO;LnC9Fbn2eCc3TuBcIzVb3COR;HP58hE+m zh}^*=RFIbIl8ECo<)P$~O8F7xH0E^26Fu*brI-(c&YB#JrBj37?`dK5wWXprIBxdqrG@?f>O>W2bZ#s`=z_iV=E0>ph(9JB<0lzTHZo zLVCLpKVX+dnrnhj;3jq3d@B(B!Tmt}8}Q6!L1xd!9`4IPq^An3$>Q_QZIx{_ZHtf? zr3U$FpCS5{rmAsp3usfnr#HzY*ejZENAnZ!G6+Skzfwf6Du+C-;IX(#sMyAOe{PH9 z`d>+d;(cx#gV!s#J`>;cZ&sIz2d-6{n+L2_x5syADSgEIyc~CKQj`4%ckH7cuwSO` zw_oOim-sDvE%nQf_RA{+_FBn=H0`Gf2VQMj90v|s#Rrjf8&4VkE4CXVaFTv|t($~j z5EGW{4lBH~1#>%Jy2tO@yuT0f9S-1h!x?q@u>UKk__F*rZE$`#%=X(|qt^81U}T-G z5kSm-IUU~3XyLAdmbrZAW;HWrK!+9%qP!g(F{)kW#zFQu4Qf_HXe#QLh2G(?oOZvs zR_${&HsM^(1z?Od@2m-PA3insroZZL&S@a`PU$f7AG*4nQ|f&38py)3c*O zgZ~gy{JflCmaH)Q80cxe6az#|bD~w9{_Fuiz-w*X*T5+W>TMNobF;KhpmUrDmUF!W zV)*o5`Or4f%&bD<-lFFR>(8D+ZA64d9hXWCDY?3sY)}}sHuS4 z-tHu>-%v+&OQm<}aBwU4wp%6ig6jy-9+Z;l*uHO)Ja!huU*^+E2Upx14ghwtglDL&EcBdl0p^+mW=hQ(3O3PsmYK=i#Db zh{f%8a}OePdD`*wiWwk zQ#YtI;z$&A&?XV#jbJDfx7+Wxoz54hJshaXh{rYH1+fFpzj>>M*K;!;R?}x(28y+V z7RjxolN9vLj?iI~3-|zyonBxfK?SFgU<)`FaS-BBrgm8(7ibFafZITb$y3Y5{DWii zZ!$my$4nF~rFenO>@vYe{3lc_6@=4t!M=|_Ra9VWpeDo>c{(@4_BPe1O$PM-y%7bl zX(+j8+T4wHg)MDSaX!Y*mj#g3qPTym+pM@>Bzb(K&a7I*?SiBgJhH@g_<<&Ry)-?9 z3&P@N$zoumXjv8Q|L>PQ**YkFLyR#2ae}d7DeP~$@>+yE8(94GrQOWjZRDd%)rfo< zH6U7lh6RO|)I$@A5!CT6x`dROzYtfM863oznr-Lj(wcNm=~6ysa|^i47IMZSN(AhM z(bs;qSNmhK3KKP0>dK(p!H;g@L&Zn7h5*#-9_k%|g{r}3j{KiFkx^Hh+LOe#4-dlnQ6@?lS@oDyl12HaE-OGMJfdGRU zE!KUwtF^H05h_=R-8&CntogpOP8+;1Ok3(Qh#Roii)8y6!;5rqbqjj!%p)o zasuaD91}}~4={GwG&}OL1~Uo#<%T7opQZ8`;3x#Cm4$=OlGYsx#^4j+*dyZ%{B?6% zdD1?c@nye#HX8drKp6trg_*#og=26hxNF~g?^6jM{$6u6fO>LF?91PcO)|r4-CJv{}7QcO|w#uYp^2!|369EfL}NGAMYMfIiLM2#sh!fh`|C1 z?gcNN{rg^e&Tqsv;jQ(H=PiVE?K=&}g3Xz2-&(-LrkJc}%$XRP^EM2(5j#?>{yA61 zV;9zG(Q|Q=pxU)5#2k0>7FI?hWGhBpn%A4=icYb9n7NOo@`WNU>f^T6aULU zzE3k1dorSm!c*IsXw`;pH&yHB*^8}qM>(kxfa?27U($54Vj~J^Hx5fHTsuc?JWZ6R zoG8&Oel%qB<}ErT^H~h}anuG%6udUg&zd4#>m>?o3gXJ4{@xjJd)k)`8F=IYH<$pH5Xqqdjk# z=u}ph3()sYRd~ct&-P;=HZGHu1W?$O{o&(6RoV(0r#P{0M-CRV239dGYjj^I#*X3@ zE}}qS0WSaHRg%Y6qYDW?@_dvRG{_Y*=hpN>7opJ$-{#c?=L*G|Ms9Xoe9j&WaOUU@ z>ZPnllSP>k&&PZr|mZ|ADXM`Vi00J5{kaCVbq31aYWwfzZln-O-(pSU zW-Q`*b9IJ+F@<&IBiExJwvhAkp|=<2H$;{?d;hF#Qd{F1EIJVDa6Eo z)G9$1a)fa1|G-igivKf&vu1AmHBg|CtuO%ShI|&?$)<^H&6 z0p*{TY7p0xgs#iQi|c=C1&@xb!`u4=-7HXSh=IF+`kMw!(3^rDI8FCuavG+>Ij;pM zB&42<9>IV}hCGM|TVy;M(Omg$w6qb(wgoZ%OPCZ*L34>QW=kVwXR4h9!UBaLjQ0Hj zvS9ic@Qwn~ zA-+_AsA{PaBHcTrTJ(|7irgMY;sA5%#=V!eq{zN44bOMBM0wg9qcE@HA?+_1g?q=` z#a}JF&aq>|C+bTQpYCU4r{DV|nMSDN;VDui-hSaxP_X@ITID()0;r#+G+ss_$kPbR zD!1e(6rq}^R<^68qz`N;fwae}^fm^vQo#EfoEpYjRm5t3!)c@qO>j|0!2B3B?^T6j z#U*1Z7GXM(_d|2h5*3YueKNDL-aZB!z~g1p9hTmNEa zA7gQmtOB{mh}^OaF)M)~aAvpgvKmUz6lNt=Rtc1<_r;BG-Kk{QS6>vhS8*&csx|-Owx(xgbpVnKtCq6t89Of%j&C&A@LH^a4 zP}TtW)K`#zc_QDXH}H~AGXcqh@npFD4rI%d(3t|;xj^JXF4)TnA!v>G9yeql2Qlqt z+;5kVg{8H-SI}(f#vh@HO%<8cTX8fPtxDxbAQ>W~1aW zzwv@9g7_&TD$oa|FchkTpOO|X$#*x*DN0GS4rtRB)gAp-Rd+P?tx~vzWbhsp3!iR5 z%=)LUk^9yJM6wRr141*`0aPiIWfBNWZOfH%&`f zu2`-sD4IhSL>P%#He@i&wW`znzZ{cDDQ;xS#ja>2Yh6FoS<)B$)D+E=vhA$ zqT<8j+rNQ2Ja&nT^%lpJ`tb?M8QF&4ZF-ou%CCSxh$ypM z)#-*SA;J+8z8YnN@+|SSO36zbNTQQiC;+B1UIeXaXOZ*CvFi|-l}}in`TKCuQ@=+H zvl+oahBq3xI&&3vxADl%VI<5Xmc2TowtKqrI3g(S`IR?~58-FFJVm%oLv$X)AhivlH4*fysTR2q zvhQ{xpYuJ1)V~;a0d@Qx#{#03h#(j4m6jk32-w)n-AT4jDhE(0<)#pIamUsIUzPTc zg!!}>gIucP3$xv{f~J_259CkPK9q!X^~;7^E$IoVcmw+u&(^NrBLfo=RvU&aYcNZF z)qf0fa3hQN$<1^lRd{I>O0(n?gLR>hw@g=?emc<(3{!$O%*HlUB}0ffZ*4UAlx6(= z`Vigw-KbSlx>|rNTIaANmZ@Vd@pf`YtVGUk#3d?KVgVx1=Z3fv-1hPt-9dCrO*Cl~ zT!QEdTbLB7jgCc;oY_!3f}P^r2-O@hR7D~{Fgwmi4Wp1!gGS3K94t>!`XLXpYfPSj zaxJo#H!1c*r?naB6h*fUGWm9n6F?nSb|@E&v=6Yzl?|>0XeK@r;TgR5Sb1ul)RfRR zaABJJWPyUlIR2MVXeRcT8|8U0l_ZA$RzEzY2}m5ezaaSK!I1*|*FA)2jG@I^!aD}= zF8q;JvW8U=brSKbm#&Hg>S-e+9yKGYb}w7REm)gy`am8m8l`VjvN!4O zteM#dU^ofhwVI{Bv-ipH1V-wK0)Cvv2Xo#o${hxM`|hkX0^I zNG3zh+r!zDPhm8OUXPAZRbEu)KAFLUzL`}{X)pR^3NA!tJNe$ zwko0W7o9OS)aKh|qC-K|wNgPAz79dknbtu9S=uI5Moxf}Jczwj>R|O0wn~ulMxQE% zmshhY(el2}Gyx-rv@}L>z%7OLX??jk=W{20p@tb!<12#k%hA-u8zE^B0qu?R0aK! zU<7=*r+=SM`G+{``+uA(N8JOO{(Oh}LG!<$E3umouJD?&Q zf=ro=<>)*SPbNlo)Rr85|NDmlgtK${QA`-mL~^b;QS5=TyuiZzH@}d1OauT-NWutO z-0*$AGNLD949M82CJNaP=m7gp_i<=MCCGE;QFCFiHpo{0S5bx7sH#8G1j6A0B4k8p zKeZDrbw&-5X9CkUN>-P6ebgHI;)NZ?Rmf6UP^>hR-xCt1i^*efg~1e~h?lOC53~I) z9UFFxXnZ#z?|N{X#&R(wLM$I0xrO5H+1^qzx@Rbl6GkRUn1XHP{87-@y1+)0lq?q} z!H;OiKt&xS)ZUevd<@{6mHv}24bgsH^>N+22gq~VdFzj)qOvkG>--rd6ALfu7 zR7L`RAwiP(Er@mM=(KA`-3jExG;d#4{aPyrLPJOk2pA8o(ZRyDsO&S^ZxZ{)YSP zGFteL?CM11Q&9~XgnQ`#bl1+4pA=E$Jp@Ufqj^zLRQ-em47Lr@VURloX=@}MI_^ux zi64*3qw^n=Zxa6|<lsxsy^P)7GR(LKWZI0PRNU$8)APw46mIg8Z9Vd-`^NXLgc;g{2PW-|h*_8?8 z3fyMFFmY!(mT-LJu^S*F;=9zroe>&vb#A{*3vCH+pv>(=>eg6-JEjQ=D1A(%%(b-t z?;@61o2B)oT8yA=%YqBx<7L-d@_ z`KXm*Z2GGsFMj~)PHSt=O40&mkZi0wQzrPoDoX=}Zk1B|~gy*#ZaFN_skh|Wa z2fb10LAe0(K;8QQ8zT;jB4BKUjuc@DyXJ#XY*8P@Ye}9m+jc}UBjTCQV0206R($sb zE;-E1mj3RoUbk7 zwmk}Wvu3WA-(1}^S4{gOcs`BkOktk7pLyf(E!gj=*jnYwoAbxm;y!=1)>W>UyF2jw z&H(eq^INbQ#j~=S`9rUUdjeO?%%g? zq0PWDX2?{#Fql9EQo;P|hcFo9vCvwwJcSLM6nzs~4Buw)%y7lPP5XIo`kAv2-hwsY zgHL{WqjVfwT;;E})Q+Kz)ABlMv;0oDU7(iG97YtWl}q}QU%HB@M{9(=j>e(*B+)x= zu=XeQZKsP|qiyD4we@l%+!Je8#P)GRW9e5o1Pwg>*Jo<%R~H%%pKD3w`Vd|WxzD9N zkr=b>aY999ifKZz^0i>hU+Sy}4%xb29&g&N;f#|HWAGCr_iP5o!;9}yuims-t+Xh; z6jusT(7b75s;j6J%@YL=%%1;l**QhaFP5qBR$cl*oA^k&eJl|gzr}3JQ-lVNH@dZl zqzo|I{^>vi*YW*efyXzf%yVsDYfyp11`#A%yNKiOUI3Z|coQH{@M!{_0@gU=oAuK_ zw23`fY^g3@CgHY($+~Z~8)rY&Vi4N)^)ym*EdBLyuP0eF>$EjP`5ZH-Z;_zAlHdop zQkEu7(xKBoTZo_RZrl>7MLCPIHq=dkfL2I7rCU93OTYs}^BWh5`w7AXb&$dN$*wo~ za{VbTg1q(a?iaZH+^}KS6c2E?)OK z-%tiiQlPW{j-jsmn{V)m&Rk%|R$`rnqkEvcSSX~fH1Hh^`^Jl}q{;@knLy67b_{gA zbpyRjL_N>ZVui}v|L|-MrYzc1etg@q{PHIr>kMN_m?a+I-7e=PNlttC^T$ydLrI47 z@x|~pY%HVE{a~4J9^G6;ntu3IByR5h13zai6ZE$nkCj7L zPoqekSmHUmcrGsUZ6zw#-VX>FI^_qLpq>%OEzS{CsV$YnBIF{7{gt%9rJKGj4kYak zdqk~9gt>FJO!{`-^hR(hp6M?MgpnD*cyUZ<__wkXIVV13gfKi-7U1dAzI?cx3e||Y zRLH7&2H*>ISyAV;hy5sOe3qL*%2pIyYO{z(rMt%SaL}TcE>4izHicC61Xm9h5hwT4 zoRTOSdQ;+h1jLekFRDMIrS^l77qbOTYHg|v25w32J?^53eKm2e;RkSFwa!7#SU}#H8al)vBl1` z<#DmcJd6k@B6fXXfeL5TM@_Kh(4k(d0bVuABEG8j za*Suo7>YLx-g+b+&ofaQIGAY?zhI}(d3cJq3f{^}hq}P@K@f?qmUD?61JWd8*ktD% z+wa_-)+M&Hiv>yk9m9>wG@sx&ck5T?mI{XmE*0x1vXQe_q4xb)r0hrRFRIS)v=z)A z{~`W!gjlsQkTG6?zo-oa%pb195^8dH{!Rb4(gk-ut6OGZJIQC|@%B=gc^+Q2tB~OB zV$Ju7_CgpeKwn$py&sI=Ue7$e3>L>Z9i_RS+~2$=rxzfStiNyQwXr)Il1!(6vdseH7Q?}@* zeRYY^a;0>8e|e%=!zC!wR-NJ0l-9B4?GO=C3}xDGBzPvxD= zeLI-x0;k!Bb&h7oBs7qEw^X(XQN`*b-OU&8>MfB^B!Kh!&U*nfk{!}7Jlg~Duijg) z5SLE-XWo5|yIus2liuh|g$cbWTl1`#91tHpIpR||8F#WEFWMWF2&8Jn=8&ihCqElC zGv4o#MYxXZCDmmKz;ZvOTp>C-kGw0yRxJm2H(^#Yc^WB7kyZv@4X(ja_st#@>T(=Z z0~&OhS;2wG9$c;yHd#Uue0v904`N*@h)+YLjl?E`hI-*K@lii_O%}-kn=j&lXAHdv zr+pas@YN_{^(BCdNGD|TdmlE^Z$C1`i+k=_lG7zW_j<>{F=w^Jp7yr{HYUHfln3)R znFuR(I;npDZP=O_%-JOKc6*j~t)=K7a)#Z>_v}rcx5No|{JtGUT4nlPWIk!xxhSiDZM<=Twz{7uZTzsH`qgHuijsvQ+^`ziK~S#(5s%w zd}m#(UZ;t_Uv?fQd`Oi1J68oF*VQ6+`gYp~vYw%H@`XBz?H;MCWx($60iH=6$JnXL zNUq-r!As>Nb8;uZSIqt1#qsT0kIL-Qh3D)!l9$ed{fFPeL)-FVGIjTvB8%U*VJSl8 zN;F@*3i=@10o}Pdj$(a*+W~NSM!5kFoaOVqf20hqhkp={FH)?0yCBb?Q~#|1v7dL- z(Ux4m&$S@_ZMmo%p}B+`2P;|^DZ6gP6~|l=jVK~`q+^V1HeI($lB@GYnv}vP!$l6f znI~}(BXjf>rW`)Si&DiLj+$!=`~SiZEe=9aWriJN%2hyqp#P%$*$%IE{OQN%i@z)q znJI#(hQ?TKeBNb2HeCi3rvsjD@M-QvZB@V>;B&##yGT)=?eymRpLm5k9Tm%H)Eo-| zZu)s6=SnqYopnENv!4T=LbVH6ljmo*nz{Dc+3Kocq{(R`_xa{~eGGL%KRu764@Ng5 z%%}hK(bRFCwbR=T{N5gay7v3PeYq2>%>@5Qoc3)-I{}3udwqDjK}{U>J#*PCjzodv zdUX^{tlelIv8I9&X_mgu^+7t<;j}h!f#H$%Mnk% zXYE|VNq%?aeLmw|ghe25=Pb-5GjH0a$@?>8s(ZHxNFvn)Vp7iwXz zqa*9=ltPIPsGOY)I*|ygA@dwVg$Ag$>jTq`1o6?Mz^=f#zvvbjtn@*D-^YAL!D{t# zz>yQO4Qgt+9rF-e{VGL71WQR|CIbrM1Dx{^Q)wXN$)|%z-@C1dUjf_s}=ih@Ut~-mo>x#3aTGWW}P;W*Ww#Anch+AcHtaM#XjD8r;g~ zwXFmZGP)`ZIGA7}jKL2dAv_jkpCFY4%hZ^)FhG-&1X(;5g&WGX)b zyv%iDT~trG`iOn{>N3J0{$^kFrUcS~cKcpXf1Si-Ee^FDFYFg7$+Ft~OcQ(c4Y0mF zxALM4tq_Fpk5pOnR7}6p>F0b`{T}s&_lJ>u~ATv|=O_id8zd_y~}i)zc~gu5}K813iKFCnb<1l$^Af zAXlDd;}&&VVSXF)EkwPl)*DZr=Ei1q8=7-#a}$fQ1+_fPkMGxNH36z%Kcvctl7QUq z3IGpV8rmmqR)DM)79Sfi0<(N7A2edU&4p5n15Sz+Tz7-9kW4X+^pWEMoTf$ zi!hOg3Vt|6&@`EU-O;qbfGjMZ^Ul^h)BuLhU#hic@RD?1@U`2se^tJEt{`b4q=_{P zK2p`op{~Q~Q837ouMW6xj!Q9yfdu+o_MOT0Tc}V?8odY&w@-V?T8Y-nnqcBpqe)yzqHgm z*l85H{ZvELXBz=P?3s&ql+rhnP{mpG+JLJSYat+U4mrfp5RjHShg_=w38-qxR-#yJ ztO+Qeqk%;zE^l+Mrc~wt7z>$NPR&7ir`Jw2XQd|o>3dqSw$1Wr>(ug65sLFC#juGe zn~DFHt+lECiS6keqCmNYV>vDdf~kJ7dRAsS_9v89y!Q|e4An)~;j)qcL!M7hMcbG~ z4!aO8BJPd00wO@=423R#^$^pCX$SXST9C!}=fo_xCl)b+hH1rK-v~~#SI^&8t8l9y z@U#FTO0yUWowN1cw6lTfH8qampl0peM`!ue7zJ_~(vX3OqnBZ=08r>4 zFKIZ@F>W^W7}30xL@JNLluxHfS8|S^u=edD@DAt`9n5aEyZ$jb)0-9qciVynrB02C z8_znPj^>V{j}|D^AGhutp8#Ly7(+*#=-n0CiqNk|Tqw-r7i+Ct4KYS76k?xPeV#tq z$kTAE6tHldZNjd`A-@?Fo_~pH=o5%Sno1|~o1xn(;q7+Z19?kc1gwYfGkR67$aJRG znrAyrPg4B*iKIf0+)AYbvR}pjAbQJWxRhZB?%0}3igQ-%to|IdoJ}P4VEPN9ai2qB}r67LawdP$3){l&8qWSu-N5_)4FWc&zB~_aZ@sDu0HiP5c_9}DHs$zbS^@bgLz7kH`!5?EGn{aqi=58FvAqpQo z^uvjr`I>_lgrJ&GVd5>YG?0eIw_3fB|KNCR4U8L1+KnReN@-z`qo3 zj+mpSW5aC$-vp+T-F~9vD(1ZlX(RtY9Z??>v6-Gm`ip&{P?dkQUq8bjzcC|TFPGu? zjlV0Sw4frR3My`0b7=!6{U*<{+z8FupP93F0sve4R#Dc6fHts{NvdddoSViM6O^Vv z{t z7eJc@g{iDkpkMV!$Ub<5kanq< zmzo{5AH;7VFod$;(d*zISIxw~pX%m1Vi!TgHQx`8@U0p2$yXb&bT)qZ)cTNH9VC!eom~@ z#S=WeKD;%Ii5+S<*wgbPsjxipTxt*P1c>)RiCss~f3X+}B5OOl;=??p zjy}k011)4eI1tX*?dX|jSH2fr`F_fQ7sG23L`f8ZZ#F!trR1IS&Ks?k5gVRpWjj8~ zg8W5%GW#8V{#ckqxDzkL#M<>R^Ia=jJcg9qM@HgQUPunQFBdp&4gmVOR7(QQXr53wwkoRnsbi zID!^@bp;>fA@bUqT9Sg}A+lQLc@ONBJ?s@~^R~~)I5jy;?emYR6|xfSEQ=8d)W6?XU!m$Y^czEvZx-Z@FHo{)Llm#A94 z;pW;Qcy$|{kpxCsiwBH`k2th}PyY?ot`XHvum8!d%N+YZM+`pQ?KGYFoLz&up=v;m z=7@ic6FC}$@FSHEQV=r#XDccbV?^Mw@TMDw&gR(K)davk1a}J`+;7GaH9%Ncl!5~y zPfe&U>+6rAs3Qc!GmwE)*De_?iEg|1s;ipz?4D zKFnzOJ$W`8;5N!V#}(9#9a zc}_}{LZ^L)LO^iew?bh`Rm@y|9%(P`+*=gXB$JP*rH@IT#yc9LhNC=^UYRFFUws!^ z5JXh?8blV>s3|HH!NRhChCHk5DK7P~2S>o;O3TdKL7B*?LbjS%;!<0IN`%zj%tt}B zLH*ifs-9$-sVuiwl$=*c>OO=+PKGssDi>r49(QHMw;RcMp=mEuGN9mOs zKwdBAxu6O|#L+2`NS~mVMpR|I1896Q*dm@V$x_rkaPptD}NF`Bl0=qpK<3NlSY z`}`7_uKnpnb^9;$T1ZaJ*eg}@NRR7!nmUzEEb7}kTBy|NUwoJkLLrAe0{$WdEels$ z_p}mU*7i7UDipx9UwSl4gr6K{V+X+}Nd8v6LBg!+XR2igGn{&^4CLHN!eld6MSUGT!bqRL z^WBq#KlD*mC!mFp$(xPxWZEIfpR}1Ag$QI7h&%i=7#3ji5cq`)E4jl>--{hH3NY^c zC0qul=^>MNt- zSh{Fu26uNG+}(X}OK^t}+#$Hb3=rG~m*DOsI6*F!;2PY5yGsZVa^KwVy|vz-uCA(c zdZyQ^uI@Uu&)y1ZL2qDEA#aE~n`ijvZt%rD7Kb(-dI`Q<3b=~!?L3lL@)IFFSH{)x zJD~bNeV}5Utl6!8u$tfJ9Ex5^$D3V?0Zr z20*q~zr33Na&058`6^PcU~ZTpq-2!y2 zE`f|vn<_22FFzj=P>^?_c&23Zn;R@s`6pA0m_n<1tHy|2XAyDTG?2uGsmn&evW^-r zVKKgr|21hm9`Qv!+sI7-NsU2U%EB)iBEVuOX@5RCOb8w5fy@v2nUT8}Fm=AvF-FXt zEd{U>GYUoJ3gVWr30yYt{6aGKZilQ}*8G6Nj9`-_^}qTi;`DWn;b-0SO8jwHXC;*3 zYn`}_MqLnM2`ti3buvWu$X9ktCT&mK$AE@(wM!e#)YlnPa#>?FrKgZZY zx4$#d0@%NxRA(0Tr72rc98Aiwt!Z1>-A8>;kE0#-y~Mq4Bw|=eNi7%H(~(SSS!n@*5&-D=dLq84 zIZH7KRsLYY65K~%3ba@lD3o>r3WEC?k3vr(syVMp^TM=-WcQ&P7|?}y;sZQA0Q#);N7iB~EUgh0 zWBKSu-PG{bEJNmgx3O@-7=60;(Z*XLl5p;dWB7UaKFf%j`W_Q-KV+Cr6gCE zndt_t4>DJDgm#wS`|0!W_7ucSFwOK!viCB_X{djwd2vj=R6DuA{91mWMPaTRGy|2< zym{V5j5nbdq^psG<^Uv54mb*A8X0#~K+eezk0F`625tf|0E>a?z?%Qeh|Z>x9584c zYai>#R?Hs(t9yb%)a`c5yfaI~4wWy1Oik2q#=zf?vt9p{!f|v+XR=bGQM(gYEGL!2 zZF+cqn_OBrF7|-R()bf0wo{O&0$q&u*p*q7xMG{fnOPV2--ufI=KCQW$h#ev)OG}J zzL+epy5m^r4WIq?_%&w2Q(lNG&BZ=KXYfh&EIoI|d@qhwh~P~uE4|xC7s>^xcxQ^P zsg?pk)TUFknx6bramSnmU1QWc19uCnRMLQS(tACz_x^MU&7IPr66^bICa9CR@8S-; zI4Mqb{Yypw5UrOh081!M zPpakPPVog`8f+?nkemGN53|sU6h(W~e^8_O*NDl*Z>suLYv~!&zzz9p($f zi-g|h=fy=+s}5gGsRi>LKwW`{!zhkeOh0xL(@^3+!q3@)yJDV$NL9RImWdY&eaM{t47Xs-o{GJ&MuORg)i!+gmVg7C1<} zg)Hk$K~FKT(OR+i?&}|(FBk4MPP$vYlYdF%_pL`BcNczbkNV*+Iq3Wnf<^Ho@Ns;Y z*se6#4m4J%ZnJ#~%?knTCf%}cH~c$(pestNS`@bVJZk>ee=q#LW}~5d$`0C5*sYQf zGV|)*8yVJls&)V5nuK;MNBCp#prtLeGo&3c&MWE*Vl?Dkq~UlFwcXoQ)6-|t??@+- zqMXOKt82pkPp;D08;DNRPyzFyDV;q%oQjH%O1^czRZCF!Vh((2I9_pH#p==KNvX1} zPgO4^{T)D`OIoHP;(V?vzHfw9-|A_lO}R&+?Ux=Rd&VOqQyTt)FT<6m>dP^ff8-Sg z*OkF!MY-&XFH3FB%tr*WpZaDzJaIEa1AJ}q5NIl-eixwyv$`TvlO=~h|Kxl&rO;6< zN3>B14(OzfES;QbDSevNOvpb{rcJ@0P{h{vK;==iaNh$+w#-uM*E^16MU`%RPntnV zD92llGY>L2Ni44FhkgWP%3xQjU)+@JKZQS-GsWy`gpq9f>kUBPsteem#qGxaUcyND zlpoKahgR@CB!p;=&A;&6OsoDXN`Ry4y=hrFG{~OMxxs<1480=}9rJ|oAzw3SPBEca zLU`~CE=)bQwy-EB&zAU`ev+F9aZs8A8xn#jsZp*e45)v|$u_toqMDR0bTr$O`=u$2 z0`Z%IZifHXW@C!69IXuZ!k{FMVW#ycaabw6SC9{HXm9b4*z1yA|0HHfEB`T5AI8-%xNanEznOL5HYx({Vg9B!gd33Gt8S&lE_R0ZMTVZc^p*JYiK zFey{Vs1=nXQ?MW2V@Rz_=!*0J7wjWzYH7o13>sI%c~$hB1xA15C64j?BC189Ach&6 zxJ1H4dnX5rQXLn>Yx-W4;6_(|VJ-Tsq`zz2W-T0G>-$x^3T>|%E(Ip;eZM$+h0kMBCAN7mY2@HKLdlQk(U_>H zjF*zF`^k+to>`XM*6GkoblEXmW&*?`5h9qk?4=lSFgIww*%?gl>vX3l#^|0g*V4_I zsj<-w)oAuKnf8XqB9vxxF!*pfyw@o+X`;I)E&u`Sf9P%9YDm^zCVvt^;;}MW!{$8s zeeVP;wJI9?t2nHj{Cvm>m9~V%O*+?RgR|Ad{E33>;&oELjEEZ7#b!~t+kjazWhCUx z6QxXAp+Ex|)fL0X?eT7^&TAegSN|=gzqy=O?%RXi=t-To&`VUFp0iRe^MtLdp6+n0 z|8>}sS_JwlMQsL%Mm_9rPjwrCIj#@ifz~qpF^-M4-lCKLbHf8X81Kdir25d4pr~cE z6-5$y@IM2fc_5jUgV9zm$N+|QH6K*Lc_o1GHRdte`j)hp=}*DOr7jTKWZBeO^PI5b z@gC}Xu&KEIXS^GI=<6*H(uXZONT6s2(Taw8?MGH15@+e_6t22oj-j5iTug7IXU!8XSc{9&%v8c-`n6Bo@z&LoXQ_C6(8=_VF z8o9Gxhad@GTg#8V9e)vxe(}2IW5D#$5BN%^nZTxXPQgY75ZCuJ7o+7I`w={>G)Z&% z`#4{MHhk<7xCSl|W!-)FSi@P!;Az+&J)G{)NzzU^qD=o``lftP&dXwJ@1d&^41^wq zE-;a%i?ZN)H1{SOyq<$Bw+L^j7Fz3xzN0)^6|xB{_G*~s7Lve%m7B-mx=ddk%`}4L z!{6c$A}~BLhTKzL*6m1s!@1xHd(FxA4?3y#ycQB4YE)$?M9tGY(=<^9Wz8BI&R*^- zNnk$K;%`wkkYfprihjStk@gMUriCi!Q~T-LzNLTWE+ZSKivPt~ff05lBMd?e5u!@HhHULjwZFdU3mx*L%ee}YwEGu2 zF`ULPbSrerD=Dave@S0}I$lYyFhJ+`Fo>eo%DD75hq2Au_6hRueJZ{n>@ zZ@z+&u3iSDQzl-)Gk-#5JHsblixJ{|e%8(ZT%iS)Y&|0O-LKFt=4AkOsQyjui0=O! zZA`#KHc%(p&&dO0N1uZoA*Oy9lxc$H)R}^5+t8H zS{*^xnDKxr_qaonFPLlU1blrU60o#kYNB9hhUoT8$_x>j|4!BHPvf{bJQy1PB(vh1 zMR@AOG4ZoPXY9EtQpU&ivC2>;O>S*?z}rT!;Zf?9EULf~Kb)vgv#^%)-S{$Sw9Ipn z-iOE!uSSAoXn?W$`r?k!Y2kNt}Gu807B^9QIFw*q58N?%n&5C zcSl_=;2I=+U6xZYz|ixS!`MpMAU77nYQO+!o!48S6Qk}G#eI5f=Q-%oQWDxako~PX z2WlA?`{!hX!Kv|cZ)=id%A9}#PKZh3<6s(LVt6@hp2MM!OIb0_g28V8a(6eGEzsht zl};ZQDh?AfIk3}rS1WgN0Dw8+2TC(x3y2j&Qqqf9ol+ahS)R&81m4{=jp%=XfN{@h zCws1%o&F=U?=(PN9tSgse_9y?NoF8E zn|h%=0)k665nNgv^$blc8L;$9OIAXgQOu%(I2RomX# znUTedj{7q9r{xctlm~pPpVxg*m|xmzV&h_7{XIkvB9p15Q-~gxS}KK{pC?r}U$w9=7ahPeh6K^L#rNR2 zJy$PED`wu;gFD1wvyUqD*NjBE6+Z+3Jj7}D&*r_P829y(&?x#^De<5j*dBwL8K{f( z3&>#WjBo=zd009zG0fzSW%3#|hhnKJgCeg!&=~n`5yY#%vrTLgCW9>~WQBVCBbp+Q z4++q6_%>$;QwU{WZxA6yi1S~UDT0#H2~h(6XK~6&Y413Q4fvmzSo;4g0;d00+n*GI zPC}}}Hpf=fT17J#0G%?tu+oRXo1%9`*|5xPBN&O_KfoU546U~tlC3!4$Hv2Xg{9_6 zh`>R+!#`d1p4@*q-J6Pc@o^VyT9iGnj2{9Im5-l)3&M z{JEzy>adi`Hq#kd1kgA`rTtFp?5-4=cqh{ibnK1&!rLm^FmF0EQ9VCC_k!v*q_JQX zJ+X>^Qck1SQf@^%Ar6DM4s{>r>uuXFB=eOqZ2H)RgJ6TynEAw=UGx-6!dTzfJ$Ax~ z+erJ>!as2$e>J&F2W68>B$#%uI#l(4v*K~SFjjjAj37bDjz1US(%{dk1p-S?X+#rCUOdM{(&)gy7DGzrJQFmg<=B` zz{e}uOGj$owYGqUg28wMu1BA1K8asynlzEHF^1`wV|WOaIEc#RD2t#sTU!-%|P+YA<)Hbwg0y$tg3NeqS;_rVOMcoAoJx-7J_5H|ztJ5U-X=+SqS;PC8Mw4C?lfO@sN_#n|Z?E|HL zC*qMu*4tKlZ2!0%6k8*!O&gaK%`e;)ie%>H9_$fkQLm6uh&?`f=birN|Lu) z%$thjgdys~`{gsGF7=Wh_Fn42tQ3YN6@unc7Npr0%I=dFWZ&x2__;pwUK!CutSzqb zjFPm%)+)caNLzAQNj>bj##;LQ07ZD*k+91tU4A5x4B}EPwIpL;FnRhSPLRO8+^k(N ztp08lgJzZ&zySShGN`oAm6|&Q^mTPu2AK&YA*8u!?0HOvGPO`7te*5-5IZaTlbh4w zXbn=9)h;qo9Z#pi=-k&)@~uw%8`Y@l#7d%g_MPI39vy;3ZFS3*2qaY)Jp;}8sqm=n zqmcz$IgM^~otoc;VlAE1mwW3hW^J5IA_BS&X@BFrdgwW0)5qpEVfBa@AHBwkDDZ;l z7ndolbKSl*x0`Uk_wf?OEy1}hBAeg+Qw)c#>+TU{vz=keRR-nj0#0P zc>X+7{5*ff_+FCUPeCRsIPtFt^V24!_iJ@1c4yenDgx`T|}2fOV$NtIfgxxQMr znV}2kocn_cp4T=U)emHN`&eSMsv+tHnkFM-YS|_an^?&W#;1Mw)0C1}q73P{B|j%@}>k z7irP-s~MJM&NXMYcd7i^Bv5==b9g=y!jzH5V4e)I;9qtB8Tdo}XISUkghKR|prim+u`hj&q6(F{Rh+}#d z&jA&H1>jn?a~XW)pZ9tmo98meQs6f*17m9>ru{n)2mxe#n^;$uh)${QZwzp>dRGR2 zi|e5WAAiOQYnTbDH?ElZ;9*^qkD%6e2v30p|u7*vt>OG*#h2*NBVyp{GMr1wZlSrTG zJo5gylF;IK&_9=Sopr>p4B;>mt?nK*oQ_ObXbfw^d7v%^#k0zKtzi5-9(%w>sze53 zd+Z-+XDlY)9nu;lb_fpdw_oLiFc5g&nnYe4lDg+!YT1o?YFB8k8L;_vWi^#l%tHJ!ZG7SnaW&+ zi7L?amdV>5H79>^kZ`wAvF3)e;u6YS-?cl^w~C6D;eg(@t$iR6zA0TbP^hVQ}`dQt7eriN##cywq-W5;df*0p>yLcNbqAO(z;G+vj3@itEHI6S-UP(bsBy9zyAFgi6hW^d1SS#Sdz`6OICj&wzw zGs2PPvdS^=-az<(Pl$s&*L2iM8n=(p(uwwyl@oY-b}-iK7)6cNX<5YbEZ~`--6GS> zk{#MRl7+ARE#y`H`_35w*Ly}j_B*P7Ntzf)YV<4)tbY$g1$uQ|f^zoMRzFkYeH5pS z-3gG#^p>KK&e{u4EVv{?r(k?XFn=r|%gnGN{NU25vKu2mNaP$fdwLf)z*<;XSECw}G)3s6>hH(g1W@7TpB z@532StrotbCK?f5whb1;N6D=V8&hUUg0QX4i|ZOC5s2%O4LWe(OY4yh@_Nk&Mbfv; z5y{WZtbC<1n>aFlSL3s9jN&zIV4i!@h57Nf+dI0(X9uM&XZk-31hIyF(Ttq8>5B8v zpnb=rfQ>;17t<@r=3jcrit;E(jH&R^L@lF*h=m^{qv96f$2ajK2`UWCD~)6KdNV*Q zMLc8h(MOb0RxUVWDxud{9?iy@xXsT|aoce6xQy#R9M^9g_~s9im{Jy8K6%eJhEl!zTR3nSt@y5@cu>5_1fCuQ{o=-v0vBU35$2q`T;DRs@7gG|s`1p`tP`?5R zk@=rQS81Bh143@sTPb$vZb#)l6VgSjg9XFe+U3YOcwGMAFp>d5^S`EVbcZbI_oQ@K zn1c)tXb2?~u#m>Q^P?tBaZ5A987|`oHQjUI;99&2$DzE-2lmdGWYm(y^=^Wcs+dM6 zGv_5`cuxq2z)r}9YHKi%_sfhk^hdIWJ#W3fb%-^#F*EH+{PD7Om#wT{OwD5C6Xh-e zC1dA{wr!0GmKxG~A@q3*cjR9x!svu;?#TX8_O1bWY%|>lZree)fGu-$5&vXVwRM}K zCB0fpW$iOd)Ad_Xe#U>MepUUGn*NcXY>B!<)id-~Bw{IVKP#1h*eQPdFVw4JVADwC zJr%mGJ?`9_t?D&D0rg#$Zb}LeRK>;ovbNYGo}qecBHuXmry4wf(1;;4k7Xcg{b~QJ zxj`GY(H#5q3IBUlaSGx0?}HhJ7%!q1(oemo^qWr^C}zi8Cx{oH?$eq5n^Gv`WaE5` zT%6azcfa+&(%+VgEdJcJLxu+Efp>%whig;~k-IScsK{+NRHoh#B<4-#Eu}AJq;98% z;0F?)I^ra>&a96|`Jxc>)V(TZC=|Ze(+o-M_xKZuYM(82Z12A`{%_;^myM*Kk~#3L z+@J8HQlVv*4_hXg4)s!Op|0XzojcyHvoxP8?FUd6#b&CiO8Vfp<+elL)CVtUe=N<6 zyBXC%UNd1D8Cx2mM(^fp&VKLAbZk_{5(&OsATM%0xu87$Jao-phhA^UifR2g zVXbgqioyQpdz{dWoYrn*ayiy6P;~x@^~8dd5X&LflhiKyM=z`L z8v#;q07t76j5X149Y9Dt7sfGdN(;1z%@w&;DmZ5pD<(y2dU3x4D?~K52)R; zr|m|?`p987i+8AK$1TK5caRO%%_0h&Is5!p7NnR)@MksF35#@Ldqx%0U)D1h1P2=| z&THOOxdfC(F4Q`LY&?qPx3Ryh!AH;(;PmK)+F{)W$nZTP3Tp&16Gy9z;%4f3Ops)n}@|ol` z4Y?dzDEziVBmwW%%@s?HO!4VL4e7w5((zgGHkg-BR|X*?q@?>TDMuh7Y^LEqp|cBw z&{+{dz{ZLS_Rp`WJj%CG&J7Vs`bxC(0(8U2`y69NC2D0OXI-$Pe8b1(mi;KE2Fc&Q z^Tdy68TpP*6j<^ES0;3V-K*RiBCcBgn#n>TTSeoh_woPapwch034#P61mzwM@r@hA zxH~?MbqH1#bSGgnIYSA#?_$CoL-CQMl*Q5PBM#{iSKpVWS76}G28M87{_?fvuPgGM z?x*stI1A#9{1|d&Ys&OP)lBR=>>o&AOab>I%^P9y+b2`B!W8I1tf{FY94-4udgIV( z23wM!>*&tsI5GLRgOH3;W+F9;lWOETbcRCX_=oy5sq7wVO{#G5YBvvNXzh5~Zhhgl z{ARYtn(fdH6aGytjKK860o#?YC9#TOEDAnH1X*YNvr6j1wd%hHQ7;@LdKeJNYeaMvK5i_I#L9gIiMGq8L`N;zXF-!5*9i)y(j z=grNZKPPVcEm{2Vaf!^~nugYp*7F8!Zj&Cme0sgS*6f>dpg)Nw|G%1M?tkO<*Z;02 z{y_+hedH5s$d8MEknCKE{q(ct>>p+&_JG?7^MhaPyUAK}?S?cdm1Vwt@DL$`jlZTR z^8~&fKe7Fo_}gP0hev_7k6NU!L5%<1J%ulC=?G)v_e;Hb5wSz453A-vfkDb zyOh&_3-A)^8@3U@PEnI?g0b9WpTO3E-tu$0Wju?tg3wcyB<)+hjVZmDQBS6Cm2Gk! zp)+mBGMBkqvBWQf+=mZ^7&`io!7R%93Am=3{J$m3svn5=MqcsQg8un8klyR=`gx70 zYvbP@c&!1+5TYGAbMN(U%ZXq2zk%~>?M{FHI`npKy1BYZ$woYT{yU`l>u&WmBZcY{ ziJ^Dz7dfkym!v7IIpNT-w@=&rAcP?B-uFXsy@|35L@9T6)eGA&I>HW9{f+O>29Hy5I0p>-EI_Hriv-_$=2D0^&Qq>T^(d*jhbmMTJODM-DZ z_-r7^$oRIx>=VaU=E#ajntQDJ0UAzy;>=R_t+IaFC%sBj=;)PrZl9Lm^XtmM=IXIi zuaLB08$yYFBZa2NrBN%!RnmpA@~xB~-(iIxAN|hCfV8nBc~ep{O`=qmGa8X@3eB5O zlPd#fP$1j-K!AS}!sujWpbivBj?4azz@+G-BD{dW1+D5q7r}lE|BkDSJ7I%X#+9}< zfKf?+@Ia~eyABE&^5e6}rkY@Dc*B`VfV{&#pF*>d-w})8qHiW93}QfB(alscKzhMi zlKjKnA}&igtt7cygqvP6&G}0lTP01GHgo}Lj63;G*ae9}Qa%02n2K+*V)a5~>{giC zP=!S%l^})MZME=$bDep@V*lGxOBiF%+PA`oGlsH@?DobSqC)*$>r`)eDU+d5d7(G3 z;=n*QmU+QDt3G4ct8g$pbh>D%ffV*^bu<~oP?7I_`P9ZLAT{r<%B>>XUyZOOE}tp< z5vW(iCNORCkE5G1WH9^zDH{$)7B@GY!c{$DVv=n8(3=D{4s~Gc>4;oRy*~BKukR#U z?3}QxWXem#D?&`s*QzqH&Q4iNzQ$A2jnsr-Dsg8+#Qnuc_1SlxB8EwCA!^p1^yWEF zoT1jAEt8+!{MjgQWM=5zQQr3%;iMuLO4;T6m;4tQs#LZ+u(0fJNZ&6-A7u1(fhzks zo#j)_sZzns*xT?DO>b6k>Gy;wNFhm0KztuTI#w`!Gv;Q2_hS8qhl7o9-75qe@vFZS zqgB>$_&3%!YD|2yT~CRXY-hMlKM@?uJe;M=81aNQnm?O&-DlUpk+HIaQ;_Ku(j&yQMOFfKFr7ubIkyop}2>-;fUKSP8V{2^1f$#mfdqO#5t zWnq{g*$hPc|K*rTS+{0Iwc`&w7hg1kV{}PrCJ@ z){N_Go3ZT_=&zfv8NA&$amR16o4wkoG+vsiL`zw}Hp-v87Xhcf4xw`0O`wJ_MAv=K z#_3G`g!5ZbpT&B?pUPwA-X6)F`N|$i#?ftkKV~WJ4~b-Y+FO%d6?k9kbQ087wrEo; zTVdQq8gkXjcSo4uu$%P!}c(eWQc(sMl|A9YcqxhwzFJ|^Oy zc*eTE&+qQK%HrX9Hzsm4AqPe13ZB}2f_$HQUS(0o%soQ)dD}8Yzb7_qha2pXImU1o z{QKgQ%H|gCdqdp$^o@pmR%<5R-_2gMFC*%G_jU(TziKi+KTV9^r0tre?fEXBO(%1_-q4anE{LhB&Ubc=any0AxmU7aUA{W~Wpe_7+*FR>rczx=dENg0iZ zG$e*5_4fZ6*4mzVvgmN98Zn!t2!d)-M!FCUxB+ETv`1+TBNU@IV%e_J(^eI`xqpPu zy6u>L!Z}inc>lkDJpP`ia>&b#SXt6o!CZo(MOPU7YELYm4nhF+9{c`N>IM#BvDug! zu&rwJt6_YsGpq$Hi5B>>Lv9T^UO{0 zU9q_W#`jXsV@sT=ckFQssoGUo5W+|ehPJqc518e8gf;YTT73ZFmFZ83rx>Hep30-& za}k6pZESk)XYPpM`@x$Ze-9C`j6J&UKCf1CVOr?SoQBuJMx()4Z|>%}BYiZ|LS~>H zs)Lq&cZE@`uO7h!B3pvl=2}XA300YHsoes7XNKtQQ?~H zR~z3G-lDDN+pm8-dX(DLv_FQ00W+99Sp`G%9_QpUcmIRo26ygFVFyP%P04t!<;N6-JdDMmqA!so zJC7ad;aQGW%ZrSNa3I!52W5tX_7svrgu2UyFvte74g?oZhJDmn50f$y|hC7IW z(1g*`=VJs;9Q-BEP6q)G{$`PKbGgxI$v4tq6P?4AVET(aOX;8Ar|Co_5U)Dw2TQet z2@wcpJ$6S~i0vv$)it<;0$O~rF9JB;zQCM(w@CuY{)35}ua^Wo>B-mQPCV9Z3(^$s z$!M!Gm1M=mbXe7EC=$e^SwR$fILcYfaZYm^HI<>o9FgLSKKkXGpDKj!U|rRdpApEY z45o#)9nSqptG=MtSe2AuKiXuA!6E4))03$uy{UMa;Z@gP;l6QD;qLzt-2QezGMT7g zuw&uT6NO~?3v%FkDPBCFqj!+HVJM-B`lfO=Frlb^^-lfbs_C$BNRC$hwl)L+$%Nx< zhem=_BibC2Pi<;b!`}s>=*X@ddyGyF+l?t3xHObJi=^AeF`&R9?sq)C+6oAWJ48|? zgOV&%0W*#R043b>*ldfygk$CpLTm*_OkZ%0xhYMV6(ikYei^xe=lQ$-5Xqbytl4Qs zj#O7bq7YBPPSGxLHg&#v#}VwJyb?mj_!M)oaC zt4uCj#mk1AMe^~Akw$>)qQ_jO#3Y*_@3kSujV)~Y8!{F32m8b7*kSI` za!u#qlI_t-66fwh{4hY-okyy*t{MoV8N`o1YT9B`YRQ~%Z8(L6hgu3Skd3;92kVn8 zc6|jxS(?xqqdzuXyLW2R@|fE!g4AGyZ7Bw}pThC?sq3Y^+qY*8FC!}fw^D*I=L8U( zcyqA%;yfMA@#um87(0JKx-v41pcJ+`H_brs9ezUb>zzQ!Aw|mUB!jaG2(sh*+3^9` zPv?1x*|e$QB-cginCR~ZM90p6%e#)42m;<}Z2S~8x(BJR4E<7KYY=D9ej`Mqr{E?+ zH_q5BL&k&hq{>-xqp&7s>pyqyQqU@BvKtElX#^ergcY zF5(Ak+U@y@4`|Yi7xBNI*~MpesI*^@44`H!3vb)h#2A|o4nnIi!7ix2f4mQ&(mD9A zWWurFUYE@5gGo8hnwQL+m;-_keL`?DTy+wmRyR1jADCu zos{Ik!d8QJG~Oqc`*mBpgeMKNnfPG=MY{?CCnMxC_a;4mm+;2J!0;%y>Eln(HU;Pr z`1XrLaVStJ{16s`<`@4KwcHy@sVeTG*<+LkYDMok^j2q90D%j;-KUQcn|N@V8hg|a z)TVFeh$hUxZlNxD2LYdByU#htXHe;_2Q0C!*cP1Twd|NWtYG&tZooMcgLquD>WxKf zlxo4XE~SWIH9!WB)oS6+A}N3$Nx}9d2e+EzUU)`^pp_5e{Cif5gdBQKm1DXc3%f&3 z!yCOt$(cv;3A)gcBWLq1X%Wy{&XjoqglI6t>;;NYHF)O)aAupxAzvw9^_Bik%n>h3dN|z%shz#XGUI4qF#)LX z@nMg0?2CM{B1t+n?Q(9*u8Y8J6SrB?(Ua*=_=eQ4;;Z~6W2pKoB>+XC6~M3Ti;3Tq zcxHd-&05LQFP3d|R0ZH~HIS6k1rs9am~R0zfxE~*TPX}AQDW69-*7{u{KxiiwDEL{ z$SC+b0iN>Q@CEK{*T78sWK$S91)-lnGYmUckbGO8<$Ex1!<$47XL4B{#fWV+_@V53MjeM4{n9a2SFIx%<%Zy3|HW*9zc{;gUVWtacy93Pm z!x1g<3no@ZT%msM&H}QqkNRg+d?Xt0HXX>1O{Kaagtt|1Yu_=( zCJ3(`6=6B9laMZZ8W6{JSEB*h0ycO<_05hZl51Ba-iOBO`H^Wzgx>RLu4a16lhr2Z z{Q?Skjxz{oEcY0Bj?0)5BMuTXyBV8M#MUvh=lCVYcvaeOiyLEgUsN>X(={z$Gudgs zOGEsp$1d03kreR@Tv{$Q*d8IFc+rB8A(stX8Q~6tk2i7N6s_{Yxj3zCC%kjkpT~14 z<64W0A}HGJFansIk0?<3$YxUsIVHjDU?w?({fis*>l*Sj{RN*jKwL_BK{^-Inm*EJ zzeVCd-gBQGM51+>LK_>OzW^iX86Ke*3uW60!CdW+N2&zPtKh_b-Wquaffoaek!8R} z&z`Aq2B!=D=>(ZD9^X?na>s%oP`TzSWU1pXYF5L$h+m$k3uNY|)t`sCe$gjx&+tq_ zmNcw8(9^=>$YM}#Gqj`u7tlSH8jZj3g+3JBKQk8w3dsUP*ezZcm;icC*4P(M%G0eWw$ zwBLTplyYShZ(GU#y>rT}MejLoF|_+T6>EJy{6?%e@@PfU)wB=7HF&KXem-v(YK0 zLamH7a~5obv`kF6P}m;>JO5-KG>$BEh!iT45Khgy_`zVqVKte1wF$Iw#(6p@6gBd} zylBz<{y7oQCBt}Ax9oszi;@RAdUn0g6_3AmENYtBE=)fY1gKb)IO{CNSCFbAoVLx2 zxV5UY1PTbOK2t#sv)uu|fW=Gch*nEi2U*}}F=DYtXJ}o0e)Y7wzQWZaH3BVe-+5$# zqwJeD>I6_Zjyxnpmadlmiv#(@@<|YLVx-@Fs0$YrsO`nVZ}}_GlztX!Z zscaoep>xnvfWfyONTV1m=|8{WD_O;{PJws%$+2nltr!P1UWl4the{PKwi!ozxaHDq zR?Q^K>mHel(;o@lMW*8OQ8Tg8QM$2iR(n~FG%t5N{`fp-TmN5xe%AJq^JUMy7Pu8s zN%uHsTl7QrVEpf97kLPq_HP#V#&MBv0GnW_Y-Q3B3jPW*q%~Mv(VPN#1v#fqbBO(m zrpjv9qRO$mc>MCX4|F#aGlX~*_zDt2F1^&T)1Q~V>ks}ybhT&JPcnWZ(|1QL?kRz1 zB%`z&kM}G+P!A}7{$$u09LF!P>QV<%T)Eie(ua@#3ZVY+VuxZB;_Yq(F3fGh*+79- zr>9(?aU%TPaHpW%J0N(#envm zye_z^XneNs?H2uyRA)ny-9R2CqoL4QRGAUKgwBHv;fb+hMMxcJr31Uz9uDY?-b!@{ zsQ+LJ1B$icuGUrpI!)~W>Noh{FG(%=6!eN{{|&*;h82Ltsy+}N@0x;1=H47JO18=r zo22s{SFO~sa{MPaF}|N&q!{N4Kq5+V%nR(`tk#3^Z=*u$qS$3 zsupoc+I^p`>DX5Z&e(ZqQ!jNFRX6cJHpLvXc!0x$z-`c(@jXp;ZrhgWHf78V-cNC7 zC3ifgq|8JZ2S7cWAI4~IR(xnC3G=|X4VoQ$*9ehB5DDc^(4^@4vGKv!wKlf?hyDY1 zd%oOzCNW|!4=}S=JjxTi-;vJ`&zNZ=LfXM{#5}H2P4+7cgtAO20v8w$WOTDV_>Y-w zw{NPpc{2k?KXo6ZHONVhq;E@m|L(JON{#A5#b z<<4bZi2%+{FQBR`bskVx40c05RA3;oidL#|+T*jev^j|4(KuF2KehQZs6hBW!2eR~ z{Fa`-rjv~bq7YudDol(+>(_U!Ewr%`=#t&x(7pZ@iZg-+oBvMrxzTz(<}PYoNBn(& zDrNEc#vHvftl8W0L-Bi9F>ri|O!GwnDZ>p59e|ttx`|lodjixp)7)-7yeu-&)-5C}4 zwS)D@i*+pTQ%jbjHL-UbVy$_U&20dIk*xvFd5_-MHy zieva9+eg6~%C^hPT>n(4aR6EPS-o;EEGQbwibn!zQe`Pi`MdNY0y)Cx&V6br40YbG z)LO=0GQ{V^n{q1 z)p&9jt5dBW)sDI_R>Otndr~A3@i5C51{!r>egsABXUF zPYRWu;k4A^gBj6s-InOHPyFmqK-%5BHAUVZb_p+Alwb>~ufT=&G}0))JNr3vL*^GG zc-N!~$EBP8;G9X?6TU@LQ{80b?+Co-M6!axIL7*aw*dKQCbGT~?>V+bvIU%b&|k2b z0P8OsDVS=1S6_CHFhQ$C_?I2U^MbynUT2 zzRgOGhM#@sf^EqqXOhYRdm~*(59o|gq? zB0zhZo8TSAv>yZ>mj%H5V23pmrON^eRZR3%g5CjM?$2+&Mi4dHC;v@UV3+}9@QG!4 zlT3>A@55H+yUpi-;DJ`CntTNPq}9vz=wyV-TYLQ@!^)CVAH=FU4?(R=Jc=w=cTXhslpg$t;^|xgs4XcbCr1fOV$iN3V*qH#sUf+BL}-kohtydcm0qYt&)v z6fYI6;W@J6->iYaQ!`tPgWH0cv;&lCs!Oh;0=^mZg}WdNQdvhkQ`hEYf4a~dvSRdo zDV5asrp=V%O+cvbxuXRVU_|}l+y-zgp`(HlC-byu2+61f-1Uqq!5rB@8Sp6oY@MEP zIR`a~8O*|df@e3Cue5+iq(AD8Xsu!YL+XJ9guUrveMn%o&bxvAOLU-m$Olx_wtU?G z2LU$%`yF~i(MF~(&yrb2CZw$nQroq3AlJ7Fnsk&ywkEU@gPmC53V?mvj zzH&fF`DASsATA_;FpjXSXxI|cLZ^EKaQ4cim3dg%a48Ex)6~i=(U$sBCjd`thwt+P zeDKn~FinTAP0@Z_gZ{F-u*aL%VZe=Do1|4#99z|C%ac}xbt=_1>>iskwV=JDn>&Zf ziPl<1N?k}rBt6!oX&+&*sg1XmC>YG2NSUdM6sR02rJY=RMC~wT<7#RDRwq2H2YBQB zZh@7N00Tgsz@9-vKvTO&16)Hd;vYVfc=>n;*8?NwI8Ku>Jz0g5Jzn@g(N z4qIY#AHC?oyY=8Hk(#Ealrq=l+{H#3;Fq?I5o%|BLBy0KiHQ(`x{NMXet-o180zj* zavrh1DY)WzxR5r_c#xKKp6dBOOuc1T98D88io3hJy9Jlv4k5uU0fGc~hrwME2o8%& z(BK5O;O_3Q_!8VX`@GjV=lgzaS9SH>bM5T*%yidu-G%l&@$U}Qi}=%YZ(#kV*7Rj^ zv`i^8_T=w4Ku>$)EHB6{Wv}jH~)cV?Im`$Z_n4MimF*)HXm{Z>8nnH1x44 z+mwxyPt2$*kUSXu#?vH2B<9D;MjA>pGZabBzf5POnI#q!l&-+@lo~ddW*Q_aqD##C zgRREzoCm`LpkR(ZdB5@iw;g6k1a=+xLfW%Uieh;@;qt4H-_+#Vsi9`YoYasbLJ)J? z4}dX|Xg~B+!PKYDXc|1^7+y^OR7&vjv+W@6@@w;84*vF25Cvqmo9fre^LNdRS)Ux? zOI83x+;R3Kd?=*@k4{jk@RyCj2To=^XH;)uB_gfm=@Vyf2+n)r5!T+?STzm}j|AC_ ze4~sOsPEeb=F`K-(OFrXkN#XEO{04H3g7`sa)cLJ`)RpLP}*F|ku^Iz(&X&hcGh=v z=BcgWp)tfXskI?EdBMWexem{yyvfF5{O!w zMaJFkYQd`oW7F;Q45vhqw|p;Z#-{7_b7eHArk3-Eebi5g`%$&iO*eVKNqRi;4#|qx z?bj?>U2vnlw+~i(_Vy3!1R5#kHh< zM#APfkL3{3Lt^M}voFwW_iFQl5#Zk_blYc7n_8qSdmD5+PR&4G4uS%T(BGp zin3aK^hpmmw>G^(#*H7kkQ100Y-YI%jZ*0Kp(8UpiazYGVB9HPd-*wc%i{W)gn2FW zMWgZ5nEN*9nzPkb_5AsF4o>D%u;5F5GKML2N*4$`%7Rg`ccTWorw)CY1!+;?$xfr40Cnpkzei7Ey6Av zcVeteGb4v>%dHVoDyn4GpM>`Yp$$L4AgxJW{sJY?Gxqe<4$Le@FOy(PEq^4JpN7Ek!WgSQ95prD9WyFv?EorM+X9+QKJ!+s3`KNp-l zWWX`Y*m!Z_%3L@A8;(0_zi~~gGq$)fHR%$RnT+kB%RHMR{GZbC;{4K|5{cNyY}-Yf zgAIfUug4SP|H;hY_*`8@q2Y(#@f)A=caOn2SncB#O=&*=V*YK_7=a$ypa8H%N)VSV`);%Ct!jvc>oyZb0FBB7dNGXtEWPK&WrbCKIIfa6 zly-9&h=~4it+~hgKd*{$|UhJj&5XXcgrPF?MgE}@rO3P8B1gQ0NMwvBg zs4TnzaQ|&shpTmLr)so{7w;Q?7fC*7D-$`RQbXy3xH<(>pX2rPzh%e72^owF13TY6 zSL0xI3;_MEm=9bjUq?7p@WgA@phPKkWp-c&xydmzUgbSfDxw_bloqsjG=WZ4Mj9RH zk;NEHuTq{=9r^LbBf@ z)&cI>7gMe->^&HhE}<}lQAi8=#-=nyoNx%B^vkHud1t#rvZ8nsSF|lq^S9!@7Xj*K z*Y5h4>CI75^#w?#V`$)D+s_vs+k=mV}Y=>nvIoAEwZA9m7i5i%rK0$@iN zxc`>_JTQ_yGxI8e9R1wWXEd8dp z)^Ke1-1<78fBFhs;WJ9QGdRsigq{O_!=D%l8MXYc=&5*|>Me{vVh!xw*nuvoY&f4m z4<@#4XJ)4S$yf{ScH0B;6_AYU%C23}M|y8>g`bos_Z~}MNlhEgM#C0ICAGX|C)&Tx z0upYW8}HGA8wU7xnT zq;xAz$&~bKtKFV`tHMvZUM*-l`g^x?s&z8`y3xut^Jut6f3u@)h{HCf2oH8j%oB`n z&!ZWsu5){;-fL+Enldt_sI!f2JhCy5m;HPua07+>kk3Q8)iD>v;J23lO38E;gIr;NsdL z6h_~hMwO#nl@k>`N2H{0DaP2v*)lS%pt0-b#+n>^UDul5OzTW3sr3U#E3cP(3`|N2 zCptaKYBmpzbbTSo=^r<$-|xw=mSUuQqC&Q9ujohkYhxu2SIwAG~vM@%;l=?p=O^gs}(G$ zCR5mJp~95Q>mo_tqa^^C&XVGvx1uVB9`I!e9bN)-#e6{i+Q7d!qBMRr;m<4E9z+i&iQKG)&MB2qklqpj-*ES<%w}`?z1Rp+EgLGWAX!nEu z3~O1zzn3`G3o8c7p9IG5eBGRrunMR=zsD!L_v$y%hOwD4=D_DCVa2{wqHtg3DKdA(WEh{#bsZiMP?BBKoJKtteTG?uO~;tAIn7vM6*wiIOgktIvpl zrpC9BnxD{gfa)X-5btkdW$V<(kHICO8xJu{CGzbfN}^Cs1=m#>ePytmT;yRhGtP3? zF%lB}QQj?Blsb$j3Co1^o3bdWj<;N%S^u4kw)|nmA;|7zREqxS{k>5VR=YXd(f1bJ z)S_hCI@0Z$0mh>(TRmmwyr=y~*Md3~QRi|_Wn`@{Y)3(N9LkY6x-$<}l`|`IY)9SJ zI%!4ts>FaY@(B-7{v~%op*WH8CV#kvjJAo7 z;-AT{3eI2E2!qSza`c34wkrqLlJXs%Fp(exD!L<9;C_r&2?BfEJX%kSg`2Hfr@J?q&qzCV!z{jV?;Ms z$&|kjH-LYG0Ph>rK({^#c)OP1Dy{rzO$w1Bg@A)Qy*93iSc!6e6dwT6Mtp7|1@+?~cEp>4ijQER>W6X6z95N=G# zE8Yy#g%oxQQ}KjSeNfOpBPdI)^a$uM*Uhpo#Y1;0S<;dNpZO3%cW^c)(8(448oT}4 z_M`s@LW03P9@bW3iEzl8DWmAQ_T`v@wLQ$hab?UJi3HYEfB_d`U8q9qa>E`WNnsk+ zsiEbU7c{}&ic)!KXXy8?a*J+na>45Gk9n*Wc^Ma!7dU|Zb1`GN7K*GTh#6?j2;gpM zf3sV&M(D^t6cliFA6L8~ya#JA8w?{uN62514UZ2b`ijFfdFj(9-s#Sn5(E8wI zAS)B)@Oj_6USqg_IZpI}`PN*r9l^8Lx4E`)6NgEIo?@st)$__!N| zB55a#-o5t3&nnF{g1*_KFB(9r4@TL#$VR7V4BHK(KU65(sx^2*)VMl)e$}m*D9!T# zKL(s0Ph?*B2TwNeMI_HLUah@@ytFjz=Y!_S#p|-roqt6k37?I=m>j@Alb%p9%ipNkzU zc$7tRKXiv6iqbex+Pusr|4yaZX*MkP8e-G5;6s?Iu;5tFoVLe|O?t^_`LjuaU(#7y ztezT@c^|=*hjVF%Qh?e~45}vFqB$au6hAOJPE`F~i1C0Q22v z=C=;;J@%wmDs(A4aa7AC*vAL5sNI}lVB1|+pd?43y9hl}q5$t6rGK}Ry?8rYT#vHH z@go~4_pbPS2-~;1mThjXn1;MB`@w3ax)B$6j%s)97AlrS5)$jAAa##Zth)J5|l!;xLkJLTt{e6p0ro|2$$HjcyMhG%^_gGp&s zJc7NX4`I0yw*$i%);^XN0j+%AzjJvLbB3f*iIa~`4*gQFb<8tUO0U+Rpl*oKN=X*BA6BH)7DRjaS zJ@0kGf%cn(p5r*F(pLu=4ynhfYee6g+&#JpXJNsY4m$T)#aG@rK0=T8Xxd(h>&g^3 zTX&K_XN*Fz4a* zA_)HgiWt3y)>`=VH8^`#RrM^BLkkA!C3B*xb_TbZ$?wgo_rg>fTUzrXmG(`(@(0-G zRMqbWKZB}KbfvYV6}6`#>UcOr^`cB)K6zWFpdJx^^l0w+J<4Vy|LZjW;<6SkMq&G- z8}Y>mn@vtYOnvX*<*u%FY+~01Ur1S|lI)W{FrQuA_BUqjN5IO%T@_LMq9XCyuaKRe zH;C3%1BmC(v1N*`|72wYP>7`jR7L`zWshC^eg2OV z91FEnWdS?>Au^Vlj1>Q6C(`tSTFU+8(A)W+Y#*ol6*Qsc^+6;6 za!G?8KyYUqJ02q;i+ZImMe;ym0^4E0<66;jHH(_eGct?1RkQxwGM7bsGL`=Eb%c&} zg~-mwnE!l^R*oUZtGBM~9eYxN#)c!dXr)q&E_nqlg?mDlk}r)mL%b5CJ-SG|C8p>a zdvl|9lB%~WElU%VcQId+iI?sucnZjx-!EQLU6C-8|0vW#h+u3Qlfs()a{pcCobDH~ zCJ0V_?1+tPqA6X-rB=aF;fkGbh51WWswA%!Myq`JZ8Yzt-dL6GO2m&PI&)K5~PwlehS@VsL5=u$ISylLr7D$Z2o?x7h6#c@?CbU%GR=;xM` z!#(Mc|Agf7gc)3^0wN6gBG;fmyyRq`R7MEGsb_k7ysX?2Lp64YhFtH<|Mj9XTgrx| zO5eei$2F3o1#Ji>{}Pa$wgGU|8Xr>p=nDJiRxli$KdyLn!AY4gm{nOFKkv`1qmBcM zL>>)w(6_iIPtr#u{7XtuQQ6CpnF(~!UoZQO)2<-qGtf#mvu?hdlK`ckOml~xpk2B@ zS@Mrzt1X@-r$9Py=iQhM*&ZG#N84ne4}UKcWZX4TOj`&Q0iaUIHK`aU*iZDXC`*W<|J z@*#~dofl({A41>*nz%I?gv%E?T|@h#>bwfFxK#e`txpJlV_-2s+|+MdpJn@-!NrUF zttnDh4lA3I>Z2@l{yR!i%iMvCubY|D&J&t+EvIhfCtWmb?}L#<9v)TZz`H~$vHyN{ z&)(HcA#Xk}`%0V}^VPNWR|@~n;c$;}iJfL<_wln;N>>^A#k`mOvXD;V5h-5v?QO3M zzT&n=F_TurE2f?2OZ9%dl5gNN-P>qv!TU?Gfyg)xOI6Vdpr#Pt|Mexoz{`ZZS6!}+ z<|jiDWyEB|FZ^RF&9R%qv>p#cpVpT;(gWm@liQ<_RM6A$AN;c()I&N}iV2E$3_w|# z6&CYjWrds|?pX*Am_q(bm~N`&Ju_4CQCbhCQRZxl9x0a4WM2Eol^o%`>DcA4=?|s% zS0=oCCHF|Sfc;qkA##DrKDK~)vx3ZC7k{q;CXP%@lz%nrE(V!lKF>J8vh=qblj_}* zlHN%f0{OBZA8bK+eL?T=R33irMzbL5t!+)UdqxupnaEt5%!PNY>0^75k|?s%m_K_W zd?fqG3s3&fNOOuaJ-O^F>5aEuH$nNeEhenHOAx*F2GD){p3*J{%lmnOkM?XfwLYv0 zEp;N}j8$TDO4CU`zVsl*JG@ch(DLG-n}J@B% zUD=xHcEH*b4#t=qHqVVs2(Ei!P7>x`;trOkro ziExWa`4!0B=>gtqyUU42llT8!CeG2`ov3Y6$n58QAk;fK;O}p; zZ(MAB!00P>x7+l$LL#)QTC6<}-o10)tZ!UMR9zV!;UoJ?NRNGjM^e9-kUA23g7vMoSf)7F8?Im$DZP`v{praBZB&LhvFUXVbyo$PZnmc*>1X}kk@j3`fUXe1n@$O1}L!vPL zJdkoF8T(r^(A=*8*Z)SBhDj(kncW|GY`NNwC?Pzx^3QeE0GiD~Y=cU0O(z-;kbwur z5l)I<@Pl*b4o(~Kz?cg7m&_qXu(ItI>?)DFFXd+vQWZROLFZhoxqL!ykJzuhvcdd% z*9ZyNA9Sd|1E;TZrQ}}gwBp}T*yA2`+6G_=B+a?O-d7?=2acplS(Iu@CLSwAH4r(dBtlXHE;nB2pk@B{L)4m=#mH6Bvj@E5YCLLWe`RCIU5DGyo5LnO|BN*I zQBy!74eKbuOw|*Wa?d<4&G|q1h2x1zWQz?9RGT&)qrcvnrGUf-p($Zn&F9M(8T10Q zZt_lJxHoPJ(cn9ELomN z1rVHvpOe;rfOYQO*4PbkK;)L{rt}Yk4r({q`KTV(SkJJK6YnEw25`DxDp!6n@_0yy z3ib8Lc_r9T0!6jo_d|^?c4iOR)id7Op)^XMr34BiYaJ`a6Q@L(UIm1wU3@E`Cq7V` z!tUrVvN)$i)RqFa3@6$`=oa6*r_#k@<0g8C;1rAXCrBGbN*sLGnW>{_mGXe+5aQs`yO7{IQzA3-G#@MPawZD1c+t18 zfg6m-=?@#SYmxYRAJ*xHUgYg-28xB2%NaSx53VtU9<5Xl)i=4F8%n@;lL|?$)zNd* zZ=EY_7x*A$*XHoti-PFP{=`^{o-uZHJfkg_{>HNP)%0kk>PvuL>uIuGO-QI@&5PBob*CVk z@S)W$(B8x<+^N?}kbU7@nkCY;y;876vUq{4DAZ?t*(lW`-OSwO`%fqsYy+IJZROhQ z1@mj4u`!TywV|zN4pb+NHdW+d{8-U(T}Ew~dS4_ewKZBDVu)<32RmxrhW3jZ3b|^k z7-NbXplUl~W-ujvLhUQ;4xa?;q~~t^u5b?r*#AN5Jqdqm>Z3N~Hs-!ps{ZvN^5V!~ z(VaT(EIf>W1?^Dvf?%o+XmDsYVKPL2GaKgA_ee3?;RstNaokH?dQ8&95h=Mug$`GF zO(&0GW?U+=MzO}aJ$yra`rQ81RDU?+)DdQWMY9oR1fff128Zwa$-;dCdv_ij4+uRm zzK~>S)OIqyX_~)`Gqgiys=v%i@ef%N5cfsP9{x4;B7183@gWAFQMagPJY?Ex*y{ut$cv()S#imYnMF-G@3C+TnUf@Wl8&|fkk2-V`seTqd;QwY;s44|%YpT!ZB(v1Id z=7VZ7! z*);g=+C4Uh%yK7Vq&s8M88>EIs~Py2gsF%LGjsz>Ql8R6+BK?d?UOFn$7X^jbw8Ok z09d)>{+b^pb8VMlA>Km!zZ%+VOWmDLm1)&?MbqHlzLiB|)#zDhzMKz$$o{X-?p?tt z)ozUIDL7hSIk5uO!_e1&IP6uo1W-)m(+cTf<$t4+H{6%N{3!Reby~*J8*`NvA>SZJ z2H~>?Z3ubkhxpZpPstvyz?inEhO|a~U~2dF^EQF9Fc1S(%vwBNX$s8Lz((?%@dENG3!DieAowwIEkrXgjqK5fOEE1 zl~1ypkv7hJAp5I~X^|2T@pHc3jH?Z6B{XFA<*SX^<8M(PDG%E3e%^JEp7}I8`)!Q- zB}qVRn&(TC1S)C+=EtpdJnAPh=%h9Og4#?O4}Yy(O+crP`9{fkrM8oP5WMR>K#JjT zI9{xi^6MU0q!++a*@1AvZAxo`BoFR-$X3Umr0ndoBthN=gHXx>5%7&F)fLoN%& zK)Lp~-;(}(Nv+D@*{J?}c5Qhfj{O!~-GctSmYYAQjmREns&Yl3M2j;IB&U7PXa3H* z|5)k$?iM#mtvf(xVop}OB4B<5;m1G(65#5B(w;e60A9x%RwkE5ItH(k)XJg`qX^h& z^FP516&w-hUB|0YI#S@TC#zB66sEiXlbI3&!wb#0=<kz&Hu9muEjhOraf#4Mj4+u>ob#Is^RPHlL_9t zt0)`WcoWSKKIA+2qziVr)QvCY>0I`RE)z(G-X{elV(yS5fxsf_!nV@j-O#78r^P?F zJpqXCOwgEtB{$62G|Y2=9oyUUg30*@@ck)Mc*v2cn&8h6GJHA3yM;fu(g1=YP>GsPZ=Z+ME4NX32@G~%%m?i62}c8M$4oapNEseNzG>bLR57t zX%*?KUBm0+x=nn@yinoIB1FM%4|XGN!6ws--w^-Vwn1|HsT}}b92=N!fp>8MQg93*rxybLOgw>E{rqByW1|kdLhS}ta-l;F5*I=y|=7! za_LQ>WFfqf8qX@vBAWu*gX}H`kFQ`i&8aZAjpatP5oS$5gg@Y8URObqRAHc^yK7|`SnTA6GrvH$25MC5Fi#~0yjN(D+OJ)txHqt#>&TnE z8v(MmhI)WZ?3RO!32btx%>(yFIOO7WS5$6=<+t>5(dUX0QIh@vubsjo)!P;I+5o^BC ze+j+I?^P=H$$i^0)A4%4nIh(>bV;v*u(P%#>5cMw74+FD5BmB;5T znLv_{=3dczVsR{Q1D#Fjar2FOqZL|V6BEN0$`e~AwNr=ZG3yfkJ#_KS7Zv38H3)9z z{teai%K-%UhW)`$?#}JRt1HRw*lt4pKjp0hq$pJl`Yfd>0jXMhQ2|LFP7IzXtqzUl z&Z(maTv0)6a~mL`y)?D~KCGjCMs7J^?0HmX1NrJhVl zD8CS(DXOHcHW&rM!P3&`Emxe&&#Lzz7Uu|LPyWvf5g} za@ydp%qfWURbI6@seq6Lo$#a_A zL;x+hTW{2#Ua|PIyat3|H~HP~s5Qm}1R7!#zJd-0yPAi0%7CV%%Th+I&gS#2e?A&fP(1{`C{}jla!mTqbm~sBS);1toSkSu~w|M2Zu>x}zAVxoCVV zWXJo|HNIKE&i1KK^7Axdy_q*|%M|UI8<`1jR_2`#^pX_>ga_m=cGZsK_b0&EE!ms; z*pi9CV1Kl7$je3W(z(Cntypg2?gV(Z<>~S+`+57PymNl=h@NKic`>}#-C@ZcD8+qTAV=8*}o|^>$zvlY(uyNuCFVt ze5#z`Cvn{25i@+BdbGJGIp=!50xWoG+%I??z9?*xI-H1!pZiMn4ySd(@5UX9?IxQC z*JiYEbf=lZW0xI@A%X0)ePAG)j~Wi;kV=<7(A;%C7XAj;Il4NVq@QYxU4JT{ht+)E zV@zIi+A^NqyOa3KbVq;7Q!-y%Ylpi3E|;}=wa6#4YY0k?6X>tA@99><3HsmH_< zX01te=DW7N1bnBn4qT&=pMDk(CD39Q{6pRRv~WThAoD?Fw%2V)#u~e(0NKEoZXZ%1 zevZ7YuqAy>BQ}{GawvV<=9*9-W$4S-j^aPdoJI-OO)9poR=-xfds>jkcHO<@^8KC# zR{F2^ftDP$>$UVVnit@dy^r$MxCJ(CjcQHH)b;)@-1sBM8oa|_q&%M*fzQ5NT?~!`kj9oosH`EBc=>n2=m61kTP$}+s2Z#Op zq3_ovXJSjK%yz|b1ICmMjUTN}5D!UuMGP%U9MGuCY#znPi@|_>j3^Gp35cZn5~iR} zSo$O=4OhO4U!G}d_3Me(UxEsf`h%n68Jid$%&5RsEQ^Pazg$gQ_f?V3Z=*X0bLqGpO9g3U^HrvA+ie=*UmvBiPiMFZjL0=*C0(AO5u*(~Y z?}jBlp0*ZhG&Lacoj7idSGW0SHlJYGIV#(8JLt3?RzFng%2=)AQrBIzz3EoHnwA`cLkTvkhcU_C)+$xmfQuggHlnqmTUiv4#f_VlTKSd|O~ z$wF+PHbHg=#1!^eq` zpWnYtxt;MNOo~)8NahNq>mr6IKXlXSUXWl{AU1FB>w_R3D&Fd5(*CN__aXZ?X)lPw zX3(NUy(#eBy74*h;zK$X=|5)KttcroF}xj3_g66x12MiP--&~ZI4YKv6PjYjo@LD} zS2Ic^YH>AJx9|=*Xv!kC4awq=%eknUM=DqJS9gtPFXCG*egVSdxJJ}rN>&aIX&6f6pJh~+zfcX!^wj4_uT7x6U#P7i14yjDtA2P}Dn9b_YM=cn6*~o9{ing0 z;mzEw*N*RyZg%)^=FNOz5$%;Z4NJYLSU(*)lN>k+CJRi?zvvI(`Q9A_4U$^#VG^gC5H!~K`5L)S*@GB1bqIN-7wjcMKHnXZ#~*$wz0eABkbMT z9nF2i$X%TGoXqvBr;3c;1?A78Gm=3kCveECZz;)AB5~vO+!;;9+v%BdGO$?fx{f1x zu;2P)N2i)x#ALrU-v@A{j3k);_c(p(ufIesAfs|g#c-+KhP=|uklz}X`b=COw#*E+Pai$xm@ylX&53{017QOz@U`qqDPNQ9f|eLhx9(7^`1?)z&XH?lmvCiv z&v3;$Dwej*le-_|hlKj8E%cI6N_Q6z z^hVz@g4FLjM?Qlp6t?Mk;1A}b%b3nkqcY|Na7)sTRuQfNm&Cd2TXUXE(L^u~5a~Zj@ zvlBYVYkrOd@NP4jwH6++>zj0{)+{<^eE1@b#o^gYMt?U~^)`I{o37~(PjTi-6GP*U z@eixAg;YAqZ_8ii86Lc~LnBNCkA|=MZM_mOzB?qYBRj`P)VybF+(s=6JuBe+Ountt#oi=9GoqoD7@L1M5RXMJc z)m{l-wi7~J5-MoN383ZhSgjs^4PE-XjGBKV9`rqgYF*npH%bT8;rR(f8D)|Sl{4^B zCV9XMiFi+j-W8$N-2;yn{QGM{st;|b@b~g^iHB*-Q<*nLbMr&6G&^XN-Dp_zDm1Jv zr!cev3Sa0ui3`wr+si92V0nV5Fbk^hga2&*{9L@G3j>QL_Ek1XSQkOsfhmNBrq?$d z2S-L0B`AtL0tVT-?1M}cq1=17SsEyJcMKhnP8Q)Bq1|kdfo-kT-^5<1p>}u>?VvA7 z(v1<`;u5j3o5O!iRg(>?=He0&QI2=SAN7~in7uGaGB*}>tQA?-WAQgBjF3EA%o0W( zt)*Bw8HFIcO&Jft7)cf^PA?D4b+jo)o{Ml4&5jie3S`Qy6K6mC5S=$}fl3JeUfTX0 zQjicUe0n)HKaBRhHWH7BfpPtD1gzR^9|jD$7AeeQ88?zM256=eGZ5!V(58ubRIc)! zQ(DR<0tZk$^_szO4Bh=<7RQKsiC$W0J{Z+4gYq@TWrOLm>?Ycv%wA`;S@-A9$C<6~ zI5lJxN+HLuan4O)mz*B2#In<{x1UuHff zx0%+>4a!-#*(gT}->a6fqAZ)lkQ5Ev1y?~LImEt_OT z8+d_LUg!`o_KH4dIl!Qvh}r-bCWXC4;!}1lV8E#_FopDA^PJ+x8z9vwWd0G6zxs#g z8Z$%7EjB(VjvIf#UyLzb9Y-$g3@@WQD7o7V3%5Qf0{eT3w~nPm3T0X?)a4iLd&Gbk z{o*hoKNcDH*`+GS?>Zvtj^FBSO9ca5>0sB!WF2V<&=p3baf1xd+Pxx*nJ6@%7mTJQ0%l#} zg3Hl^_&O^hkNEO>LHg$9FPG{2TT27g?}v~}%(~WS#Nl~r*rq|!cn#4W6Woe=!?vJ9 zhcmg4d~MUn{NlgeFc{j7AKoWFG>K&Mw@<8K>_+5ukUvD5`-rXM7me}kkSnCnBEaT&CE>ZwXb3jPD3(&!1ulf}T1<65KZRcnV$nBx8ftxfWlT)^%-M z8(onM-(iL$+voqfgdFif%+YirAm+qzsIz}XG0c*Y*Noo@TJ@%Dzd*tj0jk~O#zS+a zxT8BW8Aoh*GJoL^jPPVuL4x2ErPcu6LSM(kbmlrn{)_hOa^x1E2- zvpg%KDj@`sDBdu?qw(`dIM$4LkJl?&F7*p;xx!5w_=r?T-7bCn{*}hlOjt%A3QM7} zuKT`!-X^eyZN3;GYauCns~IB=3O(ucb*_Bf(tnAxa7it;L-=Q{*`3C*3-wXlAP$84oM~L}{$1Z{f;ST~$r8A#` zG3(zZ&-!J2L=rfX(!Cvv@4jvjd1O#e9Q!~3(~kL1o2{)CLI;bVCFG+j`X28xN@8D} z4c;bvE8?<{7QT&lep9awQP|I;7v=8S>F@J0|DqZ!Tn;ZN5*~=lam$ zYm)^LcoO`QWZv52OtalDoGbTvA_j;jMG6fgKhW9wc1t?fXPW*cgnFw!^EGDJCcCeI zGxG1(mkA5lirr?O4NI-GQFC)+_oLHso^ujMts$rS;8L@{WE#5Q9i=Wpd*NJ{;G)eK z!97ZIdgU%cM`2#BpVvnJBFGC52AmKnCUOo#pk^0gubNn<7u})l{ubvMC*Uu|ME#dg ztUi6|NPLSztZ7My8c)}!AYK;>wKX#m_H$>D1GzWJ0GjyMbMp`t31K4TSh3Jr z?CNuIyKP$^>n~`FULX?Ai&MwA59b5zpW`;9Xg9}wx+d-YHpCC~LN~7GKXdx}ORqUy zPkk2hC8;5o2=t<*NN*#UFan;LA|@?dQu>1bpzk0nF#da}%VLql71Ht1I4xnHbo!&b z%g?sWl<-EQU4XI6_We!#hvkpN9vzs3ZMNjep}MRO3E!hCw6SKNWjPY};|O0wlZ(jm z=f}$>`!AQM%zf;!YsH%)^{Jj@Iix^5?Ejf6xog(~@;6;?7*pTAD9dpu8n2shCJh>irh$HNo*His-0``{v)5L*(;nB8PUa9JBMH!Jar1 zOBpT#Gom=^L|0IWrfuBICI$(|m8t`NU| zzzvB-fiv!zz-?M9X%T}cgxl(*{hQ!b-Lx_> zeqg-dib>l;K&#oneS^J(O<_}44lLiJME=7_4xH0A61GP)?So&XeDd?13cj zZt8x(FY3ew6kOpYdYb)_ci+&CnD_aFo0Ilx0I{}BY)@j0RL9-(pVZm0I+EG6&sTTe%L+ZIxlB`e zW}o&-*nA3RCH<8(t2nz%*|}@B1A8YAua`9*LX|jC7{>8B^cJ2NB&;JwW+C&AC_iU*vM%47Yx3| zgU0e7m`_}F{-vj1gQ9DfVJxlTVRr2uEKA@oeko#YS>H;_1Q-nn8<8K!b9eGLma)`V z|E9cjh)Ch{FC7?Sh0X4=ZBbnfyhD#TcW6=u`uFS|qA)XZuB=GX_Qg2wem2p8?HwEj zao#6~a&SAz2CNS3j-6St82&{{O&)FJWC^_Cj8kpmtQUT7Ov1c}T&t9uj@=l)z%SW) zBI{HY{%_kPSAP^Wv|t1&)O|NRKXzenA^J>WkiN=^ddq&dv2rNmvx;mWE9OJ7KvCKZ z@csXICnJ^m@iD9Z)?<4sLEih?v!Z1yD{S`-BdZyE{(9^2 z@0N_?g8Y7Yh5(o^>A#+Th#Jd@;WDOTXx2l!3K{3>3{VP0)(`wQx`_p`84+q%{vW>H zGP-V_Srl#?Y{N;z%*@OUH_Xh;%;<)>VdkV^hK9MJhMChaGjn?VJnwt%x$FD!t+mG^ zX=Y??jmDP7_NYcLNwHBJc`@JGLEX!;V%kqItjfEog+xyF-mb}RWscU0B;?kkdQa&~ zu2kIF+FZ01NdW!m4ss()g0E^rVVXj3Idi3y@W-etURvQraO2j`dPWJ2t-yCbPil%c zFV0gXQRmrLEX9i6=Z}n+@=-*qgGd7T7qo(FaY4T>Q>?k*!XfmY^)}fZ1H!?%}8i;bO?&(zsCUvv*4zF&cQi|1E`8uX|QNDf{9eGhD z$Rq1+AJsQ+`S?>T(VOkJ56{xti|V*XyzLv!t>GKZeHbbc0jFlmVB)vLn2d_m0x3V} z1rTpbP12t_COls0VO)Nqzl7?HZBI(sJBCaEys*FIX6F%gCY6Tv$l_WPaVguAu&Kr% z(<UN{Gjce$L4@dY=hSXA9QGnfD*Z#?9Vh-q3BXYCqhOHlBVAe z(nA<7Yl_@$HSdNqJ%5TO^g840qp4v>!D)^f_QY%AyH6URWEAx!9!Buri@6e2wu}al z`KurTS_`$_7;j06qi@vXBE7y8yZupzAgLJA`)X?|Na@aDmtedREX|BMchWb7vy0^+ z`gnuI^_wI7_Q-=ojUU?XLgDtGd{|E<2j!BVQ9=2dWFy8Vnf7%Vc$gNSH~aEA5<``x z-YO@-rU2_q%OSqf&off4PS&VV$$Cn%QL4{OJACPuD|-^14_bmdaG+WkFDR~Q3Zsgd zBz`%6r8u4?c>yhFp8EX21|_|7__GrvL|PczQUp=10R!al1=WW=9Rkt<_!x&H%>vhy zkyA)gU{9bu0RNYDrGA#`eVfS;!=YW?4;%{ORfagHRNPBtB(yLm+OWrK(PUjGc)dQ* zMK8^=dhghd{E+Zj1gAdTOPh>Z75j>gw8awYR9bJ&O!uc)pGN$iS6&eW0JF37LY*H~ zSM+@QLyA8hXNiMH+XORkk>ShD5C-N(YQO3dP(KOr;zRR(^aV?t$Wpv--5=HT_~Z6& z2K2D0G;t8dE*6nJt+l1BBI0btRyrP9-cL@9f4l*tAxXZ?6oZWU&a&UbYZ!ze*%(s{ zkQ!-VnCQ_2a#X7>Ene@J_mUt!>F$2m&p*s`|=U)_+WWMf^-^UL}h z5HPerz%mw;W?#p@Xv5b2kZoVPyw}&6Kb7u=ltWP~+w{~gGA^%cn#LZ@!N*)nLAFtgD zaWTZF43G1cA+3idEJ~t=8LcUG+ThA^2heSTDbyLjZNxc`!A z7ffxQ`-TEO_x@3H`_0f;lWW7Bxf?|X(I>1I3`Vw#AY{Ss^TcSpjBuTnblnrGd)IS0 zy08<1Yfe*t(&YF9O?|1u{7F6zAK3egHJW#w)`ZVP_^JQ$54Hqea@qiP~{6u_FQ zZ|U#@&4nB8S1~kJ1Y(Yy!NR`BKt*Ye{~EDCH~EiN_LofvofEkmwlGiAqBo;qDcP*; zW>i)M>nORMpf|SeZDUUI*&3@LJU)Cq31pZNAUU&S?`U~77!nMb~;32 z`wU0a`rF-MnX2qmWxYfD&LWbC)vQjDdl#)tOYMG#F;(ec8=3GZv)$PMM;S!Sz&Y|m z4m%f3E*Be&1j+!k#1!#ITCNDDs$}daRXjX&v#<_GiJo^20R^zefdvMJ>*e@u)pv8t zpT0l!-=O0`MbXPAKP3l!IKr@U_{_wAO(0hN+b|;ZwmG1HVnBsTdZ=t!|H$l%zOs49 zJtM`$z*3ZBdDgX!ZW~hwKpL#m7|qsa7`YCaKDt%lGH_a|pPG+F&7QKtq3q9yC4fUj z$3*z*X?CK0ohkI#*ngVo`f){xM_uTV3bLwS0_iBW`94?s2XN*h0(Zx|W+a2u+Mi13 zqMZtdU_=L|H3(fIYxxf_3VzfZs;6nQic6Y{EXRNR5$=d7+Y=0!OCL0wN6?Gz4E%0H zjmyxki;sQH#&N9X&Mt#%gs7a^r@v|yDYWk z)y<&O_ABBPI+yeF^4Pn^;n};2%D!E>@PZP4&1`5m=e!dB;_Upp*_??)7%_bJ%XJ9L zZ7IdUe!ewiz5X76QxJ=dUblTgIgv@{)_J0PmZZY%%OvW|4u#DHoJ+gwf7yv4z^W<0qHuc;Ahj->vT7u|Mtufz-P)iEIE|A1d#|Sc1rO_xgohS zW}Oosaiy<-S?(%}hD7jw$Go!Rh4qAYPUg$l>uK~RwUu33VE&#NPv_vO84LoJb3sW9 zE>c8##+}cex8m&T0gPCs&j$68$tOm__8-wrK`g2ny-KpF9hCJ(Qm5g}KN1#z} z>OGa_WX#FG-fLw2R-Qd7&gM~rxc>a}Y4s71@m82UiuNPa&}HpQ@x$qr7R>q%QIK;K zjWUiaVwVP?EZC8T7=ApnauVAt(DA;bPgQUh=lk*Klq#)D`88yv?-vsFDaU|64SG`L ziuUG=q{PII>j!#O!tX|tKOoAe{@(Oh2|pxIwoj!%Rlh*R#F|TXZ|_rWlakllA}Oi| z$g7-vZ_*~Db%Ok3l9ww@4|3d8$u@vpus?O(IR=iTn_($xQtf&-nQ zTi3@mj|up@RPJy0$*b-)J#q-01G+BjUVL6nA0L-TuAGe$&wWl!AGvcBN025q2I&7G z=5B7TT?LjsxQ|SPgT;72hWkiN&ii?uacD2+`}80>Z&uHU<(1KyZY@8#fBNQ6!#-=U zfc+Q_l%ZhO`_m&vhNyz~b<14uw_uc|CJ1v{&PoEKBA4$i*$zb%lr28+$%z!mJahcG zzofq8msHdQa+KEx8i>oy3@b1Zt%lX-E2Len@pY>FT zbMP_y|5AOCR-QQZ@Gx#`&hRkUd(QCgU?yUSL%DNlPXNM)_wbJAw}mpL>w%TW(kgJ<-gZ5aR#T((D|&DzPa|&unU#e z=iiE;XF5CG(P`*tDTGo?;kg>dzs-s;D1KY~H1XR!u#(m+xkwXB86F`O14I7B23IdN ziO2lS5V}Zaxz|TshCcIiSX0^uD}eRexB3q#YlfdnHW?nbTIB^-YLb{E+k6_0X$_6G z`ntdIENgwSwWA$}&n(3G`E7ZXFB1ngiaM7giC`Qd)AZBNZ#a!#H$GT(r+%<z(8WIbl-P!PGb`dW0$4KL9|2k%`7aeYmuL6Fl2&8t+DKqJuwA-cQCCU z$dPU0x2*CZURJKcL(A4;%_ug=G7ql@?Aq73!Vt0 z7fu^*wEoPD2cPmS8v!eoQO}0v@k-rd(1Y}?ttOpd?$6~Qz5z|Yla=_}l+ojoN^b_3 z1VV_>;h3In3PH2r?-yJfF*E?7Ph0(9qLs~y_8;2}hSa$x%g!MH$~+Lz1&pY*MAp66-|Q{W7aO>I!r!_%rEVvim(F6+#`za@~O14 z)~M45BJ-nK6e$75h`oz^i|-)1$+s8>(Mx`bF9kP)*Gwh{i~h{B zk9e5%4R;e4Pd6j*C+XsY{U?Z$-y>UecbgZzyE^Vm))v5@Pjr;^36L=31;~a{*(ZIW&Os4yqrF$j%3L{xa-SwH)NTVU_X<(Mef>s^Ah*22t zH7H{G6@Fc=!ngA{UkPNQ&}S}p z9O`Sva_Pg0aZGz(=Fya0g_T&Q*WkuUPjZNBZqlfwlZT!duwAjP7HIziYKDK}iAu0s zY+S#x!GNv~U#isXx4F{r=8|(6maUuYID?)FRV|>?E=V3NV-VnXPSQ-Jy-S9tJ;qK99)Llo%37CC(lrwT2{#A z)KfO|;5!&DLm58^x#AS=f)^&$`q)=^I!tGr!tHRmf*YUNsnapTbscQok*+MwsJqYU z#xsDnCKNrc&trP2e`dwJ$KZrbz7?Nk9~Px~1pY}`O->Wc-Tr=og+2TwG0U+H zXWu^}Nlx)|DGBhZ!;)(Hax5y;l$yR%LloGb1+jkTc5`)BWb7lt=i;G%+?icZ2Nv5>!t>PpG6FtO-FUITEcF#Sc==n>mQ|eqM3aS zC_R2c6e%-FZ9O}KQ_fE9WNxJH4~sYPGKx1zYn?w2GmfKEUU>EuZ$1I>%PVeyWOC{8 z+uIn01ntPIyJvAs(e9gFs^?tQIro-stl4m?UA+ut(M~&4kr=$s-+0XBEDE_MML_>$ z%-mE<+JP|U0Gr1bJjA~d_!R}WJfsmZ|9O=WoWNQ;@qS3_)cm`@XCBaVerBFt^krN2 zX;OsG4>RhLBopiMiy^c-(kwIQwm&!@@f7R*1>veN_^1Km+v|UBVO}9G0!)8?{48f6 zpmO+h?oWcTp5TOu_E*hf#13FE^GL!i?rc}8j)2lZmmhw%l=7!G#m^2B>yZCgLpr$3DT8`A&=)8lO&6dr5$a5WB(V5+Sv zWz-69kfxfuLB(8$#lX}On>cwB1mNJACAR=N|x{4$!t{mB?Znuo1LWh0ijJ_p;N!&{-^{VeC zni+Li{fEaOl6aXr^1xmN2_^7bwFIKj34KBK58zzyq?*d1m|PBPyd7r#+Qg`1mb;_} z4rEQSkE!0{G)(uIs}#4 zG;Iz44*@Js(p^?|vn)O#LE)tLwlwCWvYOBVIVp?ysjMD*9%VcgW-syo@~4k^feB7| zP7Uq(Xg?#X70xH2DNT1f)h;JLmy_YsznAlj3Hn!&o*EW$V_r>@>8mNf<}K?qk)BI_ z*8<#h4HOx-HI3;}S-*s>uK>U7-$-zkzgeHsTzxexWCdmF zIH!ITQyeqnFIE13mM-cl9fnbn@2XMR{fyE@(|?JYu~Mar7SD9LVSkn-S;idL&2jq7 zcWIqdPZM{2@v|jLF}x85xWCsd;uZc2L#p^HXwS>MW_*M|x`gaJ&+%8q31vRT>aEm- zgK2FPo(y*@bbc>w<62%4^0eG)tKq0<1zsQrpjkqOE0`e4`pIY?99>keuLVQXPMDgX zI$=CLuxO)J$o_kh;jfB%m0M1IS-G!~OK7J3jIQf=_emeP1Moco;KIr)@L)5)Pwkmg zF|Dst5rv6KyZyU=;Mau7;H4kM2vU2>;1%*YHj8JKVCvNctLB@VKmq|skm$(y?PPjx zewFzWx9>H5>dTngwv$`Z0w6}D^BzACI8WfSP4X=e%J_f_lAe9m^~n6aDnTkMve3YM zxn+-?76KRV5e9&;w#K%YYHf)+Ovs)WYHEI&dcX!@>~=e&UYW?ke2H4h*)4*Z*1XC* zlif(ARv9!&IU!{i`iAmFYANp}YAa6=xKBQFS3)bh;$X|XlxQ$nd1^9QIifc#2J~2K z=|Isp8qkeaLm4|>ZP8fNLK!E~x}!HlvF9i2TlZA~(7v_e6^y=`r2k03*3($LH>S0y zWhb#6jbSIz|7TT$Rxlr?rT1UZpATEsn};1(tw3quL9*_9jIvT5gpaaw8(r2Qt@-3` z9aQ{%4p&~rXL9VQ*bjPsZ^PX7)C#szuhOH#(LM;bu6~b#gzWa?Yp&5VWy(eWzNnRwHLpY zFWKh%-XqZH;5ykv-R4;(VeFIMZhg84w)HNB^`zrL8Dz>3C1OGL-_bwbIPbwb%#yUDQB@!M<3Ls$p$F`o)4(n4ka&dK{oT8(})KQggjrE5JO_NS45mrL6gq5g%<;+1g+>!vA zmNx%SnnOv7GQ!exK8R)Lq9@s}^}OiDVT}~(%)hpj7g!JUaQ7RVgF zsXYg5Igfm-XAj?E4_6=?sA#qt8){$goZKp7H$Jw}2P`hrAwMxA9TJ-P<~8@FFgAb; zM9X)<80rb0hQnwxoC8CbF2wfw2T0;ZqY(=N!bw>5pe#haZ(hHuHR4c{4p`UE7zOfy z3cjB)Xa&m>o>qjrrM`*9cZ%8nlgXR__qdZkEdV*LW(9_opShSXg*d%glZt`=hYJ*O z6qq4!saN{)-#Q3SA&7IMgq&##5hWM=x6!7GTW-!d)hk zxa%WMo_Lk0F#KTjcs8XI%RJ#(whvf^h{#jZR0sNZ(6`3LU{4;aQr-+XVIO3ZA zS7bL=TaLMU@d6Dk?u&DQMF@HW>wq*>P#o@>G!^#kPTD!Lqw~QYcEhRWtUtp}z09M) z?rhW&US2{Hv{|OwzfXo+Uoc@ zq1kzbIA}@sthPKtt?laruJ*@+m)Izi?4HD@-C0uSuF$z;%*#`$tohtpJvqr2y?x54 zg~yNEu70rBT!Mz}<4A@C`{sM6dJ{3f5BSGpet!^{A2dNE+#fUna0#Eg1-2(*@CS1U zc{#hhWg9PRx4}cWW%adjUG#+c@bOEk&Gz-l4Ck)) zJ8<2Jje-`B(9+T!-7ivrr$#NW^oev~#6plUvZxIa2C?6 zsxuE06>Gm(B0z}m)JNZ1^5e+p$BhoAe?S|wa@61OW5aTmLU!=!f8Zf6(QF-ed!;LW zm_9b~y>zYXyx`GXzvt16YRRfN7lFuit;?07La+dqgI&#gb9IkFRP0!1ir_~_Ud|4Vs=C%-` z=xX}Cvftr1O7YT!D`^lS8T!EO6xM&SmBVT0E_i8?- zeKCVZNLusgic2g~{S*7;kJTz_#Ml>Msk3`}8P?}-%27>xM?CiP-)!>TevO*c(U&fJ zQ7xXiS{ld+r^)5C{zzAfVt{bh-5;(U60BR3(2k?wJ;XKzA6Ne7#aEn%P%&v0vU!77 z$KV#=FQ}Md9p(Z4i$OGD&SrF)=`Y@rRX;z}d=phCH$inwI10LoJE^SlA^ze1UuH1( z)PyuyBc6(d^0mDond`@ z+A#{!)VyKEnCnDXSQ%vdwh_m;-A8H$;GQWr+P*^*xR$9PuQay_)_Uf@#NNlxar^@! zU!z3I0rSlJ$EX3%999K6g8vj}Wjp>k1gSl%>fckljdw>8JSy;*?vqG~Z)%M-Be zvAyBHLA7@fWK(B+{Jjsb7bxnt{`xZLt$FWCwIa2Ig9wdzK79~m{^w;X>@D>5Z1(U$ zwO$F&rk?_1Lmdr_*JW!#Ni!uK!H?OgWmvx25|=;3AX14CC~jQ;PX0M;DNCv>Nc-i5 zE4diLQ!?5;%~R``p8<^LVygIQU}=z{&$!)|9FToBm^`vG`qZ((%A-sf9A66IZK3p> zVWttX*QyWvD6GIoO>Y{tq%gnu>90^}WQz1+K;}*KP$onzdDqPx$VRqDX|@h{e;q|K zv>BCuBden{!(2)b3_tN_UKhQ~4LpiC311Ss+mR>nHgb#OhXqu$8eMxAhq7NJO(twK z?2l$)!cT;<9MHOc{kwLmZ5$_x;}^sVKOv5aJ`p;PMPCxh7!kiiHj}tR9uU9V0jWW? zas1q0O+Yv9N%%_RWuWECG}g7D<;hA2i*$>obb(53)NTzM$L?@wp<(QeI1cdw$|}%DngCa05ts9RCDSH0_Y~{)u#Tdmh_(Yrc56 zmdmDW^RDnml)%y%NH^f>8C4$Rfj?0WPi~1%` zlV8Q^GnEEuSvLx7y7sVjJkR&}KakNg z0?)25?GoJ=59gFhmY!#ROpdqogIoe3lH_3hxP@pb_o)6jWXM!zJk8jw_)L2o1(Eet zbK)l7jSkEzB6%8Aj;c8wg*P=t1Yc$+2WcsaHu{US5lTak={^UzAB$m0-yyNmEvYbO z<_xAjPDKz)hMjMGtBe;6B<|;-gSTY{whKN>QmHV0RE-PT5N(J@9#zNNXY$6CxaRmO zd~6#V6fxLGNkKc%UU>>H{h68_I~lujWL~p`;TH>MT#)eXm0Tq6uPc}Yw-9o}OtM)~ z)O_}zAWs@UT^GuLnTFXc!9+$Ew`9p}mf@lK7Z~YwK1#E&33l*=2$vMWM`Qr8F~Yq! z_(&wLt77wiV4wBTQL5tmnSA(9sGzI5kWimRyqmP0Qy4S61sn;;>#E9Dl6LEx<>E%n^_+~)_ zMqtIYWRrdK+3-aa06h--7;;>dxoNs}2IG>_s;m^}IzDle(s>bx;=6gw=xc~U-*E)D z?r{jW>3s+n)$$*_&+4@RUXdO*K#omo5XwQI4eiX`-bul`Dg>cF1<%PSkw@R>H!--j z550h|vQF1`g2f*Q#S_ND1Y&>SY=;w*044(D`gd_3 zjj{880gThJvk#y646MH<3q{W#le3CAwdpbUEu3+}(@z4g0obJL=P87J6mZ06 zO(PR%&rX_wf2($|ZvB3amTfj=K*72*T@B_@Vv6d`xcXCm>08=}MZvk$osRefg<`8f zMcRo=0@Sw=>zQWYCX22#UsuGR+G=e4l?p~_{JAM=0LK`#s!iToGNhkvYb?^xl@*Qf z(U4Tpp_LmI3U&pUM=o}3WbZpQCpCq$2~I0su~8ODSIUw__{dzhEx|mV9YQr{r;S5` z;9L}mO0xJpgxs%!*5G{F;0f{o7mL}Oh$;YfQ2&obV7Ufd^doIJ%sDqUWQP}hV$NAg z)qbE^cGW&a@8GS^JO2%J@m%M4p&&~ctf9e(A~T0$OYtNCC4kF9a^|cs)$;;yl9R1HNHc}C_N6oy;-;o(-zJ03rVs`AhRD-#vN<( zF#AJyxdyAGQ-T%7mU_v1*J_rr2{hegjx})HGZoYdqcmFZiKI8}yV{eHam4g~TA)(lr2^<_|STQ1wCjFDMNH94W*9risr! zz&$bRL;YL*Kc^r7nUIkDgYV9C4f1X~;pq7JATa=iZa6wu`p<2$02Brw5Y@dkA@PlN z_j#{~;N~z#a(l=SBdOaibTU5-X<#<|D;GU14Bg#w z*@P;#LACS6QGZM=xX~ffTavK@sb9k#vY-|aMp!A>7c)<|HTW2$bF;re zf&(->fdtPm!qXaVzw*!xt_XMu*d^0=GEMDTd{VI07=$0B;gI|begEkkDwM9!ZYKfa z{}lCyc294K7+78s*JQ$?XlQ}`*>?~A>&yamf2e>_>KY$^Nh7p-6@$`O;+okvWDP5@ zXDNjtla~oUL=vvT(z)_M0?BvaN&W|}|Er>se+2G80e(^#+G>f)-ww^#TwF6OlEoC+ zwmYc!1$?5J>4e}rc}OV51EFEgBtc>90-~9qxTBR<7#F+Xf5>A!jbS3yt&v=?Azcw%K|pgPS5))AB6#tVXC#PQhgBqUpkOGnJ4&cZW?LA< z;J#{K=`QhBH?kwTqm^eE*H1oCJV-VjTb6wnv488Fb&24*%?L?M_W}697Wjm5^(CK^ z$RU8O3keNV?)onP@#^b{1N4Ckv{GmfDCBJ1;Nsh3qWEB zHlt_^lVaN^N-+Ep)`3jE8tQxY6lQpGc0fTGCPe_tG5$~qmLj?)6BCrg=KUP>54+5$ zCYg?Pdf*lJo9!lijH_e1A~iPLk?8G35)MlxJp3dmAJ!eeB4Y3x)aMR30Gz-9&=p2r zv!SZ)Z%ShK0S*PYWT*jE(k)!w3P(32-ua0xs2Pqfsu`Bf#pC>g^ciR`$nO5+_o3aw zVQt;Q&j$=OJD^=2ICa3$d4jgf2U=ZiBuEKdhe~#CC8>G1HUzCoV8iEQy#SK!(eF3V zu9i>1Di%V!14?$vy8|#_)cwi#L%ZXz!G-}b2M_vv7#dfk9B)|QkbFeJj$!PA12Lw2 z*uP^vzlXaS7VQxQ4QYQ`*Whwr_SYk=9zQs2_&@jA2 z7r(#2)&$3ae{ARcKbCO?UPTV%+TiFc!4}V+qtL@hMs>#@i5N)U0>m|B_UWRrM8IQs ziMD~{A2aNu&i0p$jj>}NGJ6hq{^3up*FE4zolB?nQmf1GZ$2kRvioR`3+_BHF>Pd@ zWfyW{bj2c4%NgbBrIn(0Y?JrGe|;!5#>4oUHD~BQd(Jf)(zZ7n&O~;J@l|APJG`+! zW`{o345|Z)C6V5b46yfSYa=peYgx<+>(eux`e=-Qhg!n z(*+%`VlTPCdVkDk!Yvr$v;Po-$*ZfpA@-j7Ad~1$z|j2uGa&&_ z>w67zSt*c-_3IB|(8lB@dvj^B940IaWt_9T@(FYg_3tlSen0C|0Tfzt97C2)EBL3y zQT5yO)+81+FLvTHc4Kj$w7Hp)iflcz+Z&eh9QiOecSMG#4Q|~jqV16ize%b12LJ^L zAemNdLsKk+%dC%QVcvKDiV&C(rbM z9BYL406%SL8PSb7zV;>*v@qM`uXT3~Aws&_cYSG z=b^vE8f4*ptdwIy$f7OOv4vowXYqsplRP_dUuXIxB_@*&zNy@qg%PZh@a$>R)^|*y z*JPFj+hfAILM}UMRp>6qdGbWk6~nd$17ApgDEuTEC;HCrE5^FMMr6|JA|+)0*WWoR ztwV<|ACBa2d=Sdh?t!%OKDNwVfX-~zj2Lg^XTOC&4$eqm$%{mNh^Qi@!a7wB3ZuAZpe_F!pPp~Q+l~8 z9nGkJ6dr~|azySUaLFHL!Qdqih?25;kZ4hF>xu5>&M6xR*`l>_@1w1ML2MI>6_~>E zS5k@iQd#|2hEzH{M;bxY;KGo900dV3f1Gq2n@CFG6og^!@0~cDM8|(UX)d zVaZ1REg@`e-6ruv>J?HHu)$2xJ8PuLea{tH6btdG>ZWl%@G~X2C$?o>^(z4c)evhf zA*^!w`&-dwjP7sZd!GcVuiguWwbLNJv>$zOi7CMp)htBbgGoQr#`dD1VTdw4L-u1I z*dm7^nV6L(Se4vlowK=s*_+^syHe*2g*)3DHC%B|;g>*mw2rlT5AYz1A(PbXv?ooO z%Akrad7VCruBBgad#toxbFVd4{v1gq3+p^>qpaKsH}z2Nqp5YsM5-KiAo&ps5$#y* z_JP5R-mMO~asFfJbi4E_%zT=fzMr~+mC`#$w%ZeFt*eGI7oqtf%pYw*g%?oB3&T`F z&6whkLMq(QmwFhc#DHIU{tJ;?Y3!qaQ#w^-SQojX2R{S4qMw>VPKn^H!thJCLOD8u zi$iLH{hR_u?`M(HEOaz7c&NFE8;Bk_yFvwwttQlA(bZ3RQL$&P3b2lhfmeaCV-B5C z9IM>THb>VZyI7eG(}Bw8PzvM0UIcQx@FQ1mBhtdIy;)9#t$^336~+fs8=oy4GuNI0y`TrL8aQ$Em~ZsqCOQNk%wd4W0^hR;1!7Z3WqY_$SdF1 z9?5|EB~{Ez1}Mbj=7JIa5w)!<9)B5#yEF*2#3l1pM)|Nmo2I{sx`&@o{~A;Jc)Zd4 zK>Op{dEmAhvajl0kE4(>92j4I$~rKzOW5s2fNKyfe<3?CQg38tPCZ<2WV*alYIZ>x znFCfH)pxGO=reM|!8Nae49;G7@`}>$3c3hpD`}spdtfp8OH6#cCAFh0erOOCvW;fE zM?m8V_|$HryO4al;NY#xYwl;k(8x+%i<`bVTNM#J7$^900JVTVjGeh+U}yJ z#8dbmJ5?oal+or;mNKNBGduQL+bM1PQ9@-uO9a?=psekP+KbYhD&Yo3OA$Dz)y{kL zHcfwZPz7+}*jio0RlHE=9D1&yI@!f%olv7u%zde&{8o8L;i?=QYS{@Lq4S4-J3T_- ze&kp1NW}N(u7WD+1C0HjpkMto+t5Bv>mS^dJ!0zFF?-CzX<+0(RDT*g%Xavj zhspz~Q^`k|8C>CTl1`0GT3n&KQIA~Q8~4Dm>4LB`(cMK}K-pS(`#MySb(0rKjQIHa z8IaZJ$q*3ok97JiLZ@;%bucL#4uKh4- z2u7R2QQ2~J2tBhnu?8xU!kzU;GUaN5OJIR(4^2-<npsUE=+Q!DkQta| z5nK>ELt!6nyJnw#IU7&$Z+kWVvptS^A{RHei+CSDozQ^zM{r(*mx#f1eijB_Xz4Em zf`sQoObVy!rwh(8SMgCXgmt!t6@eDCjANd$>JA4RBx_ZpBgK z9@xR5us=Sdui0k`OX_Z`Y3S{DNpVpht9({q2iV+qSHv0I9Ha@av#ov@@m3WG)|Rs^ zVjj&$`l(oLhkc8ZQ~z*&K_$z@l53jHe}0ayb|!3_qz2q;EAzg-$7JnT36%vZZ+>YU zN)#T}#QC{3Ekc+2!x}VBwN0XRr-Tc{E75@8{Z)e>C#|(mEKi~stfP_%rcG??mBe`G z37iE#HDi`Bw`%#R-V#Lhocy9Oi-)M%`@=NqSLMa{ydLVco1l8`J4ksff=G?T{ey2O z_9<56NCdGI%n1B91N@saJE8ba+JlJ36MLn~#Q>q7-^I9CiHFVXUek^HX-BS^Kj!D8 ztSWb3Z?*)NJFi3|lsCZ?b;0QayE6eTr2d=l%T%CW0m=I=D6tRY2)k-BKNe!Pa!9Y@ zZVNiMCL2=ljwNixn5`&V3JEJchLi=jEQFA9o=_wz(+B`3X~8YeKewcao+_Tz4$sZ# zmsHQrM-p&V9oxx%edo;hnHYR8Oa8$;b~}xZwD3xU2&-&%VnPwC0^So&7C^bt=ofZ6 zho2t@$tf=aGMsl|8;ZIZS8}+ZXt>YQ?347H7k$1~viT52wu>%jZbE486zb3u4w3A~ z&RX4~#6<#5?oVO8wl}b&?jr&Yz2xt6wf*1<9sB=sjtEW(WoS&~kV*z^piG|yO@v7X z2e!#Pz^A_W|BB>xGnw&0nn~M`?1Jl17v6dPK>35q^d+T>xA}1Lx zIz*no$SEnEqY0!A`79ajSA5)X-`_esp>S`B{C@-j-qLn{Rri=+$l`)-+_;o;-prCJ z2e#!@hfFH`ro?ZdbnhM=uuG)Y_mE6gevZ(0fYauqx6YLS=F798^77mMARTk52O zUh``=an4bT+$v+j;Wr!9VA2Y&-h@ru(lC4q0NOZIQfw#LWY-gMf5+Ky*AuSks@+q* z_KGhn&$LPOyBzG)SSZ9}MP^RfkRZoWkSKf{V3R)rM(T!J3mJ;$7=vmN_a;5P1><03BQuel?hn&A7=YyS^QCj0qHpn0!=3l6z*YYN zaLvIVeQq>A@{J2zg|pMAeyL|roJPBE_4dGQxyMcZ`=xU8`E}dE+ds1Ws(!yUa<$E_ z4?*4BqUNRepY%L}dbL%q?_>7{Z(0zifl2}a(;BsMEDnFzU!a| z;y^e>nxmE|hLX~f>gz8h?l&HD{s#n#jIg&$ehkZ{Vfv|(`M-I#jIw9h10$7}t0jEs zRsMf}vq<^gedogc3;+Eo^zRF&QI`-6*;xZ<8Luhel_mko8z8#Pqlafjfg_n{= z4)qb}vI|_eHLT9Fnv?8kOx-imOSV26QNy3Nj_VvvkL$;C@80zigDzlZ9AWo;(HnIf zY$kzj?l9~Rx0(YzR&3=VU48K>Sfm$ckq6c#BS=P!*q_YS17pk~@8}=E?cFwvA;a4? zjF-GFQKV$7?)VcQL=XJKVib(Tb%ev^gDwCYnS~J48L5^tY4{?4JdzypFy>HnK0$T8uRXLDEGlNzR8HNqD==^WX>Mj-5GF;}NlyI^Ztl_FjFQ7$ zRX}qfC4n4@7~pRoBG5Ad9FH)qIWGigmr4eZls5T0CW0J;t7DGq)xLWKHJVpX;C`i( z+|{Np`DjOqGTelt0AV)_#B#I?qxc~k_LCSuDq}*zB8p##kPhG|deTAv$g2(h`08|R zASw}(E96sHj|DTar2tu0Y0}9Ir`SUpPn1ux)ij4^0<=cc3cCW&Wf#Qo3!|S(rPgDL z-ivDQ1xyAM;gc<=`Yyr6`cX>ahbIfr1-_?HMHEF5MeCEf&I)V`+fXSwe7b}D)LJvO zf#tI&GrNA6AFG$Wmvh0s^P4)LSX0_06>TX*lu+DspX5XdMp%qSt7PO}`HV~r*cpI3Yx48sYq>x8(S zV*j-2^9bY1k`hb_MFf$extmf#2}SjuHf4$u3I}L$8sa3jX>~|nJBA>&X=Nfth4I}s z;yCPeD0H6XM5fTiS~KH5$w21N#o}=N{(RQi$=iO5_wX87abkUU;!$ zBY22YbZ5h!NT$_6xMD8q?Jf{$oVUG~`^kG;vVh<#!^Xim_cw9QjSty>pkMxo0yEKL zQ|mxN4bH2qJDLO_FZs0qi^6AYP#j43J~6f4u@o>u9L3fW>~AmQM9C|Snv$G zc|q^TamCGV86_8q>MC5*$qIj^HfHEqHUVXd#fzXXNFm09kqZo!B@O{AB@Q8!{^jb< zV!`f-9W$VSnhIBsEeK0(VQda)=ysbNG?^QVk7(bM`vx+W&EgO~i{;^C5;)+ydkTI0 z&O-jmVz)r6ya^!&=dAc}7Z4u=|6X)6tizZqd|WsbNs%V=Fo+60ZJ*OwmtqwVFYUu# z|IO{iAr;)Q8n-zBk1_aNiF;#93~4TXaPfE?+jpL?NbhN~!c(T>HBb9GRU9VBaLl;6 z#9uw}y=aGaG7?J+gQmbm%zB*<#tY>L43xONrT|ri$Hj#m5Yy0UKLWJuG8ejio7DGPlSApRRG(3_!Fe>`+N5?r8? zuDKq;w5-DDAi8q(NRMMGbMcLyX8Y_>g^HARbN%d>R^JFee2!3P_bEDI2qV46b1>y) zmHV%CFNCea`>wxa#!J!KA)bQT1#LS zzuGAxb;MZDiV&`|ALadm@ecPos4>&YCpbB;^d4?sZz&%2$;=oUU2jRkcp2n3J=j=P z4#^%oznQ-uV02GH`;U1=2Co#m%_2cbQrh|j1 zIsj}v$ZW_?RR+k4WS6Uq=?!(s+RY8_tW3Q2!IBHF~5l64MBlC^1 z2Zb-uRc(bfSr?Vo#D+5G>l%$^!4*im_V5#!4{8nKrJ%g&v8LUsT3jXuum{0)sA)JR zai$LhRC~L1>YpjcFU|W#tRMR>o7E|--y}Y}K*!c*LmiFy4qtFVW1aPqSp)KnYuM_5Gv*Q^F^UK zaV~g*fa>Rl0=l%-;-M%_#T|nQR57{Y)(U{iiEKN}NA98_f5=e6cJF@0R?o&Zdbs!U zA=v$J)kxZIc8UX_YTOdXcfAfZg0q`dJE|Ln&W(@(vm?oEu!wQ?ewa4r&wOf&b-0}A#4JPn za9z>{#w;C$-?ui!Hf(=MA~U||k~s{&>Is!8`2k4fl{RIXXKZrFfi}NkH;qwzbTn7e| zZnr$|)?IVflkA><91HlI<}COrb4igW+2*9>#JA+*)WO}oDk-)*%uUkZ_xEvT_On(Z@yS=AO#D^Ck}Rd*{abXh!-K&FMJFjX7v&?vTgz0>Z_LcfTauhZo&YpPyM~ zTKxv;^;e%@_o&bPD=iO69~eB5PR67Og&5l%gK7E4yeVFf&ztQ!1X|ga{B{>!fAte` zSqV(}+HR2R+$Hs{Gyn~0_neIx?D>I1#AZ4H1(!U(;xgBZU(qai5U@dCF(w? z$Itg!_xbC&>$mP&_x{2AJ!j9}ubDGv&wlN5IPV!<21R=I4ArNIzM2QFQm+YRPDB?l z3`&062d*zTZ~3hut3$>N-V8kp)_T&uc~Wh%67PfQ@4|gHUbLL48>Dk@W{`(6Ty3+e zlV&LSA+(4L8#A)_DOzAKJWcV4$7klo;%g%@Tw@6~s})!bLeIdOMlQsiPuj(j;xBPD zUezBjRBdB>`;y?n$MV$=mqUEex4{Dp_45eCkA>8aY9}1S>S>{Sjg9mrerBFt9}onO zLNY=clpcjWD8h^2aj-34%fC01N{?#q*qrvu!#Mte&)|g}YxJyplY$Pm7{MLC&gh}w*?r%tS;zB~6))EqswZ?@AtcVhP zbo08O;e}+lsqfuMB^mHj-_G?VZ}r3Kh#TC1N|NkdnM$mu3P{1(2^QR_no#vcR$F$V z*F73^>cO=cujJd@;QEg-MwTlN8X7~Lq8D85G39;Eu*`u1qlLPuo-s`CZS3pzo}Gml zi{No1&FB8;4?~*|82{E;w^ka^xO~RXffd?WBfyn@m}t49gz zr&FPgnw1<&cuR~I-bJx*{W;ohx_a%cUAxOJc2IJ2I+eDr=8y^TEeMJv-|Ve_Uuz%M z?N7Kf6pKz3$G*!3ugAIuW0knbt~jJqvuy38P7#~e5Ro`v42LL%UijE~p7{Ln z#5ACcFCkR0tm7swi7ypDGO&{NTrMnCnK^$bsB!zZu(-QC9g=eH2`r&)N^k)iym&eG zXq=Kjd)!7R$AgfUcgu8~U1`(Idd^y3zdTq_y9u-`__r_>_cz^>+0XsdO=OME5$vAZ ziMjh?a0R+o2voK4eKn^SY_Y>Xajvqd@wjPzuOH)6&A!$#apQqjfXD3l!^3CqK>x62 zpCAA2(2kzRG7{^EJNf(J_E77#*r*Y+cJ{m=ckL|%`9X8*GlTl;Pc3KfQv2KupQN04 zUhIt?t#4$)=lAo}`Q@$swc&XISnWGHx8jgj&9eW@&U19|s9gew+PBozK+iDS3HKZY zfwtgd-9mQn%pakofC%eQqj{3LIOL7J!Y@2G6JIqLZ!@SG`u2nGf%T1#Xf1df?s31| zRfIx>8n0kA3KPpkRRVqW8cq|NRY*Ql894mNNi(yqAv06i7865D%}HsTj& z`T92NLihrG{}hW%q{lAZeJ(Kd_DuQiman*&tiR&!yC?*%G0|*r^bJ^M94&Pd{jR#j zEcKV}y(gX5sox51a~%$uEGiuf&=d^v1)+-@8^ZSc`X*S#RH_ALi-zXwYcwlo6q6$Q zjGdp69^`Rcq6)p^D_C<8Q2AE1h$?P7YX7qJzFymiTg%B=;4!I?HCD^@(i(V_>|wBQ zm6jcL#qp5ivM=C_ZNK4;{h|HnMQQ;5&Gv%If@#*TT`2{Dp?apJQ_ipR5{ssWGJ4Q6 zTq5zxW5V)hFC;^yYhP>d#wXw7me@Z{_jU4a-r}Y%)8s>sw(>D`qf+%qOxa!#1zV|wiw7T$TZHC&ybuq?KP(4UnQm&oT&Zy(?n0_Gq!lGzD4oLgD-~VelsPG<@ zbDHXv@^L23D(LAYt%$8dV{Y>p_cfG;GNW5ty!NE%Mg-CnLVD7R^2!(8*&6kUg^deV z+C>mH*%fk;N3s;+u}bA}`!BFHmG}qOG^Y1{A)iNDd6wN-o-k^>SSWouc2B-1Y^n$O z%?5Imk75NB)0e<)Pn=(fUGn0vPBWmU$4hC6!8XTg(J75j-#Z#Vvq~AXs9oCq zftKKi>6ZW&*zrO*n#QY&)r*q1qyJZ7bDRXemj&ape#zhHrp@ap{&9jpoF|?; z=zig9>nqwDh-6d?;d^nHUR`<{4z(1_L}nwz=dw*0SrbSf2fHfR8PP35Lom6LemF9Q zF7n5_J2Izg_YqZwb4#v`K0inI@W^8|_E9e66g zdeJjF#6rj={bs0557p&lvPn?L>M?Z#TAm3qVlr;HW7{o_s?QneJm4buESiQ$*~(SECq?d$UtCQ(OO24f$eHfBk=nMKBl&A7w)n(M<{mA-C4Z-H zxX>-*kRW>l-Mh=Z{K2NiYrMMyy1Q11>;AjTw7ZSF+db&w-Q8(5bUO|AOo<*mQ?w_#E0enb@2E%9@3?q&p<46~cP%by zCVQfX;t{UW-)^N}ql7*se5L&L>qsCE0qVw=Nd|FQVkw_lQaNI1^jY(j()JUBkafe@+fBo=miy&RGv4$&eG>$l?%-eJ2Yzf~fmANiQX4@h zGPp?-QV_L~1xm_u1Ga06nK69=eA5a|-1{;sm!wXtLn=>D2E}QSsmMp!A1{?x+_m`o zult42Z~9Q<7QahJMF$(ya3z!qqCS9zy+wtdUDnW2uV@?M;eJIP_xD%a90wZ^|C!6i z@s<<-?61rCXGj&Y|stzwD^_(8W zHsGrhT!}tYUV1oL(XN&7Xj0Pt%l4PQPd;A_ocwYr_dO3{qm`uZa%H#-xs>^=ih|= zuAD!Qs>>|us>pz~)(1BO$_TQGyl_8~q?<_^8&OB?(sg6XnD~Be3OvuMdM2N8kA;yz zIiH@qIY!l8O8@<~QCG`!aKv+OuN#xafFhT&{WrSrOUx_m*L5CVeGA+%g%&FrrOneC z{}>_@6#N#)HHmhbM=et$RsDY1*J4S!6xls+Ij<{(Ew4=)bv?t5W!V-O9&7X7a?u%U zUibY~M@pce2nndG{KAfv7D9!^>gr~>7r!DYSqvmzVq=k{SH0N$Qfzb6(%R}XY-T9kWPJ^diWH> z#oECg6U%pBN{V=K#GXdL3kf|*_A5%eG69b>FO$DTnSjje%hTv+#NuSDyyO54);w9o zSo*Iby`}Gi&xt=`XGEuC(0oO4vpOV_f(D&I~zUSsn5CfU)pD#C;$E~vW z*!B4*pE@B%I&tYc4|qBK&5+dpnJ1q}6v$hw?@YQ^>oBOhyVW6UnHx<-mNL3zr%(K| zLYu1=zbV3ow7tOrQYV(Iby80ZDbXi39A_s>i4?QbcV+}?4L1OQC2gjLjfqR2n8pVv zsI->oe;zySlkF__fA6RsF3HNUn8DQ6D~nuxk4$DtpZI=acsII{f$3w$rqmD-w6jn| zzx4^1{ZdpD;#>_W@*kU|9yrfme2O}-l@ju#&yQK5f|47ShP0&KyV~l~Jv;n~*%A>F z7PH}Pi`8MtbAJcT(?Js+?(_*aGY5 zcy9TSbbNDhB90<8YiIX(-`Gt&pn|Beff$~V`qVPiOq z1FsMp{DjrPMbjsiEiKk34q+#g6)s{YLtXkUdv6e2nYgB1{m(Kkiy4EC>V%Sk;b?zk z(Vx(Tvx0Ah1~RToT`my|@B0!5lio4Ds-3GD=t~K`H-Y+}-$U2lVv1PQ`kzaa!~2F> zr&f8ZM9GRAW`43AelG!IupQchssGa|((N7E8=aY-RyVtI*qYrnPU^%`KONQO^vNfc zQyLu9QKmqzh0f!`8TGe+%UUzd{#=)tsj=zP?5rJT&WVj7~T1EXQz@`V|%ScUj zzYR(Wk5%$stXt)(4-s~5B1AF*)kMu zt|ZI$aV)Yx-pk*z1YP!jGbmC)W}Fh(NlKO?g{rc5RQ7Y7zk$SSXCV6&nL%@!TB#OW+hot-%_&GpN5!esY_Pe^}?2-Dyv%_BhW{OkJ1~;$>=gPRVdbKPssc- z^{Pi^l5RrJiT;t)Hdscc;oCX1H!wYkV`9d|J5S+S6rJpjMyWdz{%F-kON!Uo2EDI1@GI z9!BMjw7jV;P95uSLi_Vo#)*n8&6+W@QnUKoYL||yt;h!=k&Q@bKZKhR-HAY zP2JzJhPhu4roRbSL5;Tj-Q->z#h1m>nLaHNTl6|_>v30SE{6uA&}cdEIiG%-m6}6& zwWU-@S+E$A{YvS1+=C;#$#UsY)4>nv=?p5&LnuB+>;3&j#)*bj@u=RL+Cba#8zKu|Lms<&?0~%81P* zreAuhd3Y4@6EIR4#+&(BAnqLQy%Y*2(H6WGt2`Nu6`Bp*`Lb|&Mu~7>kYdg*j)TBY zJApFmQNYpTYtsuo-==+<-0Cu6){PsDeeo#AtcPtYZ0{Ii?X9!~X3)J_ujt%iDlatQHhn6}iK@aD z`FHZQ-o%^<1(TYS(%41FYL_0u{Qa8>e4Yf(O1C|iR{HYmmyS+ke^?#0_G_(fm&IS% zr}|RT(u9;EKryQ=cI|Jwt2Rb;QDiDlXp5#@-csntR8=|5Mg6IupUXi9Z?!w{ZyUGk zi$K3RbW%TWk#{d>I#Z0>#DCzk_vmHU`-R37VDnxOQFUUIu+^^jke|^rJrRwi;gG>Y zI_C?fC{nPD++;lEt3s>Jpy+p(0gSmM3RI_JU-M^7{y-i=ojSW6oh9aEw`IL!U9`MY zQNP1q-k;Fz6bdX8k8UX_Nw2R8^HndH?0tFm9Bppik^kd3FM3+W5l`1|vm&M+mDBI9 z++Qs4?fd;~ePNC!I-l(paGvK_&}D%BLV72Veh&#rH7=z~Dbg+9nKT8yReXPdo<$4zD{y4L+f~r5`S(S~Eq9;fFfqO)a zLpx0gwA^BUx*v&^5c{scS>Y{?YZH%IP6vxqBuyF%R9%?+1JSwsM*^0MoSf^*7r6&n zHDuI}Uz=o$Jq|LZG+xw|U9HKeKv^7BiZDKRu@_n14%cwT)){3leweFT)xjG`S|UHSOy?bYVl&%S*0?mM zhbB9nJ7aqlph`6*b|`A&b^LhuCPMHix0s{tfQMU|8X>q z6a%(iyOf#`S2P+vJ7&`R%7ThPxtnvY=~>hJ4Pgtrk3!79n6(gXJ% zeE*Y)Vvnv!H>g+AwCuU>eN8DEmHl@M2g%IYnpV3%8>#h_^T)0G&qsn|5$CiwZO0CO z_UR+Uk{U8PQ$w1vc-hR%*LO}@(Mxz21j-(G#_ec*GQje6+SLj-Nir@!#-8v&5~6(F zv-21FAafnaa<^^r(*T(eZSKh9=AQmKg9!65UcZqDxoVy@K4)f(zLxoecSdf+KRDEE zpJNC11q#1#&ZhB*a!&OARrML;Uau2I$)C`HNH?y?a225t*(0exxLjV|wDBs2Q@;!{ zLw{EN9u40&2(EgW8oYVG{?oD0p?W^kUiQ?4CHVR2?Y+e|V!FTG*^)k<(^s!OGBnud zm;&_Zziv^#2%}s7LbWmBa#|Cs6dBK*vN~_LbHq;JLW6uGZ)L^$lKkWINjVdxCzAmb zZSlz8uh8F_cP101YWj_~e2`ptD(_I#hucwf#n4{i|M^0LJL1L8XB<^-Kl)KY=BnAf zk6Zar*^)!GbqRG^jAC_#p8KoxU&||=soB`iR4+M>Gr!Y6P@1J+?(y!=+&4?n3 zmtW_LoYYnp?s4~|EfamAG1^B;{$BsSMtZS89KspFLzlzVy*tMLsuNWinKDe$o!>U> zjT_w^-9&dK#NXlGK3JUOo)}cW&4rKrvCl?29+yRK8V%o0`bXR8_x)O4{`EcaQVHsp z6a=gt_HB)F=RNwBAB0uWe^SXmBk6OG>fz#<=H-(=jg6&~mya)~*=a6{vrN)2i&*Pt z7=z5BYNfy@UZX%*HP|4C*L|{d5D|2A z{mwFANXI9-B$K?@pLIXz_u4DoJHZP)<<3eG$|4-$bw*p`Zb~6xqJ`j z_h;RW$WdRp0wQg}3LA&E`OonO=S4Sb)Na+wrgAu%IQ?X``JOXm27D7{AK{6vx!rzRfv)TJbQ$fnq(D>RQ- zDSXs!kp5!N%3)G+tM}qy>(FQQTCTLW&(dU)B5#{v`>2^u@JR%I;i!z+g zxg;%WZ^<@dTvS{~9-jnHsF>%2J>K&KCh2dhk&zipy2^k1h<`wKi#;bCJ!3TtY`AV{ z7jxR36@J0N&7+oN$ajFVK}!Kv@u&gIzXe;CQ_?&0Q_3K?d!JSC+PAMe2s0&8u{D*d zZByMookzP6z3tB^?K_`OIWaIdN};0u)w&ZcU6@TG%CzN&@W{Gfm6-gq_k42ZevI^N zS5*7)!D@DV<=!rFHM?md<0FCuB5Rw_&!GgFll>&u=XUWg-I}91-*;6MM5HixTSL&> zH9p7m{eZwF%s2Q=M(=id$0k1MYZGDbD+r{uW zOZQi0l$rm~&!L2EK-IiV+$d~M8Py3@UD;%m7I}W!_OTv^yJJg?`ghKMT**Zq1kmfbQWW+B|pXWH{*Z!ymE`Wzy`7T7K4 zXp+x+twl3jzTgrDe;T@sAb-tA{=L;DLdsH|r)b?2n+t<_u{E5g1M~CqR*A_dNVJl3 zKBdHoGSi6!r)VaW_P~Q{jG;~P*Ke9yew8YG6h?BYht6cM z<$t_u#wLox3$|gZpD`^cC>8DN_kkv_8s3Zux|?7h%_n@V`>DIjj^OzXIf_Dwa+=p{ zS?aRFpQT=$PC+F-Q*w#NOIXIGdpmbJlu;v{!R1OvAGgr|6EWM@sQI%bRb$ivA3rgV zoMzu_B`gY#OG`EsN3|$@L?IgKBUJQ9bclWC59Cf6<4up$4I@kBABx)juKNYuy3S>; zKXtt1;sh_q?QD5i&{hXYkR={k-rMmC{AgX+J{l#a@`U}hwB`H*hFnRfY7Qrh_8zIS ztx1U?EIlOpv*g41IkUq4MnjKYH9Iqo;kNT+224ZzWNNycWnq`@4zJfk6$^Okh+Xc#5IZ@OonY4YT%gzNR zg1FBjY-(ofQ2DD43o$fikFi@1oI+ z-_fhNL<<^4Pk3=g{dKl_1~n+-d=Nf=v$M)AZ&}KRN010s!MDT-Efik3ybOYMv9^Ufq6Qj2_WM25H~waZhHXouO+~ov?0MfjmtgS0#7Pu=aLeLeir@R` z_3W)XuaukvMpQ?u_DM)$ck`rbf~q;zWX7p@Dxya+Yx!+PtQkS0x?4oL;kIK74pq<2 z`@c&!<T8|-YsU^Hil7`#UlDq1=V>~D~yXS=#&OMdAW&tssepCIZ;{@$% z)`}O2euuKQe)j{Z?+$P3*3Ba>-7YRZw_escv*upyrAOcFozNGad~i=|4=TMi-0e$q z)Eka_chewyAbq^oVCg&8be3dFed(ssd2eezn&oZqZ*@Ue;#&_$7Xn*9yS04ppqKN! z;q4U1;`mOGMa50;x5qo#Ckz4!8EY=Is{%~jbI{k|$A>RJpJ8`U=hT*-xo#o)_9|G8_ct_oHhO9TMygv+5nU5hP2-TcXR&v z+FEzj>DpQi;?gBh!PHWa;ov;hsb!-3{oSa1?Sd!qhTUIzPu|?#6taqRd* zbINO!1iaQL;g7M~&>~u%9%4T~%hEpDGingIYrHfn$k#3+)+o{2$T9pu$=N_S<(I9k zGMt9f5Zi>OR5Il?dQ+%%N@5DAokIteEy>3CX71;pw^+w!v zgP!}SX`OOIfmfn?HuEcYEqT6^g3VcE>5_t^iy%WS6?dNFuv^e-`$(ZHszyl?E*ODL zA|1$G;O=(xlYxcLNx|PYn{hpFL0z$Zkl{w)Go=#e$*N<_Td|GN>nrV&zNV7fKj^RA z@2BvbD2h*v6pqzqYm2QTPH30Z(z;N&--o!t$jyT;-Ec|oj~05Tx&6wbPj-( zBn}0YN>ZDkW0bJ_F@~W~LmH4hDgi@g&K_@gGU1=CZBRl+?jCqYd$M_PC0`;A8Qtt9 z0O{}|c0(*g#|eg1Tcf0{nCGM*F#6#3dbV=uic+K)<98r}fII*4Um&prDizEa7;{3pZSCg^1I`fBr^<(J%e z!_c+2n_5&kv&AO|91WCu1*701Xb#)aot@m27)FW~T#d3{)LB;_0srZ&oc`;kQs)(7 zWU&z^r@_(SetSDeRD&()F3?t7daNkdQaNp|F0gZ&PL_-Vu&~<(*ssqx^R)ZtCEQOg zt~T#@c3dO5b=|X*f$x~|C%Il(4OUJEQ+mc5{;-)At}|%8 z0M2GIwIjVzeyn)ZpFpbxUROO$XRwwoIS%OMpE;SzvHr4T@4L|F04LMWcmGzW3%qpOuFll-UiV?Unfq{Y`UdN)DWdW71^p zaa1x%^WJdp5B}iB7c|y8!c1JPN6HZ^@v$Cw8y06h__nypdWhY4>KGGBlxslB(E>GN zbdu?YUxL7qd5Pey%CMoDjPmp?D)z~wTRU#x+gAR)lb3$h^IK)H)(dX~35Hv=oB0p& zQQ2ouumeSXYY>Nf#&?rk8>@J5yik>uB=UUi9)8U!OT=XLvEF7k*1H0I@J`gm!wEF| z_Pj(n+z4@aWn6{=8eS#)_C@Xv%X1W6k+iI(+s|a`#$BVE|N6u+&-wz4#w`-_@M$h- zmOb9SRt;Wh6I0SDRMVf%5jY$>oYQj8xE3La&h8QcGf~xNj+M8;r|#+ZBKx95Zy%%_ zCVPZHck^1LGfuKsix&8u&uhk1-YvkV6z75)I9%hCZx59tOkj?G+8|^D>vI4M#2?0i z$v)}!te~MUQNO%s?b!9za^8MxuT`0sbYf9nlSCXAectShN6d4BjH-;z&@=rZsD<}= zo_0<&y(C`>N<*26`#mJ=VU_p3C6|&PU%sqK^xJq~<-$0u^}P9*#NwWq(jp2^1L_+o z%rgX|K)9sV|oQ(j_KEVM*SK}2b<)3^iaMfb{-AT}&uKP3BV(Y-7ssLnJe-+sW4 z#11K_C8hZ`%2X6beQPBdb3A;9AH!G_N8zLsdLcB~XPe6~+hoV~6`^W4X4l;`s3pJK z_#rhi7&}oMqzP5&q=RoIE!|6IqB!6h(B-}9z)WZ}<|X0UII&S$Y`a&T62zRGly9%_ zHvAQ4Fz2&H+J!=UI%7!wM+(|T@ecnptZ8ffW>?Bsa*nE&?%&4j`czfJ^gcWMSy!%5 zb+&!cv?}ud)|f9NIF-_rAatG)%Y;o)VpVL?SBtL^OG%2gr>&n+f`(PqY?K52Nx$0@ z@euE#oVscLho7bWAMA=wWkjd;qT}~Vy&mnwa*KyLn7RFR%wXIH5{Q~GStZ2Fv+c2o zH%AF&F(Fn-toW90pLqqNG+wgImrwAe;qHq+*N7(J%B?dFA7xxMexM&O%d3Z(dw)DA z+g|wZTOCYRPPr13Hwwcp_Eyw z4*XhIR%@_81pL3nU8sXn| zHkXRSXvYt}xgtLbY`Mg}co^x#_RaU40AW4zFBN%Fb)~Q7mDqC==CZX8G|l50M9$Pf zGI|S-;;F*-?c{GJB?*ebzRC|rkahz$?2*sV`kKuVeq`lm^b6l1DaO<72-@#$V+~k4 zt3m!BhMHup1#Ta_p*?x`tzCHZbqG_B6cQmDbqIJ5mi@ZMf|cDqfyh>_#DW$93NF85 zMj|&7SKFju!?HpP<&#I~sPF6kP(^+@v~jb1Gy);3%(K;cxa@6n)AQBz3mgca%}f5? zi=G#~BC`TPludC}st!@5`1}5{yM+g`G(^|_ZFkHbxgq`txf7?7MHC6y7IEWG+!R$# zOA2Rvi-4C;_X{B}tv1b?VwXA2Kn($25<4xatB@hFFyh@Chc7fahAxqhKolkhoPj7^ z^7?B=zP4p}wzm7$&lSI`AeCM_o+9SzmETUk5&=jK*NVVwzA|O?6k^R3ob3z-L zZEx@{?-l0w56Y-);J*-Y@s>1g{J(Pz{bItdpJ11anJZAG5=a!>`m*LEs99d~!FPMp z$&sthx8$xRZ+?&zzx@n`Yzd%*qIECp}1w68_vB=&)Oj2p_wL>+DYRU2|OgFMo z9syM~4{nXWyA>81YrCx$8XLRA78+ZID}}C8)h3&>)lhHY`!Ifuqmd7JIh(y1PVu|5 zfwwAu{aFzjhu)Z;DDjGkS-IH-jaJ92ppLN5b&p8O2Ic#4AC5{DMbj$ZzpnB<5cXah zRgkdd;B}{wi;Ja4^-T>96>eAMadI7^ z5mjXd6tdJta{k?uV{PfIcsVRl&+Cdp{ZL*Jxjddq5+YC{a!H*^dS5k}#D-~dS-4ot zZt-@hi(xWJXobvHMIW`VY3PmEsqw)!tEh_G+PnQ#xuBv@>F|~>No*psHY#5+tRT~e zy#0pJ-%k(alDy~q`9}tc?v0&O+wS@Yt+~C%!v5BfV8^YNoJ5rB)U};Rjm?RIgih0c zFGL)TC|r`>mNseTgPrV*rR1t`IHR5xu8SpY?|L|~h*x8-a9GG~OZRg&ch3{LUDF-0 zC8=oAt92JfcMUS!oa3D(Zzkcb!bXTHRHgQkUQ67V!TopK+TIGwof%!DLZRzc6ump2 zyUj}2&8mvplapU7f%Tp5E=8V>A9GvrsckRJ21JG&UC`rHYGwoERz(t9lXmW_Hd59T}1Jw@=GpMGQ0ju zq}0o!A-ln{elNk!darDMrB{UK);@CRHEK=O?)Rfjk4m%IU~fO{FV=#LPTzMB?7t`Q zh{&fC8^pWerTQOVby&1T_*^jeyp!3c;l!vQD6$@AVRY0mde$`jf%VY{G#4^P<((CI zBl<>VvtLhgOpeIvL&`)q6j`ta5yc1`+z_BZ0jHY=bo;*+x_N5$3L($PJ z*-=AcR;(JhWkn>;?mSp^!}rOkd=z#;gA&7bvUK^6C$COSvjnt`++Bw=Fx_l(tBQ`b;p^41u#fwJQ4zXR&+530a2 z@DlFmNVRt0nl}l+l3u-G0*DCj*A@J0j^LjWp?ouRi;MF7Gbv#e zs|XXDGFJ4IM#@KNy$V)QCJs(Ck6ON#p|+Q9jpzjN@!TH2!O_Tz>)|ejqN(9jg7};t z`?vfpLvPA*#hHRD?bN*GbdtszE{8FchA9~!N)zkl@&p7Rm>MA3Qx#<7f@Q6NaMv6ke$7_PQ}2X;&lKpD)p(om{wvQgU^8M(QUY* z$W?wG!^FD0Mrht2L+Ne%px{%TshY1h!T9kxAE8c(Na~@2iaY$LL;NVF5FP{bP9)Ct zy@2e%#chdzQvNS2r)T&rL#7U=bWe5kaQoaP!RfKBq{-o0_v+#S+(P{$wH3M>QSW)M z(cawk!9nN9IF0ta_r?zivKisEeho-$e;gkwIvlU2+sdmuy?k5W#C?7h-DLUGBeMxg zyPc|@ZJ4Wm;3>Ki+^{_TER}P0`d!nu%y`wYtza#)w?$Tq+4q96W)S?z+U#3t?X22yI%*K2Mad%v3znlZYapk-oRL z&?@#2@!RN>iaOW88dI`d$&+eS57a^=NV`1R%#$Adsx}m_ld4UF>IP>WX9^>w7DcRp355e$fQ@*A%y#dE+a8xuEEt``#_DoxjiCbV0Z*pDVt7- zzK_h}&>E=MRtIP`^mPcKEk9s5TOux>469BDpqiY3Rhnng?}P+BBeu(j5!_sXn5qFF zR#E_j^ovD+z)1nx9)+g{TP2K}p1%sDMdAS0O0pIq^y#Y+fIIy|+^=Oq@$`Q=I}Yc2 zIuq-4ymJgC>Aemx;*QS>Pb)10veW7QGI8KEJfZ{s>T$@|0u2qA9TUU{-R4G@ z@*i7x0gZRtUf$> zJ$-En1!Vi8-XWVQKHXlfaKhYy6G367zD$x*tOcSz;5tWvH3`%9nbmR_uZT zDDvI{#q%^+ac&zA*uT3*{$C+Eef}1i!I~WP99C~2=V=+De|iAW@%PSsOZh`m;WpY# zz3GE1cfX?t#PCNzL^qPpDCJVT={ayrbzn>au;i1H|M?U^ma5zZjnki=0eqZ)ffqmF z8pd&iyU?Z#0VBpz*yvyeHd^0@`<()!acn%j;|<&s^gCF;;11Sb|EGTo>#w21pSc7Q zI5Ek)3qIkPMvXzUW*X^wD^gS48snH6jmr= zKC-@#YML1^kAEZUfC~+x&WJ0XkjxHo)E;(-9AQiBkhLDLDKYz(>VL%?IKC-OieeiLZ8S>hS1mkxrE_cZwz z&`6{MxC5~VKGK>$)`WGHm@#+QfrfFxPoPoQ4>ZVQU=7j}1VUNx+ExrtRjk3v=dtbX zzA+&sX{m9t$d^A@f3*VFJSQ_GDtWZgrZ7 z%K#o+=@3v!bb+CinfD162mNIV=8p*Z32VQFwXITM@#z}SUR;N$7=!sklrBMS=2tGq zXa0%#(bPjcNL#RY?Vq@n%Tckg?^asfDmI?SafguuXL$j}$*YBNK!gJD=XC&}56^QY zCMcHx=)VPYn@bDFDE$?>yZ-~l{vSE^|AiO@{hv7Y|0YIB87DCWv5~PQc+Iw<>kxaw z47u_faMa)~5?h$u0O~X1hyK6`2iO6HL6I&A=)tqmqcC%9VG5`tOt5pc>D*$z}4 z;_oo^+HW`kg~B`lrym1YcyS8GtGY)fsb0AZtM>ju{a+!fS`!8kg=sf(4j@ip1Au{R zn4#kVL;p{Rnu1{mG-246X#kd=9wv9rO(ZrbY)6ky%EadW72uW0Pbq-qNb6yCLv7H1Vp)KlovZN znPD!#DJC~s1njbaq+8zy1NewkxTq5m4FRMIT9CuBsje_FM`ozPtOr~cu%0PgY+Qx8 z&;>36j|~PM3lc+|bgJfKt}fS_~&IHeAzv59q< zQ+gKwLJuB5Fz!A_2roE&!V08bFs`xyEIqjZ{XiaqsVW2Db`{_Fe&Z>Rg#ZOusJY7N zQ0nZ%q4>5LW)e4;D;5f`B|-X;dJ3-3ekM!|_2qP33{cVZr!<0iG}>iY^X>xH?EObY z9TFgjSNyxcmpc;pu|euSK)+}@91#pBBk@0ei2BD5-7u+etOD-af|GBBR-r+>fGm@d z4VY$vPGF)UxPl3zV5-uL*Iu+fo^Hrg$LyamfK5k{!{!gtn<6-|k(7l!uj&dRe2Srn_^ua+;~ZRmWM~0g_-3#$ zEbwP7IQIdB3mpGk9rjGaNszOQK|9@WvK4&*Yu>>*&b|elt#~fOo(u?=i5chSln!_- zTQjV`)9wSN0znw;U0`!N;9bWnuy>Ij0gw9ccEIH8I{MQgp3P&}KU*(h{|te>x@{cx z>I@gS@vjxF}i%2+odX7dWB;#xN0U;cT#08NwaB3GZI8V$$-$!%GmJk1 z3_wwE5l|E2nSg-Dy}*No_b)EvQ4~zHFmcVIWQl) zgAQ2n0S%<1R)DMbh}J#?j$>~_WzxO=0u5yWSmOll4)PGtn987)1cB^?fUx6bdHC0% zB(cd!N=s|2UvS28jR>d-uoOsE_O(1$K7%w`4HmP(V(nFkF&BQiae52bDFP%n$bhJD zt=D^NfsUscop3gIv;o3F`aHPdip)R&dCS(RY4ac&pa@Kmuu0Xo0(EjR2auPwnF4t5 zNCN@zhXW&=jJY_%;!-%}A>f6z!Mz{QE^>m!w?O+b^=mKbl^8S!1<)IC_Xkose7+M< zD`#u~#0UDY*m*)$6^>$Tm?}era>WMVhLCy&#?f+van`+HcnHA%y6^?8yU*VO^uhCs z^%4K*WNUcc^0!Mi0&n;&J~^bYu+K(|CU0*uY@aE?P>uh1j1{Wb~)1TY@of zzngQ=%j?px(8nqs`6x$CC%R%5l4bkT5=}eY!+?~t@nEmtjfArp$5s1arru&ySHe| zohN3}0=3ghd@ZjKuXYtKUYdWGFi)w+(Jb$|86`W(3W1I+yFG9YdX^f~4vX zJ}OV&>z>mIIwxicU4D4l!RXUahGG1ULt0QZTn6!wMD{B8b@BNN5L4EliJA4|9sDZBl` z!;IqoG}Rxr_Bov_vi};4h~JJhue5o`fi&?SmR^}4sjlL7f>S zZM6Rv{%dy7;Q#cH;tawjbU~UD4W}QR(=%N86Gq=Xr;pNoy36>iteQ-!0BUH$7}~~> zBk&0RcrRgx6BMHF4aW#zkYr8NMbMAVS)f>-iXSnOik3}_M$)&=y=jT_YZwopxE7#L z8Jx2vE~`%dCYsQi*QcDU&Z|T%_s9I5upf#?KLPVpa$mU4_Gg=SqJG34^8{H_RMGUk zb02^OtyFEpSwJC)$B{bJHs=K-bW#NkcW_6Z#n|mha+{VhrETy`aeELEGEdaSfW8Nz zD4dFCg)|VLQoQkC#iTU*K|#_s7fxIznE4IMuXmk>?=giJzJH3nxra0+wv@sc8dVv2>wf(l>f9YZNqHXfaQ=7g%$T`h)GD5Y@l+~cGFRN*b zCn=+XZ?=8UMoz=kl||}n%7f1f+sb}IqMzvd`iK>sU2~qtR`3PJrlf30ak$Dn z5R?jP@GUJd8-zqP>OtH0mi}vQBD$}SITo~U*bbW+vl@<0epz`13uY(3ED3-@&>v8+ zMNf?ASlw1ue=He1IPo7C;iHt42RWb?G$)VNXTpEq(lYFUsHlcAz(R5OcU}t0j-VfH z&_6-WbaOQY=j7y zZ4njy#8zLyCl=DK3i_NS?%SG&mS8LA;qqTQ;N*rR<9hSJ_%Vn4XR8oTldS$_s;}>u z9zqjU=y6FNbjGo?RD9Ex6p@4f_1}Ofx;aL5Th-vX8fGEBtGzwr_rMR#kN-Y@FNyUz zes@tL#;vOD+ZEN<-#?1F5AgRc3cE*&jbp_KI0_qdN%g;73EQS?o@N8z$`y|E=1gyi zmr_#qsTHDenOLW)9m_<)X2Mn{$nwiBO{D_j22p?M zr=!h;XWAKUBhx~%8y*P9`=>UFQl^EO35V1z=-@65ip2ZVNBy;Ds_Mv%r-|&GH;L44 z1OH3%&pgo8U{a1G<8FDl`#)wJiIIIR9!v9w%erf7?ED4wA65L$a;0pM_>>9$DDyM=_|vdM5lSO&AQTXaHyEP#EjkbY zwe(TuZd<{qe0W{OiVN zhYGHgJ{5(UdPPbUWi}d)dt_F~rHA2IMQc0;0ro@;b)X-SmzAgq~nlm{>Qt$aptQJtnA-YB=_1m7Y zHFG(IY9mrN_c`ZtS2j5__C7roXQlgUOl&;Wl7GYP?GR_=wm+8K zuWD+~x5&24V}_-LxU-0_wg;-gU}MG4rZ*U~rrO#~4-FYs%IkUz)|&5_hm-#}3ohE8 z9`^&k2ca?_uJ}PVU3%&l2w8EzM-ATfVRcxamf_ezZCV*H+;@z6Jj_>KNmidP?J+25 zwqp+A0_)s0kKl#j@>4%L&vo4MzTifcwjsm)HauW-Yva`U|KsZ~!{TU~22dD>;O;E$ z?hrh<1_4IZB zAAE#$4oB7?rZcOaf9IGv)u7t^%^OAbOr#3%dpuRy+XnuxS<6f zd@2CY4Tk$Q6bPLqFbpHyFjMc-Z-`Bfq8>QtkXRJeo8>pSmyuZr^_?Ud$N`QJL-kD` z2Ox_6+t%pir3D}g*?trXYA6f+KXPLzdE4?bgNP1B_S7}gmOUj1E*E=;sns_8-Dfv8 z4NMh*H(%tTN{aXqtw^Ky=F0)hlu_^bled7gD)5$+YDo27=4EzG0Fy>FtBv!zKUUT{fa3BnSJKd{W@gayD~r zh&*fquQ$FB7+$__>h9`B8oy3nO6RLBJ{MM)JgkSVH$K(o{uazvE6fcBi&!`-;2Jp? z=1D|8GyTRg7VhA~HIvx_4hYVcWt-_ySS4^HiRPYZMCl?1?m!oMNsSN$x93hlE_t!o zw<=Sq5u{RYiBH9O?u3`Up8j{!8=osPPCl6T$_;;QO;jTHQf^!WdjL8t*Lb<$uNe0D zToMVwy;*Vx=Tb2mz37Sn#BpGY=qjJBS8=BrDdH$@k%kbDGF0xptY@F{s3kBJYa1_W z8rB#%NVriHHQvk54W_Lf*%hx&4weT9(g+gWX;5|Zv>nww(C9t01t3nQ4QX6?l)b$= z%ARS&|5_tK9KV5HT5|&+jxb6H1(DzW--3~x4>L&yzAhJk1a|Q5Z{IMP=}3U!HW7L? zt}am?A5j1QlXmqE2ZG!1+0qE|!$n4RYuVXyrdeR>RgJa8-`W^nKB)JyZ8r%Cl@!!= zLyzlV_d|JA=Dgr1L1cSJtZlJwj|x4T-KU_Vta-Ug?MI}hIfd;W-!-PC0o1Tlut#-K z%+50b3n*OmanAiY(d@i9o!WFe@wx0%`B$NVg$X$4-q$g9;S~g{4^H24E%eS!)o=E~^si@47^@kC zBdDusX5OPPo1=pE+`B6qN<081ew>N(I)#p>7}%(ApQ2O*6X99OBH8Fc0hhPV4piSt z;ZyG|G$ypl^kmDFY_!ZrETB;0y-JnlMm}(WLpoO{3b7Bz#apu=EaI{o<{^|3(HWpx zY5R#ZeL=YBHs;P`Hp78duPT!AO0`m_fC9m^mVF${$Nu2K4;$)PYX7@Y+9w$-Ti>F^ z()X#+lAov``?Lq<+{K)@B{>F;0eD_dwc2ReMp{6DwuXJKjvL-X9(Ba^KaqV!Wkgc$ z^9et5=W(8EiKEz;D9I?iXmr@kUJ&tr9iw9YpU6d;W%PyFQM}aWdXteo zoOJ(zxG~(bhR1YepSNAH!(WcuK6Pq@;hbkSL$RaSG`*1*=B$8; zPO3^g8PngIPx?VFQ5zJq5X(tE5YilXLr?VKGq7+As&UmD=Jj0k0R5b~Fk#*Gm zH?Iyc@ZiyI)R|c4)oRp<%^+c6k>uCDg$Xjom!)OG&tNg&f3ztpZL}+nLdA9_AV6%= z)=EJ)lnvFPXwu>vxyocAm21(yh1Y^ZC-{ZA!cratEGv*gt21DU>XBk09Uv0W)|x6- zCBR@`VfhFvEqkZFprv6x360w8hIUhZ?o?K4!k)et%^E^Vzs&o8ow#KlojrDIwUPW2Cu<)ttXEeKgHv`Bcrmc}!N zmIm-C&Z*ok;n$QFgvMh*3xfPuZdX?CY{+8Za8evas2`w0z^=_Jg-$>~mBGT&Yh8W> zOVvRI?N$XwSr%~w+C*DcSys8LysE(9I=yLDz1nVEOqMP-_YWW&PDWwm8^Qz^`OQ|`+r09<7(UH)M0>q{ZGVOv5DWUf+W)+JAP8)f`7cKb=O(s%pFK{KCthb`fT zyj6OMK{I#plf)nzjuK%29@mic_bGCa5787c*4@#>b^NdV%-#H(esZkqa%&79hQlVs zxzZavgjX0=UydFP>Uwr%2gT!A?y z*5-YiRLEUdqNAAr=4r z5R^P((%nj91}*P`r3>GNd&=FU*|Sa{Vsm@}43nsSQmMH>I;(cN@Pn1C{*PJU(}-VP z%{$5;MOe;6X44dN9Gcq|f`B)JsH%@>V} zE{S1hf)WOhp#~yPFK$IGRW8M3v8qhExYmZewhnIkBpFyUf-nl(QX*?-+1)^esU=_( z!pMORog{xtL4qZJ3(a;-x*-JKUy$%=bwOq=>gRL%f(~7=BTq{KwMkYR!H4OID!T~8 z7F~^B##9j)J&Zg(-uldNuljfy}&m{w%&k|vk0HJ8y>j)p7JZkylqEPFf6GvPw> z6!3T-9QRKQgS-r)!_Z0K`zN&vO;Yk-XiSwKWV?&2Nd?;sn=b;cnGA<6qW!CkyPoNC zTFfsdqqS1HDpG3(mIcr^^33zh^gDgulo?9R|DOD+(_^EQNdT}h%CJA5)^`H2rJ}kw zZDT*P7cK45(o&pVr$g%$;?)hw`-+}jml-4fCe4l1+x=pVQ8!#-{J`KC14^@72jr$0 zG!Dzt(%(NuENY)$<4iHG?9u|%YKKcwSI)1GTXghyX>Gjghiz#V9#sZNDW86sfj%#` zfX4sF{%t|S(f{X0qTsMRu(Egzkee@Ga`1RWTYCRE@(FT&tua_PEdOrHGt4*|A~t@F zBhxfWOexj4IrJzR!7Ywu5d-osuH0OBl!-0L+`XY1dW;aM`sfe?GHG-qeMFO<(3r3uT8KVqFJ^5F4?|)tTHN47>70r(R*#XojMP8rYsWlf zmgA}I;+ru9R9GLm$ec_N!r899?;Ft@X+`n*v@ckX2JgA7x`W3`q;Gy_a8^Y(c^PX* zY{~mE!H&94iTa$>;7y+9Q zn?LdE)2-S&C+&CY4)vpWesM8g$7FyqRiP1DSv0(uX1oNkhm?3hv0$TT$vKxMQKBaq zhPaq>{Z9ZP_)`W4p zqD|%kaKQFh2TSgBQ9-WevL2h>)l(pGu65O@q!wFW(&~75H;G8|YnpQocb7nc-{j*- z=`*)(#BqfP<(`;KAdWPiwud!|Pve89KP=>w)3nP*1`U~CGD>J#FcIQW1Snh@=xg*1 zpoK`^KccvbNpunNmpAFhIJlp6F5`Gf#pII#A%?P`dolnzZ}mla>$NX zBcmp=k`Z^Zg~ zwwmPl#<{(8T5=H)>vgxza2ya~%V9)9vt$D?f&`YG<2Kn30ghGS6gGAkTwyrlY#JsVkv3hqUZmC8Ax+-GcJIFr)lH=Rc zp^R$gM}2s!T(?_N=wf-qs2)K$BnRiAXPjXpSjWLs86H+g!IbiUEkEPe&_J&f9ruM2 z`%2>Q?rcTYllWdyLD^VcOatMc#^Y6?Z2h6Q(-o9$jvbV3DBu6F)noJsO8D|0+eaoD zJ4Gx6`{lV%%4-t|xfplr1^5`*27t=I41A0eFETHw%W}P zZ20Q4SeiRf8S6klxCj}q?iOZ>E)8CE+iXL8M0_|1n1sIoU#DJBg)A{?z1Bc3`p;Yp zYT#H1jnwlEVpJdR6K$%$HKR!ZoF!F%!LpJ#vp}-}q0?g!&ZQ{;%KO z2I&Ks&ot>RScwAlpGEbt>JJjre7ACPpKYtZon)R)vi(l7IEH<`b8BZC2@suBXo&x= z!wxi!>iU^<*)So>ekq4__2cd04jOlL_t18ef@J&p9i7Q=Kl{Do1AKf{9&=MB|A_0B z8H$z6(R}yYFRW?wJf|VIEb7m@PB?<5ue;{6+QA$OtUj9bDNG77$C4?z`8x-V16pS> z)G8qR_;aKW{4>TQo}u_zFM)LUE&7Tg(JddF0t+~ouYaYn{R4$*zmTwSKo zN|I5?vczRZ#!}p?6dTw5Hv9C7(2JfCvFsw|x?W`x9nxrdZ!Wjux00R_8Sd$o8e9kL z5&d$X|C*&2IOP!62vW7^Q{lr9?o7`h@L1%FKBg%B8R>z?MCQ%gYNNkmt2!{y#_D>v zO=!(Z{?B`zWpusDu#A^s^4R&emuNhz1CBd;-zG|H%Gw=F?sco3KB^xkpf_g{7LNqH zft-H5f@6Od>1Q30MbgS^i?@$!qZa`DpZZiggNw#yl{}(nu3AV4RVrS015P=-yquh| zl1GH@3n+#DRX7uVwwfho26G6cKZ7Q~|B&k!o*8)DT~?M;t=ovhx} zP&3Crk$h){%Uok{{s;o>O)x%u{Dp85Me@Duj3C@c*|(mA0Xd7h^cRPfH83+GM;U+D z>p722^vLki>Y?x9R!)b=ZJJEq0neU+CYP&WRKynL#JNOXTCK1qtj1%qNN7Ysm*$?^L~U*lUF zZ(o|r2cBE1y3*snavLO(`M^%z=*bd<1XJGwtm=@c#>dIZcwX_@O11s|Oj55am4?bc zoURX|V)1{o`yP^LI9-nyp2Hf2Og7>K9^MYj?#v1sb-rIT<=}|&MjwR8?d6J;BfA>p z^xNx@vdKX6?Z16^)^HDsc6 z!=#C1zI_N2JY6if?6MWS^(L~zPPFIzpek+hI6m_Z2v~%hL}P%OrW?SQ29o#`t>UX| zyR%SRFn2bz0MXBJPSs|eZQ-;E*Y3Ci6JD?oQJO}U#H*OlVH)&-Mm$I|9<$@?*4(y; z9jamEm8M}dmn%O zTuGWCvo*pmA)HFm+@%ZZq+ifqX&xGdabE{U86IbR0Z zW_H2nh{0^ME@HG5Uqco(DJvQdLpozoV|ZqQAU|WGjPY-P{LA^|2&yq_F}RChcrZne z?U~)eo3E2_e0{<%pg*D+zRIJYseTN=8J8OmkT1vk%U;t* zk&kqOi8f7W69|e+j*r<0lf)0~JJrzAdsJM#3K z7QJ?~4%$&bVrM$laboA&?{kZ%NUXRu_(IAwRSH54a8N+TS%2k@WNJ11xln(^1ZyR4 zgrk~c&8Avm{Rp<&BABM5l|e4*Z0BPJQ~ha8?Rz=;g70A|uij%e?L6X=Ft#4M@CC-k zFoXeKWDWlItI$QPINop_T;ZKZ9{u1ei8W+3liF{+QLrNRdU zE)bA#F^4)FWn2Y|hxDblv41NKdLpQo4R){nNlJ>I~=gV&`%-fWX_3385RPXg6C#t`MP9fwQ zpybks_dZDCqJpl1>E^hE4e^C|z?4&NR!FDf$wu4oiYzlB$cZj@ge@D+bp9tSxIVb$tBhUyX4Py?r-SN`{Pcaf=+a-to5wK{0O=#Q zm4*&7X~PeU52-aQuNfvUIrmh$a40xf9q~czL6xc7<_~aPzc;7LZ98TpZk5iTjE^b1 z;lHR}jad)lRO7);z(4~FeEzHfFE+1*4Ay9uVkyJ9dpcGz?%E`{mQhm|2d?=b^TruM zX(YEWn*&rVLqCMMKR*?_8cf6cH-zME;V~lGS39+Q4ar&2*i5D>6&NIu`W#U1`f2(L z4j34YeI2O--b!GvKI zZFbDq#I}UFHnswT9pO7#cPPX%B;b44T7@Ag{}e~@l$s#97=W+Z@x8$XRy z&$b4e>~v>QqqzloxP8v+{?PRhN`6TYU#xMiP6@=8R|i=w)EyGE81n>o!n$Y(T(Nv_ z|DBn+)|B_m5|Ub7EiDFHTVsHFUG_U~Ht)jMSMj#KTQU~d0cVQ4Z)|i|>v(@WQtY*$ z8NK3_BlsrQv+?aOxTEWV04%Rmcf0#% z5v$;rrExzyYqFTKsq0=N^s08>sv{M-q=p)V=?~xFMv)c({5lzBgsIC$O{Z1r;D?<& z@?C_#NE;WxQSpCsB^sXjtp(kG>fvT^M!6l#mu&4ogx`zK06h>Ln=8nA_e@NB;)1Tt zU+5TieZLcBp9pv^tbI76Lbg%=kT)6luU^EHgOZ}Au}%qOuN5%7x_X3t9|H3P|DW|G zCfj$3JG9)CXiwMdt=aKVYzFk;Xse{@)iI=;1Fg+r!o|SriGPS7pi9t@X%&geodPmB zj>e0w_<8)v(pK;;?t>isj&54_HHE_a9h z+0j-XLE{K9#lWTl6qv}Ohi!Wy#F%14VDi8uL$@rT?pT8>2z}k<3i$vIEk!2*hZc$x z11WBAN9P9u>8Ws%IBK%FRA%*qzZ1$@izCKkmP~m~yP6qLsgkQ~9{IMMh!M3isWdex zksOIgHCYYOE4}>;W4g?s@tN<#|t`xf7J90dZ-g z^tA@cQcan(5KM?JK^#X@kPAjWqHJA9`ld`*CCrjNRvFTk5G^aW0OdFw2x2W_*FuSD zAE<^#WCQN$^=OHtai%6n+o1kYjuVUyvsj}!v_FHSZKbe_F0olo3uSbUdj*f=Geg8} zrGj`3{9he*{yaOz7{&aR2<88(xM|6KXfy+7age2a(v{)Caa^%+kOg%x?*Rsgg{uxM zKE9B4fQnoG(F~3J0nTwii=isCtAv}zXQ_fr<7ZS;P2)eR;lT?u7{B_;vJLyK@!1RCg01~^=2$U zE(~qU>2mpS`m6VGBqfAj?I2CAVxkK9f1ji9umK`MFy~@G?-!~+84?eVlI%3LlTSCv z2LiDI)ySeNP%D!_HOFhwRFqZBeJC+Fwt^Vc+zroOZW(jmz(5L)J!JyjH{6qg-zpb} z>?9(%S6VsA$)SM*SNBr)CU7t0vCTb#&o9j9eS9met~dW{VTX8?DxMO`{XgagWTGn; z`M?;n$@5`PZaK1Z3c&fW9FN>fT}2Ee5Zkg50^O<~#_?+FJhYNi)-PG^B^BdzwQJck zM7o#EiD;j#U$w12DM+B|%RBe0TECaph=J>`zo5R~u3_~t+Y76aPQZL~8eAVrd9sm2 zQC}DnwSFVIzv-k5v=o7a2b3LvwA04?f51g15?s+Cxj*q+mI9;l2sMowNS2%1lkiKJ zE?_H#t6v~Oku{&!$NOP2dg&0yO(v4W5Ln`iZ(sx$qx?b&Hn11lZP-B3FmV>n7W?VU zbHK45Jlx%s%Fw3W?O((nQl#@0LA{FLL>z1fdo3#qxCt1~xnlROC<>SzLSC!69-suK zRPoRqi)UxKdlzbi8x(Nf?jwy+UC31+%bnO=_bve@jAD>xtcK?{;hFA#2Xl`?y@{z9 zF`+Z%!PMFYd_pLvh3_+?TSFe)d@9OFNJ;j8darmV4AAvvMS($=iNSTQ20UCbfsISn z%yE>P`Myx>B9y@cHJ6O?`)QtzEwBqc(eJf?6!ZWWd9Qhlp~^k$oqDpS@;^`e9MWKd7cG zVtB`jIm$gvh4D(*{NGBXH^XO}9gnDgO}M!p|7sE*VNA(zJkTD;s8xZ%ZO<^QtXN;^ zrp0d?Sk^?*Rp8ooPpx5nn>>cXE=&I*d5ZtZHt(#LzCcgDR++4ocY_OnPY-Gj{*_U1 zJD?lDg>$L~^ubr#JTUO#7BQE*`FxKuiJxiLh;q%Y?0tKJQ~)cvgB2irfW1y9hvhAS zjhA-uBYpn2qR-c)LX=kEMZq_`c*XddCI+5bJR7b()0wv;?krihydTY&h6DWG#u14p z^NZ%n)vTDDDF#N%El)~dE~t%Od@%p=M*j9PQnk(AI~d+00A! z0yP0z<%&>!^rMqh_3fZjl1zaADxHRtL*{_VPgRS>l}EzJcAN|#omy{RA;>O?>Ag&K zC%e-&f$1Pobq5=HoX)+_Dp9ZLwO-a@9_<5RBph9m%!CB5VlvQF755U|Ci*`!zvi8} zW3-I^Qj%i9g1(^Q9Co&10Zcz#-2u51?&Tg`^uP;lzO037AH(%zOuYjB=_G>&?~y7l zqnSESwM$YdQVmeCU_$`vJrG`^JBdX~lHsm(#?41;3wR_`Wsmmy*J~2_^Otq`w%16{ zrQ?k+R143>vYe4RUIPzfs=G0h`n?n6TO?TI!M4L z1a#a!GjrU&dnm<1q7==Nt_n?>g9;6qmt=wMU7IHj+;_W;Z8W|BQ_t#i$~^Iw1Ja+X z^(&LW{YdK(1ddA&GE=jbY4{QQoRW$rZye|XZxBuob3fCvEE*`u z_(N-vpbnV{30vmV2tXZ!%KrQ1)2>1Mu2=wYs(st&=E~UrkS*g0?wMTGADorn!)a>W zwW@U(fJLow>-Y9Ea0|~umGoaAXXY)nqhBUG!JT@&Z?CMRQSZD!-f%WvkRLV2 zo$RJy$J6jj;ABq6>YWaxbCG@*$CC+NNt?X4+Am+W$@9LdG!lK1%pz=yWNvzTHYs}& zH4M%^@LTB|^^g1P05oej#;b#wPxDx@D(7d0Ow?bYO4$1VB`0?K@Wm(g@~3^*2!c^v zZrvrCQH!>a`bRSAaEjzX6XX3Ay5C+vluUbhs(jp0SSKYuhy}8Bu(1oQwff_*JHq9K zr!>h0mP+xnkqFc2@vn+VQf^Z^h9y0|tX8{{eqqxK=6tW{1}y#6uw= z_nZQg&9Zx~Y5gE4tDF6bD1H!`mZA*|D#z3(w9`*xO-i69G+XvLm%u3D&fZC&t!psH zgd~;tOi_CFlz8xWdnAizoEjae`4ccAInx!W9|yt9i_$=}iP6ODumMl^ElMErO-Ufq zpt_e%gOxIkZZysziHX)R3Hne|+GBOXmGyJNz55`YGyvepl&O+2Pb{@X6o||hqWgIg z&y)m>t=0-3m?p=S_EJD!r{)HlU`BEa(G4BN6DLVqs6Y`Bx}l4B;&ADL6emH&n0{(* zW6VfqA-dwN__Rc6i&4zTGAMEqpH?ny;Q&RdcgI(*t^g;0b9;H_9fp-*%R4NSr$D=o zu;0y60+s~PSHpasu4tstyqT%a$bRB^e|g<@4<$VEMI|TKMDqsf2Ls7BEVeF&@Hb_` zl@1TjSBxln1ssp-p=HY7xU*|ISwmw8oAs4j1P#`VAOWBh0o`xQR&dtvm#HnCZ3SZt zRZi8dvg=0IpcdzauU;Z&hiNa6=Xw&%>gUlx$kHO*Z*5+cZ*r*P-#ADO7PLyFv=9zH z5@A$vEtgr@I{N{e!D2U1uV4kt(am>|yNs-Kjo5bEM1MXcG~5%sIHll>=_x$$t?P3m zs%uBNV(U*rIlUO3M0p<))eZ&_;c=IeFZq^oD+Uo2drwRV4=atBam>Z2P>7W(wm&gN z2gg)&ax9zYg$g(oQpB6lfrY`?zoecC_Z;DuI;K}RW_V__*CFZj9 zX=va{bbu9W(PZ$!BjMpoAx_Y!?MZ`Ni4E;6l(2(0B3bd7IfCfuStoYQzV+E*H!|H=V0lVNY ztAI8GOJJ>hwS(Irx$skh4QNfKG65P(_*FYsSwOye!`;YnuUsd9N50xPe~EVS;<14L zt7?F{$DV=OIzSjF;XGj$oz8F1fMOlcR(z2s{FRiP#_0p(n8cJyi4jI933<3Lk6eF;HWQm9=AOH}^ z2%xiylirrqIUp(^LNedve#}X7$aQmT%33A&gRk|5^y2aR8!{nk70woc6W(1lq5?qN zfpDJ!YD5mKBiW^gvgDOVuZ-~vq4Q8*3EA3&zb3)uX|d&<_O({;ah3PNf}a46yK*~n z8Ez5`xLd!2V?Yc!6C_zbW8|&rT=(qo1nY<88|BZ=$sqL|_79TcVvERauE9=p4hFL_ z)vdX@`1(7}1j@rb-n9}k zS;x;Ixra*?DogPqw5k895eeXaR;LoyAZo*TKc+g@Agc0szi+{??Su+?d%sUpKmjpg zZ70@xaQNZ>0Wp}>fB*a6sev*_;-wi1TFz<^kzs)(+aw{T4^5sF+?Xqt(I=v!M$tD{ zZWELJ{rtjMSiCTGPZ?R^;m{py7%=|{0qF4$x3`~ymGN^dg|M*jbT1N6va6^2``rLv zjkvKRJW9{JZ@4y)gv~-?X;&p)+3VBJHNq>BI$Tu1*(|DgXnP?7rEwl(XAR55~rF7axJ{^c1dX^yfB zm;@NpJs)1sAL^D}q3q^(l$01pV^7g{f==FJiP7Uze>aiFo%5 zBwvN}d|}!~e9>WAN9ZY0j~Wf-Lt8$>SMrvso)R#pz{wU{vNT}Y4&(cQYXVfLVA|df z?|%A-+N^9pCs^yH1it*xVQ>-YbXulmf$UV*Fu{@LcW<`p?=k6Y4|{-B7pik8d-ix= z>e?T&?pKLy#!7h#yR?sO639{?Q?&V#$=b33Nwk)rg6G#=>~U%UH-`+LCfx`9TWJ*+byQjlqOXYD zjXbp-*xy;mII;w{tGrjiD>+`7v=#1 zHxwF39&&`pE5-x>r33K$hE=d68ZQAzdwb+fy=`z+=-#+etnfL;(|ZH6m>H8;SH>h) zTR(J=L_>uQ`24JUfgS(@lBld>5wsolcyDQeyW?;dU`(Dh?Ye zBlO!6cbo6ZE`b7fdmusaN53)y4oDSi#lp?gUljI$6KgUM6#nNw z^yQFlm5N))PYeL&jZAHr{U?;*HFeb>O|$obD>7ams{H}mP+s@(xDGQ8}W*Wky6$d`%$Ru}MP6lo{8f#^oLk>w&MgcpPKAqQ}8=N|PCx7dF5T zml;8}fO^&<6bG#6Xdq*nUvDz7nKV2r0fgATBsat0;-n(PIsAiw16=7f5>Ni9Q(jIN zBKDwX%|u{R&at=Qfbq^HY$7t$;KlsISJm#j;N=QX-|sz+ss&sAnZiT$-gJce7RLmk)anLtFUTM0wKu!$t?MEVKo8B7ZB; zmnsT^s~I_DHZ?xAtKd>@DJ!7xF$E1(P4gs@szHp&(Zxh{Iy-5tpmm{i&1 zIhno5eTW_?oZX_YTj*3QB&=e?eH!*Nq5JAJe3vNH)5%7~p=wp_i8g_6Omu%G*tjy< zUc(3sN{P;5w_;0W;`h1{0U*cURLohNS6-LOz8ym2MKNkFr^oiM^Nol04NOg%MDO&Z zS3S)0{D0~MvJa--SKP{Wq@5S_Gz3MTVlhwL<$)of1lF!5$)hwJ>j;TiU)>)LdTc~U5_UP!~LDt3r%ODmWlzxhCH zDGqBn&S)0XW|GV|?0+=oIg}Ce6`4nI)*znTV{>Y za{O++$S$_ciN#&da^sf6jb&;yC^g6E$IsSg8n~S(*krU*F&lR2%)f`jh)dfD{{CM? zpMR<8Tp_-^WbdS||F{D%steT4;Gf0?(p5e%jlJ?Xw#jbh6TM&8h4Qbh*Zmej%tf8g zw|8Xy9i7L#Oy{5(kvi3ZNDt(;Nc4UI5k``7wCK-G7pj731VB+sGUc$2yvzzMW&R1A zybtq|N#l7f=PCk-kpkIL(OPN#EEag>aS{D?C==#dSPM>a^91$KoH28)v+v;=PdMQa zWbUPOP6NZ36UXlY;J*Qw;Ac)}xp~AUk}hzL0C<|=CaL#IBs~}5C$M{eS8C%;?8$q; zD^)@wVM6VIF*x`Xthz)n4FdG0*#zPe^U*<@7i!u_F3uL5&i;(`R z+15*19xq5i1{|y)!yDQSkAe667x^(ULI5)%;$Q|svrJc@C`VWDU7oI9EJC+WOd7(^ zj)+~cbj5p1+IuHjmf$$sWDaX#@%fNy40~=7SzU5`XPL%Q_N~HUx%G+SvA4pXVmu2z z*eA{X5|6G61bo}0*;0FNH`5_nlf8H*ujw~+KZHEjI<}Ht1YkjRQcXJeN?DADZelZQ zk(Dh61u>M`kgqlHmF)_pCa4=8AwK;AIJyqc*+H!QcNnJsiY^r&&90*Vd!^F(2c)%% zuNc*8dSJP!T*F!{VEYF@PO})583%Z1Yr$sI;9(B~UBzO2=apSW#3VRf{(ilT0vt?k zqDXIw8cE{xcw%OV{}5Wx8lx9`n7*NpRi?>vG3zJ;7x^3;Z03$FW`r7CcwiQ(S87Wz zP|-OAy+V}GM;RSK{KBQ8jF=Oo;F%TQKWVYxiTK*Rz;-tR06wd=U5DMTS93a71d5y zWxPxsym~$j?e)2V55yTlu+n&jI5mAU{~^FfSe;ki&9K_;45lxhX&Fm=^6N7LczPK} zNZ#x5wXZAQE$EoJ(%+soKI?uX*?~N_FZhb#(Jm==e-ktN!0r0cnELDmBol!!4l~=w*^q!(pOqIZZ*;TF`N3re{%;k z+xgFB8cMEDa#DaW^&^h(fmu^9&_7pXLOO-sfzo`!GMzpOtBa8S-9F7PkfG;1OKp_p z`bYMk$}ZU^TlPK=%#WRp<~`OwhXbA^F9UV(Fj2xP-m-`R%u&Uc$RmI}l3wxbx%TrH zeN~|&QG+#k@cQF*K-$NS4w=5Tvo|1A?abc0Xc=FlHF;3igH5`xWKEY9p%8^;S!k&? z?rsYN2m`|Lbg{0AC_XPldWv>Q7_9X+1>fyvpm0?T+dNTPQ_eWP)xF2$ZMbgeqvZd5 z5VT^}yLA5K8`Av|YWG0A?WH^8ZD(C937q>dG9-2iW>huT%e+FEK^tJjjRy+Ws$qs= zJ|WPwV#C=<6+e;H`)UdCYEwBwUs!WHgMzw>ubtBib=C{Yq8@nNNlLhINfjT?2ptSm z&?If{nH-A<``ih6aQU6SN6#2&-H3R55i%;9s%HfeE*TUIU`{TQOLb9RiEB~6y_tU~8$s0za_+hvyW0qi3OzCm}XBtB?SMy87t>Et5KcnU{vR#L@bqAx1}t+RU0 zz_>3M-i~!9{_0aU<`AmdG;Mm-r8l05euv283i_?+5du`xr>LwARZU>A9i(vFAq3io zdmIh>ZQxLyxJpm`5XqI~Z~Lv{`0QR;D!o4FPCGNBBac}*vTf|L5&7Yr|#a7?x4D! zkh3RF5NsgrT>O5chT`Nn^xqVrZ`36x2tV_401J2GleTbc+^;8N5hmz)?8nwM*<^@z zU4v?!BAC_QwkA`R%s4<~scg`svyrB~%XhtLhGN5^VUZJ>;T+TzF(?)4{d5g5t6i+^ z80zddRK8~+ya=av-Y{rh4m0W5BZKXh zDJ~a)_#ll1WeSF zCqWdQO-I}-1;cjA05H2xO!FD{O4P6&D*#;O8`FHiz0y8xM+N}D{Utt69k$~HfV;e7 zPJRhzLQKTu{BDTP*DV{pKoD_JxnbZw_s5XoqlgM6gPmm6^naKA6^sSi=hg4$O;p1y+&7OT8p}Y7Gxd>5wd+Zr|4akc@1Nt;0y^fnSN)HZx#1E z)szk6x~^xgjDkvDipdcF;eZxQ^{l_nDG9X0&UGykFY?(+tmWziu)qWVro*e6nq@3A zv?^%#Q1bF0`g86Hq2jh=v0^^)kV@_ez7>PM9g11JWy^B?Frc5xAP48v%|IuhFvna4 zgUcWV5CXSiPvDd*$ zW{e34!BXkW4&Z5=jwe(s?V()roL#bGW9=6P-CTmTvfbt$HIv`Py1Ew2qVj*o6KQJK zZwD7(cGvF%kw>$tTA%90Ayhxgk7=xxYI1&I6VD4#ZNCrNSjbqN78Ym633S$Wt!iqa z+e?HM33%Mp1|Dv>jmpVj<@`t^{t#?36&u_0?R|0$o#g*@OwaU#MRcj`1s6fN1Y6u* z z{a@huVh%B(X8~vmL9Hbha_c`XS4ukWM1e%(LKw|zn4FCZ*t3!kO((-Zz}e-h9b#cf zduR%L75;NX2@(#&r{|xZ3a#-i_8l>omB9G!gmGx*LbJnf18Gdvtc6*y2*Di;8^@iA zq*w7T!dQXXdS$sy23&RoHiZM2b4VuSh-S4JA^Pz@iJA%m#>mdSSGY&+ODLpineOAH zauBLgKo90;#8?(*#K5Dvt2pXs;Ihcj?muD?q-T{*OVPlTI!n>+bSQ|Zvy8{`c7p-X zpA(x}0hzt48!aCLYcEC6;J~WxQIO`vlr;gqmOp+y>e2|2q*QCrN@!@Uc%7#gQYNIh zA`T<}&s2F@Q6E43Ho|HL2we)v8bL3`3CM|ANMitfXy_q!ykOQp&@B9dursE~KG>lj z9CPuU^|TV$eo>{=fplY_Kx10pgrS5^gw5@lQ%3=9|Q?iQg(ES%2CVtbD_HL z@A?6$2m{=vGlrRDnz7`l)dHLU0KnKF3F5G$_dcd<6m0N2)M?QTV~dLnc8hc8LbatF ze(3f2(+Ath`<3R8CH~~sNr67-PYkBqHo}QnvRYMy#--?(7@VUoH`VTZq8&_=GSLfe zQ`~Z+ue_h~_y?-)sZLa)8`;d6iv{FmN}xX1rUr$azQMuNoZ}*-^9GH`n!p2fp4=P6 zWzjD>@U3r}Z~TWp^d2PWZ8OUgvH660Z0H;(Q0lM-uRaA{%$o@d(Ob+x8aIskW6n3Y z$6X#wmkQwom9!is%>8+>I9uTC3zifJ!aRhje{49I7;r!N*ec%{&PbF|FZPo z17|0Y4U)BKbSB^}&XBZ4>d^`UaUAy=-6F)kK4?0}{pXV)>nCaRN3`AhOOTx~X3?}n zn_6=gljSWkIbyxceV#D(+?gc&b&kPIFBDqZ8|Fx6RIUJW$~9dC?7n@^o@P!HPUuc> zs^v|XkRq=k!!tk@JlJkmMUci963^Grvy8KUZN?FsUh10_tWwjKlpX-C0ej>2fgA~Af4B*3x+AYqdQTc zI#}dk(-FdPi`F50n)sSm(xwTRsPlI@KVk^v9<*LRML+dIxbe8C(P?(eA+(`skSXbx zA;%v-2XGKcdT)~qb7HEMeqxhM#uArLRUv(mM z=i|>`W>>Ob=EQiX-f@-f1z;SN_UaJHcsacAj=fkHoJxqci!kG2_sVd?xD}7RTsL}U zLU9H%tyW#vg}lv0Ya*b9u)~zXRLNK6J%Br{KE7yn!;9pj4G){HB8yvFqre0ocR>uB z+f7c;ZNt#g@%%bDV?sbp+{L=QAP_=-*i>YnhYfvQRi?U8TJFhw^^~PxE2mY-^dEft zcAzmKL@(R!>;fPk87aaHKnfCP|KQsn!(%HQARW=~xGMx8G7a$R+aOvmM{PYrWpZt{ z%FLSFIhPTheE|`)y%^Qf;mp9m>bQ1VtNY3u$8y(?MnU>lUv0tFlgPmRNkVrhr6Csx z5=oVvQBA22)^mNYcR$;gC8ezVdpg#hw;*iJy-+8L>UTv@J$pa^xz*aHlD^V~-8{1k zulh zo3O5;qQW3?LfGb_@eFdUZFQJd1F5@;XGoC051lSAwP}powJq1i%C(3oypgW(GB<|m zJR0l%nq-|bSF0-kN#GW!V zjmiljb=?WG$H3>nKG@;i?qw6l0`KBxUj6yll2u7aeTW@7L5}5&cOLcAh=^9t-Hjcb@ z^<`{29j-zM+?*>sMmcN}o3C7vGJUNPz+U)l4niGs)s$iB@vbX-%Nj{Ftv-4;9cH0h z?(p~%Km0DLVuKnHRRT`5l8ybg0r3|`xL+6Yt!;APPN>c~E$%0K;$i;F$aB|jExZs_ z!yJNh%HoR58lbirRg_;#_Mcibc?7SV;Cb#wH0y&e%=6;dYMJjjQU-ZE^fbIRr4 zzCaeJ_&=72IEK5^r3}ZR7JYriRwp=qD$Af#g`Vr{%o|rU9#s&f=NWJy&EC=(Xy{4g zC{JBr``ehleaP)a+_8;|Bc0Rlin#9UKBy?3qr5hmHPJ0XeTpCe^tD*W7fjtuY~dNr zjC>DvlH_H!#bGW-S*(0P+WX6lW*k~DhlYNI*kN*yu#wwW7~M3eo)C<+u!MYY!#S;^ zEEfHU3Kl%$>?1mp69_zG-#hcF`?8IJX%s_a*Rojpf|Rb9glrtIAc|7v9I=D$4q*dN zvee&F1~~`~Qz;F(7;=LVztks|b&5xC65aIa3Rh-|msFo1A@Vat_fIL&ay+ysR)1@5AMX?mkq#3Q z6A_A4at!jtBqPmk&6^5~)5Tj~R)iBT$H!V0r&YWcPAi3s64)?IJP-=V51gOGsW zRQcFrAN%{tcduR<8h!CM^MetV+ai4BTPfufMx?`zL{LQTeK(MZ7VH}HcJ{L?XPN3K zYp!jePFCLNhALzfB~le@-r;91_?;+3f=wmrz@c~-u_Kqb>>U`P6^Hlm3?)(!_}K(Q zrK+s96}wUcv{Y7*Sk*vWFc?MOyNyB6Nz3LS5TF6!7@s=*1SfO-o%mQ5Iw66@JFERV z=jcNz?yOajU}(~s6^^LXcBk{Jl%~gN>pW-%4;@HGLmM^Ij>etF&2EJFXATnkYT0x!)fyYks!b;FuN@UlRB7`_T_Z zuED5`62%qid?y`|D?_C6JIzOLxx<0M5fs#vwBrPDv0nMi?Bq7`Z_s^bLO@?hKN3mO{s?$K;jBES_I__!!{_GEMe%k zj59l8!@n53zVq0XGX`CcdBNOJiR}%(lQu1WOptBDLAm2uTCob)-p$FRdzbe; z#JvrT1kjaq6frAw@LE_#ys?R0RnX-Mk=M3U z2$-z^p#v<-x2x&+R?WoRu0BU2WX+!-?0^;lYfPb`&XyYzcUVIVo%QE%=%;{`3pKQd z3&-r11qYDC)PCjipUZ1BnYJKsa}P1M`aF_&XYSUXYaTg#W8B7t8R7$# zEACjn{dqhkcYrPYTGR! zL8tdk7Rnj99CbPb7QZc3GXA}Ylqu9cd2PQe_cm6dC^t9yp9bLANH*IC$3c62PzCnA zJ_z1;HPZyLcTqR)16OfCZ-l`w5?n2lAarB3eiVc%8=WH;s;EMi4ltW@57 ztPu%$S-^9dDFv*|$zFUu^(bg2hTKSg?#q%3U;HAM?NGlTPqUV#_&``Dl5X%@Nbvoo z-6YdJb$6DkzogQ$&vH)3A#X}b0B(%{h9A|%TOGVZ*TVA_8D=lKy^|!>8)XW7k%})y zEXwdU6~A@?=ob-Gz8%HMN5aLZ7(Oo{QLidcNB)AVL-M}~X?+z%+uRlxpH9eNaRmWi z{^=#DT{n<>2I7Ar(z?LOl1Uv=3{(R+xgxb2H*sl-#7Ti}aglMvK;1o3V5_JU5NphI zK)?_u-?M9%lPqn}@_;J;n|oyN|5PFsmZmH7mWg^hRRUn&O-npOTD~|&4dzku$V|Um z#v`k^(5f?`)qqA%g@38ru|;lih9kJ_AMhS14?M`b{|Z>@j-a9L+rh5LqY&|(rjV)J z8X4^-Puvp_=)!tGMy@v~2w8ar0vfTCPs(9VM{9zK;6WM;ZhdDk+B5ez4fgGyWFU+|vpeEj6Az``p8b3jRTqu`U^3;$1U)n+ zJ?UP^iTL*C>;!heNdhqP*(oA>^aMK4_mP}^owvl}2bm{=@&WuxP;*yg-uPf-Ugl8b zpDG$~X{|?O9=_{nWS-R6Mu5h9=W`mge9Hu$l-zYxTgbbYpKN!+za~|6kwIvx24qT{ zFq~5Im4bP*ynHV*6?t*EbiNi3ypc*R9>}Hky4+FsFRLN+Mt@tMbnkOPSum?n^W?gY zw_3gJ(cf`r-Es=aQRtuJue=MV-& zveAV-V{g3tWh!TU{2hG`mr165>SLFhYZtPRa3R#DL_tjl*HluU!N$k$YvKBx{Be7E zw^mgD8?rkoA=8YDh*@OI02Xt!hhMAhq`q*y!&N01dDST@v>T zt-#f1kDoHD!$T4q%h>-coDo~rTQ=}hZ6murZaH+lB-tV`Ojr_ ztKXYS^`%ft3D=8KqJ5?M+VKhppFa~7`95&6k{aq0afaLBdiCebLG;owYK*Tx_v2=) zk8g&yY;0Ef%X}y4LMqEIhQ1X-z;3%rc`#=vUF)-YWT4`PzD5r;Be_xg?OPMh}-b|T+ zmmBXy;u|W@V4eckc}$?5f=Ur>OB_X+b~g&NgRrg$2H-#XoDe_>apy7njy+`H<>6hW z1Yg%~_P>}I`TgJ{*LQNg+g3}PCiLM)z$!#);Lul6&O zYNMKXb-2Md;-VO|-8#fYo#4?|n(Gd=85^}5=Jzx?`D5hP-skJ)KSHnhMk&4=+a*ij zJ~d-I)Si1rIj};gO1T@F0nenPytx$BQaFpJkF>q1b2)zC;u5Sm5PFB2e4RBKKniOX z#%SA*Ptj1HE_u$1pecCcrA|!00&DhOrZ0cRUoT%tBvDyt^G07~a$j90!SR@oIOVj#c{jfaW#?LoJHGMdX$(SFlHenG4;avaXz)K0>A%;TBK+>PYb=G5NUiOn*r0Y((pox1R z5FaRuPJCN&BAxnFoYCj{{5-?$Z}dr#`#U7?j7aK4=E7|; zk+{X&p?<08knw)Q+w*;15 z%ii%anxLc*4h4=kApvSyxT1D>z074o==oLQl#!PsDTf*Jy8jY%8kZ4I!u|V1Rc(VSnp=L6t)J+c$@_I z5)r;}$UHs=G!_1>Z!t8wb$?vRBdAa4dfZtv8P~UGwnF}X)advtvs@1&0=$~pk+PAu zpHW4H`h;-xLMB_8whYR1TIt+HLmQP2hHeo%_6Oaaf}&$CaK@l{%OQghFJ)1?_z~=*uc7wecQ?v>^SN7)UyCSaSiZ_n zF`dLkJh}hKRvcV_u=kWhz26cF&7wwHyb7q;{0zY!e&M}8_^+|mzh;HOrG3KKo0k_a z-h25>C0RZ=wMi-&MlV!^v9uK{@zS@KuAP}Vwwy-43%}iw`$fGeBz9gRGLG9;4*^sf zpK?YJ1jLGm5GHWh6%ej^D>XMY1YUJzP(S#G3W}jr?W5P{U}mPxn~dWEzr_cSr7H=9 zuU-iku`FQt*TSJJWeA^$KCGp^ zu}{Kd4yX0`uEXG46&aqIXhwwnkJr;mJ6g!mPjhNqzQ4`Uc;*T+pK-Yi>#Z5}v-+0vVKmb9U@} z)HBn*o32H$e9?qG#C^ezfX-O~LVOirUY@7!tnKN(oq7JX7@@iSV=d$H%@M#1ea<)u zZ?7Td&fMF=9$%9=0hVQDm^L`$Z zfT-kVdHb`KpA=u^oZJHwnG?l3G>e`LkqwaKlO@2f&h(1q5E#ud*tf}sydTL*S*vd+ zn`qnJ=1MCaEMEU!{dycoOz_7*S4E_mjH`KYQCX!G&PmyzCUx{N(0E~Kg&R`4W6@QT zUjR(+plICE5CplN=(tw5*nG8yPCN6|AP&E;0nJZGtH4~SYA!>MMoF&~z>1x&q zZ&lDBOlny#Z`eL3WBRDPbZ-#U=(`JGb(<~dOZfadrTGYT8hL|fJtg`Wl?&x@jnbvD z=NR!!-Fn&uDJkBIrZ`Xm&W&7Xe9c8@Y{lHglcXjBIYeQD!k{Pil;7 z`?v3DUwR)tW$NW>4gbrj1!RWV;>agL=Da3?A~h|jD=aFVeS7ExM5pnXH7+Bq>X!9N z-;Xaf+9drHd^Ob=fIPK6Z0QnbIu;aWO7hm%GW4*uWiul^zujwd+z2vXRE-PRX`51q zQ?pDjq8|>vo>U zF`S#rqjnEKO;2AN?>q}O$3dE?ef{99`tw_A$fWzP`lRa|9y-`a&q})WmS$wkGPyzrqT)oVehUU!XKO8vL5;vPQ7P zH?oxlb+rA`_8r9C*u0vFL2)nios{lum<7aSllSG!o>rr|HB4(4a^`tHvNl|DlGr+v zvIxHuW7_POZfF)D9tGx`Gn)k}WVmG7oy}C+ zUn3~bo&kwXM+hbea+oa51bRJ!?P1}0#%0t_aBi>?7R|#;TphZea($GEaHpm0k{r(V zKE67^Ws|=kLTKiN3R`{Er1G?%^Teaof}}VPf&lm2hi4W#R29SKez3s`5S3zT1A#yt zlcKC0VvdEM~)kr|_h%0Vf zo*!3&)KeMY+xWI-$pt&cEpFx=0symhoBZvsw)p6J@8>vsY4LPT!5y$SaX!MM4d?N) zYvaGz@nIYsSO*T$n9hY;0MT`kGF5V^(H6fIzD8etYm~s8W||;?7=W+8se~_cz!%n% zolYNa1F&YLOO&>U<`|ud7fG6whAoC;c{?E!WXaS{J;>d zFc5DiMQsSosNvOL)x2-UNYiNZ4tKOAai(xdR{O-kZTt zM-DEyjQiM&9o*%4b{+`uvL27*L+w0ycDR=300O4$L)o=Sku7!gJj)Qe7}j?vT_S`e zG;dagO1jywQ`dLEfJ%I(Y#kQMCgbve7;oQ(;({D2$lEga32QLvmVdUw)9+_T+gxdG zKhe&ukdCVS)z9D0mp^}wAiruYAIj5-x=5`>4R(7Dw(S;)(R@8(iFKg!`KHwI!_(`e z4`jZ~g^`oUaOS<=vS_hz%u79RpNSlVC0%}%4_bD-#ArISVQ{_Lr|Olc69H;7tVp(k z5lq?d%8M_H)6sZ|uXT+k*~aGOI+yTr#SE0@k*&#dw3ZOV&~YwS*NG+&MzG3uR1rNZ zCP5fjc^4cx&4D~stDa-7YTWPf?!Rd|b8YY}l*GkQOXszT9{man#hZPL@P-uW3 z@^Z4+PWLr{j7N&LFq^vN^4jn%3qP#wIgB!LKAh2o?oHn!Y!t!}I}{8Fj(hbU;PJlA zaFH(1;mjl2-gHs)&t|w4u(*O-`H?yw+Gth+WtyC0g_XayOxeIX8AEyxX&w1CY~T;D zu|k#7mLCcm$lfU(#BdP_veCtv(!r?w zBWrYBBEqTiaq4e9n3P#4+4JM7k}stX_Nxs0_A`P8j0;<*8Mj#eZp4*;flkcZK2rm> zB~1PbO7Kr&V_TRn@1G|3-z)=4Ye}xoLH!&Ug^h6<^qI_u<;!}I>v`J>Pa8hXcGq;4 z!}#$zWbaPBY+bJA_^7Tu`JWBrNw$F4NxMaQ>3YQYT$9ZLncgsxwR2$*uVO_oDH~P-tJ3cq{_?1y}>XXJeSUjNf&1J4R6I}kKC$mY( z*<9<+6oZ8J8sx^8Vu)R5^`Pda&`Tp*f%vo}ysX>W<@7$h6z*y1qW%!x%ITOty8I71 zo@Exvb_yfrc6o|&1iv_hd8NsWmNi8ZoeNMiiyG$6yG&0CDZ{gzQwXV_C3HA#;5 zm+;ln&!rJLvz$o-kS^fw>x(P2rlI;kIjwrSqT6`^>7WRJUV_6tX&-;ruf^D&wpraT zE!0T$s>Ge<;|d@CFJ=rwc(`L{Li6X-2^XArS5qR;Hwhj3Hb6{Y2saOX#H4*QL*(<> zas3s|1fSzHKXM-`_^S z+Z{Rcd+(j)HwA&-3=^_)K95XQN^rU?q%OAH0Uf=#JxqB(OtxlECAZcV0!V8R?(@a` zC#?a14#+i9b`&a)qHfgNp~31Wq;_n%)-g9pz=vWe92T-=RXp4W%6Hoz!TI=PrfZ*FXaKg4{$goDN@dVLWQJCH27Sc-E2CIa($cV zaiLrIv~kF+cc#819!@5_q-y{>i%OE-7$vL&*+dz>iwiKcdNn0!rF)`@=NR$w?EqNj zeyC*h!8d&m{@Hyr^!zfPc|W-snW=K*1UDw(*XWEN_{WhU1SqBfGiAP&#az+ka<&kavg`+aZm#&$GUrQDYVp6 zzns*L{5THrSsde932^MfUX8jo@IxRyB0oM1sO|S;-FmucD9NT=J1sR@{+H`eH#yxb z+28;1jt#doV0J+R_S@Z-&GSQ)K%URTYA@Tw*o zRdpQejEhevRRApB=ZH!8*1zukNykU`A}0}0*jd1s>y9#ZcTfZ#eTRGqc^dqyebiqGQ+rWN@@ z3XnV@h5w&Vhpa_kDg!k0|MAJ=AI@R`vK7geW;|ZW82BgJwB##hlkvrci(}fCG{FNh zV1(Wbh6m0B5rw|y!y8uUVB4uSZArq3b{;IYO3adzo=mjIKn<>+weEz+~q@Qu} zx2XjpZ%RKz-b_*aTME$~H}w{4oYuTPWe|$A{zVGlWkY(4=jXUyCvAXk2FeiW6V!e< zPOKNdh~~=|M73~8gop`w?EnFx--#LRYFN=rk=D_LQr_7_~wr z0LN82=*0abU6i@bgzQeFa7RBB_y^W3K~LH!)&DVFZ|_`6(Y+KcDq9QR+@>(?e*^y;_9$FT*O?|a^n68xBxV65>JVS^1%bXk*I4yHsCeVAfVtw6pLTS*XzI|Wlr zcbN!!`exzXlC{76^BLZSH2-+s&bKi$Q`*unkgy?37Sq9KBm7WQ=5R1m6D=B=dvWll zb|AkK++Z~!8sZ~jBP{hob|+>C$?_>CHGmqa$T0=>4A9mrv&az&w2b=_UPg+d2@iL< z?*@guc(uif978?exq6M_R#o>>c-Xh;7-}qX=J`jU&kZ@t)F@S8TMi91`GUSTLZFrF z=yhN=`jxT&?~d=^ENUDUJ@xRIb2dx+C&-hvwLa@3UJA|JY0JZF21m#{oD=q6k_fS! zYCU2XV51;Gwn==SEZXblU#EgDnH=#{v5lAvZ3Z6twU>A*{~)+gHKJz$fZn1^MOa=zs+2_ec3mSR`Eo@adcTT9>ZKSA z=zDqjG#`BabxbUW=g)NNNmLr-+M9o{!hwrma0pKK+pgj3NzjsIsg=NV|}bqdgDhk`z{R0D|)Zee9al9bY9DQynYE_DlS>Mg0T7w?f58-HHo?D%9rkl2l!BRtGn z0jWw3kBv3`yv>fgXMjt!!MPFhrAc#gZS)9msgdo&x{##R%iV|hD%}1Lllk#ckv0(t zkY(&geu-bbiVzo?gcaJCKi|y=W%1ukRvO~=<8RgS`c&j?x0Bzhla`x!sl-&6hP~js z&zdtLkwob&W`XDmc~g?bQvsC32cCsJVu!z|<3NylYmIxJfjZj=G)~0za66bAJa7UK z&~^pR0JY9y-$8c#ZOt1;8$SdZEXuY7lxt~aqa^k?SCT9RWeLe$envGsEp^qi75Kam zi8_H?If%XFu5nL@gn)Csx%goq{Cc7vYOEP4=;OVR3K48+Eu9|Y;6GXfAbWZ5-JeJy zbR_Q83L586!p&c&GYM`MPeGeouVau&cPL{X`!AF3lKR)OG(Ydh6rm5Ta>I>5p1m(tKW!9xF*Z zi4BD#L1IVVPD_5F&g_jJHU^c)`jcX&dIJ}guRrq2YIWRTW$;eb*VuHreTZ|FI$4H! z%!@CKR6Kt;So$gPD%h*a@QsKk<;`f}za%z*);SNhd#BIRyYbeg{N)4V3LztF@9of@ zzud%v&nY}W7G$nfNsl=fOnLGlj*^Bzs(%(zUlm|&{QT`mXVI@Itjtl@cT>OceH{W~ zr*xPJqJLm!{5UNNF)oUK9P4R-i*!lQ2@3fsZ$WN9<)=);H2xZ-8h(eVfJ~!aJsA*T zo)9LKGUy=slZ(uomi$+1YN2P$k|4r=FH-;){eKrft99|k;l$JpOgRt#6t!&L%H#|b z!SF7qkvJrfdlI<C$SQO!t@9U{QDT6kn{W}L9ACb`?`ad!kP3qK08^f(488er<1X@F+nsqOxy{cUVFh6fK*)cS(!I2VIO%4u-8ofh!p_*$j2_mhn^!re~oT?pz3V}Pe{0^?XuJbD#45no6+7wc>SYD|*+n_4F2=!QD7C*BlJ{YQ@% zOCkPhyCoPUu$V)XT|6b(0?{UIxY{=@>z1UR+bSAss)*t$-|=Cw;NqQW^Si{b4Dm}} zK!FuPM&Bl^JsY|bWYH<0IhG8 z2CB8Sm^S>dI|Ez$*VJok9AjDHtMq)u)Ndgbsbf7C&ra^Q8h`)l$2xT+KE^KQ1k$yY z>%QO3`KLqn8EFz8-|Wlrhl=fnyn8SPrWq7-b_NRFPpJfj-j4S75p}qFBONc9(yE#K zrX!`_#u}R~-5=lM2)i5M`Jd5rThiifWQppWER+DFf$1LWo;{B8KHYu(jsUqNZ~*Nx z0k(iP?Y}D-v=YqCMOa*C6`ND=%m1E#rafx+O8Ra+Dsbfbg&T-s-y(l$CLr0fcT~S~ z%8c!|exop~@ZzsK^`Qsq^FhTT^JMGc)#xZv8tW-^)4DPBSpEKwv_07j^@hRW=ZKh0 zKq_DjJxit&xCheX%a~q07DBGa&!F2>G4@Y)RNYH!f7H=*VpXpFQszYfIG7rXIf+SD zgq3?|%l(giq)@2UgtKL?SknM9Bwz3G35iL0qT6Rrq{vw8$_K$~-g=Gj>c=ghh_d&5 z=cHwNc1AYYHVHiS+=FU9HB-zd;ucf%3EW(f^R3buRw+)pJ4f z6^iov_fl?Fl09Eyg^>TMzbLqr8j-$nz0$|`H?O4K1%HT?j{H2PVmes&{em5B|49Gk z#B~!XD~mxi^$g?_9$4?FIXGnrl_ehyeY#BmH8VFu_75_w{*+<%2A4UQyQdTX5!u@q; zEqkp)#@IFXxAvCC*ri`La>IDbKZAP+64xSJEqqnLx{=nFyKm<}4OXSXOZP(Hq>n;g zBGU~dp_v~v-ZTtfcbtIBx9tY+jMBwpKi{6G&QbuhsTZa0D@%=4;f5IuB`jMP?t<6*4=+^Ik`eme&CN3?hZZUvNr!*Y1+#QQUO=0VIbQna8KU8Og8IIF z^FnXRdf{rAY<&a4f>7msZ88bYGZwvY=fb}8x`z)E3z$ZY*p|HA+ytUt_N?;@JQMin%vV??d{al>Z4p1}kl!s%giAEX?JftQB9;e>2SdR7#3~OuI=X+r~bu=lmQ7prCfV7J2SdA_(JU75Yxzj<1{j*UZwJhypzfnUZ4 z-8sFGjx-RXitJt?*m}Qs2;C`{iD}FN_}(|}$Nm3@?tSHcytY%08`D@3ZR4p=S4Qsw z=~v(14k#;mt+Rk(NDW0&-))s!tH0P;j$|*h`^lly))wV=J@x)`OF27}H;6rt#%X*$y75s#J(F7HwJ&V0F&&sts%!3UmKWz*aO=4I0NTbzM;jKFTY2&>YocYC6`ytxaB;OHe-HGgG;)ak{;mJ4Psb|G#@`{ zc-_y(@GVN{DU+glfbxco0U)w(&{YU&6s7}7t?_90Ypop|z}aC|{q*Pr5fXX0c5H7o z^jtVR|E|nw|0umOzhI${c^uU^aSs=aJ0Z9S*w08iq4DH5QDxYj@%9-d&QluyZ}g#x zHocSa;h4DsfIL<#0NcgW|10`1!6zsbxxGFVxve}Jd3hEY+49)Xxe(&yF+bBJd@L6S z`7WYo(I(AjpYCw;VQZ8eE)<5;VVNt5d6%ayA%kT4LZ2}2^f7)d%vax4t&60*E`Pj( z+vx_OtXme*u#ScR)qD7;z_-#N=7FyaaFQL1E#bwqWVvF_0gvbV@(DWox(Q$L;n@&Q zv23b|QwOXF(e=lt!>7Z)XfMES#%?y0n1t~2*-Mj?563S%BnUNYy%3{&Kg zjQr<+3%BwhoRSb-CUhX2BG^|Ghh@t63Hy+t@)K_k;CD|0`%_us z9uBMnYPnj2g?w8HY=J8Yq<^>CpWG|&jtj(LBOUfF8h1;;qB^gs>=L8@!#^WEsxK(z zBiALC!N!yzj5aN{@0B(VKdCuR+jTr$G)tY7m-karP!n$--Z=-c>G&R(o|9L)H8dJb%|?G=Xp|1Q z!j=G*rSs_rD~aCg65f7xDO79?Z%4(}8?Hy!lD0Th@;;T>`Z)0H2G}-`1;wJijYx_H zjbHKQ8tnE@NNih{s5uJuejDgVbbJ3Kz#L;v-w0XyxepDf8K28vFiUUx4^G|5 z?NDPY6p6XbSrR&K843ONl}|AQS`2bhlBNc1>IZ;w74nXI&9Itm<0Zp~k2h3`%{%@t z**5OAlTpHqd}dOTp9|}xFp&)9n|J=DfUdr8DZB*HR$ZwT}w2Wlv>$0R%BeC$waj5W`)>|m&Oz%g_ zC&|)GC75fzqkO?mMX#9KTyOhS{3;hyDfr)??__PeX1$kQIxpXP1ZOkRp3 zCQ|YBTg_F@Wnogb;mO$s`6dya1aDgjEWiaP%6e~UcQv+;@ZVBjeM}2Fsekv`4Z>96 zZINCFIM|^#r5O1lFC5D*Wws5N`0flI!C<7-A6jck^Cr$%+g;o&%3;>9iQ6Cv3s5j$ z&;TKXX(rWp1D^|XgMcpTQy`bfE7BQR6U@h(CA0zf0b-=pPcA8&{HfIVpid@8%j_NP z5`6ev@^0#TMkkJ>QjbxB?to7Yqz>(eS{>UYU;np6TP@>6R%7GDa!X8P&zpLicpJo< zev6>Ke5l*Y%x5y&I2r-jKjMLlG#;-I-B>OKk@_wHWgmnl4ieVv-!If6T6Q*{b`I>M z9AU#w3a<$0H8~_?r>+t8EAiI0nMbM>NRA%F1NdSiqP-dV0VU5Ikrl!E3?PMVlqx)NXP{1@+{;LHGY!gjsSyxs zdgN*gxkrbPnYH#;@KMx5et!K1WM9hdP=X?YoQs1|cw?JUzbfrfhkO`a)h`|s?)26) zH!#a}6>yV6V5SEnl`__UugAI{deCtOGvWk(s%op(ico!RLEM)`pNvxPk`s6Xt}p(# za8BO!vtF%6WB!dC)ZUDmh_p`~vc0vc&&)5{>77Duh&u9vh1m+?gEYse)>JPS`004H zR6A{r1~0ssCyaoT*{|gs2-2mB>73-jpLSFSvRbi%U_bieN|k6EUU83qzW?^M^{dY- zSQl#&$KP@GBnYsi&4D>-6mp@oE3DiJgl2dK~oe3R+ zKF0j#J9E+`1mS=AO~xtzzEdA4*Jlu z489jYJY2l;b0sW(cBOtd3A20%#`4tXSXPsZf+>&kZd_1c-@MM_;+iLVQig(Q}DGpey_rvo}*iSUD1W}he}<4K&b2ZTyFV-B3K z_7C)*BBr2oAsebH;MRw!QdQ_mFl?&vZ6ieVUlaqsJ*rtBZ1n#TOYb-$Kx=uZFlbK0 zXc?vVZ{P{z6uT2LlD-#DjsM>}mYjR{&fi~(_FJFJPfiA3!3QceVgYf#>q!4fd zFK;KJWIG!#B^T>xY+d?4?6!3q&`{4>;F_H-^@>-s@m0PJ??lQPQoV4irwX(R0?rA7 z6IpvS;2jB9PcNQPUF9{_WSvp@mLX22924Z&h>=j!h~dt>J$#J*KD;Y(L2L(mw6Ba5 z2PZqW$5mbB%Cng)~9e_h<)PUq;(Or&n)##i#_@|Xc8p<{OXG}c8Arm@_Aen?GA6&D%PwD( ziFkTG`))>v8r$IrWLR0n>=1R-!=U#>RbD|Nfnk;CS3E&w@i#Tr{;IykA_l}dK4)ovHP)bv;M=^<&;WOIIc#$Sx@l9q|~(?%}bxE z5)L{y+T%U1riOa1gKkL4tA^e0bzv)uTT}-h|BNc%+IK)=%eey_I*Qo;5`Ur+Dy53v zWQQrYjalp~w~;PQWZs2ofwoQHXMNdrn+M$PpzRogUZt>MKUbzdo7nbF-mhYyUqz(1 zf`_5}O>7Wv+*MOS(x8<~bRkEMJtIQX+nYIb`)xA*zwO0GQWu+q4Nv+hkP z)o%Vj6eY-$Um;D<6$<#p1s-Uf$brsv8^l1#kMn_kQtlb?>t?RV$NnPXj&82?>svj2UJVK$0)C zEyzTtI?$>x@LUiSwIo{=-oUfmiQQ}KkqxE{m#dC!#( zNqz6$V1<*Pn&A$}i$xma{~lg>rCBB_8|Vd3i*NHMV5%umvOHt@8953Q<3Lxc0M&dq ztY>jK4K|1%Z$To|88C)eb!z-yY`t|<98DA_in}`mcb6c+b&%i^+$FfXHw+<2aCZn! zaCaw2a1Ty!cbD)c-@e^_XW#x&U4848oHO0iRrmg`M5E>0V!|BvZdHb9x=7`AL05g= zAaD43!e=x8!tWQSogG)ONMd1ZKv*i9Kbc-F4u6?a=mwE5X@N|&N@hFmA3jGAA#|qC z@{`tpDfbg4g3w3MQq+1f1tg82YwozUe9=El9X^;%rZJ|j-+Fe|Ht|0^y|ffU{Oq-8 zIR2A2Voi8FV(CszAS7Z4F(P)39Jl6JGHqDg;aryf;~>*+q*Ftbyzx)ijhnaRv75$( zWG_SnAco0I7MW^~Zngge+w6*>Hbt3p-(vcLHu#mO$Tc#18F(AM0^4$-D9KDav~Xb1Q|tc+tp1(qS|PDgQzvwI z9s;YYRj2=-usZNRarIR@h{r{h5JZMG8#}1IG94!clx`N)bo?-7Oglx1LU|#7iQj}6 z81!abDD7jtj3>IXnXQw$QGM&)oG3!4y^QA*!=>#`&we7ykiC5w4^pUJM6BC65i!j; zBU7-rBR%J*Etkz8&UM{f1=i^A3&P-$qy7RlYyp0Fl`Es|Rc`3#Z4>jI$Aa3|RmWMw zP21IbhKm9aM?*m?%qEC^orB?Gy0NX{qFTvlSC!jCM%4#taw4usqj%hqIphGQZ`c^L z(V{^79%p3E@lr8=RDRf4R6@5>R9)k1?VB!MKV|7=ho>;_Md zTPtcVeotZtDh0JS#I@jA^`h?s`i1|cHFk5%Wo$n&eV2{+(D#R8XtKPmOoX$MAAST1 zLv2qo167N#h`l74Yq!3e2M@o<3+CIJJG3Gv#MX!|SZ;t8;R!>|Z}UHAaSK&Q(CDaM zHp{2464dnmSP^MLlC-W($Z-cH-&-PB;*KO~&0Nvq!w-3G$l`+fjYR=S;OgOBQyeO` z18Wxs9Aa9tBS`EwT}2S}z6f-LqhwO1Way(lQCcCIqha2i#?Ls&VW>;#pT3bYKzI)7 zoK}inu(`!;vHfy8?li_olx|{U#9RTdWVv5xL>Z$ivoW?O8L=Y5dG;J`p-5th?Xq=o z>N9r^iuPgaV!)efIUsfFPEuOuVY5}P`B_0>qt~sv{nr9q6tlkX9*S6(lMy+ar^gS7 z+qHM|sY3ZD`%aSYok^tPwj6X)BJCdBCICw)zubl5ENyRSn415}kxU=Yruc@5s^4k9O8U$#E% zqUaB^*Koea!t7ra*RQ-u8W8Io(QzI{#g z>oaU(r6{M}KhOqSzKV?7me3|Mah4IzOVP^u`=p!B=QWFO!Fr)vB;8I`#yUKptoYB~ z**$fCssK19hgXapqk4GqR_kADSNyJ$CM7cl@O8giVeq&>9tcvqaD5CQ$2D64_WCI=T8mBF_CwpYSV!N%*!DK`67bMg3wZb zd;!l-H#s5oUnhRvYEf1mgxJH|T9ITL!94uNO}cXT;D|mYF(T35j0}hyBxrder?)jU zB3Xgp*E~H6=0d*cOg7rrGruv`Z>Yx;!IJ%+G-e(1lPN#DH9F>+zm>0V-qUj2o<6a3s#cGk1#TJMv; zxL2V2hMqinf5_YqvDb^S&+)fuFxO`jIzy_JhqSrY`>_A-${v=>=zmiXo+urk zv~}pnH@RzhZScRVrDB1onWfOoako}rNtnTDYEX!#Rf=YhIHICrf_Q|tgF6AU?)P+C z!X5EQ$+#fRcCBXEU30 zl#a%ZipF~B(rPO{Nfwf6}k{N&pdky zzSu3Z54E6l3MiaSI#tbL+ggzM>*B0;zytqEA*dt~8=BBPI~&9 z27j$<>pR^_yYP)I%-2Z3QTA4K`t&-7t*#*dKszxq|C=(iyt2rT(*4u+OK5n$_C@F$ zo@SM3%Ts3=BmCD0PZVVX^k6s^PHQ1J@gx>+5^yhDkcCX|Dhl#b)nx4vP$Lqc+a-@#T6=E*OB5LCEnIjT+ai;&() z0x%RSHT;UP{`!Xc?3?4@nSGRF44q#fo_KCRCWpi6eC3%TB^;9!`ulMZ+@j&k3(`X8;k~_u*q>=q36A!j2fB1( zJ5iW2O`}|NlW+~-8EL(4zb`r%E>K^UT0)~YvjkJVy!tJ8EKsj#P1NP6jYHz!J+cuW z@$gAW*Kb*1LvQnbExB-fZmxR5I=~nRXLY;4jW`Mr75#azGqY874LS2HbXk*~Y zY%#+y$`drZWEKv{YL$~&Q+ zS!fpkInaim(la8t93wn-6LsV%h>jO=pmC^SniR`V56Kd7;CAG*pua@Y)5$UA$>cDu zck|U~_cM~w`&>p`san0~zU9XwtAHE5BqGMh(5F>Mc+r-D+7hF-;+y^kzR^K&G59*B z>XXbIahq!DI`WW;Vv+jQDDbPR@T}>W&R!Itagx1o6-L*h))`v%GGc?1J$hF@Jw&wT zBYTdX64zPpO-1eP`zpN{UYHjzOzXJ#ch>c2&DzUx*r4S; zNV#z#bymC>rsyRE@w*U#_&ZK;?_KWU<1ysm;wSXrrgJ7TuDOMq`a?uN$_1Cp1W%}j z?Y+>l6}XQ-e)NsNa}j_ADbX{G13XtqUupQ|ryI2*A^g|K+!o$C$m;Gb|5gtc^!~TH zIwkMERjKaRHb$FjBqb}V?qc((oh|qT~+w3rK#QkhXETDkB z>-J{dEu4E!m41A~ocQF&rMuY0HIXaml{L>oRi!BFtZfLk~*~>m{UOi1i5|k4RjU z6)p%g%AMra1<5m1N-_?wZenBuPTejUgDUhX?w<^bFYj5Vg9CY_T&V>Iv0 zrWZgqzU)uoU95`SM5nt^cnm$;L2kjW$hU!GA6t0gy`C70k_RnFh_577!NJ_{bz?|w zGc%(b!ENXUYA^GUcTA02J#8^mzUHSLm62GHtRH@r%nuY5mb4#zvp-5QP8u{+$?j#} z3nQNheZtT2;dm^a$ z1DZqVeD|3QE88H(?1L|ihlq4}B*Y+d2O3EJmv`~=kE$CZo1SK5Ou-uwx6D(Z{kZWKa`PD9Y(xF$>2iHPok0d^}6ljn}At#OAsvcLbBAYuUy_%ZCTvR22g14 z_hy8v{_e1GW%UC_B^KM@@JnYw53U<-O!s+OpI30k%rRcZGjheT==}E=?5uPFh67zY z_R&f$^Q?K0@GnQ!XtJILZa=AV9|o$}Af?{FX52cNNqCn=|E-7CquX3M`gBvba4j zRBa>Fzyb``WkgJji@$7$2!OmEzHZ02KBw$@AG<>vncBRG{~>$z>b@yNyHBIkEIPD0 zo+0ElVM>}WTOB_Z#8D*n`|N-^Q^hvmSy6Q9!2$D&;2xVjEn5KH--?ciEa0qxRuVtJ zn%4Dd)nrR6U!e>~z$c#zofRH5G080kc;fhs-{>Jb35&(kS~GJ}*U)M#H_ zHiCw22Bmf+CGoqp{V z7M+-@JJF-ju6`wLzL>kzVJD-q0PedPW7VC*l4nOe{+~vB`O;#s%QeugfjQ;cQGuGurD2~FP-+-zjMK5EF+oLxIryPm5?ou?MwOc4a_ z)xvdl!LnY%ysgY1Z38PpM=rZXqQ+SIIY+<>dSkpdfJN3h|7>`q75#3(xKnwT$`)|E>X}!`!qx`;#9Q+uv{!i6L}p51JH-Rj7!woxuIGSL!TAr`?RhmahJr)slIM$aHst$e zQA?ulkW@>IR4FQSR82X0?P+jw-$+?Q6vOI|dR^6?Vu}$J^1o1!u~VfvSfFO0D4)fZ z;j(Kq#Z#=tTtsZZ6Egchavb(buD#On({lnV!yLz7`XzC(01J5d4;CL*kh)3p^HX{z z`KV#t>!VraUhHXNH!~e9kRdmB5fniOR`0mhln8SgvmACrvWZ!uzig*JDD>jJ7MVo; zRp*pW?a9sW##5X&Mkzj^c~EZoS98E?xJOUq=6^68&&*fYRGFsphSfjsSEywMY!mBX zI!z3dM(HZYeFK~6B2tAZ>E&bc?v<7Z|Xcu*2=EzR4q>gi=#WEXc5Zz95tQ}dIK`KqPCc-qQyi1E=;W|SOX z{@11y%O^9g5cpbkfkHIV26^~*+`nm9@O@ZK)^@B=bU>|$@Rg-=c2vMZN6Tl)5@zIW znnRO*&}t!gpg=g?NSiKvOkSi^{xK`s*DyK!JyX~ujSaH^(Wj!uu?Z2?geb`8leY;` zevqrvQGPQ$El!5kHrQfydF0~&%YMqvTn=yYK-57eVn|u56VZV3HZhT$G7%azqiDh( z`6`Bwd`^6Q6y;}pv$~_G_{4VHBB2iz&G^VHTWeO!Z0|G+v9$|5>Pj<>@Od*Ap zIbwU~pwG2QzgubLs>$RL3}vy^UBhtXDnTDh?y7Z1EI!`T$}c3x!{~Z3YI+vH{-ngX zyc;C(&9g9k4%kcwS*+2epAs`Y_Lm|Os_BF!cr=5$4_n^W3+}0Kp=1QlL>Oxe%XZ8@ z`p}7Yz*h{>0(I>Ety~eCsKzVu?q7d*7jsYFL0MM!LRoh7qHBGmK5}ZQ+kzzL)vWJ+ z&F4OzDA>=@ZJ?M+m-a)-)@?bzNDuYH&sCIjY{Xf69E=*Syd$P-UMBAejDFPizE|1# zUV=+Q-Aq!keZ6lPA{bc*C#|Bbk-{?NuKD@n3KSv6GEiMTV~`jkcOywyS0jou4}*qU zK4#3Kf(hacRiCt#LDSXYwlE!!3+0s}Sbz|B#3u1ySSzsWYGj7{NT^VcX^^oxznQm% zQ=40TVPz%9w zo0(t#k6DWvvHs^GqwJ^-9jSuW>4cH^{%56PS`IExw~=~&r&;diD;INS_6xsTs{Zjrh)@Rrzkq~jUQbC8M|6I`q#ajFqZ7`cL- zBM0%m$9;0wT$K)%aMKj&h)b7NF^NYp<1vj!*%CJmMzT;suV4Z$A>yYh%tFr--tcZd z1Nq(JOGnm&nNAkC)Ji84JYvgPW=^0#?3YQxIzcK?IHgJ3MSWOBd5Lf1o8D3)elj|s zHP7!t)8ekq0O72~W~HozE)~xA@mx$mQU`QIS><=s^%FwA>hI9AU0n-;-_qa5wJWq z1eSax6I61|VT_GNizv;!euA#S-mx8Iz5d)|Tw3+o6a!1`m|F&j6{uS{50n$>{#c8O zR}!l*h&UrLhu{_rQ;GrJ0Dr7=GdS|_ZoL33pz)cq5BJ_t<2FJ__n08bcj2)ubv4RO z#Tnq9$j$yUL!EofqciGO@XaWS{cB08f6l^S9@vL_KUbtShnMjW?=4-+w}lOzsZY+- z2346ZUGTh2Hu{opM#~~%@#o&DlcPhLXGrNPUZJI8xh6p(SGZ`Z=ZsmHTGR(_m^B4H zGJBOf5I_spAN&x*PLJqKz3BT&4qZBdV+?55u^iZ}72S;zW_%{?T}BydgqIU3=g!9W zcn0aJYI1&rtjX-~?ZcJJ_u@wIuk!P%SXz>WeNqE(Rt{R1`FTS%CGUuOTepdB`QHFp zmOS02qK8hjdiuouOTla0Q}X2Nn85q#!?P)+TuPhkzx|O_C>j2fuOexeUdmQ9a=?Dx z{&}q@-IC-wa*0>Skky;6Z8yWkoj^fcw6-`06|>1SCldV~uXJiz5LpAAuUTo}PmbIl z@h)Eb(|-grR{~|pPTbMo%D5I#J`vLWI&V8xSPz-ZVFbfcyI2GAr^Ewoufc2?jNM{% zcWBfXe|xHwk~Sz>emx>zR9%nGQvoV#_-l&i#KVvH5gA|D#T5*Q!hB8WZl~}GjKq(Y zw4U~9Wzf&##}w#}mL27b80C)Q`+g{_1*}S+V4O3+ZfxQNYHRJ0g?Sp0-k0lUV+Rk75FMu zh~O6_S67DCY54sIEsh}^=z|hGIQZciuSEjq<6S&Ya;X$~Re@q{xpO1$G<8tW**$?AO|6;Ej_zu?9cbj|zaptWFun_8O-ieakoA>^! zmr8rMu1ifvmc;icmm$xdlBAtqC@nnhE0s@^6AiHjlil*MrSnBt=`ObFJcK?#M1Y-Q zLUYUuo5DZDY*VO!q)icI`F0~SlOyOeB>v}UhYS|KGBE@tsZE)^lHx>zHOL{d!#vM6 zgTW+mE480odYx+uh!XM{Qca~l*D19Kvwchyo@iYO`Z{^$(uwQt8GFo@UmvD-PL>_8 z{HkLUEndx;y|(T9?!C%R)xpf@?cn^92uA7$*OMs^OfsQABAgup?7^#!&70K0Mv~6~ z3W4yx4yQ_I^QTCKjy>eEKilb7gZw(r&ty4M5AKnycS~_^0h(zhE7$vyc(*{WER4c9 zmj0LB=ja5A_K~$}(H%$EdzQyqH}byR0zmGO>LSIwD+^I87(mntg+8tuac!g95`s)Lk#mMJh$CfDjOvD`+%)2NOpQ&8 z-B4>N1Y%G4>!xu_By#25fJTP za>;hFQz~~e+OBtR;OZa--0iP$UM$4D+C3VxqO;&?aflKcUhw#kr6v#ydzJWDXN7Vt z^!lQptKa8AO}0+=;@0AQ`n89VKJtVeFwu`$QtX4U&wCHG&%6I;$Yq zy5{cC`OR$WiX5k=>c=Uu`q$>Un8w;Ul`x8u#p`j$$qg3O59vwY*!PPY$?TSVwmg60 zmuNRr0`Qw>+bgi%828e&vS3MYK9~eK17!gkDdWyZz+3$Mc-qHjlN-IW;sEaq=&Q?SjV$7k@Ct3Kp`+ zX<3rN2=7rb!wNncpd@ik0u$91OXg_4Mqs>UjK^2dgG&rJT{L%8qThG3YtZ_P6fXFt zh@&2JONl5@CPX-FR!9`)c*J+m0C3wZPy{Q>)Ok5{SX*PbA3_}=T8u)VeQoW!B&oX@ z(fcnR*WV<2EDdO>D@vqg!>Um#eBIuql#GkB;7<(=Z;z|*#jAVCsv_D)Xzg!rW7(~gj#`V#Z8=2sYS%@R=gzv{@q^+cyC7Ie~xVul=NbM(F zUCZ7WsX^4~I1Eudb~Xy-#{NXAr#Ad?<=gC^&S}>!A19yvS%-l)zzCeN%#jm?XB;J_3SxVRr=nfCQZzqRLj8C-c`trkb@1Ul($*R) zN6BuLw?R(qA22W>cf8BnAd8y&GzJQkJibj&ZPu{JQ={!u4U55Nq)ij{L{`oRoz1I$ z&?vC4kXk~IzG?NxEHWeJi2T1FkUd7yQ{V6s!sRUCeQ7MHbwwX* z1Z#g!T@zrB_=0GUvEwUbL3QN+iwFbNIYwA0c^P@?S{G*w`p5 ztzNV>uU7s+1IfRhN3~5NL7j!N4Ho`BYwj^{)+L`u)({COyhBhgO&J6Mhx419oxQNs5{gkLhPW*o+tL_w(wnJrRd zT?^tEhIs%JS%g{T_`q~gc9V8dA}mc~M@xA6mzvk6T{K|chAfygCFaax8$N)QSg`67 zLRIn()7FDi%+;-?>=jV3^n59Lo#7zwRRc+)9z=sO`%tQFaIYw zKng#lD!%AJ=4U%vlGP_3n9hE7cmD!NygwOfUjf5bC(TtZX2;z%F6O57fCB0@Qps6p z6lNp+A2pjfE;lD>T`bsTNl-fo2uHQ^5+{`y(baEC&2Yn%eQELucqtJDe*~W) zr7YZHVXT~PiUIP}hfVQbQdcBtgKgqsv?GwDoR4jTBHZ!ah{9@CT6#rD>lR5WYqjU2 z;u9bG5C!vV~lGcvK^H> z9udjye$aN}&8;cy7#6N&TL3_D=%7tkq%oE-6e zRQ#7(H>GlTXQ?{tNoas%i_Gh7za}r(<)Cs;MYoq$!VB*D!tvb4Kc)BF>!8ywXlR(F zd&7T~SD5oe;co#U3r%S?bkjOO8x;swUwvi|y}F~<#x1vK^9?B(!E8A_MWCmlpMol$n-)oU7aunI5vc=i?x(Wb`O$=k9L06}j?+yKUUy)(Vha z^8ufP{9Y6|0JpGPiiV3|QVbXsV{Q@f$K4nPU!rauC1RRUj3d^LT8jW!&xW%T(6t-OTk{>#QN6Q8rgo|^VfnxfoO*kWzwzI9>Wa?&>S6)Ge8WBKc0Qo9?XCGNuj;s}f^D&&%jE~e&0Jx!ph1KulbfazE>Wo_CU_Lslwf?@NSVwM#N!4H$HYTWE4 z$bzyRKWa@u21tB3YS{kZij6b>;xINoHMo^p_$#~hEDPEak_*?Jms|)$)qQ5OIBkw= z+>l)83b1?##4)Q~GJ5k5L9D%FbNp3cM`ia$qgEkS@#^s;W*pr5{#nOuNY#@V#0Z{z;^8bGk2#CbJ{traT;2u-U==8$=vWPK| zP!)=*S8zpc_>HVVc~_*3K#mgjEWuhWl&`cyc2N?|LER;~>gT)VLNKWzs;Eu`K|~EO zE>Ew}#xM;-;GkL-Qx*DNuSA{9aMW?NMFO+oQ`k=uAZO&$57>>w(i+erm{ecAWib{k z3C{`@@>Gyd7<8bX!PNxc1x`Lltq0J%nhv4Iux9PjG%X7`ak-PH!mXTyx2eEC&!}nw z`>c~J^VLkUzxw|rxq7Swc|}61Q*L+~ zV=2I~D>({EP59j@H=B6U#AaOPhNNR4YU?B0&K^M96%yK*@xPk1z-~NSdn;Cv=T@fZ z@Bf}-NhrNbZQB@8pe?A8 z9tw5yit@%2$#cOk+(!}>fVmj`Q&kT+7~!qPu%mbmKI6d)Are+z*z(1v4-v|2UZty# zg}rK*HE~_QKL)nLIVGvlr7Yl?i?@mDObaoFvfunrM=>Ol-DPd^)vwID*CPHZL+Lm^ zv}U?jr6+M>FGl!aDW_=mbq#!I)&E0;l4~A2E)5Ap%N37KfQ;z@AkflZe|b6@ADwSE z_5+#yV58>JbPEZwautUyW6BGjWBDJ2C^%m|5E^|z_&Y7kwd+IpKNjhfxGl0ZC7c#N z@wBjpz;wNyueT8_W=blOP9ig%uS`E4F1uBel%ynmot&Ni$5P z`q?ggMxdh6HXTMibp|b7iy2(+1^Rh;#dON$KjjXU>u_B7;LGI6oZWi)8^MbNccAzR zEbW}133fz^h=*y{QeOJtpD-Z>pdJ)6(vcYhm;!vRBn(gy22@P3xUis!#74I4((kgI zq|gth0}cT&aZ^PhD#FTnk3Ise(Pxk|Fg$swU(miv?W8D`$7o*6VqeFO1(gKY6!>8+1aDUrdB5z02xlBRf{6h@EuUm zhN}{KKfcvYGwq~!CU?VwdvcIj9AEGuvt}6v(bGK&LYwb1I97MS3O!vS-@zq8f!E@Y z@b1D5v97RFHi^=hNs26-!>apvu)JZE)TxOa?k2kwSdn=)?RyKj^Tw@ur!D2r1s6r) z(})Ny#Qh-X79sL47S%iSDRC4Mpe#;JeX1&y6pu!AA@Wh9$L&ulEcaJg32=r~%*6)9H>1mW3D_8S+U)1a)hx^1hB9ZQSlvFxjnWB6im(=bWo8FsLHF z{Zrdngtx7`I zRk$I7rZF31C|i6~d;8cRNYKF!a(F(&$uF@MoN4Y|7*H7^9d!QOaRRg&dr#Wr?ZhU6 zE=Vi^Nln*ZG(Yao+?|6=xFo%12&07--+{Q1R)}@-xR^*PGzllLYVK57eEb5EahaW=4 z7s0m`(}x?8L7PgN;D=_pu4i4GSj;)1I&9Yl2aS9mDqeXBnyXvs33pQk%DGHd* z`UyzQDb%ZaklItIJW&cHKzLcc?DU*(SBW3}BJ% z&tD~OB+t3KO5(!^pJg-=t%XBh%CG(oMW~_L@~SWGFdhS}#7Co5$vXH_uN#fn!9_O?-vz$apz zq+3gbSfk0HC`Nrpi-=a%j4(1~;o|!Gp6ld3*y;d87_my+1ov7#7xFC)RZ4(FKYzph z)i{uY)I=O8j8CDkd<&#iq9ar=62Z0-HZi1k;n^Imtp3)4@vy9w&pq&$H#?#Uk<$+y zfpZ((u|^(;OLQw4zk2ZNvY-nC2`5(+oco)iV$|0U$brQ{BiUXkzpEjuJI6W=4CJ{5 zrx9I7VCTN4zVsTMDOkf%;a+FEgxi7KC=Eg>>j5G9Ow-yyZY%!qQ!ppo+Bf*BE1T6p zBq#v3ezB8>g}(lZ(1`U)j@(40mTT$ z!S0`*PzsG_wN0{xQJ$?T%+Z05cptiI6)Wr2A|!m2D#uOF6zg-ciuM+oXv36M!!bk7 zsQ@Fq+h}h!_f#q^oOJ+&E1i7gg4Q>adEi@Ld%BP1#(wcEYzHh3N2FuYz_%@Z#=u#z zRh4ZawmF{K4?Cnwn6?ooh^kIMe`Ds!e5=Ko^A-LTit7b6c}yd4fTtWvefHpyb4nic zGnwDoo=}S1dly7I?6Licu?My~YXL6ZO#s*mUa?AQxAKMZ7n`-)L?TcQh3SwSL~jkJ z_!xAc^wk|(I*0Zi-Dgvne9Igse;C%vJ$x;lhP)-uG{J%3wZtz^Hv{_YjGDwm zu`ix)Glyef+yWlK{XCSEXA&QR}p+F-k_$p6=JN4#oEv*&q;?baR@-fGBs`ssuUEjv;&*{}Q~ z(c4az6Ew>9(Mz=J9*>|b-N4<0>(9yMn7qzpuHW1&Z&y<~DS1BttEQ16OS{sB`Wc~L zQ|csh<*V-=Tun)BtckzzUeW!w`L)>C7mL>nmZEE~o+Dyn7s&9c{_=O1_BWdu;sy0j z1&6>w?^az-<-!L7{`f8F8B?G5tv%$=7t^Eh*RpHUDVyZ2{VcQf! zRj(WHE)HC?@GeGPK{pW7+ynM-g_@>0L;hkDi{VNMNQ zE##p(qF(gl7^*c}XNtIZ&SR08JVN5VN1X{AQkYHi6IZw3+rzHzc6>{_q>j(b zU(u9BSydoe#tH7%@P^iEAlz+qTXB0>MMH?@&s1$C{L8dd3W-@LutU71ghnvEW*3GQ zS*A-tq?9uY#$4Ox8F@rao^IYsiJXvtohHLPmg}V7glq{ib*S@vnWo9OV^XM zv_(!YgKTwlU&cPI*wyHM8Jn|zDEYyngUpEfHJurwR@)C3G>-s#1U4-OcbRx60ePH6 z(!K$@PR0ZsEw2EhJpBF21zB2wFQdIz{q74(GX1AW4Y z5GH0{r_2U2O(eO)pi-2TX#RF27Mb>!13B#cw$zLetWTw{~b zNV<<9O>@zJ0A9%(Z5j|A+_>z`A~gsQk-Yf;sc0Y-gxL)>?U8{HzQ+O&DTv|hkWV|C zPo#f}ohc9woX$mgGn!8LUB&vZO*IRTETV6ZguLVjNu2E{yp}DF%HvJ1j&P%H42c#P zajd{*BepNjrfd2Y{>+ZpNsr#Q7Os}w3WSe;Fos^l1mF$aUhti>NzhCj-mNwbJfqZT zq*X&b#{|&J8bjZGxW+eW<}nWT)OkX=Tz_}INDW+eOIH5dfP4Mn`4z^rgRX~6psZ4Q z(fyCKT&0bnCp50n9_ExVH&^+Lp&OvC@#Wm|si0xJ?3T_7+)pTZOX<~6zWD*gkST7|AiQ>7<6s`MCzS71q{oYsN?W}oj}6`&BVvFY zk9ad_`XA)K8S7CMASaEx>fXxn8z@KLy)LL`DYv1Zcb~r|U;%BRgC7=QJe}Pz5u{pL zHACoDK|EllRgnGq~B-O@xtUVSu@2;DKO?W(|Rp$ zUoQ{l_{4qGpFD!8$GHvu?7k6R_@d|YM1QT9UUmdOn(T<9yJpfleM(bK!CDZuoy?QW zh^Q@M+RE*uZD@K&d>gt%4wQ0_-RX6jwobj4lnRY)AxzS?jc)o)$twpaSksqW7LF*c zxYXh5MdT+I|2ZXx07<)rf%PImPQRM@vZzKbJWaKT*Bv=Gwglvl8McW#=$`4j=zg>t zlw2gyQU@Q98LSWc*a|P&|Gi+P^iyGJ|@w)G9N3ZM$4vBgM!h%R;D+4?Z( zD_7ATl#+s8qT?2-7xBbZXUbTvO{?#DrW-9&4Rfx*=dX9WYtdgK{f2pMBQ>ddq68ya zc{};4ysDXV^5J=205}T7Je50`{6&TMA&hjD)YaK-xVI7Kc6B!{#4cA2qv|yH=^dnL z{6D@ypP+j#^*5?*3^6JdF4Q0_i4BBS{5@oy?>lqSe-X&>LGZna;1L=fZeGh|kT9M_ zRh%11EBj6sxk50@WaXB&UuKvJ&F-ga*&@P>GoP@yB4CguF2S8Sj%PX6#9Ip2)^ohShyDpX9G=f1N6P%e_g#Fsq#yxK%K<9& z?zb+=xB+icahC1)W}F}-G+qSkVaFHHD?BCj_ZV@IBYCi#y(k=4;bZ7PkAZ*UE6&4R zt^Q{E5CGcL8@eE~AeADgSsILcl>5rGBcH%_jO~wZF4s=mPV}CC9Jj`JdQ{^++Dwk0 zE|W;()1_4C>U`WO%D_^GyF5I0Y11)LsC}#WMA19$8J~LA(?mQkh>feN%{4J-?;19oYFZ2pJ;($G0lN>A2!y1w=^`Eu8|#lsibbUd zmiW?x8fX?~%TFokj2MFCLXAc(n*wpL8vaOB2xH2IMh(};8IC2`za3=qj$DAYn-2zv zUZKL`f(y^-*;%}CMdPN%;xda6=iyQgyt2axMCmW$1fSfs;NzglLX~kW!t2V$=<+;9 z2%YcEvY>2%6|=p5FFtC--CSef2?3EaU$n@4lEiq%_#=_kL+9Xp8hDFr%Wx9aXk z(tI~j?o6K_RQ2bS`R`9d(m3|8)`-xl0?rMX*xprkN*w9{Z$nVP-z%C2_4q}j-TKrb zxGDuB$HmEgc7=KO)V5UshZ8BjwF9n8;v-y@NZA?_0jXO8(yzoWf3m91!@IVda&t4& zUTaxiookSt=BO3(tq|^Fz$PiKx1pY&pD1#+#$nvH-micT+4zf}|LlWiJ~sy{^X_!W zU&4t{9wJZEtxs9_KB{L=uBErNpQ*_nC&uTxFWE_VZNSzZCC~srVQUpI$T2}om8am} zMFz=;TR1NUSc`of23Qc^ri8%pE%5Kf8bhoiPcW*PN?AK)Ri=ce#7X`_3uUoBGT_ed z>=n z#`dxEoY)RCr2@mRPhLH_g;Jggo^ap_RonoEV!#)kasltZleh}~JV&_KQ|HZ?At~Y~ z18x}Lg2fP4B||d`qR1DT{w}T(gbFr}sc6j;-ut@7 z6gxtJ^2_{+we>W1aq*txYt4tx+A-8|mEs?O_Zr__1494$Hr>ATY|fKPQ|>du2*_d& z3q*=fsKL2Hdb!~RXZ1t8I%O#LIsY%LdyJ4yZw6-xU<_XiEL;tz!V(0t&M_1q3dx4xZ zrx7u%B<*aqA2&x%>;-%g!*hu$Sv@0;1(8rGPyW4A@A>_4@BL$T z_RQ=qc}QmG%zNJR&PP&h<}lJrAS)oBh%=OGkTX&=>m@Nbt zA|Inlt@_bVmwCk-g=T0mz5g&(EF#;VOz6YNCl+zOfKT+)r9LBg{42d$R;xc&c|{Ee z3of!k-l$3%&Hl8MRP>xKZR2h$DHxhX4%~`aZzZA@+ z_*6vr?9%0Z)&~8L3hVaa;XJL8>Ii|_I5iEJ?qK@$yX%?u+D`NQYv+y#DR4V3HF` z+bj4wn#!ovMy=ZS3l4IMd=o>pxX;e{^<4Fze;DQT=_lI&;#~My{gVmCY5Z!sC);10 zKFvAQ-HF`A15L6`?2pFdDArn1zlq)$xyxucTG0dfb$3W#nkh@Nn|X!fsjrv~GU7PS zc*+C`jYT9)2%7x5Z?ySsr_T}^qg-xxKGqJBF;eAL z%ttTopkuJ7-JSibLi z`qJ+ThjFti#V$!_dpx9^VpINJgaw&_-ncL$>~mA8$%;Tl)IP=yg??SjJj%hD^#a*i z&rR~^r^tU(yh-(cNUi2QYEb!yO@HGFO7v6Yp?@f$;vgZnTp^mw-R^X_^LP|kCNh@& zBrxU^b+~AJQoEzMcA?nu(D)ccX!s-4{1%HT#PJVxB%jptKiQZ}{ZQWr zo*>^Q5yC&0p>y9rasLTUUo8J+Zm_vier9RpVD6PIpfeq8Qgn4v2p)|{9a^kdO^ zqG~-ZZ#T^sy}Mv``4rkZyOWk9qgujq;e1dY%Nw%T^MkHM6$G}aQKap~LxtGShg~eA z{|F`h<3RtM-&#mf-2U8cbX3CEY^RHpggBSPR{4|acUJzXy9KNOhTrbo87~sT{!t*> zkg3O-`blz+zDOj58TY=n*6i~^b5gyORHXTP;jY5k)o}Ws_c_-zRDq`d`LDLIF9$3b zT-E?yx)>6>h1;MWH=RtEYV@@`tNo9n-lv2axy3kg7ZaPcD_&S7r8}xExd|74R&%-l zKt!;A+~HFf&Ccp%G$JBh(JE*Q;(K(Qydt~NT-V0Qw>p&yXd@a(oRdJ~^X`Vr^dXuz;={f^-F20H$R(WxO1#=}pv!xCP^22E7E)Zz^fiQub`NS(+*kF>3+{7uE z*!!Il9x*b_)2Vfieaeuk@62o|8SxC*?8`0LOfIoq8rsW@+eu{L80RZd%1^9-YP^!M zR?2rCMx!H@=t!~*Jdw1Paw_Mv!Uit=&+3wAg4MoFiFwh21NfElxdQ}mmP&rwC|E`h zZM5DyRy0^Oe6HB|Gxl10Rncd2AM0tv9q8;4$T{pp<&Y&Z=+;RGVUK%QD!Lmq^^;bbD_}w>$hJ! zI!gKb_=_uP0}>$3k@nUIN-cnL^^l9A#D?0GUTjWvOKuITs5Ufr88qbMKPR+Rg z%!l#|MuxEZm+uKzg>d9WTFW>m8Lkix%n0^*@$CNqK60Y&8D2!l-h=L&ujj-(H9di< zn<#I62$$-|VIU9eK00T31o4g;cVvY^@DJsYX+f2q?f8doIAEl4v(m=b53%A3a6poa$(SZZ5!Hq^_AFAbB5jcbO~XGN zkl22lHD-^qKBZwly16uQgrk}-sQh)7EKOaLuPdVcp0A`FgNCf}5OvXbD`CvIz*R6& z+v`)~4*3>bFi+R3prGLQ5g?oS3xy)w>K|!}eD#`aONvGU@vF06CcqUL(C- z3N4+~Xb*~xuzZAxYN;}|CXw$baY`D(abhXuzcpD=iWavuEx4X2uDm<$Rmk~p|EZv) zI&NpP-oC1bClhaOO}q}RdUzUc&^|H@RAJO@O=tf`ycIKPv~e?r6lV|f#1EfRRMI9p ze7W{@xtj~g)~;kSJm$s607Mt!JtpVJy!R&`%Y2F&Yvp^+r#;H%o-L8(7wX#qy|Mvv z*){7iOb&M39pYLg>{U;V(#B;w&ionZ&>oJ|lz+}czw}`fiFK9S^m$4=-{eEnzN=l9EPByX6`}gW$a&1xs zNUoMN6^?hAc#e%grxJrau3;bdpo4bNxd^KF9ZEe<2_8$(;vK~2-%Y&7g{F~EO?p-R zquWZQP;r@zST~0%$KZP)PB8=+3?0&2=js%Y4~Q@ds?b~DTDZpslSnlWgUCi4 z1X)|{PeS$k@*FJmy14Mhp3C%v|BcLQC7cg4TTuL!ax?rw$o5B`(HAjl(+7i;uY|FBBaYN3F=R(n4R8wOH6&ouh9C!?dm^p-?(!jMgGHZA$k5J;0T2{5NT)D z9Lgk?KZL11=^k`S{ovJ#CCTinIZT%3^I=m^&Sj|JQBahBR^B171c%pgd_8P)2D=F3 zX{JqlcG%8`ee+wJ-`xTGJm7|oX=0N{TA_M@agABN4>S{$FQ!_1vd$dd?2<^i&mlpt zlD?Uy>u2SjTMf+SKTd@KqRp(MFws_qQCMXYoBwq0@Vk{!74kdMVjwh zNqt=0XLjqYlikm#ge)HTS->6IVkU&^Bv!`I%wzuYe+l;-+Op^rMes}^@d-&aW6s&r2m!L zksqwU79z=c^!4}1)v~;{(_saFUt#|KC6*{eiR#QzMw(%U@EsNTar?l^*J178~>%QQ@3d!Uk z4Y@*A*1k8I;Th%8A3hi!ixE#vXjR$Dk7yFxid$uQBSPNHVtXCH&7m1OwA0YaPl;ki zOSdf96s{D;aq{EBZ37~adq{Dx0WL-nAva4IIkfUP9{5!-_VJe$1WI4q#QEFpcybx$ zt@4U`i=_0l=L&tTLq_JloC=W*M*Ma2g$1B#SvB9GEK_kK8Txno?>5EQE=D*9548N9 zf)3{F$mAeNZ+keF>syOQJJU}oD4mhud~u=SerKC<=y;uf)S+Rk2qaSOWOXW%xu43JEU@N)-NkzOg8N#c81Lb!L3yv$M1 z`A`rSmAj8#B!NY}#5#>dXMs>_qV8OHZW%-S-h-IGVwS;O59g=wAUR2>xI(H#*4IOh1_`G-8FLU~sQis#83%{ju7YbkYSwV&@Rycv=)7uf5r#bx`Q zAOHu7LKHBw{~>P*n*Kzzt0!^yLvFZ!h>r5reJi;2^n1Qjin!4=s3ogfU#DQmBG?|2W!0%me zk!78%7fwzo3N@?8@Dn%6fnyAjPA#mG9eLH-;PPd=yJVVgyYh>m zBG3y4IRJkwXsA_94<(qr)D*;e^f6-9khi^{#3y&f)!K-L4iMYjbqxrt;?jpneOo>S z%(S9aVT59)M_61PEZW1dQd7cQGa7tjQ$cirK}#oqj2@@nxZOZHnD*hF9536w<`)krwq#Kb z-N}`P(5%?qciXZkKTNaP9(r1A{$R>09;n>D4@MPlKRow(@D0&SpH`8zGcl(&mn2iq z54V>iVH=NhIX<;-{F#~I z3WupOyCb8z(X%%=l5-=;n8< zPuSklq+~gB;@uGpBMmpgsQFNH^NubY`jTr>;_kUB55nexGgD zLRcRdxJy^J^`NM7wZKtCB`4ddh4A#md(xXenf0$E+4k_H-m^yv=dTEr^_5ee%x{Iw z4IQa`kV11-1VvH5ah@ls2F};R{_zN0yg7Ov7gN81h;a0Pu)#E+`!_@9Cf!xajD6fR zxZNvp1);G{?kTFN#cgjvvoEzIG$C~H)SzUga8S5Oc9$WgoDv;26&Vzt+ z&O=m8zwV$b7Q@&XYtGR*mcd7y6w&&=3Gub#bI9|T9KLgl%)TZaPx4af(KaQh!SZ$jdZ)4vl zY1=1$>$ld39~4QfTHb0=&)uO?V>+xyl0BPkyUJ~CEd{j4!8DdFO*}2fF-moK9|uFD z_-r<91c&ZWYPcWpg_#Q51d8dOZCHtm8lO_tnspfTl-;Qk7AxhGl>7Wn@X?273Pa4t z0uJ$hKxeE)iHgx5e40+uyD!5IhP`fa&pqUE`V;+2CH%F$s3rU`pmt$-gBXZo;ahO@ z$RTVMfxj|rvt5KL;XB2Tv%tGL4Zy}A(SCa&wyt%}qAMZ&LIQ^mgr6TcP1NStE zeBzkMdy@E0e@K6+@Z=K5;4Z^=0{)MchRW7|{NKLYMYqs~R2FpJLrUM>}t}V8*DHSdkBHkX#|wO3?$k*+rdAgE)($KT7X}Xjm3< zdcHJ-C}`0EiEet|qJDB6>zzxiNcPEB_#COa#LioH8`80lpyeUQ>))Lxo^rQ>vyg`P zJeH!@I?KSgIJfLJO8x|)69SP3OV6H+(eG_{A)7f6$L$rTg-;cY-TO#D$|ryBnqo1| zpP6D3rmr=l1Y=%LU&k$E^feqJk6j?5TS3aGg0nByy0+ldg0lsKjihDhCr^nhZSvC5 zxCs^z+vj2|OQH0YAUP5hktlg}k9tw8SeiqL&F48WH4Wlr3dY^<# zQM-Sdknfx=llL6?_mb%OXWcByq9^xta{$gdN5a2ikkIwe$Xdl;F^+wogam&@qiJ{6 zDfiwi@Jr&lUyI$2Y!W7t!d2r`OfFHSSY!4l{@<*mlSx!oCjK5)uQkPe@m)yut%J~V z@NJ_|C7{?xSWL*WXRIJTc6Z;Td3)tXWyx1iW1JgCRy@#JaHd&&XWR||0UWPS zF)Lnma8NeHD|SG!;?!?Pvpn!EY3#zzd*YOC{U?r#qJCNh#ocsEzNSDmW5)Lg z0$oD0ypzf6iUXx)gS}w7S7(a=9r{6X%T|UtzfXvDqwby)$?NB(KXIduy9AQ3bgu-7 za>^<|ef`oD^9Pz7ym0EXMbKC*QTd3r%LypR(+D*Ts^7m-W8KsZa_{)qBPBg{)lY*d z#{IzkEEZR)x4G8iF{W`>7!SR-AjFhi=A|sOISwz%#q!sRMC`FF1OeO)AqF6JXUu2C zb_{pVAa+x8lS=Jyl8D%GhmXbR5D<#~bmbH*r=HX4hrnHX`qS>rR0haL`#P4Y zQQAK=ES@bhbQFENf!=4n9?PhzurqVK!i<)WWA>+oafjaWKdlSP_}~U?87zDGqz#Gc zuY12ziqZ9PJ_VFEkTZMuUx?wHG{SBg z5reF@3$?mOe8946a_)y|rT%F*WEF^qGk^4qBI3xF+l>3XpxcF=-j8+}v{)q}@bh;; z2aud;hVRZL*tAA}E79A^@Ew+PsA6Nb@A|L8G@nO+mXDTJ?W|+D6Zzu5rS0TQGWVi1 zRic^Bb6bdlZyoJTdl^|OM+h~{e1>FkTz3hY7|tO+=C4oQerE3Ve&eH6v1b#8Dx@_aB@N*O!8QG(07CJg_ z$Fr|1b7HWL8+M}P5W?TO^T54+d45^v<$=?kmrqRN@P`5!Ud$AHtikoq^HcHnwFmFL zy8WNlchwX8+(mlQx>;x|vcP=hltnbVk$bzw<7&t4Ap0Wu^HW9g{-T&uMZx}}(f@J8 zj-lS4DdLoRNtCN!6-m$!z93&{V*F?p2cm8wsRAHRZc}9`Qo2vtpuVKD%E=3@j&&X0 zZo2xxf7Z|=8nMslCtX;8dhvjR^MP5X?WT`lweVl28JH2{9l~wOoW8~r_H}Ik%3VGh zg8-Mv-Fr;(zRBPGiNq|CQL5pRqQ-kacPkQw4A2_8HoS647e5 zEeQdUyXzjDFMl{EJL@v3hGuREMef$npz|~r50UGkq>>-P7!^8Sx2&>R{Gn?=1idwm zuZZia$gR!y-+a>D5Lzq4tY)%}`*;iuqO)Ki{7H{X)RUhv4|8o<%DNO2qK%vIKKhiY z>sOIbJ+?=7blw@$do*`8??QZEl;_WBn4-HoLmL0o-dn4Rezu_1)sObntn4L(LEbZ8 zifh$;IC>LRhfeVl>Z1)_Jy?%F@l;|cmC-q}7u{nj;n0ci3pqA3DJh_aje}UjL4niv z0g}A!)Q>AobYJE8ltY=8`wC-+7IL>|j$^3Lo3t^Ee?;VrnGO6tY>R7Xx9$|Z87~Za z^Q5&(-#%*!51zl+qWiHzztyI!L(!F}fAf#-=Yk~*nodV37=M8%jvx{b$Mg}oOSgLW zQREZjD*YYoP@9FQz44RQ_eE9XA{pLxQh=D|9>d=&a;vW!X7_2v(j)Fl0#FxjW48X1 zJAxH2BdJ5kqpy)x__T#)Nv|rzX~r%|7jPhmUdSZo8jmykO-T;_%H{8zR0yA`i=RH@ ztiz^R&$;j&=cyj@HCxlvw^iUB(*|>m8-R(`$}yOLbwHT$ zDgbS6Ip=FkP8Y-}qH?UF@T^riv=P6F$fGQ^g)`e}y}`KkRx`nRiK**99h^kNo25|y zuO&dX|9&N4b^ZOrjW??N#8pM1ck{N98E`Fh(adRdEbWhbn3sx0ps|mNMfoVvzdu`2 zsLP}l^}$!A%%HHJz$1%&kO=S~`$CbQDXkP4B-mj7aXW(4z!gLG>Ts3KrA{lf(b#2I zh9;|G<9)g9$EMg|DLYN{KNt7O2j?YRGfo~jVa4APb8w6*xK$W%FLDjj~L7VBD zAKp#hzF-d1qm&MGR=X>LT0dFp6A-j!bG+LOT)$hUmxqp9Wwj#qRU{duFCJtbv3hY!dU*xpS57a>0^;6`maod3 zn=v@M=EziUA^+}$MZXyhVsKt5FgYE2D-difSj8CFd28Oo_wHmxUqtAqhN0|75aq>h z9`N6JKW%dX;VOlA%V%XYlyxz4+_bHPa{`;`#7Oeyq7--+*4URa&aV;hF#LXdqv!+M zHTE4U*n{9P%^GnTch_xG%}Dbey{~h+Z`oVlYmh%l>wmZq3N!9uHhD$lK|J%i?-*Z4 zh)e0;5+DVhLgQc)bBs7OO}esrTgceMJmNG#$j}`dFVntbZsa_2GEhP8N^*i}7L{JJ_0P6IL z?XBqw&XtHTNxP>=QzgaYyX|DG&r#hg>R!odZqcmT^Yt^#x<^R~fMDZ8+AdGohg3{Q z?<51$2SsID6ZXI&{Ey^U(tNGJ7e=)tZ>56%TTNbF6AmDA?`Tjvd7R-Y3MUUq!*6!T zgbCN;onO)kA6)=?x;pFLTT9K^zs$vM<&*P1=H#c^lqp8wN71*G+4J|KU2nEzQk9GG zn$ib4udaDPj}VGc+)X*@CU1Z^RK`Z;aRM^yjcdL2*SK(37f~k5k|P9)LoH7Wqc`Vn zesG3>TI3+!EI5a>Q$YNdCl@3*Y!qXC9_Ys1K_}hCNURioQ-u8;dVOq49vGBTGyS;_ z=gB~1Dz(1Dya>zE?8tp`Wg*s>#Zr@rpl2l^NRor?tj^OcXex+l5OBu^Gj(ZPmqldg zvQSIEBv4r5b&iNwG)qs7$gszpxi7*wSnYSMsa*FN9xQi0A1b?HUD`@lObuI1ekwub zTH_lLwrCMy=w!V#vr;uyFX~zf*50N3wwTNfKmWG4@OIBF*drr#C%}3s8!1Y)+v1!M z?5^YN@t8!qTKz3aBfzVYN99^FG;`eR!Zt*QXq=UbHa_pZQ#G6Mwz9ao{Kc8ow6S@I z6#_2^Omc9}UNsx^Ujug$uE!0z;sM_&{PI#pbohADib3Dr1!3M*g#WQ{F9tj>L*U#h z#6?v=4EvFxUpNFaaf2;fO4) zbMAv}-QP^MroCyeMV|(rp<)E!mnfE(3-!t|zy2=VsJ}Y%aOzJ(hN<#sx2`*aU{k<| zCZgQwt(tZ#2&JCv`-5N2k$PKS5+?G8A8$Ls+mZy*zoVMDeK&-3Ki{^FwXERF%P@T$ z0-AF^7teotf8px-4{RjJ2iCEpx&%DBq(KQqD@7x#-^04G5><_L9V-*~K{^x%!ovSo zgCYlD8b@dR{uy(zCo$1}sBq&%S$*QSP|&zU91C+)-UiWIRb^u|^naDJx8m(EQse|4 zB61_jsAr)eH;%Y4S^>1~;am0<&~K|A2rO{ws*UNaqBUn5@#`9=@N~sCfr?$`oFU)= zd(=ylZ0qc)$;yOTY0HGM>&TR@IQIpe*#lwFQOJ^-Iw4E8JyZ#H-7XPy;eHXuK)cTVBCvN z>jWW{zzZ>k3%BOL)DjoR+^?zT12~0rZEm6to;ejeskn^Xnd%1 z(_|^U1(tdWf&Ke$zuyU~L+zVtB<`Qu z7n`_?%+K(5ij0SE+>CU48YZpyip?&eMy1N~O$CM)1fbZRP>2_9fph(?R-jcIwG29~ zSnKl zgXrZr{&Zkkgaz!^xR+X9kg_dtW-y(T(4h=&xXkaa5l01)kqpSGH>s?fpJI{(QemZ0 zKFvAlk*V%sJ>clLYmmz)B6^he%I#f;Ec<>8c$=qDIXM=C$i>!LCq^6|Zu7NG{e&ZA zKl}bhs~I7#!`M#N0+u$OVYcb>Ll&%qwz{{P7RRsA0O2P9fW;|wBiJ-<)rH!HfE2DJi6uWFq)G5w z^ugfy(fA00`qO9|YV*S*tb!K%z4;_ye~`TMqV8~GrX_B%{VmADxx2soIY%S%)Wcbs zqY;E|I^W!wsf{xiRdNMiHo*#6=gF_8hw+n;gJzMp&%Th)2tTxRsC5+F z+4uyee9+e&8c3K#1Si#d80rmndlmwhDa_pYoW1FZF*$rh^YqZD^}yAco+mWOl-`D7 zMr@+BeIx!w#?PP^G_7-A8|%9j#A+yq10v=^bv#;HeG|8X9`RZt8?NPdoPf6ayEg38 zIqeZjFW(-3L9lA0jjr5JPwK|Z<3Xybrgg2(wiIIu9T+wP1*3vD4L0m5*rzo_awk5# zE{5hVk~)uD{QPFIz-Sdtjp)tM$HNutNAmg)eI6-;Tl!k?yX<#n5xXLN1aMP>Opm3| z1JW^FNt4~5D^=T?FWUF*C;%tkkBrmyCXG+L4NYVa>q|*0*6hOD?gW3@6y7mSgMVI! zwkh}!2L$Yqo}5gvZ~mwbSLm{#+`DaF`0==g%s<03-jvu&T+kp$SCs zCZWLzfb|sBm{_TZIna+eRX$D`sa{+&?0{8fx}6C4q?|ry=c`I@i`&MyXAh`?9>F!x zW&e7ruP^}v)K^xo6NM7IUd>mijp6;x9FR?zV1IyKS9I}W&7?+a#JmizwNe+9L#&<{ zj7JftW_{IbECz5MGPJNH|CCC^dJDC?I*3qAZT&hX-^6R=y)s@{!%fED^x;eD6I@`?Yfo_@7my!KIsXA|8u`h>%l)BiM)08+wRB6mf z(k^rQqE9BQI@Ucl+CO1jKPG}vNA6>F^&2gcM|wsN%Ww6Kd@a12jl;ilT9i3moh+mv zZY|1xf=UmmBQx%O68i3atH7f-k*ZouR$Pf6Cc^{I_SkBR}}APCMoh z@+iQ8Qrw^gi0zc%&{c*GjI*|G0e|b8*q(=xMTKiZr!i?A}%&TfN3B|r~kHc|V^%BSlP1kw?7arldt-@H247G!p4 zL8uWIOUSBrYCUiIWSDVDt>=CZF?rp2J99UjMdt4)!j1o$MB4q5-t`GP=Mv@o>gt_D z8t#eEM7|l=&OO54o8)VM-qZX_6brvD-Q?qQoATg~%)|Z5lzHRj4$mIBVYrfhz-(Zf zNjL%$SLwk&3|dq4Utok&>%NeqASYDKo`n~l>t(Sp%diY*(^8ALszqv*6okWf{T8Sl zowTEVIau6dAlu9rM5iZ9`uu|#Uj_v%OvVlBusB3H-y|07#nYz-#Nnt`*%12pNpA6s z24h{j*6TtgpuYjN4c4h7NHj;diSta*`(oIc_PSq(vaz$Ob;`g>MH&45u*&-VcK^=d zDO0iEGB%mIsebyq5`7xK6yNr07JR_h>=AScwtsvn-|!zVqq6>VM78=^CMdSPDklK6 zag?~EE({H9KAu7i0U_{tW7?bP>{$RQTdJ;wx8Dn^gQ9|&Uwd6hX}y?mqlYa>OGaLZ z$etgvJU3h)g!vCVHCI*uilXH#_*-k*O1+9(CixV~}_>;W7ajI!!dB7Tdo~DR`}8hV9c0 zF$uBq{&}*O7FjD0L7m+cS6@G@TqxBWUi`Cu8Rtnn$TB`L%&#Sf8|%QMLjJ9x_)o+5 zA%4Oa#%{$R?YG0I;MrVHTVka`ZRVV55#tOEWo0C4x_w1K38j67k#c^4-h-NnVGtDH z*U-1q0CfqtB)eJZiE>kU)S~Z@Ix6q$9vL_LXPA)MYOjeJ5o1r|yemEG@&-wJR z;dcHH^SJ(UA&kQe@iNr^SGxE)UwLTv&m+UCodHl5TK}$@P(^pp>?~Hr^ zhkjT_xfIHG?MLX}Z;L?M`f9C`a2E%pqz^O}Je zW{D=qa>^0ukxkqNTr{N(yWx*%%ms1Hv`H*;ffxv?-m?qwap_ygtB6)d8B@)?%I(m|< zsn66CC{#oh`FgL^pYz?<4x(xiMJQ3V6;Cs#Qm81e4_k*wTweBUEqo~SS7r7kh4p!QGZFkn@Md+hg5CpJE_{rz#}5RrtZ%{ z+z0uT+y||=GNnMZyg2$VH}hp289{!w3^EEQ?7jAH`u=E7v#a@xrl9t*LY7L589wiB zjOs)!2{v!Z^zHhK!?M+1Vd}OoM?LqIWa$|0B=h`AxOKMN?%M@uK)Sv~33i$DOr~nc z`TY94UubJtnee-4HrfZJ;%P1)1u9=%G8y8`&a?s@(DTpYc&W`c@Wt@!2jEi6o}}(Y zw&Tq%XKVkL;qngTC)Kk;1N~MY6(yv2Snz>SF6S60M{CGa6-m)q^F78*I~c)~G7)hk zu2Br3)<9VY0%#)Ni#GrG;Q#QU0#()E(Et}mDOI%kz|?u z_EZDLDh6b~=rx>|Pd@-JIOtHz>Y=E-XUae4>CLu=$QL4zvxCi9pv9q}Plm=>5wA7( z`pL@l2F~r=@0CtY)N%0h->|voPXu-DYGVocg<~i(TSB28_apRH2ONVJW~~(V6!eyp z-(eIpP@>b5IrR%OyVZU{2sbe+!5E12I<`B&u!Q#0r` zb!o`fB^a)?03hB?^4Wd0YuSC8=<`r|;*i<(PI|?1k}&kv;nE zx!0`ypPJ3q!J>V1#Xec#u869H=@DA z(^-@>rsrv7v@QR*XWNJ{D?-{9iBeE61QA%Dk7CD;o}KOl1+|F=NN_lmb!q_CAKRh< zWrBc!`W$)Ay|mgKP42~Or=~i$GGv+n8KY zg8602l!adR$?B=4qOoLn5(q^xyP_OyxxGPCO@lg_X=fh#aF2VH;f|0&qh;@0VKHcs zHj{L>cy*9gGOJJ}{LE19FDX?sx}@Hd(2(DWhRemOBEhz(^`4HA84cH{$zN}6(GbFA zqL<&xahnG4GWBGt1D+})j_;UyG>$&o@1BejiETSKac%{Fbx2FyHvGBhEuB-dLb*;S z$)V<-U*-4|mM|}w2iE?;_Q&JL*Qw&4skFCvUv03BOX+7OT5bJ3A}Z;V*CYOYtT!2< zOF!O5?!Y~^OxL3#9@(U1KtnRf6CtB1^rts~$Ri#ZMUUITyaEW)qFU!<9aGgkk<_~-%E5OOR7bpK6y3);*CLT_XHyD&4+&W4Z^W`PGv8KmKl=g8>9E8p zXt^-;^6k(@sdLI1h>38?ITaKzzltREQ2yn~yV|Mv&*l9+{CO}+g=ihdpXGIC^}1U8 zi2mhk)g1ZXz5wHT40npC0c~rUZvX5opWLiR)hc2f68if=i}8z;XCx%Q+Bazf7L?l= zU*rwe|FlJR>Q#ZD2>H@yC9`ovd7J_W*D`N@iJEclv zopH>I*7SQ7R*W2C5*1eR@L4xkU`DEE@u-19zf`$DQKm<~^@7|Ns9}A$(Ee&37IU-k zumT?faZP$^mGcH^C)bq3cMxJ8II{*WID~jv(inSd3_$fU3lSXj?`egW*9NJgG=O$D zaI&=mU;z04p}5gO57?FOIRL{3OkZ(vbKp6io$YlCgIg(lQREmkiUt$PAP~a{%hS_k zhhI5w_JH=v=zU9TyP^n^QJE33-w{v)8{8pNIN%}@Jlw7ESeiO#`I-&kRuagrByOn~ z%v&|vtt5PY~mOQqxory}8S9e~N>t^3!bPjO!($bFcuwE!!7af(2p(sNLIsAX(s4!WxU^%A;3B<#J_v(py~ zikY*|_=9Bj6&x~sEFX=v#QL|j9Nxu!m|oLa(Ty_9M>H0UL)vFTzTirf2e1{Q>p_KV ze6L)VZ&H_`5YP8V-VuW^3%Xnu87!YBI_lH7AMIet=6|lcc)eFjhTHPJ1m6Pj26A%u z{vhalC3^5^2FKB@leqsm#U|6Zvd_Nm-+Q9}%q$iZJNUlW>}C&rh4DQkx>~tj;n7v@ z4?<}Ei1w|ApcuMHfVg8|vNR;5P+spP{_fQDkIT~jbmZ|~cjAoIhUN^nSzzC_h=Fb1 zdra8rgdV37&*-cm?^{)=Y#yu?I3#N@X+6R!Q#6`laCiEqSEba0w~N-_eG8U~TIocL zo`bo(lo1!Zi@dn-L_~;PgCDyAX5k{+$GebX3}tB#zixNGNd%A@9Ak-qHMG7|vB>SX zY!5AN2%N(e>VB+D;yio#l>kF|q{5Y>82EAO1aeIEGDJAQ0t5DwUD1HG_k=-aTOBJA z{==ejiUhMy^=})*`IQlqV`F!NK$Id#iYbEBnm=;Bs)_ z6soV@z6kl>C$1oX^2eFgKZI(SPlh$V?4)-&tPzL}p-y5&;DAXe?1oKYd_gq4$j+??HtT)#6Ezcj^b=IU zvp>j>I>M|V?MOC!3pD|kd>duB!Ie`cs$mjzl$NZ3X;R`iTwl!gX@7ZgP6d50#i4+?s)xfZCZc9KA*X_mV z8(rlH+(LFmrSH`5BxW9YIHvkC0~~N6kA_}guJy)mWm7|vtYfy<5Dd3h1J30G7W~<- z_1dLRF=EUAuG-X9*1wj`j({Y=##VVylg5x|FbhGF7eX?TbWBz2wOX()`2gZ{~3}wFBvy>_n+1!YuUVK&=0q<7SjG+dyegxsj zDdYIrffacAim4xqv%NYD8$)$~Q4T!)WraKipMSC*QmZ8hJUNAPpMs-+Jz|`!$CmdK zwXH-_s)M%7-f`tUbtXz5?xrlM##;|9I>$GcQo=!K=c-%PBlRc;I6Hb|V6z0`Lc zexzS_nm01+WB!y$5bn;<7#+kd_p*Cbe8JKqwIbQl#P9s+{O5Cqfn-a-L_bE?G`w}p z%SpMIdU^erVFQ-)FmDSSsC{R|m#o;O_d}|?ob-q7}E0M@$Vqfl@M~Obhl|WA?4aJF7GJOJ*O< zDcqg$T!lNziJI#FH5w5t<(qr?508;ZZ_aBqb$^pE<^LJt5R@;Wxq##MIy}4%;Z4qy zx`noXulPhr+KeS0A6XZtFK;3ouk&Sw8pkMueO{rMVdPEB15$_s?CqyJLpW=YYBO9_ z;^Wt3Mh}*h$G?8Y+%*Hc!@>?AMV93bO+Y?lEMUEir7sW0o!8T#^lL;e=YjdXyQU9< zlrO4M>It$thW0l`ylM`+5cG5dYY1yiP6S-|ye&z&9VW&>UgV;@EuO+XqSF~l zx)U6zdJH`7-W$yLavk$6jMxjkkYqH)5*R|X^04pBfjf-&Oop)I4??ooQ>i>iLzUwx zyjQ3EEu+Q4R@2^HFp2JrwP1?=+gsZ#+f7ctwmGaKp)8Cp#9P5cw?^~pB7fuA^QW>4 z#GvU?7y~4E@KVYyNkjW&njCFf)yK zP`9ftxH@-|zy-Sdet|@yK=xsp>JdXy?oz@4BykxebUEh3{na-}2 zwgcD}ts3``+nGZW%W`wh>Boq7yuy$1H2Zcf<*$wj+F3VD*t_$%@8Nmyb{$t7$>*Xc z$w17j+B>=eE^6LC3*;hc-z4nvB#!k{ei6=LRIH}Y`E84((~=68)_TPzt>rdwJDq?O zHE@U5(rw(jF2BpVyYVygN1}jcL!ZqbyyignyeceTMz$fS(J3&2_nHb z-?}58!V~p{%@m>J=U7aqYEI|k^}(&JtTCf(Al@zZgInEaTHbzGg(FV|tZ1PEzsUen z*AW#GIc1FrZnOWMWXLOP)GWwVTafeSnWB8H`1$px@O%7qi+HKqTYRc7lXfdK6KVs0 zk^sGvlX~a1&b#c$CbG>sheYXHQi9aHP7RQZ-eA8dox2~1Ydt*jnpKWbn(1gHW^G-7 zwPcRr)zR3LeaYOFUQM!TZUfJdCxEw&_cEml1ejZ>w5V+(Bu((f{*Y$vf41>QYWr*u zq-4fz}*`;(+UrS5Fx0Igtro5JPBE)J7PHMdJ{}VB&GKn3t#>Xm zoWtzuL#0*Z!&=&J;+1r^DOgK=)}vzh*VK#Mnj~)PJ%8X$?j;Z3r=x)K81eeBa`2uf zBdxy-Oy79hHRE}5DJFP|Rgi?%{&@qN;mHcVo48_lYW6;qfMHo)T6%h!SvN$$c z=fmq7-Lxft(dAxx9~eZu74Y)IYE0s9y0tWjV#bQ+(_v?Ae($#=0&447CjRq4&xY({^U~lkd`5 zt!ke4*Dw!fUxkMgRWr79y~#ne%I@VnoIk)=1?Kj^;TJ0k05Iy9#2tfGHze*m$II4s ztzS#SLKpnyU;fld`Y`M75e_Xq)CaZAh(Iuf0`Fhr!k0hTveRyy%deQf*u%SZ46&{N zK>5A~;_D>~($z-RFb4d9Qw)U4O7)_FJnp9hX~BE^cjasAVbO zwO^Zk9)!JaK-_=OL03T`o}5neYud}|V7uVpt*g9A*d@%&ANY$0IOYE>^bf3ewz4!r z#D2fObD!2TTnIATvZ!GrBVGV#qe3r|66`BaWxDormogwG3gYELAI+{ia%K|jbB4=< zavwFi{evuAf^!z;KiF3emv?)F18xD?h&*QK(zvf%q)oANx`AKLNd$Bn#3%&6__HYe z3VzAOio;?;TeOj4o(wW_2 z%vt#!a#FH@^wA!RE=mn}XI@n7^-Np;3}w*!8Cof>+mdfqfeS+*^DJ6(!a6mTgeWD; zx%b!RoCACgezgG2x1`uSH{1k0+b{{e>eKxmpGW#VW)9aIOHvaSU3&XH>hoh4_jS&^ zEnvO9+I8=Oo2xI^*CbBA$S=Ln>FM~t`()_Si!&dK1)S8G_RgA&sQW3y53=~CNY#lBZh^>rpeZJ! zK--I2NC_8Ic|{+Z{+;z?-eQnu(xl_PK!adgQ)&i>xEEi7(W4-DGva=WKU^tq&k=m` zL;RT^*(Yy*-0{gps86d8nzT$ldH9zZo9x1@fhlC16iW@(qkYPqdTlA1COD(%PP`A< zQgkFqBUz>{YeI5OsVcB} zj|qTkLekWlCNsXY ztdZ;36NFF6ENOHIj`ZiwnAPih>1WJ7!8?o}C%66Ylzru3MFr9BS3JVA#nJHHUyU@4 zj@XEJ6t2nGS0@M-jMKN;^>MBFa&Sry+`a_r;2x>dXRt4Kwdt!hr2 z&bl-GF!V?2y{BbCym@gDTQpHsW5NB1x%Re7#TB89Ka6^3f=$=9j(JoglLL6L_Tx6s zs^&KR$n{KD@$3$=mmxf?uemRuGWH3&63bJy8LAxrhczfKi_$*ha}Haa#p<)Ek#W3R zWrD>&_C0wT%07iUN_(4|g?H?2aO;teB6H391;$8*YUP}mgeBKx9dchsG;xYgp+H3U zrWSgLfMopl<%FtNT|UWsM@C_UyUKWnFPgr89;o?gRtKwNLKQQrO?+vt`|- zqey-KLkvO6!4j7~rYEbsK^03&QAff|_p@;ms+TM~0}J$EGWqVE656BGxN5HyM11d) z&s{!~wR<05r%^NCPzfueAH4l>c@WLlK=(Bx%Hx9?#oVKT+lMB(Jxb3-Jsln>`>|A0 z3QwF|-$-NAeI-nI3KWMELoWy}Rjk=9lNiX&E1$sl$emc;iw9RVl-^wU=7G;)MaWp;E1*3vtN@ib zcT;xBHcTZCZ<_=)h1BSI69n8HGR|1rf6Vjt)nncMiTnNj7)6?MZb|yT67}4l+QwGi$r%i> z67*bs$-C6W?G+BSTI$-;Z3Z~~Z}=!z5Uex2qT(7R)MxkRBQlCK7SZE(c|t%R#Q>eg znhiYLD=@k{Lv@DAetBxv`%J!&JCTmTvRf-?l7#h@9oPO{eGe+hf^90#XIq6>qKnu8 zR6XELbenpO)bJq|Qz97VO;ka<7W0{}FNx1sZ-uwWTGjALK>)SqOA_Gq(r1|gj7bI4 zfAQ| zQnAI&g8Fw4(8gjsIx_PSgxu$N2lNwtw>|k9+I3Y!!$&4GdWH{P^6Rib%RWTnbX0w`bE0R zP1yX+6y`iQD{4bj(eF!2Il647)p`}-Zsx8|ipBsWl`#%E*ecpEkF5Cd`#~3Ni?lI) z#qP1BVGCz0%nzH$$iHalKa>Nu{dCW6k(-|}C_A18K93T-wXb-ke)s4sY=opxnIb{xWe}I^CarHpUHEj@?zk&HP(87yj40#33JT$EgpcBQX!W z^#@FqZv5{$`hMO$9>+gZfBL@3aDM^#GR4M6N)I=!hcngm0$CBVS@}Dv0W^~1BcH`U z)_EDptC+}3-CQqEn{cNOu%oXg0q=xqWAk_VJ(;Kv`6A_2f0UA4(sk!?{WTyCiMQ4L z|73p4m04K0h->zLDjz@o>D0F7RrEM@{ebY(mnZUd#vubh*}y-y2k1B5AMbXD{Gu12 zP_-j;Cqlj`$aD7Pruetmk1vqRk7tpx_vrunw=31#(pfbNrx#D-eH97RjrJhLc8fOCL+It~ z*cU(L9|P5Wd9UdfS>!ow*uSk<8;sJuq*7Vzn55N3eaH*ZRzS2*xwNIp&Z4oK=HhVq z7Bg){T|tPmB|KQV#h#qv8pS96X8d{ztmqueKQxu;mxX}C#U|lU>X9)_hXTxh2^xmU zh3XnDh+oZq2wy>s#rmIl`ELLpUO&mbv|&L4$WB!wO`jJEXQCRn>Hnje_UkB@KeJ4Q z-$z3-G{=M2pta;%uVBcIZ%vISJK`rTsr z%WEF+rPi4IO^f4~!n_?xZ} z0VeHc=J7y-uRq3ZkkSBnTM)9^5cT^uRtL#f>QpWR@yi=NjNXNdDCj-Bsogf84!o_juhQ9DsRomp& z>NomzMc8Ps8vpcJNdC)9_R!XcRldh~8{mdjh}FgMr=Dgu1x=GIHU+DfeX>_?`5fV^ z54Ob^^KCQ)6;fyBd(}jW0 z@~U;Wb6=_~WO@DJVolrNt%$|tO%aR4OIw=R`Q@FdfUd9znuxY(JfD%L|DMj` zu06MTal$Nv)B~^i<)cl!M?44+F$DFdeQcA>Ba2D?at~@*brf&PW(>}+{8EDPxL-9V z-ao6!tyWl>*%=8Rf?O;aX-{Kr$ycRrZe08@Cp3}Oety&MCqAL5y*D<#Yx|||8_a$- zwX#T1DZqb*`%z8m_3fNbP5Tw)8g6YU(}ttR6`I19+WxmnDl6iW{nsGtn146jxzW)CB@+@9$>v_QCjqv}ye&l(m znX;T~MSL`eF9Kcs6;0ZL7cfOn=P(@@oy0@?*%ENmo!CEvFA3jTRy~{>?5&9N_}%-m z{hgq(;}dhnO0EHKN`T*vzS&eBKemxsOhJ|$3@l?ras91CKseEcVjkw0)< z;;zKbeUpI+W7urU)Ej22ij8~r(X$*=PwstOeJ0}6J7KH}QJBpCQ8@lTFqNd*Na?pwdRr4d^yCA*)xpN}HrUQ$QIxzR-o#WTJjh+#G>>y4NjydR1)O;WEB#KPZj`S6L%!xI4 zQQ`rV@TN*fGYi!$scpVGwmUL_l#u!fEiu=ki0~tRG2dt>YT;r$^ePzOr0O>9-MDc^ zk^B#dMyyF4MfQRh)wjhi;PTg=s+(?rBlYC2(%ove!uu2gpu1duukWceP-OX($xkN! zWBi{LbA4vW&nthDsj7Idh>4z4Q z3ehh~f}7iZSd2sy0QeA{$*qxYhxR1>!M(FsWr$lM+dWd(KJzRHaTqmCY9;~=`@2>o z{!^;WK6!u?nOP<{!uwYSN=k(bH76h8alqd^%N9L+u@tSWpZ^#>-@>z;_{4`r+NXj;6Gt(%%t?edI#6r8n*(&eDR+ml3Mf3pS zy6H)R5Lk~nvg{*Y0xtpnjAwx$6r-fSEMAW9lmL4jb;cg~^pG#%Va+X2f~KJ->@6qV zFx_SX?HfKs<^&h)E@WaWrI;v|2_`bh0@X~Y2?(mZK(ZbqM&6g?dY_8v-lRNNFxi9# zm1J=~72x^OWDU#T@qVyv5!}qD9phurnuLd#G&te?Mm(S4IG5rCiJM8pisAyI#kl*( zUA5y_CJM@M&$5e76kzO2@4{7^36e(8oz3wgQ+@aL9Nbuj*(`mY8*Jv2pFmqb|Ffe2)TS}aeo{}cGIVa(A*~-Y40v___im-C zf7Zh_^>JvexuIG(IAOo)QZr#+9VJ-tK!E&7h2Qkqfi`YQ6D{w&^?CU!`||v#X(M&m z08yY@1bE|q zN88m>|IGc+0l4qUr_CR13N#GxH9)j>ZH=hhtTS?*lQ_ukYF6(~1nPE+^Sy^<@4LRm zh)LX~b?C!;Pq4~dZt)&`#DjXLd-ar_5EFfH@bmq-z&&`ss__G)`z7HBeuEFk% zaGmEwAkCLexl6r|zifKG1l;eK1o9H^z zTwmgVP2RA~{(T3oU%ELYZNy_xT46~9z~I% z1e1vZ+}K*S1yN*UCF2}DNW1(%zl<>J@G<O3L+&E|F1sPHI1GHIv|TMK8jhb4kNQM)JY07yQDm4NB83 z+-XRHcYqo@R%4Xbcyr%y(N-F2$RgWpW46w@Qu3F4b5h0D+&?ET-Fc^SAi7t47E@3|&RExO`MXqt@*d(`XoGG%9+Pv;dM%uzI2p>n zuHQmrnZ3EBNKlhn^X6uA;{`&j%U>Q%+*|`ye&@cob<5iWqysO6>ArJ!zeQ*{Vh)k* zGFtyJJM~}-Z6}&Y0Hpc-rgf)c{{#5rwSYvuOpnr5D$Zl#-)-!a+>&xZ6 zvqU2Xn&O_MlRLNW25wSlc&*_nhcl1*EF$w|&o*;>N>^wef{s3P<%X^=`+{Vtn-_B@ zM3*o+#78l_dw8Kqm!_x1Vg*lXSLamFwx=;A4T7Yh{f`=X2|>^_!@#2fd}1f6j@>3g zk@hSTt2JZ)u+gMcp!EJAU!c8`oTK!Uhou4WBqyKkkaEB)^w+ZQ)^9+%KKJgd^YOm^ zF-3?EPS!>p-?eMjfp8)Qk5qot{jt(74QkN^Pr+u7B0H`b<6UO@pt3Q z{|?#v?}vmDE1Fm^L{thY&putOH0YlAxM3*qizDxL3`45H!_mmV{pzqhmzue|;>!xl zu$q;-{u_4zV{_Ldes3dZGo6~FyBBb++WN>srr5c^q8x4{%0wU15AcaCJnQPRQDI^0 zYH3@qQBh%JVgK#C=GsB%?U(}toul|<_v)kWV^-5h(b%PsGUr*YoT zMB?5SxAw18_0Z&w1?JJll@UfMdG(P1Uf5IE{$Ox8+R1v(4-irt zi4%VMBHQ#gyZ`YFtfA`Isz{svfW&2|F6hvxqeTjnR0)wmJ3^!o zV#&4L{X`RwXsX)nI1bA#SKzMqMX8b^jQy%B`q^#-Uk_TL#ey?ShDt{4D~InJ`&X-6 z)0z$yO4AhUF(n** zkx6q)QdpkG)2(6?Tj`oM7&5Fpe|hK`Wa}ml1}~9QYPUqQWJUwGBt2RHELfN}iX-{te+0-G}{3NU@EH!D&h zFw9~n#nq>#1M5^F)|cu`sb*_Ox*2_(Ua0G(J;6B6`NxdvULhH$RETEZFNc|4ZHWgG z>s4of$MQGIExVV}{$KNr$|PS}J%uqg>@;Cy@G8qi`C5i>UeGQc2-=3tm23*NVt+OA zF{uHXE&%;GC#%tM35Li+hpld7T{B!(M?Ad3;ED||wUOZqcs%8@LWu0a5yW5~W$FQ% zy>1Gt-ts_KUq-1uyifwGHWRYAHEdO@x2{#ErO@E*1>8KS{ z1$hK8Ee^u#^P!rbAoV-Sl|Vy2CO~^JD4+4dod27S8+au^N@#oP+)EDr8d-E1zHoug zs-uA-70WO+d&&p)4Lje>OUFBXniNrAe!#|{Pj_5zEi?pZcnfM0CWI(B{Pvk`z##N~ zpB_HrJ}6f(tP`-i3SRksg3rml=jMV^<{kkP7>c|alKAqbf#{1C;C|zY>YXwN^Mg|L z3yxCK3AdXVa_pFl;?Fm$79lS8DWCPrY!Nt9kD;67R^8a2G0AQbFM=s>|3e=$;bx+)TZH9MZsW17VQHN2Q>5=iR^^4JI;n z^`kB@#DNNJt^Oy()IWflAS-UGi&aG@a&ubm@Y1;X7@DF)Qs362BB=WpWc zRo+FUI7F}9(a`*XkUcD{8Ap3zyN3vjLQ#u zwMyzWu5G9@2*<-?2X&6E9ktb3r6I{-q1c?iOIAa!!+@!h&KoxAVl~Gqj_Hc9^&R}} zZMW7+qzx1Q&^>pKN!DNN-N!$th?};zvS=6InxySASBdd9GPP`Ph?}~)*_=i55J?C@ z&b#qr^!{u6oiCpJ;c;JBxsN{G&g_24qcQtO=lXQDi#7R0e{WMf^nF6K@V>}BUy3gt zHZsn*1T6dO(@j^8{-IG+{n`CE$6FZxq0OBkRk0#<=M>EoBtKU zZ&RYRW0ZrRcDXK>}IvWLAATi|+YzCKV|~yvLgA&oHF) zw|gpbvt%j?H!*bm#$V$;8cIboso)v0;(*|ZY@aeW+ZQ!_@ztv?Or$DCrvEGS3NP6d zym%T{9EjwLPr78@^Deufjw|35i7PNWm3qdw^-0;@lz#~8ONv+I_#j7^>b*&+F9HZZ ziH9jZt?GWP9Ub=1kQAuEi>iO!C=4!Xq*K10UJG0Qk&EkOOZ?xXt5J@onXo<*za4n|sW?zyA zz2|eCMmrS=${(LNJZ%j)m%2!MF(%pM$tJYES(ke{Qa?DqLM1_+5lQhrMA-~$FC>H| zIe9l|=cl?ZUo@9jfAl6=WCJeP-$aO6s}H#@#D+M$^O!<+w%#QDt8h!Q{tK7q8w-D0 z-?~xpy2(gwMjs*;;f)fB*GJ%m%%JwHl<9hQ3vqmg7oPVcWx5^lcZNywM>nmf!!Ho6 zFSAXo7YZNlcAd17q=!6|dImVQn)r`P%qkJSry2I)LZM47VJ`s^DS@!HU(y2WQ-tiV zbSPuF$B9`PI2ph1z(K1&s-TLj=>iTnR}+h4dsEd2DKx!O-zXh@Yf&eR@gp(YFtS2!>M)aOVd>$NLXr zck759H%H&{f$GW=NJgpa{V}a!88rp6k%A9XF{k>Ji>mQ#-|P1T^}8-6td2x9JkG4< zxPP#9CI}mZd(c{+)|M&&8X0Ee-0G{HrpHHD>zk+y?=6mBi+kZ zGb9fj$14XSFTZ*lJ-;ad=T0a!d*C^h8@-!%sPFyy?me}IytVJ+Up9gmx)-=TsnVY5 z-Mr=L+0Ee4@1~u)_%$beH|37Cl0sw=bOYoO+-+V1DP$x&;Y}VDQtznm6-v>S9pSlG z+@R=!6p`HMO*Z_G>Zp$t{jFkOb1>=7wYTv4@9WP#NL#fgwJ6Y4 zH=LITP3Ou#H<_s&9TipoR@y5XNCM%1XT;yO+EK|#&_Vw%6ngZ z%V3Bawq)h6nKXKSubbYXM*VWsudBk|*re8OBhD7q{^n_NLfyK#&o7jvQ}(AUlA1SB z?sME4z{?;nm5da%FV7o|`xHFi6H(ID{j=sOb6Pm>D>QCJR?WQ6&+N}SLuKW^(c12K zB0rzqoL_lUw-NufOJfgBvaJ+KBtnN*zZv2UZ$eC4M_yLJASF80wLxDhFx11_%bg0E zc@z2Xcko_X9;sN&_asPAvdO;uGvhoSlOiu;lqtVE0)YA58EC`g51ZorXTj(LFsc%a z&H%0!!8ka8%LmXn9MTI1SHvDD;VtR>h z+}NYNaYHD>CyIbGL%I1D?VVdUh;FT2IsQ8{aO0%hK;1oAs%;0=uEQ5p&wg?I#D!PF znqke0q*5whKjrUN>^CW_uVW#H!DmtS6Oyg1s6MO zBWppcIaTAzFIr}A{prsPtFex_5Z0wMym&?CcqND9t`T zV~k4uaKoJRG3@cF%IBg4t&jIVQ1PaN)ClX2Ue^W&^mroz%4 zss;}6bx-@qi<`Z~uBG^&uM^bOBo!A7g|m|>pMNHbSt$mB!aSuNN6P8QCRb<9jlDoRCKk>`d zqQH#vRq;ojJ5o+cQ{Gf|B78ejY;jMmkw@g!L^UgNwC!ju;&;V0GGV`l-d(HQ_=X0- zv7R9)6tV*S!e;N6`8D7Kt=L!|QAFsDC)0c1xI-b@^Jr}~t$W4K6^fqQ5KkJaO3xY0&YN*j6_P#tGm0e&YA6#m@Wl8_2<*$7aK-9r6&AdNWbVvT)ZAyB8TI zUgNyL*0(a&gFOYe6}2i2?m$vBmkMDqRjy!) zpMCU~!i&~_sQl70nY*(tE;yRmwnx-UruAzM(pyWCeXVi@PAmS5%*qZ{gch^U&1wYY z`B{E3(&3V9%gv9BXL@1|ypg}YiM0&+rno(ledka8=KOx5WdY9IDsOuw`}U^n5U^Y` zEN!q-cA!h)asb%Qs5J#NTC19DspdUl_?k-3)eBlsdL~Kg0ptGb*--Ey)!MnHJ!`H^ za8C_^IPmq^oA&;h>D*Z4(YN^Y;mhb0Ric#P^)Fs(FWB;@W2#U6Vfi4cAnvcB5CwI3 zmS^PF-B&P@gzCZw)eHKB&gP3)9^k@6%e(;3GOlXFeCEfAG|QHn_+mT8qK6%fCU^4+ ze)Cz%hFj7v$#UoUYF!zuN(9F;2nKgwfdUv$Y39}SMr!W;#QLCmU zq&5B+=dzksd1mBBFopBXi}AUT2hU-sIqY6=bmDn+<+ewJ`L!Vq3x`5pjlMP#)P1Z( zGwjeARusA+Th~FM)kHr{S~9ivTcgPV4jU}9P&`2JT=CV?En6Y>)wrQ+Xv+V)*zw>O zyM^bOv!QE*H)3zyy}|R}#SS+^N)C|yZ>94OymNzq_=4cZjsL8WRTzt30WCgkWGg6> zP>N~l<^9L~Jxs@6qy_LHPG_o9W<}SzRnwPpQcUA%=Gn$;+2y1hd5pKQ5}J=+Z3@c4 zdze1_QDMWPKiFV<0W87>dpV9h9|z->5sKJ-1Q@dh!ogyJD-3|UnoWiRXE-!WDEuPZ z3wsR#aBXnhpyZZrzM}tlKDte@R5$!&1F;bW55GXc!6(VdP=z%M6Wp94j;|57iEh$G z>n5W&YXS5c?hI24;26Qk?QvWQc61!KpKY2-DUS5|mHHaMPB>@W7pV$iw!RTrwB$Kuw%EHz&IEVgTeP5cjb(O2?1EpQ=G`Q zA~G3Y5f+mS;4sNH*u(sA95;Y<#cq$-gySk#umJ89hr$lBccPDV!59o2j2o4-3CALE zr(5jdS10-S;S1qL*uxQvPyks9T-!K@yl(8ojb?yx`8ET6;Yb_oH6tz=hXJF(;6Gn6 zHehr~uZ;^c_|Ww*7g>qhsKr)*HWaa2Hh^UChSL{C+yM?al>|@#b`(IKW&kHpYyka* z9TrQe7>?V*w|Bq}TxAI1t`-4gSncW#a3QIPUIT%V*$N2Y6rLw^1+~E(fpHu7_dAFm zJ`jeaHia+L0#`r1B7tt9@a@h-A>kf&)H9s0CMF~Qy^vypO?Y}IS^z+rAy0o@Z#e_7 z5op-ih!Aec0=358sbW1c4xD8Rfs2Z;*#J5phlz!TA$QmTlHNh*vq+ z1DgO6gS)_lXw{ftMe%=!z@O206@mpC5*K5-f}2HK>?pd^02~$t#UFTPAGb`=Y8B)Wb!t{)hPxZ-rI;7$I&Uulx~1Avmjtu_gV;hyo|uT8$DeH9Z93M zSPpg2XCSBokoB_1=H+Bl>ESo7$7Z?rmyt)w`&k=S347X z)`gqX3>H++ngWTVaMejZa=_P1QBDU8VXua#gVeiDZYd#_^T^~tcC|eh?fJ} z*pKSJ)D{_>!qij`d-GSsYjeKq-cKE8YsPo9z@~4-rco|NCYCisb(5vijDf*}u=R}4OnZ7ZJHF@^ysYzjT*yyjLb=8!LVOl)&`xBGN2 z%c@TSy=PVF207eT+`nvEh{ey&g2yr60t&Vh2gi?%s!6HL6NW^pT^ZOcuBTFj$gwsofF;aH6U*N^r$AS5E%r#ns54Ocd)!L}ZB_u$ zw(@~JT(0k_0(jTzaYG*fkoY9(*o`aj+lIyGq8uPt8!(1G>5nq@r9yb#VZt~OPYL#E zQ_Pjz`q_D!qVAO(?rA=v0W-?}qp>mut%ktW6rv|-10i~Y+r!vW$ON|GEM5nMTWfdtI|I8w$_!9+hC~W@`8mK( zE3loS8Lu#1@?(>XQ0bfXi8O|ipmkErmDqQ31`1N7<7oSX()K2V(wC!?(W9i0=i4ra zWg$h-AMTVa57f|UG$>&L3aj=?_bFe^bE~1kF!E!A#`zmY{Sk1>BNxFST~oIzpe3jp zEo&kp$2;{C~Pp}4yLjnYZ?HLg?Q@D8Ghork}aL_jSvgl!` z=BEpy>;^~kydje|`i#2;%r-MFp_4f$s{GfCQkZLAsgHA#HKqXc$~H`SDC}N&Bjc$l zRIir1hcuXI>?)GyjL_xUtBc8Ig657b+fq19#dxx>FRC^-K6D3j&tqAw)5@94ncS2G zJR;-#b?y*uFpyGuN@)T*HB$`_j*v@^n6VA(rGh(pn5k|D#m^=w&);O!cb^$ldLCJN zKeumXRh=xha7g|U= z7h<*;yi+#7xKi}I8-Fao3};F7HY9B=hu*xSu{H1|TDgiW!4SF>dUBN6gzlXE)p@hU z{^Fcuof#}n!u7X)jPk1XK~XL|l&M#y_}Fzk_jpLba}QXpV9Iy2#Xq?%6bSE>ob^xAmg0e>|uz<%0;Atl) z2ebk+IC%gbb%L@*udsj-1Mu9F5N9;@X}DLFm-t*0^MOUuMfLTy4vmT5y%-M29PO62 z5WeiMf7Nu}`&VP-3CaoGxd#T9B?348AHz=k-dV#|iQ=`w8(Uf!ZJGYMx~I>W8Xxa|qGLG(SG~7rL)4>@W7T!~8B~8ZmdTR@|FOU4Q+D zg$f!$vy${kL0jL<7geH@%Eo!n(I!@sG6O!mfNvMS;KiX!vcXcO?bY5=Cb?2lp-135 z#RA_k@oHypt7=*?8Q2?Db}eXvg3=+ft2z8HD3@M+=t!|lVryndWDA5OvYn6Gop+@% zT)kjW1s-%OzMy>m2Y>pwQO+ItZ~x~wV;$oGf-1?0)JaV=&!^|cz7Ir%E3yN&G>L{` z7Q<<~HUT9LbjXTbdbml)Vy(^S(oDL_b*OM%8*G5$Lyj!zS(rZ%zMX2xy;fO$;Ejnw^Ql~d##8> zvbN1c8e^?8#UlB9B6nzeK5Y{B7$sQVVHP&xd*2Ny>{u~Zz>poeZ|Q~WOV9R>*qC@_ z*BZjJO>RKn7iwPEk~8qjWu~iUxg4nk@#>pB;VpVNGO{syYM5%y_S$F!hyt-^P!1SZ z?vm<^NP4#h6FI+;^yb+hbh-3g)oLP6x6kJ3fbu>>|2RDkInvF`h_U<#2Z!Tk1t0I3?zBi)totZ(@X!n^@Ze(R>p!;NK14aLJ3@0Q+!d`jfP{s*sM(6ZGRcGWc+59iYspi)B_mhBsJ&1^# z4Mi+cPi$`Z8xfvy2u~-U$rV+}t92$sZB*LjQ03Gzi@1_XP59~&;OfHXM<>(IxzLIv=I?fG|$aKvvMPvu%0 zKe+GK{fPqcx>ilG9heU426~$%Y z1kXU>u|wnhROEx=I)8h+(>7<5x$mzkf)-X`M7>6pp)$TN?Gzu{W=!xtjs7+sTr#=E z={CS`Q&^4b>j^pBKfkl9zKc`4E+1`Q;pzeTn9hdG89FR1N-IzC7!7xF>KuSM0P{PyeS==%WFHk@BL z|F=-p*VF#Y9uW_Mm89vhG^4G|SskB{u`XyDWb@qMr%iI7VO4&|409o>75@PQ+zJm z5^b9H4M((?A5VWu)~c1A&Tm#hauBw)1`N-IFK3jE%W3RdF9h3T&yVJOXM&mpAqAhQ z8m6b4!e$0fyf3=^@?RgN_Nw-^5boV0Nsy#3S9bJN&GpL#Ni&oP$)^E-SvXDB4#}5> z1k5a%0KW&({)i6Ud#~L^0A-os%%_KvNMDE8eZehW<#l)6sjm?Yeclp*pTb*ipcB$X z!(N$JFZ`^EkRCnOeq|q4&ye*%=hc`;Dp3VZ(%G>4d9az^@J+bkdgZ7a_8qY~B+Zo{Hk z^}gcnjXd}voGmkfBY{m>J~yniTvtCoL)kTtQ^zCl?AH`ajkt~7RH&n#;=MzFM(VX^xZwH-{Cfbb@9rvV7Bcl--3w%UC11{QW!fC>jx%> z_=ow*qe)`P(uer*T0M}j86zF5FA?q+w=9#8hjeyO&pd_c@?1;J_(ap3kBxXWBjQo> zzs9}ir)~G@(cD(Y#|pln+v(FymQv*T<$Hs5*WnPMgjY&@-NQ-U_U|i(llisG3Wu^$ z{5Ud9ed`gxnicJpSiaNMsvbqeZ+k|)^j|$oUmZp-%I)c>i*4I^K_^af+i2txOj`>Z zreH&x+7uhbG^43d!vWJ-uuuAz=`toHoQ= zRwy44qs6S9rBKM0_*Nv*IJa_U8aX=AM`3O3C=Ye_c5ycP<#sR>^(k6cXitxM;Vi4r z2Oc;#j)q!jf)5zVgA>c=oU(B&t2n%70ZEH3I@h3p1MhhD`2ZFiC0F8i-PnNXVrLK7 zr(zBu{=2f3L!EXO$O{yW^Od6ggOf1UNmFOZt-2KQXqO$1@nP&biYHA{cltbQt-eRb z&!)E=JR2FT)@jLx)B@(}76}b=+U-Q6lCU*tNCauWo3d)>)>^d?+L2H)Q^R$jpNFF> za*!iFkrLyse^|$ur+DdU528K@LAZB9h3y((GjJkmsRAu#DdSk0)Xx1g(6c!RK1&yK?@8N>26P15>XNZQ{uWpuoF zj!v5NQagCt?|LZv8C3}^3Tsv-WV1>ghk0&y$@;6XKoANL)jlF6+RQ@fX0=k=yfRF6 zk<)tU!b+G7=oEAzD1<_(o_EN}R63itF$@xB71a!sE7TyolM!i`-c&M+DP>^s;Or{Gxuv0a+mHnlWs<7xPq~qey@$jC&)uK za8fjRg&?`>Pt+eFnW->v-X*O}NJE^j;>A!#_h{=X9Ez?amZI$_gCo)C5vA9)@Ra^Q zp`8$5M0K?!^{YxZePS*_#lF!8rqGtMDF@-2&z<*8;w13x)1|woKm-u}xu$OLqwlMm zZg{5Sm=wJoUVJ(U^{WC2^6&yvf6FPV<`1=vMTp(hKZLXn!z=o!$w}|^tfPAtW50fN zZREqq9j3XK;PZddLos(qR_i6S$y6LMGf2-mnaoOwOIa;~x;KIfiwhld@0w;{j59M@n?aF`N=a^%g}!bc^}VAYuNgwLnhQ@AenyB3 za{Srlyz|SB^Cg#6eJULM*JU0?(jo$KU;WE$oszTZd}xXt)hq~JrE>;M*f!)U?T~rZ z(Amrz)aobUGTlo18>!sGE)`*8ja+k~AUEC>t?ExRCMQEHFb-fZ<%pd_^tYf{K=p~3 z=k!`3dMv-tJ+}SsxhCh=krpMxo^B7NEM2E!MC(^x%$0rKD1yCZ4U8?bom0{b<$ZGG z+fc${mh(h@PL7UOnU_K%aXg1pp5&t+ND`%&z3{m0))XFU{T8RC(XK{b*;rHQkQ#(5 zc%~3hrc7=6gBUoEMoUY=HjCu|sCukxpq%w)PTtPjy{B1|uJ$v0H+;I}J1P!gd#><#dQ>QnGeK9FyLS6q> z#`T-Be-Gx@scu?u3$uQoo zArsBDVmP3*-4%=ZtVOSW*jb)SaBW^a{WIe2dZlFenke}MYduDBK$K~C*EO0HG2GxK z8Xk3NF3G{z1}6jpxmr<>hQvzt!p;r7-JJD7&pvu_XWlGx`Y~XEY0R}knI)C4d zpS#6o!)(KD$|)9NMO+YFRM0k({v{aU0(#8%OAUay&H+tn_iOl6@XQiOR72y{hLCX5 zYg2s7r%<8WM`|4w(==peshuj(O$Le<-M@oxq&%8CTF36vxmF*_HT;QIRon&bv?m8KVy1(Gxh_u z`%Y&)3-gFfBNWtRJ61le}+nT_?59I!1Fx{#aSqzwYOmI03ns3vF<5F*7^uh$pUOEhZ5Bhrb>uk^D zOrp#NlTZ@5s=bbSc(S)^qhDi{htWYM4ypJmjDtLqdThd~I3Ya=QLF&pW7Uc=Rl})U zxK?Pt+kuM=2Nc)Ooq!Dfn~yE`+{P{oKWDPyXzTk@12L88Ub=zjYJpDoX*}@b6qCE`)gKJzP) zA=23frKm`5BpSLri%9^#(EOL(i>p-#E5Mn~pvYJ0u#%pv_-p^jEMXNhr?>n@${sPc z=C*v7g6!c#O1@1Tk}+j6zeS_vx$Jg3MXMt4GnOR!QWRtVz{X`0A*etVL0t-$QKCI= zUuHQU4FB25bOx*j@5|A+J(Eg6WZYWP>d(2YCdmaM?7$LAj;aF{!yPe0nEiJ_{BRV! z3L18zhobW<)_3>MeplGu*-Vv9&M|*F$$mSvxlmQd^$sarS+1T1Ggy-JK z!vY*0afA~ZMf1fFaaCKV><(~~mI-6{l{{-q?6;IYs?>cx6> zg|Z&ame7phRK2Zt{Ryjd5~T_}L5Gsp>$&nE2=l@(6k|X~gQ~WNds^3MgeYo2keAh3 z%lErDqT*2OyY}O~cav&QZ7%N{V%?=?*lXmn2z8?F_Kh61$aUT z?u`uvZu0DQ;O@`Qy+vyZWFG!kq#+qyqS!g<@XMl>dQ|7|VfNGlQ1+|6L(2MP{sz0QDSL}`)tkhGj zA1G^JCxpVs$7$?&;k(t@`Jz+ZnJT%MM|lSd4MB9@8z84hZ|jU3wr z&O~_B7;?+k?Ix2ULW94jA~=5UJt{~?8P*r@ZJawo(za@8X|QKwq2xic+WS2td=QD4 z0-1Q^`^`q#=Nd$30J#;gY#zM5tONTsPZM~~uDuGpHZ-^V`Sne2*icGE-YqX*>PJnj z?8q(C?%sj3)i6f~O^l&&J}GI-=xXfDlYh!gVP0XzJ-R|pTcwjxds8wNW55hDDV$#y z(t_Se5xHI(ttkYjE^QF|5KQcT-X>rAP<~W0N(%=X8hqE8yY9`%B#+<(sIHpA(*S6P zzK*q*j};%O-~AAALv%u4s|ffL{0a$@ElGEcS%P~S|6?&2lr2O@XT7$xPc%r=$$S{X zF&S0AbSz1}-EA~-gHR!6>9il!er{t7$Z|~+q z`-ol>HyIalM@UTm-8as?d$m7J*Iv{}LW9DR&wC~rp`3Y$UlNxEQTUUX$v8CMbrij!kUDkhyT%&)%ng8%5jJ$j(Bxk0} zsz8T`I$}%ymQxj&u;(?(C$mDhWYxIAteq{^^@+S2bu7QjI_o zs-LXxv2k-54`AYTzEHyYGVEWn)sX5AvaVQC4;FH%tVvF{IoBh-MOg{OLcH6r>Z839 z&oq}^Fs5c=rTwWKQV$4tHF4=ECVntxTw%O$Ce+cSD{qAEo(yA&Fh!}nQxd8kP}^dyQ?W^4V;)C&7xK3 z6EqYrp7>(p`5$o8BJtZ$o|_Qt*{~LdwN)=m8|30QET~drd<9sy3G*LlM8!7^1Mr@M zWy8>7mon-r>glcpWo6}iwPx4V=F8!1M$+|Y%a+#u7F~>`Pj5Ss-F3nzt!BN7_Nj>_ zvR}hM;DE356eRDijb3A%|8-WnArpGt?J!fW%eD-4nj*4cC2T3}&ReQAK_l#rP%eOo zz_$8BhJI7@?*?eJ3wR&*9ah4tD0(e7ZrEfw6)mU3a;-Bnf4F?BIE^&hTf&Nv`E9}d zQ#nE-|L9GE-tnN8^7{sNXn2YzuUFhB|7sB@(a+1Ojk6U8TnQnw1V*>HHvvi zFA&?QLydwWX_%D)eK> zmYra`{L;GO8OoJ!UVWsX=eH;Ix!TaXXNa0CT3-Qe>ipfrXL#b$^|}UC8bYpJ^_G`c z8~i8TAz?YZ))V?og=2ZjNfwiaBDI2r2t#y*i3>9u@T_ky+~?vHZ~2DOY3E`k7K} z%?V(f?@QnbsAto^O*{=deV>#0l{{3Dx={-M#LB|z{b07Q4>LNL_;vT6gN12>dy7oR z5Rqn<&--*m6TAOzrq<8QuykaVnTjqJTCI02RV&eljY^9aktIYGy!6L+R5l6OHZC!? zw`1I;Wl5h9ETXqDQu&h~k1AzPdMb@gn>m1r4@ZXhS%BUv{P58hsf%|wi@Ch?a{X>d z>-fYP9(i&v$9j0Y85OWj7y^YKe^0U+zS|VYa@>RmmzdaFmBpkM2pH zru78V-k&D1Zq0a>HaX-}d3LCs{so5LNeHjDJKxet{arSEgK~UBYO>7|AhHr?{fMUp zFJl%l#fe4C5|r%RufX!WD!o-BRU#!1tDc6$0tj_ll^ds;(w}!tRWzF;D#|Qmojs(( zuSRBO4|7ZJ=PD*J5zY8P&97q4Ox~D%mcuHNgwyNV-jgb%Ul=kZWDhzTwtxxDM~B4c zL?yKuz4pG3mr_ynk>ioOQWy0f1})`g^o`khm&7)~*G2DJ-`LQn8Qb=zpOmMpuo!{7fuSrY`5<(j40LY;%^7;WzOjpYJ;9e9_Wkl=(&-Jq(ObEe#>IP1*OST6EP-%QxSi4DrMG<*d(qgXuleV^5oZ~-0k+&x2bkAK>s zadN_1dDo@uwf%y$q=hPI?d_78TxO%uqBrQ+Su=GiR|zke;;vhaACw^~s_gmZDC-x* zk@ce4X&tzi{9~+!DeBN%B#ksj3%5+KkAyei>nltc8rGHL^5)reSi)rAXlN}EXC9Ve z!Gqnq*+Gc}16oc5S8^-r5Moei_f-rad8jIS5dp-w`t4;oDW-^0?4be3**cxE(O8c6 zK15WgN12bcS<#d0kD-Q7ESj2cI}YWir%l=pW?beJOqr*Kgs6(KYoPEv1=$Nh(A3rm9FE6nuOn%)l zujYvZCg_EC3l)YD_tt4(P<|5FzZpHNBsh*;%qKj2S0_{EYN)e!_nua1~W-s!9j}LNtQEhe~^8Y z+_idkU#2gE*WMIy-aT)M9o1vJJ1uL${oLnIq_eJ_f1%=TU^wx@lSMEFK`c)%Octj^ z@q+x19RuqN;wr_)zpM+&s=TqMSlBUu+KLsAS%KBX7?p_4`e`Gx*XHFXV&; zs&Eza0dGA4DvL;^%@n&Zav}Mx&WSMk{ap0?Z!eyOrTrlnxklss_0w+a5GeLUso^~# z`q(A8k+64q+ml9yGMtusqagS1cCLwmix@Bdo1W|q7pYxx(C>OH8vTvmX2COo z>0tNI0oU!GP+f?FcQm`$75>4kd)-c5}x+g;9O`2c6-GpMu%SM6=rX;BwH%GFnS1GKGCa~7ZSuetJvD>sUf-1vGEsNQ-Ncgbg4EiHE< z*YO1wOKx9KR@L71$`ABl?9LEBN~i^CH-wQg)UqzTyxcn%Ejvwt@eN6!?lcnSVZeD6 z&Vi~`n}eDqcuiE^?Jy;R2XF05FzZ&JO==*|^DN#Ie|{H0FojaTW4Oj%F>7lYmGPKCd$EJ}nC$zk(!9lMxo zho{2dg_Aj9j+aAO`n9epa)jm;ik^RgV>t!>!=4ploGG_bwq{xQwYJ73Kl(a<{T(!% zy&WOl7A|ESMkB!T$dgN2l`&39tO8kNeS26Vt*pN0>L5Sj^#C0MWlia>j;%5ErR)Ry zk$YESyrA?mFU;k}4mVlV1Llm-t&w_X*e03pp=eT^rZzpxO|fy(a_vDkKj*8O#@yty zN(Om}l0;3SgE+~~;4GK4SvkweB-N|Q%8DWOWM@&h^Blm34|CW)E(1F5Ms%61e_MK!s^~zwnYp6|TfCSctQB7KmVV5$ z1ljfsSG5^nU5mgh3ZzZVA|_bmtp4LGDR>O&zwAq zJ;RQ^%}Gt=EyD4JB1hyM1mDCA85u@|%|UtOB%qKnptKV4(qNMJqG^V41v!CEJaZkU zLwpPdkcuDRT^(1cu^zdzE>D(StGQ*dhOKK+n8^pL3qzdK@9IwMqS0HBkLu-_p|%g^B1~$6-_C*>n;onI4`C~@d! zVxC4RKnwG9;R$b7o!h0r%UA!_Jop#@2C&ir9qhw-C#|Cx1QVR2JtVhfma0xo^%m&* z=BjIfx}YkxIo`JEVwH@07d|$Fhi&NRUKh_{+>X)e4gOb0L(>qsRA>hQ5Y3&07^8yc zab)sA@N!@oEcU!-9iF;@wpNUPI*z|u4Er%A@qVpQ9;2awm#F^fb9IXjM@p0gU79@R_>_ktFxFT9_{jM#}v&SGS;i5)cfIFXhchmewQMG7bkQ`&PfYEbokbOJsYm}<;q{h-f_%Zj|CnZ<+FTAncXr@bfdpqPZwJQi2D0l8+#y%oCsIBukyX6_s*rR zN4qr}<;o5*0_`srth%&1yH~pBQEB!AL;I54#yXK2)DHvO^!q>x99}M-MJ{KzEAPcP zbq*oja$%x0Tcw9XY?AANyC$F~QEPaxE$cn1_(bbNg`kh?=oryCTDVD+Mz>#g76=&) z9-nHd+tGy-{y7HQ(G)S0O<*UG7lORvUr3$WXIxnz2}09mBI?A&|vKln!930D{kko6Sg9UvjHQHNZmy2m(RMl zq`q-hll0V7;*1N83V8^2Gq?t9@*0vRmZ7lHLg}4F5G`(82i{>2Ma4#E7kgL~U!9jX zX}OAtuBXBezvg#L(hJiUbyD zT->``>RK2MfzhFK_E?8m800?n7wFXf99&GjbC;ne;sBq7?`LT9LPJuxtC> z$S4xbkrgMN(DU{Jmz!E(M*hHjzwUX%HFTWK;`+FZ)8{&>0EmEa(8WJv+^W0(I@<05 ze;)c{uD|j^^Z0l5#q9??efE|6k4QwKXILyy_?*QGO3sFqVD_^(1kdPq$W%pD*jg76t6gWcgUVnI4h?<~znSPt2qB!*zIW z#-5nPJfC8T;OERTo|;52pP9f2){4Ypnu_Vi=0xhqslGUQEqRZd1_d6ZHYAj**s4*X zDCT8$^#k{&5A(Uc+I!OCUv+j(HQqF5G9QT+3eFW&&R%_R#s2#Ee>;%?`BM3RX#_}97}H&(-AH@WIAFgHU&zIK zv<(^ekC39X1U#WUIP-Qe3+#_2@${e;>KG49oA^<8BQZ-M!vrr9FXBQ^axl2ri?=Az zu(jBiZ#GB`@Xm#%dWGy*Z$|~@IsComO7dyBQyv!jRwl_tk#{3{1GCxsEy!Kxft(oc zs=p*WG7B)I4?Xq36=X4Xtu!0pb2EaZ{jBT{UaVkPIyiczF@ggvt1K9>|!NI>Fu$h}Ow z5Q>GFmus<2qQ0+lkp3HEE4k0*5mayJ2VL&TEQ$|PAvNuqG)DJT1}B8zNt@k4BjTip zU<(-ND!w|Y0GAJVnhNUUnY!kU!oSjQu&I9aiRfc^=;aUYyY1gt4#ChEfcw6Qx^?QV zDwK8#26L}O^RLe?X!pg`Ou&c!R=nxA(BKi9B_wx$tH+*|wIlYb2ZHT?CuJh@A0es$jtt_)=chzj{2r05Qzslz#3}J1L{^Lucyv?WW!HLk#$K z_R;RBGU8_ksk%QlE9yI3Q~Ws${hdzLN|33eFztD#SYQxZjWjS3t8={P{zdpDQ;6v+ zl_X4l)~fvxDH1HRAQ=)Fq4>n=V7Nkj>V&^mFyDs+dToFm95)$RVZ< zXAATjrh+*C6zu~?9~;$_otgl7^g)ZV+$z*W1-t`(hrPENLr%45ato(Zv2xWHY03H2 zd@%KRpSLNHQiS)Ji&U0{E?@g2MDXK7LQRp+v;CHSHBw3heN6d)>!LW7+s>-_f`2n1 z?$h@3r4p}s`o!=~7K@ofQNy(44XS0@7dugm(H?h2LP!%igB)@jFI`5MGMl19bZS_&nF$})&B?DI zgLW$$xS;_n?|wLfui4Md#Ng>f|uJzK|$`o2&aPXBv%E zw<~vd0B&EYea?H|iwA({iNCm_KZ|1Vze`DM!?kd4;aG!)Lw zACi~{HH({6Uc#8k_c|w5j9OCekCffYe#&*3TI4O+GvP^~_gV4|oK3vM-GCFb1`pi| z-A5E&8vK!qzj#Y`YW6e6x>pzK5h;}`>*FQiIlt4J!yjPk?0cFHd#mGK6q7PBJ zMUbtEZQoo5ME=qEOXT1un}BpJsVe_2)ema< zn#5Mq@3vB?aypT9EE$=}MzW(@JC=yN6xQ^EVFD5o;AltuHbdHxyyAMGqp{}eEq(KU zcM#({Yr#m4vjYdl$gvgl1*q+80(PpbotKg0DfA5@{6QNYqo<}QPW_LlTb$KjGrV(J ztGq;o^)@7khCOi}rvFF%YuZ7E7A;GBe<85y=J<(smwDx@awsU`gbp=S=`g=b>)2o+%$ zteTDF6VX7o{L7C)R25r4L3HqKWC_;@a_>0mOTz43-Or>cGpk?W035D zm^R;eWWle6;&cQ_UnC+S6q3B#ixhm^99Z*doQk9uImKvfs~(Og^e?ad?U5WTUIe{G z22~M<*!jnX9jNP?EW?UtRkqYk%Yi|nXK6>EJhY6$w-K~{nW})X(c$VrO~NNs2Okvw zIi=l|;C5B|av@5{U~6|rwMw6L7p^qa~W;a(?tB+%e@_?VprGjN>| zqViCOS?S|8w^v|mWoPei-OX_khNkVQkAxEEyLy^-Fr8Zqw{JVh!R3i)oBLLpGAR_` zi;9kU;lH>Hs-I*g!ikQ4^eSKqlruuE`_@vM_gMb#EeNM8Lahs@%_yt>{@+^^t8eGD z`nI_Z#Qbv20X*lIkueUZsdmk!V?e9EwaDc;JD^x^kf1Bh3r=U_vY7k{p;%GqU|GWD zS!d+KoV8c&wp7%Wwj<6{$>^=IA#+4?yy2*+6{86nJ0mMma=zY51mvpEs2I0*UV=+@ zVR))rWvZF7dwoPzlBJ8RZ~pv!`d`EI3~vkj#lY~4Ec&Chrfa+-FW}nsu|YJ#KUR{C zagzP|c|eoycVK%fne6uCPau@1{uyFs2cY~h%rS)zWIeEenC zXa{3mIe!Y}WLwTdD82r|j>=jfjy&vrIYjoF;ztL(mTcH_U~4?%4hqxx7sUM?_&k>= z|EhG?Bce#Zh!g=dC_HL|s?1m%6XvnM3k53Od0c{Qy-GO}CWzuyGj9yzn(WP#7={)F0bS!W_P;DFor(DIe0Gq!wioI~J#u z!0!2M*o9}b)K|Dv#|TN)wLjE6P~Y87v3K(TdDA0?gs|ifR_f8_25}R}q(NF`;ayXS=6!E+6R=f$c`F^%)a~$cZuPt?@x1?%!_WV=s+KbJ^$@mc4CRl5Whnv( zTQ&wQ6`~IQvYOS0{{HBc7uJDfgxMx9pHtxxsOgpD( zefFhqq87w8*IG`0J^J1x(#GjU<&V%0(&LNesA`sfNo)6VvA9*s?d-te&!qoYD8d}h z)&&g7or~xcunV(wiIjiW1N0&a#NWRiEO|^ra)^VJ*29|bb1Zh87c|$h+5#<3`ZyXW zF>RXLbx7pBRU`kkxp zNa+7z#o_A=WjwQ@mN)vdAQM(DoY5`+{!SBDkJaf#0-XfwZWTAcGpC_XzM&5mLrNI7 zg{pXbT0&B-acx>j#109e;4Y%4eibC~Ggo!5qRbS#VZ!8GqwZJhx?N_TR;sri*Wfgq zGF)^s*-ZyE<$@VCFm@%av2OWqjsB`C*#|@E8&*v8ARghrL8U|3(_)K7w^v85m?LD0 z?=_%=l`Ho?zN1sgHFn*U%Ej|Jzn2KM@qV`7xh#BL(1h!2SvY%GDy}oZ& zlzr}q$x80ROcnKM&YV|Tq!eFnx0mYJuOI*Pw4xqE^VR@80rBeUTG>{N_4YC0R1XJ+ z0voFpLA?wc==|WjJT6;Q)=FbAMBr>@?MK=eSQ!pr`N)b#V4v12yg|%O-fQN6--<+O#2qTQ!m&|Ql-?w)`Ylt%a zz}Nf@{PHQX*V|9{hSPf20&#@Jo%bS8nB(N@T9zdZgvjj?)n&0Et{)aNA72f$av0&6 zew>_tH0sS1nzn1yZZKny4@@ZVf9T=TCq?*8Xtl5}XYJU$2wA@VyuG4>mDsEQ;2xTr z!>6ilVR`nUD8^e5Jt;nAho?G_QGvahJAdRQg-w1_967i+>hBG^L#TFrQ|){?igfTW zTF;yU&O17*-)~#1o}O;vp8;Oxli7MNwUftweeVQ&-2Ls;v(T;4pr104^G~%|0Mg1|Yck1fmK1w3QXnROcZwpNC?5B|X#`V`^G2J^E zVqsMm!g{u|hgM?wsQQE8RMg+^Ah zdF}srC+mv4LSR3N->`SeGj7M#t81+hL+WW|P;4Wjvf{;9h2(XTz;6@yQYsReyfp^k z#oxH(-q?6I_WGIqXuH!)w9!?=zu=4PgxFyW8S(hKvDSo>zRvzNv2)6*G&JhpD1L_> z6Kl27#A4ROScS)ie+7@6=+f42%`pHIJDPC1w;KYjNIE^N<{#6vtGKA%M&B==gl`wG z-Ru>1>bEeJ3)1jhGD#3*S9(V@Zs zSm!^5H2=U178H&sSt0HPdPY1BhvjgNC^a5Ycqg1vq)`nMutW;i%HJ(#^(&wE@`1 zKv+F<35gR_Xy^}K{ih;wc37bM2FtUe+o3OLL1r1h?8Zf_BCL*b`yD8I){%W`762#*Fq>M!#BZEDnki zOX{z54&=UHV%rq303&pl^8@epJ#(MXHK~3iOg_)&jk<$f@pL4zRvu$OMGIo_S#1Z- zR>x+MlF3-&`f3z-ocMSj-FSbM2i_+(K5;_8<61TFvGPd)8mIStT(f(>JlXiTH2RQC z)vkQj(a9LY5V~(-n1i(1qx#zV#A6V{|BxO}oQOUUFhqIGm32wwh!sIPF~H`y99CH4 znnzuD>jhkn+P+FkSONXAeQuKF$U^>^$_LVGSB#qy_n2!1%T&f2{fK8 zDANqFegc2fVpkc`;QTomgT)C~-sDV&j$H)M>M1&xcKi)lr_+oi zvecrB7iOGaj0yx(HsrWT575>`vNgCid}HHtu`n9%W-xGlegg;78h%I(r>$9IJW- zltjNnwD6&XL64<&^5J=X#~@;*ew!u!?m86Sto>#6I<~gBjOj5x{k}qDV7&%h;>H# zi};Lu8e*`(+e$dlNRXNP_l zfps{4?g1xdan-k~^KTkox4`23_`EE@I-MvEtiMVOBS6lIw||%XhakY@u$%VlYy6p! zO}pP(dEj~7fKwOh0GJa$u7AwyQByzIGarAVp7+#0|2np3ROV%htO@X z9Vm03QLcISgD8OyZAj|Tq7GvXH~2p}GXr1?UI_r?;5@*54r=v0noA7F>qj4lzvzfTfIZtxQj>yxSrWrmGBne_ zwfV8g*z8B(?+%v!L!HqD91K(b8;i&+#H9ML=#*~C0Lk`Jh>hi;+L}hU&JZM8U7{Oh zF!mvEkgM-t;u~A@Sj@wIaYA#^?zFzw=oISEkjcUj$B-N59zU8H!(*$*2#0-Ys6neZ}oyL;Xy#Cx(J$KiO3KPj&kF*q6KfhfaL#xg+pL-g6>&kbPxZp-|?tNy#~f9f1=5vob^KhsK*-PKoL|J22+u~z_pFU`XJaB5 zye%mIs1tW2j7HV_@%ak)#xa!U?eJL0L8Lq#Z2%ks<>!tJZUXlV3-ZIQ?l22SmSm*^ zQE80oM-gFa*?jZ@swgf@>!+`6b88v&cl_2W=+G*fuX$DxVm4*1=EQbBQ`iPq`*~H2 zooAbDGr z;C60J;7^&(ciKRRf3)XdKAtOIsY1`ce67=^A%Fx1z9^cit7@Cefo1zKhw0M5{(p?U zWl&tr7d|+HyA#|A9^4sR6Wk@Z28Y3QfS|z%1PJa4uECw)Fc92za33USmiPCst*zSq zvQ?*VpVNImb#>p{b^7V&agQ&eJLmzjozS3YJk&v6v4aB!fp4Z_fI-RTK<>~NXYu39 z0rDfKj&M#RzD-u`+#|quGpXNC5B#Cw09n+KTRxY3NZtsd`FZsq!%92H$rG~9^6c9N zRTE6-qobwL>P$f|4=3%A7$i6^1gu;V4Qge(n6C)4G zhD(FR2wp`Al#DhhC4D^H>5YFD>GK}ZaZiPneQ|_Z3G+Tf|{2x1$JRaX_KYmp?Rrj}tSO(0qXm2hf z50NakJg5A8ejmx^!?1jsj%CA%cYLcHDM}LU_4rn{Ab{@aR=Mqi58&Z6-Lr%fu=5%j z-66Yi>HX)~`rLhJeNL%fISr{^?LTsZAj_X*ZJ;U<-FRZLhWsBFS5k1M9p(t z`&ZFN#{PR3)VZ7wcg_R>>n59Ru8V2+13%iTOI5<3c>~#|BLtHf*ZB|Rj7rtHgk-(- zT(y}JjZr*6OEC>cTULAvOm=>H?Bj1ywW$5{b~2~8?_(+zS^R(>S%5v^Dd6xF95+Zo zF=at<4`<$gF#+iY-~VDnV-{9Lmdu_dn|rjk&qVG8j6Ma$#puPHso8L3yPv;815Ito zWh^3^-eJhBPOsP8#~fpBEW9HKQA|O;K3<%$U*d2!W6%EK(bc!~IO1_c{3P;@$Kyx< zpe}_Mq7C@A#0lm>*pFUkBx0TmSyrWI) zpZH}#oJ*3Fta}V7Xygu<6A2GK#>2bgOm>#qC(im)Q4oVUDqPQVI(Bp*emWNNKC{AF zMNH=Dp~bd2y}2)BcAlh;FxxvsjdMOSmYOdJFy4=ZP+%&#*J`kv3&3Lr9kw@fmqD#dVd=ypz*KE-9~kwJpr(tS zZTW2J`JUkkuQ*0|on$r;KgfQMUSj ziJ;v_KnJ9wJC8Bk@gOp3o0RT&GHDl${n9#nn$z7OGs6g*T{#D_YBwv{w^J)(3=oWz zF%{b0LqKEo#L>S^s%= z91RhC4XaFWonYhn2Iel~JD@4|R%a!k^FU>vq_LZJ{F`T!7|BAn0jsov}#HvYg%y%)E(?hTw*Q zN4hhZxf&cbBS6>X7BzC(RR3-{bF5STF=_G5Y1yTlR3=|*5C$$HBZF5x7r7nSEQCag zaZ?4|i8OL(4Z?sOY3Os@&#_N_V3lb^KXB#MxToG%v4W(K{R7eS)>+Gebo-C(fzx|C z@@1q4<23J(Kij*t6&lxfaF}u|##G|bj&04u%LhB{J8b|w8R*%yloxRnP)W13R56)& z-(TxFkE+17OoHS6?gK>-&yGWXugmT=+fY?sXPa1vA~~>7pvN4W+u%RwRHuNH>whRJ z&v`%&Pft1?2P!9$b(ML2x(o*@E2Q^Rm3iYEjO43%k16bM&gOk|w@%HT$Nq*8_fzV8 zIHmg?1_hq&mO`!j*6qyX+Mh|ZWCrG$g)%+Xnz`RE#xXrhhknJL_wFE5KhN#6fZRzQ{$bT^bq$=EZZgt3${r|T>yRB`V&Ys?v7Ggn`eq+O( z9>>jf{g=a`+A4vlAjc9eyd~Q+0=d6lNY|$gEV7WWvcJH5yB`D03cyAoM3InA`Bu}W z#M>mS-eP~quF(h@oQs#4w=#ajDGw;Y=&Yz_Z47Ul;s(lp$_Sz7zc6N$`Q+AmAoE$- zkc8a05|4jRI0aKoNw&Y=nZ+@rgyzf48mCT9GD&!W7{Ic$7&{3CjGzg8@T%4Q8ndDt zM+{bq|OMe&-wzlhWE5jv2h7Oj`?BQf}cK zs@%cSC0$b9TnsO%906XYq50Y-(E*-!_;Ab|O#P=Plka}h=POq!>m6_7++b|w{GzTN zqg4EG%D4E>dC~ri0j=#63vTgNA%Y9ro zZCx8XZ5@f|{}gR3)uXRis%%bSI;uy6uMU&kT(Ly&RB_*;{;RV-RqQRbP>gdPgNU-i?>2NCiZHjmnnTgtQA5!Gbh%482whCM?EB0j ztm`{e4W_k)-2JaClhHqasG4ihD!9BytN)e((@5_ z;dMc5`T8JJ%mF*pob<3=H0^fPH|#3dSgH{MyxY4B*EXSbj z{#$|LS>L#1^h$)!1ztUO0HNrL-#1Dk$Xz&_reW8n(@e8d2UQ@-deVd-HcTqubdDoE z^!=jkG7u!l!7REt2(&atA?a7W8n5PJr&|8HWe6D|KVRKSo#RC?Z`wD}rKW43ckCEH zyA61=BxT@}-sF0Q3S-$`Ai?x~D41DR((@^rTQm1s__*fv3M`#7vGcmiH5ryC^u2g$ z3gtX2ws8^ZkDxN&eaQl(bmy257#Z!+KgX&eGXS~8%>Y=zLQ=OfKkGXF1kEiBBc>AZ_cVk_r@S@t zfR1R{H!5c{DmT_pyXVc26uhtZT!x_Orj*RE8^arGFu>93RE7s9YTeCHKzrlfw;|J? z@xqxeTy(BOJ>-kSRO7lRNwosxu2!wt-so2r)-nERaji$`DH8g0q#ndb=lztQTtc$Z zWVZ^(v0pdxCGOz-F~a{EPOYS?|V zPANz3C1Qsnq51Lxd&nO~vEk-K*~J?%g#ZFd1J1B(Tf_?3>);-D)Won zt!?;(q4L%qW`<(P=O%VJ%R|CVU;^d~%8+9Eq>_$OM%gs^@bQw`Ql!LU)ZyB+kD;h+ zI)-5eoPfkbIeGjKmVOHm#erQ(DbA&u9>h%pA_AO{*l$q>8&V^Q8_r4ZZaWP2*n8&w zwiL|+eg{doG_~&Ox&b_KA8apP$sRn#%$%o!&SHD{{yJS?d369V3G9f9r5_0+{;V142<;Cy$s40N~|B~yP+7YHha`TbbLLk2k{+DEyBf9 zbOdXFQ>eV=74ki(Lh}kFEYBG45t@99xs1H*f!h+27|}qtCM6E`4i$=JLUP5Ae||ao z`jo!&k1lCIBD6zinj}!kew)#v%q@bnge^CInY+&@adw)Hda*$KP<(G~xfJy_IIJ5e{tq96FYh~oRby(>2#)aL; zZ0mEOS5tb0_$kam5uaQ0yiB4Z+WY67cHT^Ic3kig-xno-zhwM<QiXeg-xIeH3@(DYjI5b>opPIQE1yq*$8nL{7GV;>Y3kd-YWBZ zJb1FA7VC>9x6q^X?L*KSSBw5Ld-P?6B>TZ-#XokXE3TUH_>;S!HIa`VM>XmL(AaD-Zh8 z%|{CHJyFSQ*-Wrx<5SE}fTzE8So&MLuYUf0BLXk^yDIULgM`TWA;j zB^4Wv?#KzrQ#0^jB~$32oD60K!=#`D)jSDKqfF{+6aD*Hv7XU01hL3R+=rx`|N4YPx*$ zLB2b&ymqBVRd+t3D5eyW-}koguq@woVOCqoA>Y2t$WI4GG%B#B!?m!_EEE(Bp4(?8 zd9CL6nVDa!)RT5DkvRXzzUVVdgQ^51uB2uVJ0$Wm4Jf3&u?Ld({9~hkKYZPAdXsGk z^TfVcyFbmh1ULP!2k3jkqY!RC{vVa=-pY*~_l)^52D)7q2n}n;kHAY@Kk_IRn9S~H z!NpT79+mB5mB_qjMep*Sdctw>}Ol!0yWdSrm8D7r>v-{=~nsnfny@#cwnMT#A5V3o8RCcUS_e^aI z@Cc?}UDL^pEWjti4KkmFPKG`3xb`n>#`$Cf#e*~;zQyZrin%#hcV3s8B1kYB{FiTH zgSkUsDv1Bxw1y)0By%q^>>D>`AKI zJUXa_6eVC6vpMk3S)WIvVm3LTLyZ7t2%}nX&A*lnO1t&QtTSW9()TXHWA3@>6`<5i zB0&70)qLDyXhGG3N5OrZuSOQk{5rAKLG&?dOx1+6^tr!38wBDKhJS;QpVGVp++{yA z9QmA`&~T>rX1HGx$BfxbI46ePf0AK3-@i*9v#ER;hVlNu_@iRCaA@dZo%^p5a*$-y zOt+XsAJeC_q;5GZU*fjzV8OupdQR0rj!ipbcP2~O~-d%QO1M^^eD6@B>X=SCc+-C`eXJLmjRg z$e*43B|B#x599Bz2Lt`BBszHz^VT!cb3{=|(#J$m=^%0USc8EmRuVfSd-RWF>I&Jy zGU)BvU4+|mNCXMjk8QiF!hL9u+NQaJyU*{3c;~vW!I~``gI}?jF%sn;f(US8eOgIi zm#JSVDkTHa%2wR>Cwe;j4+2*W`Dnk^1<+}MRq@T(bDgpm&YNovP5ybSbv`z98lJzn z-YopVr;<1D4TO0K74xG0EGfnNj^J9CEP}kkq|9SWbl6;VWs%`8q9Y1!A z;dznT;@n>!!2ItaXsefFtoqamVEjt2^bnB|YSe1H#>8R@S zIcNcOTDPTukErUf;G>@pI1G=|>rfc+v`7s& z{=Tw2x*C7Hu|xzCar5rP7v|}wA7GwbCjM4m8^@rL-(rTxTwJZbcBVo9BwqE0Slf(^ zzmy9}X=$oLT&EsZ9sLnMJqvUKM_)_h&chRb(CfdJWt5VO@m@Ot&!K^m=wJAszQ=zm z((7EwYJZ^T?13nXA}YG9*LwSvWv1*FhiYgnq``3<5!`;_=UEy#-k;9waPNL-CwOIc z52367chclxnz72(C*$nX$RtaVei}b-ZM{Q)O9df(RX_q=E3Rt4r4(g4V}0n1a|lci z(41*h-Ikb*%Tc^DbygYh?OM{jP>aR!AIY2K z#52g{K_adr7uTU-H}1uq2<((>aCztMCVS?VD2+zgf`cn~Q*N{aLi2ePH`^ea1oB5q z_kR5s_AO^{Ofc8|dapTapsOSf+eWNEe;3ILE5}O`Jl=WO=g>sr72B>{!J^I;+(dR| z&bQlZJN$Vf2{nrjSI~r-G7>~CE4jVoAPzwWRK)PM&$^l*ghk!K0n~YSAMry#vP7Pj z7$oGdxMM=W)idBVab8be)SrLH|BrZ4yX5ozf2u!uufj#$FVC)!zf_39oFT5SawF%# z@F=r?FHtm_(r0u1;@v`>+j#VehUY&OkzEQ~-x02bm9^}=Sw4@Ipc{h_lX`q40t6nq z3m)}<7J2|Y{D$bEha`?Q&~$I~f*nG(E^hzclu-V=MdwEVq3W^30J8u_ zB9c{)6CUUv_yH$(&<_XDmG@&L6C+F&C%mc|sq@WV(GMi^V*8hY0QN;7px*n~#Moj2 zgZ}fBhgZ7k{A(j@=e;A+;t|O1 zVb=RCL8Qyd|Jgv=THb${nfR(7%NFxxkgq6FV$nhZBE}I#VaSEmL)i1=)BZP^iJRbz zGT$Qr(~ZG!24x#fKs=-?H8(SuLHzaT#vxNhI45gbEfSZgL8Le9(T#1u(NHuox!=QY zR|a*eg~YKNY+Ih6Y|0_71_%Z4aw(d_M1i07Ce?OGVb3ztHwBLo9 zIz(RjU`)eKH)+{~P)Y)@bPcLH0pjop-l04g&kvJJ>b7#yh%HOZ{skPt&#I4|bj2*} z7XheJ@iVE{D=Q?tHihpg0ZX8wLwv$AL=8x^FpjSsFd1;wRwGP@XjQX2u! z$p#_Em6;%clyeDr8Oyo|fie{rxsxXb))Cot+^%ziwd$1m3l@|OJ157T6POg6MLP0Vz zOD&Mz6$z1SWMrVS3qYj)Qa9dppwrEf52vs$z>q8jg?>L|EC2iumvgZ7{F@v%*k4g> z(K6L)d_I)7RUc{MFhvJ1ggGS3u@%x$F2jQ0Qj^wqk_Jsp0!W=dBRu3RrT{R2s{<9P zh3De4<<=u#0nvVKz@W_4$_}vGYAjyzb)UTkvUZ%YA8|nGe_Hf zRzJ~F=@uSIL4T9&hwv^QzidHgy%GU@V8m>GaO*8I7%%zP1keQO# zeF`~Wb;<%{Z({-_QgwCrt#C^cE{Y7UKTI`y$f9NdApyu~HWE^Hx%xXIJ!xqn^*)x7 z$Mq1PIw2CEZGkv^1SP4OleeVOjLrmq@IK=fN7dmP9-CPojF=NrOjd(Jf_2E7PvXq? zmkKL5w_inN(+^QLQ>5ruqdRRv;WSmAGX)-E_}Z}kt4c+*R&B`P>xZqOQN$`N)m;TL zbeB2+5lt08e;Tu8h7y%wLG}aImR?kOGqstr=z2&>46=2y*I`2OAe^oM{63 z@wqz+55zGy7|3K5d=}jIVk9)BPb`8$;t#{YlCGF1zIX*3Ewpx{^0m46;_=!F4y}~V8mQNNT zr*0}@JiqYUf?(3}n^~R8cyP*D4+LU=MBpr95}}gpw_o?jeXG1zhYlgsf6h(3Tj7ZZF^iaG}VwQ5V3T(CVIUji>te z#$PsYxPQC$7xm~o_3cIwbsHp>{0)kP(9utv0T6(@wfNt*NouHy|59CU%_Pua{pJ!_ zkBAn)VPP1#LzJfUN#Ppbb}wb+#?Mm31{yvaCU(8}=_8+_qiQW}MYDf|BfJmBuBG{* zU6&L?KmZCfK8?n<*uAafVo2AI9CgTTJwweYg@{9nq_3eZ19oGWQe)DLlVp zHC*s^Wk~0H+YbfvawuW`rqsJoNfFW*fm;G#ggr~M7lGNXef5{;&(0jx1iD);oi6xM+M}{ z_zMF*;@*Yyk4gh_0Y`Jw{o-d3I&sRt(XC8ApwoI3Ag{D*H&h`R)*y@_inJht(S}Tf z@Z+*5UzW{!6mLgKI*Qv?Q98=!tSVpDL1$>yFCokYp$uDVif-`_en0-HHTyvl%{|LO zk{E^qCm`3%RG6Szt*PxCtmKF#+@>Ea4W`9P%PteV9aA43&I?`@W~)acSU~4bk5hV0 z-`KGNslwQEOhcVxwb6xB0fvB>5WXvXdw;<*sjS40(KPO0X27xvVBCs?Tj>HA_&u~4 z5Q0PlpkJPqqW>&Zg=$Q_zhmvD;vI=UQvb#exFqS-#FMZC#B28m+8U1c0cs)!Dr?Ir z{Q6N85JB?N;9clM`DL#vAT5MT@$M)C^^CY@x>K~enfE>F3<4w*Uo=>7VO}34N zkg_>PCRx5slV`%V4#Amv7jj6Z!2NRb?I?*gW*)Kfw-cg6-4B5Tw@xCKD#(BRrl#NXE;(nscKsX&&sA`magh%#b^f<STSmm_j!Vw?zsP@esMiPQMTLa#Iw-gptnFt*{*(RVTq1zyDKL(C# z!ssNe9;iwYQVBoa;tVNU#kR|V4Zo=x~GGjl?D62 zYw9=IxR1Z-f-t-+@AR%kyasrV%5dj;M;+nDT>{~V;5(4nJJ+l&)@a%{kkSoEsO2ud z4Mg~I`-H4<>n|H10hAA*#_M%r+6#@98o5ReLpm$poU98K&AopUF_zZo;QI?a<^@cU zCxS$>j#yNF(B*k6!l#EU^#N>K*#=PW(S&RZSn4IQwN8ME&Y) zLRdy#8`?r-00L?suA&U<5NO|uG+4@SduXNbvCktn-oc2;wO?Jm-Dal$dYl<9=d79B z#J{G1=8G5=MJ2UT7@&88$9@>vDIS2?FEahJMT}A3zo+ijQNH!F17xItz7;Y0^qUi4qYt*tHjyg( z2L)DYJ9hdZA5R=3C*7`!Hc9k3I%$6IqAfmAH^8TtzINdz2zvo{-p;|3D)6fyV&4tw zL`X(XRnBh|%oLBDnzimj4z#HY+VZyh`*XM1>tkxl{$A9U`EtV_S-aq)kZYnuABTY| za)7nJ)=poKlf+ll@H}CQtdC`ZJY4z8!o_9Y`N3A{w9jHSUx2lxSRZtUPpbu~2N_w` zkTtDzrvW<|NH!m~|HfwwWa6?W7_Z;jXDL_d871!Exq{l#RTzSMuR(N@N z-Da(q%FjOvRIwLl#FldpkTrG*9BYls#DGLf&M27XUiq62JJyESi_3Se0HaYvtQCBt zAACJwr8PltZa(&1^x)c3;px^+#`xOmY$7k%9c$0nPgGcb z{7hDH0)F!emr9LU&y9JLKEcU3(rb}yr|DNB1d-hDuTZgs{o7(x;ujndnK z$xmk`8gDR#1#rt_eYw)KaQlPjCpZXeeZ_YzUxMWzK1rBAR#j`J1h=_qj)x4-d_+W4 zoK_62t*&-CCPyF5b`wO$)>v!Ky|+$#9ukF0g~yIH z*m8rdS1wHCMM&GVA=FzEf}_e4)_s)8oYpVYZC6$%lu_>29H{z3V~UM?hQUXQw4rGN zg?^(ESv{`=q;w4K%RIl%;^MQGh&PEnjAQZOKjJm30nEn85FN zc-dV${GpWy84(IMJ?4JDFmvFC|9q1vVefbnOOeFd1;;M`LZo4IH8;O!E;8+WXP`f* zzYd~kny$l`m$7*lXCzLFa?Ysk&S!kTXP)-iSf>xcq{4>u_d-_pt=kGih%MCK@o;Pq zTi=*VysON1EcFv-Snol%4mrW*dsHP6Jd6xQJde}k?dubMc-FS_>_q8ysW-%S8*?u* z4%c%Vt2xr`f~=Nn;KIvg!1tH+iQwB>2o^JiaTAg3EegB(?*uAC34Xp?HJXPJ^y^2P zx)@;@=oW!HQ?8vYraP+$FX*n{*eR7^^`(w8V(?S0kI?RpwuI?AlZije;}l0t87St; zdsJQW5+!#?;`#|W*!RywB}Xub_3TPGmqJklvV)5cG4{)bMck@KTbR0c26ljRuPzZp z$M|fb4#tskRTTYfOjQ~{q_D$OZOI|_GZq^4_I6Ob-G(7f>wfAEu$9AK?G14dSfjT+ z5UajxEOy8^5lr2P@VyX!**Zxcqt4y3jdnco%^&%9uI*1$Q^>tjjlP@=u?Yl|$S@;* zk|q$O2K@Hhm`Rsx>krs8%j?|np-gWNjB=N5ee)akJ&JGu_FZV8gvHNh$Kq}beG6Q* z5VINPwSdrK{75H07OD6S_rrbtrr{%e)zO9QqVib&fz)cZh(yRKb*)OqJ97>5^u9=)zm*P`;-|0+9qd1b{IQAYCt)|P*wGEGDY>1<#{i&tH zE0|&T_bYox8Ghc3>*jp`QY`KHkdXGh>qxzKbzxk_ZP3?Z_hquNFSLdXcw*jo&UA~c zpdE+UDKbh|tbyyim_+&?n5`4?a!wSQEw4~ITxE2hwq(x$gCOl~B2e}o{@Icg;lT-j@Q zoPU%(HWt~%(KJGd21>bcfxwMO-#09#DS|VBH7=QPWg=loWC%M;SUkXZssFDx{nj$wDWO)jdY6NlykTM9Nq;h$dE4C@0>0Q|F`Z*L`ifIj)u_roiFD z$}VM#IfUa`JNnf#&ZmK8Y^*&S87(eOKoz>Ke|1-P8k9!Ap%JPntEN-k5Oee+vi$2IWt?1?oJEIrDsNu)P;Y=(;Zd8 znv13JzHyp&Wb~vb@SoRbS1;@6xJ5VB8L0~VCRPFh@zoh{;ZAnm?dwX8^4)w5mdx$4 z^JCIu-YRfr)a$Dte(NpUO2Yv$R#3|S&^`2ZzIf-8+x`>HIKe^Sne$mHNl3h?H z40q{~UPR?1e3J}wRvsL#p+B13Z;iy_b)2$v%~;b@VlcRagE`mxon}KMb##>Ec?9Ku z7RkmyQ62}G2^O9LV{GtDL|zc;CS8g3^6W{}FXU_;MxeUuN709%1bG zlzmS)^AEbz&mKnwa@NuJy0$VRay$hr3S>Xi?EGvD%eHcO?kJ3PaB>vs=gDgM5-YO8 zG_V;yn@tXQ?lK#gbJhoM+=upm=*4uc4i#OeESqsYUIk5r6^U%(3t?&J551d^&7UoU5ymcMlnGxvf(g`B z^uOh8N2AGquxL??8$zjM2q5qM6Tc8+I%%d58PV$`1dqaJtQ>PWgBRRN!lu^7gVujT zmiIdYPb>O1G<`)N3Kpk&FJJl|4DT5*DD+`Q!GgH)l9+L?fL^ZRC3~{t6q56l42tXsRZnJie&l)@Pcqd&7}zMLJE89|Dh;8NQjj z*m+^|jWiYWjU;46{>;JND=!_6 zFWUWcqYe5LBuPUwfVCwVbySotrgi!)T(MB_SP^LeqP>p3dcs_Ico`1$V`}3FtI36( znNZ`8*R#NEP(tNI&Qi5=rA2I8%Q8BOR)tC^N6Wd#9&cnk(Sfpk?Iw(j?{7?S;)PE} zFK}j*n$T3C4a*ZsrL<=`nRvQ#K+(Hd-SrXOkB^}XU-8Ug?;WYt5C|{sxubk6}|E0$nXuQ@d$K7L|GePS*N2~j|J2QbBkvs1Td+?Jj z8{)t|qd%!v3*mQNe}bm(KeALQ`W^TjZ+So{(O@V0(O3ba9^~gvEL?}%{dWJ%u4a?& zE(!jyiIYj?lHVX@cx!n^;bZuwDk3op!*X1+_eE)oCX&08a_DNV{Ehwch2knq|pfL%!rlNvxlNC)6H$@2J6IrxYy7e1#5YYdRu__bw^1_M!JhF<&}o_R~sM} zyG7K;E0T<9|FE3NNkt9|tPddQ=D!Y%VrrC0@FYHU zV<~0)vm50;o^)Y6nj8!6P-TP6={00rZbJr_d#pk$H}@x4vCSey9g*k_yenhyN7?GT zH2V!`Ty6+#M1mD&(-l~hjogc=c*ZG{IQ{Q!n-}Nq`PRXY*$q3#a}Prt!K?>$b&(SIDysu(V?4{gi#Ppa`>$8^h=+(Ui7xX$vfI+wLzEr4`#9zPAL z@bn$L$BY?>smZ^}o{bjs_S5SZ2>mx(C_pEl{HF3JT;HLx)U-O%p>0&XnCZgR2*lKG z92a}&TzOTBV*h8fND@|qr|R?1M`7#XMXixh4)#qc3qUhY1XHBmN zbONaXJ6N;~)c*;RN>Yqp0{)vE2d>7II|;eBUK;ya=I70T_m3q}U?2a|p)kH+PJljMDBYbGoyVOjKF&Or< zP(vBg|E}6W+-v83ze`U4w)is>*{#l{$UVb{Yh$=wv^Hv7`hzkBTckhDCVykB9ZfA1 zXB3PhC6ckpC+S=oa`3U7H!1>^Y&4P$OAj0$xGS5BPMbvh{Ynz6yJC*h*Km)ZsW2o| zU{kuM4+CL-j4u)RMh~l2cQUZr7OmFQ)E9}HWpb|tg6A34nwoPjt%ddNc>V6JQUfJY z+O0atu&s!7HXmnV+2u4%hUA|Ie6Jbx`H?u zCpZ;9K-9Ea6aD9ju}n}RMhvBAG!jaGtYV{PCL3}nJ_O+~Y*SYofeN!PZ{hVdC%Xx3 zmGR0>x6E7k5u?ZBRSRPui~ZI)-!lxdMnGLx7|=N|Vskfs$^K!3^8cGTMZ@9Z@D8qE zwj88PF!f^Ux$ zQ1a=)`H`*)j8W9EnzW3^X5gCxiwHl61f>RxJ=YZe(-)chj7)KiJhrejBgOcU0 zX{TDHlzwaC>YNP~1F1jxm4207Un>IJ2U%hJyw6Ag5v|t8x5UjfA|@kJ#QTWz6f3sa zLpD?60tB(U1j$omI_=LzjzpNz%F$3*NIyygIazoIG@|R}_)^ZaAq^32}a0 zyaVr9zIIsRK1fmDcC7VLp7{B>OxE2Pz1*-1iB0r_Yob_45`2Q3r&|&W-675!{;LfM z^@fe7Mz~#tL~Ukjl42gj<-D9`8D`Jf(20LZAD>zB)HPWWJJt?$6T#r}yqm=A5(&OM z|8*Bz&YQw)zuE3lzvun}YS^nzEy*v*ATGPJYb!}yp9j;)jzdj}&O?g8;sieNTW4m& zhFrP>jeE~b*V<17%#&nsju$5AOC&$@a(Xd7Cw{W`92FfVm%coKnyrn|O65YsnU6*p6O zOs##2F6lQ8cKN8NPe>)f==q51y1Py4B%bw|Ezyf_yI0B7NR|5MB0e{I*9P>-2k! zon&Ms;gy_NsJhQtY&_QNK@ZUwA_E%bws{e^erVe;mf~w)ji2*FY@t zPx_@61bzAMJ5760qllQ~{G-Rd1mw~#43FYrkOc4#j8(_HqP+1eZ`2@)ovRbdh?`5v7cz9a z9eLcmL0xa>s5r{xYRWY;F-yh_ehgBPj?DcBiL~FbL@*9x#!JWnc|L5>Eq{;|+_19T*IPQq@&)_PcJ1d+e$S}{7NLfL~;6*bS)?%%`rqvHFm zkuC0|V6`zBl~xIc-u#l0@7rr$={ts>su{Ev8&)GbSGm&c%cfG>>mrkz z>Km@nnW)-9681)zI|7G4=pWAuW~kuY9aaWsa*}2c2p$&?rDLe5Owjn%^6>XIvqhIL z;~LK*%eZ10!w=$FQDonCwcaIw7t};HB)@-XAZ44d{J}pqDe2a<0vm-1>|72@y|3{x z+KU{bzWSaC3r^SmzMS*7RoYBd@3;~~KZ*3b&Q+6%cbO_bpXKE@5ze3TG5czJFKv2^ zqR#p^48mO#*B(gOp(&{}boft+oXW2KZ4NuSU@V*%_c2_~$=V{b*z1H{5%x%2L*sM% zC4DFO_wnP`&TW{dT*kQBC0K%9eY?h2gbzQ4`H1}yPmry-bRxQ)V(aW7D!uN-0>YfwnpyiNm4)XY^E zQU2Re`A~>t_J}2SS{!byfWQ4cs9*6N@)5rv~^xe(2{{h~iLHC-=vC z^2_Gog~$}N-5dkVZ46OEF4UnYn|NAoAO)Pfufk|w<3WnlQu05lWTZkJNTl|E5j0IN z;7JpU0&oO~%GvsRtFZFvW|n~$21pS1(zY$-Q_`vPKH-qA%bH~ZilQZx>aBgZ5vAffRF9HCf5VhD{` zsBnMtW(3aqndVHXCbXqoq_j^DeC4B5gP&J4Mirab-;lIAnz`R#zlkk)unSQDA$*G; z_K|v`E8CnEz4Piy9&XsaL=q}2PyZ}s9E}+&XFyheMSasHV2p*qOQ4=}6Y9KqO#uk2 zq)Mjq8w|kKkfrn^(n7>?e=^dxl%=|!XD>gYh?%J-x=cr0<#miOe6}pW? z@Fab7UwR(H{lro0ll$GiTN)C=;&qmz#-A{9C$lW8loZXtNU*((HBDwd9EkOyKzN8^ z&k2>OjYp{%p8&buAI}hPH-T|@51#6okes8H*dyRVrvyx~@1~nS7scd!D~O8t9)rN` zGb7=Mk#kO1|DxU@kTSt8yt93Qj=45uR0)5^anHVWpM3gL-*SwVY7FGp4d4EtLrqYR zlFLRNZEHZcfQ%>!X!3Ts=!C*xbn^KdX#h8x@c0x8uTF)bBXh{Ca7^*%zt;4*N%$=8 zv`VPPv&pypCgN2xX5pE7!-*#jo_l*8@yQ8_PxENKGe7ds8l?N!kXk~qi@#9E-574n zCVM@1f-y(HP5VOJp6U>ARPmA;o6;S_of=(nm(QF`wCNq3u>VBb62It{I-XE^*KIkb zT20{Dyf_zbI^olS3cVJUWDAR%ZU42+w$=^LW-3A5aT+Qe2TmsitVipud8l5Wn$i@; z+(~SD619)|g>o#5-DZ8Lft|tlO>>dmxq2{~exP;pNVM4`6+#>}x+anO!V~lgXp*-| zis;;W5W@b6*N92wW0RE?LsiYRUFqf0I-|@kr23*;IFjYi+DQg8PzXG1L4TxT>?bT;wY%Aw6e{4{y#D$zjl4tFpPQ+jjM+kGeC4x8c)7C){4D=3ploC9ra+ zq1Qv=gQjefIUtj`NyW4mX?dZ}UW|@PWp{i=fr@x3dQ+eA-Ee}Gd63&|Hao@cyt*w{ zW9l)Ch_wl(2ifGztN;(Q)3pd!iE20sW83`&hz$$pbSRL7(*O9)eu*06%#&4u3p5DU@E1E zo;l|!j!U-L@bdRJ)do4uxj7!z*1;Vbhg z2=B2UYWg^thjawo9qhoX?VMHX9?cb?M*3K(^RYYZxVW|zv&+(q8IPJcG)mI|4S{_o zeybk~^Bi(1?0!P}hF2z^Gu$Q4J#TU;bcuII(LzUizT>8ZdC7?$DlNP=axp`W7MK?u z@Lh>1ysNh(O(Zw2TIeYs z|Ed&TChS1g97X>~#z9y_KlECC-j}{lOar&AMVJq3y=e{VP(y=kFxAI}{IITHJ#M8mv&<9SX&Z1h)i-;w@H+6)5gbkz&Q&t+=}vDear* z_kI7!E$aH3yA{9j zfmyn}ENs22SVR>f%r8dK=@;4?{0@yn5(RCsg7?NuiBdYuZ6)xD@D&GY*`V&fGSxlo zgJDt3tkavp7VL;2q#;mlmGcCO9!PjLm1KD*O)~c5!mR(#gkajUgX%8saF+LzO~E?R zC^sV%=thOmuSPGZ$ZI(@*`!gIy=BQbA|0noR)|jr$#RW%?mCA}W@T#Fdf-ha;;W?l zsy$X*3W?wNiWT*Sqi_R;_6XsL?J2MwBp%k}WALo61`&t^ItP&(kGrupKfUo?FdQ(L zg)qSChKLkJs_Y-1XcbVt9Nu1kPcfyHYsHkc^d`a$i2WEcL!!&}qM_>@M{VF6sb}#*PJ|Y|LTAqD zG``YU2{9@%58!rerYPdHG(twE2hy?=PL;ENLW)M5&(|JgRJePpr_54Fw5Qk(B}?Sb$lL)n@AO zR^saw@`wP^r6P*ct1ma!_El^&O&1I6l{iA?K=!)uCL(kFL;-t5YXw$)TSQ;HuKgK4 zK}|9>(&f8lcm}siwx3Y6Lkcb9R7&>hwsQyFT!B>rIHnd~!o5_KfpsVm~7< zKA11-^HHb?ML9iI-D2*s=yqn5y56mw?XXqW5&YyR+Rhdja_%Y?l!Z#~7Vr!8k^~_l z(U*#25eW06`I5<)zT85|Z(vfzgL(MaXpmKKq$vZZ2hW5QEX`3%`nDeoe;AHsbI4!TPn zXJH>AB;Wo_X3!B+vWK@5+Z6DnOzhEoe-T)@QCm3PpxA5US@{%&TQ+(Ft8c+PvL5ja z=6REa>Kr)1XomDW+2k(GDkAg2WN$<3|7eopUDXdwY$X#);8_u^ks|X-pYz5&<>)j$ zE3xv%gzxkME8kZ1nW)3QIQEu`(OraJ8wRl!uT`kFFVj!9yYO;)}3rTJ*_8A-DPE+SK6#G{=o-6zx z4Ut&09Q&n?-@l6!Am=~!BV$m^KH*P)= zi@pseaF^@qj1aHfE%6SfT8|dyOR9eqaqnU%FsEX%+Datkyr!Coab_D1PZOHAfEmEJ z24@w((*mogkLI)yBB83o1;5ok4Ic_yFDW(Vu5W3Z!igm|#6>OSo|iPv7fFucSPvqp zHU*d|RM81D-+&Jmm9}d?`!|NyM4P}9FF6uhMVd1YrJgCx`Nd(86^#M&62|9u99I?Q zt}57w;aN=E>Q6Tf(i-XE4Mf`+plGLt&%NV`>f`Z{{M{f#-S6kwp@f?wOPPykWWl7O zWm`2#Wg^lN>;m=j%EsZr)xzIWLQgpbE66KEu63h5yqqGHBr03V3fZ5%u&RA$@HlFZ zeKI*d9xs*eq2^+p>0IFwt7WofIkS_2rjS(W`&ME*!x4VA@K}+vIQCRj@{NaI;X7^8 zm(6IEvxMdeMC1#9vHhRl63+CeH|D55V&jB$LhRZlC3z;Fww|KqrHNNY!O$D*wxIdmX zTL(S1O$SD;)>^zb`@6wd0`X64gkiO7psrT+mB$BrUFIN`f*!1?&j~jo80_h@jUTr_ zmTqEnItaG+em}3tV!NcnJO+KU*3mO$v1|3X9s7ko%8-T>lfJE$q98Tops__iH8Zstfld_n>LB=l8X*tLQIfOPT}~x1R*1@;V|+8g88TXIisa zE-M+M{N`Cap?YV2kt1P;rbL!Z#okYRpR~^&qV2o`f9yJ<_7dLpXKRM}O`rOS3f(Q4 z5+U>-i&t*~8@_0t`K6CQZYuZwyI%ZJ*9$?Pkv86UH5Sd9xDWBE3VC&LGA>hd2uG${O?VUNXT61NRNgjU49hi4C#M|x1 z%1w>$CWv`;Z)%Q(qOxfJ%YzU9>xzCdd;;xsCVa>r0k|ookv6pil z(;rSng;b?!t3Et|M9e5S@*L71l4)qzWw_>q2&Q2|1Z1kk9?q+%0r9jvk$-**VQ>E5 zh{$pRcNl4a_kAI;=6YM1)9)jA7@12^XfP= zn5cR>GZ348;UL!Mr09gEiV!JTn7lcf+L+nx;>)zZI*Cu;;i-`#A=Z_T7;-TGVgG*C4(`>JvsKE1J&u zZSi&qjSMvzf1E?;ENyP-5e^YJZTnKV>LZ5R2 zh(%vDZV;67|H`GE%w?w!l(P}wPGp+~SqhxM-bp}7F9OatvZmgrYa%-9V5CgJiS-4) z0JjkZzv6q@PP|z8?5XaR)9Pu7_reQIBPU?mOBme+rcOI-C(Kw9pSS~f^pxe49T9XRN5K>^N$q+Z{mS>D4FbE^?Nc1m!!qhKdg~yUok@QvEJ3EgFiSFUQ*FPH(SyNU)a0^acUQ?_&sUuTtGMIdQY0)fy zgWCM3uhBb=Hk_Fy{*e{9f4F5iBld9v zo8A_)4&x(Qmu+uz{cgoY5^aqH&~SPgJ8Ol$$AROkpoeuyIuMYrHB;cmvya39e$3c^ z`<8%LT9l;er<_FIpA2cc5hOo8;qiR1W%~A=Igw1JK#-zdT4P7e*^!p|FGB=)e?)Fb z`Kc|*D{-i!3n#-Li^lLT0sfFak;AQ1B=lkQ&6m( ztEMQ97$>LP6i_r;nR}!D^12c4H%@_tq0+xFrl;H!v)|OOJS#Hg9NAh} z?ZX>$@rB#fCO3wv!CTp8O;`ZurVXUViWnJwJ1|u)O8Q%Q?Wp+dGY=r$wk713fq&BM#*ZMBR zRGn7_N-vuQEJpMe*!&y3s_X&c`RKi4+5q2$2WzFItHP659^W!>r5;r})g>PSTCjKi z$P-+Ld*q3!&cA%{$AwhMl!0l0U)}3DVM}|HDS@iIk3<-F5bpbIsz`$CJ=zOpxv8zW zxOjcD@m+8W#hSc=(8mUx)9Bw&cM}9%k_nBKz|Wt?jYc3wPO;Q-*HDazKX3)xV`>o> z?Bu+Q)Ng`Ztyx_OQ=&FM_W~}eDOzyxS+TNOE3`=pu5-KjjdtEU+R}QSdWD^9?!~dV zS01@CPc|daAsT2Wk5_aZZ z9{r_fy{6H!oS_Kw4{xnF|ES$!EcWqWg_}65HJrEcf0B;)yl0x1GbD1|o9HjZy^V`{ zzR5iuos&&9F9#Q|ILq&PrnFW>k)W!{CYz1ztUXQ}S>EiuPa;u5ZSWLP8w}KDgT5Hv zM4?^!e^kqeXgd^Vru~1qO}8woiDi!ciq`CdfWD~d*MzGaz2%W&uY1#2O^ zi9o+?=$8n3sdmdUs=*VO&}kzRcks|JW<)^c*e|aVR#Y=FJuvf)_q2abbx#|sJSJO= zvG-BZlgi;uu8`T5WvY)DdG1Y5a`>o1URV*lY2x%~#d)nd0maXX>M1iVk5kG)9F);a zIA8v2OkcNUng0jM5`|9cxK?>NXH-{KSHPR-OT@inthfJ@T?$7u(Iu^%U_bxr-lX)l z65iw^I^ANd`DH!ZhlsWRC&}P9ri<@mznJ+8$9~KAhwi(KoO?1S!!v=d!O>8~?Iw_@_WHaBizr)<<=PE_G9Me!8H*;94cbcKI< zX6b`Z{0e*mAsuYe&_a?op+J5j4I0qZZ6(@!C~){Kg$kv=^pw;iH+$;e)>=Zjj;6`o ziE(+bSYtc0-nByC;@m^u^Pj>D2nEwhhz0pUhG^rf$`+B|@$GA3 z0&k(jqDnfxeQ~+1VbAZQgeMoCLh-g2S=hdiw$0B|P!QDhaEoJV30wEZ#`ssZ1P(yk zLOd?dxrUu6lxac=^k2S?ItFe#|CrZ_jkJGl-9}Jpnsg!3tf0`hd8Fn_9eRaR1AR#^joO0Ca7M??9FhJS1y0>@%kMGG2@HA{(u(#MEE&*mw5 z@SG5*2f}jX^&x*qt%T-{e zdkJI4h!GhgQkhVbhOR~L?DAGDA7lne_9Wsc@4cR7^HCk2J+lqEiefoV7 zmbHqbL@Pt5G?uL5!4jV+7qyn1X)h`4LvVlXa}43rM+Nd`%*1&7LrhwxyBw!M#1n?N zc~BTx5(CXr+h;De1tLuvCSL>~!#0G9%Dt|G-KS#c1xTFrZ>)MfQY73Ih6DQYBT(2v z4t0r?gipV*Cd9=WU(OLrDVKrV>rhZNeAg#&H_R{2Bu_gpcHphDowMR@34*!ZQ z*`yhWh5sH+LQC0k)&Pki?MMBCD-py&gNO^7=AN?#!gDn{InMV>Q>=)~);p~|=T*a{ zHmP00`*rl?qSen0QgcCHM8Au>c+3yiRo{hv-vbL0e(O5!ukwwFyOLOc#c=Y4uv}H# zRYi)EE4Z^w_>@6IAtu~ME~+dTIBw>A3i(r?Zn|)k4~{3^EL+#$hn%%yor4iM7g7A# zoqd9tb>no_B3RfH$25Vj+HZT{Ud(*!`7U&qe=8u*v9pww76X_~!rL8jLk8T{EgBj| zWig*;j<-@LHVQ3kZ0gO$6M5by%J#gCi1uIyE^^zZRVuI!=W z5`QTo|C*OIrY1vPfnQfAr5%Xa=;%=Qj~6W)Uy<=)l9zRm@UV^2u9t3LP+xxh;@%cl z#V`{f>1SJ2(&+IgbWaKO7x1Aqd7v$hjd?e2R%B27rBw5r?H#jfS*l}05{;i`N6;TZ zHxsB@vSUjbx)#HSxmd5%dB#fvrYEr*Ztkid@x2C8ue@$yz1ivwHA#rZYO8l!PvsaQ z5|(G7#B~PYpGUpo6w6njA0onDDWVA!)dcdn&tsm@MqR=gSEPKhkLOK>&3f!#6ovQp z+HEEpUuB4f#YhX{mc@vb7(l&LmvpOV><(XG!^%xcD5aoUBv}j|U%PX$z8PNUN>fS| zC&&{mh@zrQoS0naj>jWpiC)+2cfo}G01^^e49V7$p`_e?07aTChV`MD1KA5oDdMPf zQN3k(A_Jnz8z8)5`u_+T($$F2nZ2#*_hoS?rI5NAYD6EJ_JOL?o_-pc@da4cIm+!h#rI zgKc9Nwyh>ZO}P9zJ6iUEj;Qs1fnNB2iTVF07Ol-?{~GKc!_fItp$O}~1_fGRU`i>C z=3#+IuKFBW_kl-U?b2g;Sc`_&0RAcuKQM6%S(ZGJpA3OtB4Z2#c;|x}kyhQlY$>Hw zdXxf!NULTa7@+Ct2N31UVkk%)e}QjKRm$C5=iU+(xV~(7GSw?>5tT?N?>u@Hn5YnD z@FNlRu^WSRZ|(?t^?QBd{V9QpTNoYFpD#QjZ`uWpze*m-B3*@(GC$*E8=E(IgtA=! zD54H`67kHU`22&e0<_&ya#blQhe_V$dDJF>&{}MpWf2V`u-v;IDDoyE#vWp<@MmA- zk}-L;tk{1mTrU>g!E_jcTsl+|+*a@`c(SHp$%+!0qUt{V=oDM8jc_dZZ@?O(v9FmE z(sz8bfl-)%x``;6V{iQ|7Y^@Fl%66j7v}CVnkKCfmOmwWTkz9pI=YyporIm&z|jbi z(NLImC8B`)(kigvre>~Q-0_WL{oMZ~d}^u?t{60$HcJtna;o4w=8R3!b9}S>w&DO* zpVUD-#R74B6L}h)kbIC`U8qTYFykiw5K%AB1a29Jov#jb44QhqDRWU8fDH_Wd;V}F z{aAD*_=dnz8m{Ol-T+J@d2Nj=`TC!`j=M&sFI`w4BEmJ8dS;0$DfR>n>d4E zLO%o=Jc6Vlg-;qW}A%)UC&iE`XZjtCixf;K}awmYz=sog8)SAeu~}Y1Oa3Eh%)~ zq?{aBUSI~#(07rfF2wo$QeeN01`_}t*_l7z!X$^$iGp!R0@*`G0?VP+WU)wj6KOd=cJhFXB0#Y1G!ES(!Mn_vrU zy0b>?NFmXslB)>Tt_fr+8qNtx4J0TQi#WR9MsNNse0YkRI3-G`G0^SZTI1-hb3%iV zEQ8-9RYW?v4R`sX>kfzU87GiTL^4?)1+P1s$?{|@Eb}`_A=V9Ym;IXGE&6pL`-q)WP^WvnP4?malzeE7a?aNb>d{<0yUBH_}ggLvoXResV^-B#@U zaD!sXyh;bc|Exo5?&uq$e16!swtilv+47(&t3w0Ok)O|}Ru5LBb1*?bbcSrJLsSozi zNxJ51?X3^ariXGEKLYeHALvwJUqZ+14dCk)fe1blPr}RwA_m)&(7l>l;OSduLYhDR z4V-q^R{5iypjEO7Vi!$X#6Rd72+t>>qf1_cR7`(Yro z2C?hHO`xZXoSP4bhEGCEQKo4-W#O+Ce(1f zX+ityGMhG3j>Ujbr2TB2tRGLfpFlSNFLEGPoWOYVb-{g3xCqaujBKsbFd?t(&4yUT zA!!YnuC5hUZK-l^p&muFSVJj84O3A}p+YkBl^i*!k+cS_WD@XYS-f{<@$MPUxp8

YoE?AZ>Z8C8 zL@l$AbJvMf50fASD#D{|TVT%h`C?)1O0gh2^kb2sE4l{DqVJO=>+y5vvZ|f%Z+cCP zX(~2MdzQ@K*HV-GPGOl?uB2X~l&;x8f!mu2X@`y7npKDTd&2#$-L9F^i*Z_y6r;N< zy?h4yARvMW6|p~r!Ioh>%3&Ygi_~Dr5$(mB1Ld%YgwgyC0KHzg>Im@*yRY$X$lb&9 z>6dhQC0aJhGY~>~(ZW-}@rsMxZ3 zC7A#8s|bU2|HBUb2DYV^cNDyridX2Q$)^`^sO6MqWO>_@%p|dUS&{f8ceVX|QA-Rn12DI-T6ub_l z-w_n^A4;9&I}~*nmq3fzI48w`=8T%~a~!B1g@@FU57xv?IRWR1F!vE?_4OR#zPKJR zz@2V)0EgI54i)1T8}sAM5N7lHlmwGvy?Ya!(HA+UUkyx;F6PR3)+_X$ERb`_cZEkf z^J)QQI`@<*?HOMlDl~rjN0q9ZV(nF^ogk1*I*(S^vt$}Dl^lYvOIWXpvysd?{w~jGfJf*o^Hs_ zb303BT9J^gLHrWOj)kZ%gNPR8iwQNmt}0rJ@sVzx+kE(%ii8yP;+I9w90_L;s2!Z= zEQs2gU3DRp<1e8cem6mM?$1SEh78KzB?b3cy!$fLp|tn;Rld&h=2sYPie^kd99SYF zbm@Jmx~_$*xDOoT+b#|VUd~J|4N#pk_)?XiN=FYII{?nx2_3r)B7vh4xmCX5bB6T3 z!hila2(SF1%#CfMQ44B7&`1a2X(*VXS`~igXx3h{JBu1C2z41-1piMP#slJcY$(j>L-o^9Cxh=qLPtM+&Z;?a*2*VwcEux{GX%Q~fjey(oX@F>0p9(f|C6E+ zpc8Iyb12eMZ$i{hQHbaBQWaQ?JB_>Zxsb0y>G~_D>LcqR?zHsD_il2xL|v2!`rpGr zXkTkhLH_mMGGI}4bs;754IY8*9Ez@3#LId@e!W97fbzUulZNYLZX>UYXUf*LcRvU4 z6CF4(-oHCWTt!Rd)iO0Xwyt5_Q2+65Avy-|)fZQ95V~hvOdr*27SuR7;MZ zmmmg$=kH6{El0hyc(>Y53_qtiT7ORCp#D;4%%ajJ8JvP8TH7{E!RfBQv?Gm|?A5~j zw`=IT3EA*>6UC)32RgriufLmE{%Q^Q>|g~+J8~t8T;JPHHxKS^TtM%<* z+z}A}3RmwLYLXO+iYt}FJ<_F6X<8|h6>mx*sKiV1Z$j%7;sH0o0HBbwa_cU_`V4z*>A+y@~`1L@+Ur4ACXJE+&)FCCLFMe zl`hTi4G2sDU}9@%kz8_6LgHpPtL+Ug_H(dS(7BmWva2{OQ3=c_Ei&sg)|Cu-a`ZbS zlq}8uF8EA#R{QsGODXCYrmHRmlvj^)RY0I>@KnrZwRzVoiu(TQ-ORADn8)N5og(#b zW&k=;4FFdvSgC^}ILWdub6^IL`alL~Pfw;C9s(^yPCu{W-Hblz?ape*@9m}+^9}F1 znv{yl&^vFU(zq4Au=v^oBz|z#)%~i}1mH!M1_r~DTU$?iTTa3lM0`Jekp3Kx0G`I` zu)kUZ5(fb#DHCyWOLIevP`;H0Pa z)$QQy1)Y>CQ;qGY{zya|x{*T!0WJ{MxwSb3{n!Nt* zJV{0#v@Si{_3t5~rVk-b{2Ne&$0}Hn{Q4)h_r}-kiOZ){v2yr7Mnz`m>&V5^y~t?l zEUcAd-ygWR{MVd}5go(6@##8$!xhD@3hFoVSR%52A9nre{VgWWOC5!m1Jwj%d|VOt zHjmPkz;FM<+}JZQ-1k-r|LK^=xw$8N99Vl*bAKz%HtyTqfx6mBk*_2X$o=n8uzu>A zXg%;$Y%(X-e$iVSA}mEOk)Bw`dcE_FHaiC2)cGlQGY@nL4?J*c-SkT?XWih5rN1FQ znr)lm^=0n8hF-aK!k_B67RhWEfz|@%?YO9-b>)~kE(ozLBzVwJ&BC0^l&2)74t-WS zldW%^(l$C-C9;ld!UDlNRvTN8Sk$_%$!;61Llaqd3^fmL{%#yq*Gn=GQx~?@zrjVd zF6t_#0tj+THGR%LR*U9pmT=@MFh;5ejHwIAZGvRlVDgId4q#OYM+2H5!$mO>L}=Ng z9Lxhm7tuWltz2OCO)~8wvl#V&^K0)u{$MDS-Qp@*eXXaL1Hpdhlbl5XA9Pj1uT0f7Df^HUH1T4tliVJCDAqoiUXLfbyCz- z8x8`jXe>WcNb@ssCiQW!-;LV^Y@(CvIkS#V-Sy5yNB41f4N>Jh(*=xAmk~4{I04f7 zV*7F9%cr-S5y3%=YDS=SNIy6pedK*KK+N7z5NhRV8Q0UrY|}K!mKWq&NDny1Mgxki z!egv_zWn%r{m*1xZ3KrMhZb9`6y6jXy}HNJo&2&QfZz4?%HxY}wAr*2z6`d#cvmzh z-<@x29{7Okb{R52xDrPxT2yW8rBxl*GD)^x$LY*8BKdVIS_9ARA6W)M8Z3j-l-@pl zfvIS02LVATi~@!6&&cCT2Q>BEasu??Z0Ba09QvwOG@tq$xNxlY*s&k$l7ScXh(-Py zjHq@I+0E3iAhwJJ^i?r193|fA%NYfzjXFYQQDI`QdAD{`DT#@yon^pl1>b9XghuMO zI+cGQX8V0Q&Y!|Y$!=n!^lh~xk$4&Gnt&7E@l$j<;NCNE=o#}y$45+o_~pO`{ybMK zR8%r%EWIr>F%H}`^AC>Nd!L$$6PS`e4}p>2r02c%_FkobKM#_AX!01tN+i!c`hik=mySO5nvGt0nD_-UBGBvSqRhs2Y}^@YXTpdNQ54&X~sq(U~->;lEA{$ZL zPU!$NWLA-QRJm$pCKzyRB*6y6ge zS?>2G7Wo2baCD ztDfn@Iv;DBX?lNUw8_>>`rc^u+t_m{GnH0!ed;P3Q@O;*JhtlnKc~J|--8hv^~rSu z`x^DJby;|dQ}N#2hSq$8MjeI#8(|*O&p60EX|{1M11^dhU#e`6LRMxx1Xw@)f4;faiZ|0U4=g zUH~JnMNqJ!3J;3Ei3^Fy{gx_Uds1MNijmmRTWhKTt4Gbf8v@>_yhIU{IboShejEbX z8L2H|v}q4fO6EFH+W^tIzdBLteS0mv7{Z~?oyCRBwfS@cnELhO)1Vg^lRNDgv|3_K zbw0+5*Pt{_Iw+S!S}$44V)ivvp%HD-(a;*t>01M9Ej_ew%Ns$sI0d1r`j&{HQIB6s z-#NS?5zLj`9@9Adr^cr5$hRmVT!GJF@of@Lox|W;luY_Z%v!+e=eJ*9IT>v(5XSZ= zV`!`{e0&vaw6s8YZKGY=C)aXkr~EcaOxh8GVo;-QMSAxw2ne8a;=Bb~bDMJs^yqV& zI$VO%)QnTzhIOSwe{Yo{e z%V{XpaCC?9W6~t5*dplF{fx{OLXbK}Mh3w1@@fDgB$b>JI_KUEdT#XEz>vb$&1Wlw za}#Ni@6MT!N=ONvsqOLtpl@g4H`d7}%)57OI=AwyVx?-v#T)&bZwqVYAx*lK3`KKN zu{+P!`HAs5(uM;LY{t~5jVh%fB<^`PUS^w2^Y*6ln0NDYnE*Pa1BcA2G!yV=_>p!7rYfxa#gOBIFY+L5$;ru0aTur~y~}sYC9iGpSj;zD5&sF*8`U6^$?VvVHxKJXhP%c}$9IF{U&I zf0@CQ%WA>6{>qTst(a>6LCyOm{!{9E&f4W%w5NC@XBajo7L@e6jUuLF4-EPys087i z`z03i99;0*efvbn;i!KYQ2Ywp$-Pwj!o>|f-o>)mF=UheJncTPJPDOBmYk}{FY4oYpO)WM$`ZjVGU5Abdr}ay58=-Xs zSPh~#rJ1ZLTe69%UOyDOwlQ6%u{wE-y##;-F~|<=VP=e=*m3`})G2}Z&IB-Hx@RO?o82$;&bnn=#z z@^p*q0|-Y!Z!63DJdav^=S`Hh<_ zj6@vp3*0gHvG?J-(!>)9lMZwjsnpIgpTm9M>jhC*_Dk09qD7(m5Z-wqdp4m#H;(qh zd>G<@OjIjB6`2JrXy)Y*vZqC61f68Aekl+9W5b2dZ~slO;nP1JzK`XYJhLL8M9LS& zNLLiy5h8yw<*s2azaJFrYQJLp!V)?fww0;s(LbdOm_Sx8dS17U+?Nshc=?hjdLb?* z>aRqe&3gkq+b9VEB!mOfNn$FnRUhWS$!{m+&nEcTbqip9s7YT0i-1@0JSj8pdKM9N zp3T%06KaouI)YGBC87=K&0}3$@*x%1cmJVYb-;x4B`FhJxN;zLgyP3#@gj|-cBCZI zn{XRw$C-$6t{m93xc3G#;#xY_!n7-*Bdn(6=wkr-M z)lqRl^qm9;78|^xTdKs6NKxlcYzF?F$_f~Vexk&f=_GW%MtYyJ-l$qXx}o(?Fj+6$ zr>V z-fHpj?~b28^B|ucVtzO9Uv+$>Ps&@S>+}tX=HvHz&o3{1mF56Y1`@XiWD#Ku-~#aO zM-?FRjijz^%`RvotL+}Dx$DC_jWrHlij{Fu&goQXN!5>Pw4QcBySQ@X5SME{{wz)0 zTyu#eMBHj83jl%K^YDJ&*~rN!pMeReE5o^V*Q4;sqhOLO+M>Z-AC$oMW?lpophrxg zJWjm0;;CMLC1K_WZZz)-vv*6 z;HH{8#^y*aq!RK^H<{~PzRRJc{L@$!l->>DrCc_AS5{Z{x3};R3M;q)HY;eV zyDzRkXxwDK|92RpJP;vl z%~ATAbX)L*7iM&8aYBLV4iEcU(V)qKofPD*mu?L`3p(ZTVRKEHtPB%rrxJB)x+cy*S-caZZ@4I-Rq8r{QH%j2pByZh2o$zwTBy8r#?^#sl zn9oR5xo0l@V=v+LOUZJxjbhC$#4`JAKNAkef75;hcB=0RP3|o8GEAQz7bf`QjVo&< zNnm)5SC&rKKf{>79zdU=aNw~Tr3Yrs?auznOsM4bUs$Pfg}H{{5di~9{(y3=*Ps!h ze;+{a7mD=HUuSvibU!k@!HM54U<>3)!rKPqUY8L(8`Gv$!qcJxynq7`lDX;#TQR!F z*HGj7Kv=|kQYA_a(au{Q6SdS&ml!^*^+wR5&Z1Vat6>)jA#^-&u68CWY1G&8R|NG6 zt5kiFb@GqWmD5tp@{+OHqX6%73dh>%MFSAlB^>E@d+I8mjn<3iV@H=x*9mC!NVB~1 zT^S);k%g>hVZcD$Kw`u*pW9Mxm5g2-9}MJ@ulH{ZpNbc*1H<+vB80<#O;ZqRG=z8V zDe}uzNo!DYD3gW$Z0qElr|5h>T_;oEFlhZ6jvON{U&tX*&pHA481VY1^-jOk*!cD- zNrGh1DYg3=vpdxdP*A}+v}Gw(DUxd^0{rhy9LWBjzF{if2Jw!=DvS4GPpscL4Om&r zqu)#DQS%jNy#}cY(50Aimp?`&kw60~9q$p|EJ~u0-boHq)SxvB5CYWu8TD*Fu`sLu1p9FYV59K>zz&3?FtxQ(I?4%{y9+dNTcf)sWR{00 z9yU5T5QaB^e*7)mN@!fAImW5_#{$4mx*cg ze)u7e4D#HVv?4)1Q%4~Rf5{E_XFOIU6O)REqp$GNcd`R@FaETMG@#iNVIlc_jHVgX zIvNQ*c$`SK)#?*8e*MP7kI@BGA#_q?So&jn33(UpMJS++l|47WsF$6t=rLM2`w}cw zoF%=8o0~w|6{XU<_ca5Nj;47=TLXHQ^&lWtK5mSTO3qC>#P>((lp7{9j~Z;9vKE)tgAko z>fmRQaixa=^HYQ1ig)>0&yjZ%tKUj52fm~r)VGL)SkTr(n9nHi6%#&P()7Ph!|adz z!*Cx(l*yWi{rpV%*gIB2de)$Lw8zxElMUV>WD?g@>#Mo=E6K=tF!b&A0br2ZGbFQY zthXp|h6bGgl&Ih-&w8?lcod4g4zj;!6-#)`B3ljJG5n)TpVkMo5N`%W>kCMBRbN>l z#=FRKuH68KR^t*AYPZdV$>c-ESOCGnKgak%Vi-mGzT1e*Pj~fO1Pj4Ipfp0TNAThK zNd@ot=CT`FPOn?DXVY&1C#HBp2ut3{=CVZ0N3|ufZPqAb4m8fM%BUgj7c@WokhxzC z_B=SGEupp4OQ3J3Mp>`Bd174VgmeK>cWfq)Ff#{w{B?5H3}X|k!z1V&qU@*b52s80 zd4~7gwy7t{^JNhI=2>4>Mq-;5DwQ2{wqxI9w9a<^Q&vYPXNk;9CH8v4s`?l}r`d1G zZ>s%c`*RefsMPve}*6x8mezKH1(%e3D9KHQncp6@9opg6Hv$ z0PnwYUeGt3X0cRQ2H2U*SojEJoxi7xMG-jyxa*aI5gcd~v#dZAD^LTdNbSilceM{|KW${Md9E|*Joxh%_T|&^SmsuwPBGb_2U<}-Q$>~7< z2m3Z+m?*}G1Hf?pSzp*BVq7ikjn?wKNd64qmJ-7-kK5>?w5cPC1(7j;*@-}nOHwMN zO6ZxyIy-H>vIXK)Pv@{-vWnYO7AJG^yfl#?oNekE9TMNZSj$f0hl8HvZm?WgEO*h} zzrGT ze494OId9mtdH>EX67c|4v-lE>7VV5_ru))K(1P@;meVi77`Z&mumzCM*5Dd||q9?S-7?du#9E=omr8AWQ>w)-1=$ z1**48)2(qe8vQyLq+_@g9^B4_*ndo|Aetk#Q+jtsvsrqj~BHs;w%FI3fSW$}lcEB@#u zh9@Uu9SR@gV0{w|qt;5h--@avmQqJNAhnU5Bka99UQ)oq0v)cn77<`vHK zqB+~GOqQ9iD0Baz%Y*$_wI*@z+igrF_XI(&;yaSV_|@Il`OjrkMcD23pB#4uo+ z8BUq=G6@nhUOAB2Ft?TUKbBj-D1y_FnnDOVp82z>_;_|@1|`U6QAg0WBJ}FHIV(#m zF2jczIuhaETQ1; zSh{~m?aX$2Fd;~lf=6&bvgC1V;6&A@nN97WA_^* za1_rZ^d?E>A%p5}tBj@UjX4~)Hm@iRwAoftMd|$R?I>2R^;ifIes@o~h?cZkP1NX* z&FtU2uceq^4q;z!gslAK;9R4MMs8XXq6s6$*BXe~G}oPChw4h1I7KO`>M0%WW#zQd@JcWrU5oVOW)xDuYm?t){fsRK?VU`1A2A-dnOWkG=euZJ4KL>C_{uk{>hThm$_-J6pM zN@BG!e*ktlf3Q(DnPup2TxyaGP^VpddWWG$madhrR|QHL>$L@ znAf?Shn;6Lx%oDF_zGP?o+&YfEm89a?veZ+aAl;PN`&NthkQOHsPg7t2f#?!K3C2xyAoB2(bV zlg!d;Fh_8QcVdjvn#6uxhxdaJwZAR+a8-F=xbQS9xY(b=vr=bG0*%BUOQD3!5_1iH z7IxlPMAcflrrsCyYQZ@0hYj}TVGwQ9_ce==lC!4Z;>U`$KYc;8*vl^8n$G58@c&?B zK~rrCB4Bb0L1mEHry<#0ge&a@Ziwr?+l`TIo1Sr4m&f31B4#Vd&W*tI=e_Pkd^`~w zLNldg)G2WYeH}k_NQ6|Cl|-)n9VT72)G_lNY5TF+8Xp;9f3}yz$0J{dExoY` zzc6k)4xS7&Wn+Yhx=DHRn(Ky1{ikLRo`=-tFjV5VW{viwJSHKDXqA1d$}<K>s^0&W{h6Kay^Cu}%i^PfEjVI0$V{dry1^T=s&)3Rm{un)8myx&x27Ofp}U zo*OvfHYG(6%lIQ>DTT0x#f>){#F!ypb;ecf_sA_O^R5x=o6Ut7-}Re?yh1p}i?Y@S zjcZ$^e|K-|EaFJdAY7aNP9}Dd$h>y`go=pmhPyX9cfC2cm|;dIZ9J{=;{Q?g-SKdJ zPoM;``l=CqcM&BBqIb($5hO&39yLPr-d68r7a@9-=)HH55Q`vsFVTA!owwio-sgQj z?~l1VbI#1%Idkvbd+xb&XH0ColqrH@)x1b71;)6LY>!ET%j%U3T0(;5uTzJ2Ug@sO zJMy&xX-Dl@S?i%cc@k=werLFz&*%$Kw$L9;J0|@?bnP$MP*1h_mNB&K@TEi?;V1v< zBIgvWn&C}}I9rNO(XGI=#+=5dOzj#7P^Jy5SkjrcaS6(O{M!H0A%DUmpXzB!(E$)@ zxr|mc3}h3mVw!vN3!^_RBTY|S#nQCI;k8A*6Go}_b$kl&vr2MGrMpW?#9pzAWwn@A z8luGlT^b{5x}0NGk*km{Fw)y&<31rfCSe1|+s^1_jj&nEY1n^CtFq~?eL9iRP2NPA zi=iiiYz34N1F08sV05Y-~n5rc1ia=sCqg8z5gM2LbH^NO95+4gQ*l`UUt$%=Cd8YA<`x0t%qV z-M=zVgKdQ|33mqQQ{INKn%7KC>E~XsOYM4UoU({{4JWp##WKmYAqVV&=Vc80ls(Jh z+&8dk8`guY_QfVW4)R+Neyg3C&Pyg`otMb=q6v|&_Yy0@OTlg0yyyAv^TIVNVdCR| ziHo=g;Yv)r$t=j5)F6~a*dDshsx`HH4AQSW(}4dE?M2##756XTVGF! z8$T$hjF6mo!-~08%_9-c19b?BGB>Lr?NxG_2c?=7U72o(F!SrTLvHHjo#i7EyG zkk1#bZ+}%o;T=7bHkU7JYRKoL1@Y7HlRZmWg*8+$x4q{ttv3#jHrYqlT}h=562=d1 z!*+xRcMwr)!93&u4qFa+=4w~cAALmv!TTTA`5i&Z?@1V2hKV|cYoId+uJ$_F4KBN| zNA6N!<8*q2p&#WYOx;vR6=UV|f?b%p{A}tLtfQTC7nUqlh}pE2?=H~zP-}?UsTA5Q z0BL_&eWad2J=*^SSvA^QCsUOF=~-W*H>a$>Z-{4BZms6N*L8f_&bzH4*8>KL>S>7) z;l%|zW<53V3jU_4DiMP>s5=M?j2vq=T~zgQK&*RRMDfjjl7rGZXc+4U3?rzoxB3a#jJEQc;TKyK&f=RFI zE>prSPe!L{f2>=9QwPZQspr@6J0o1vvbNLTo!~TR&##Apk_X71rn{yw*XGXNyxAa0 zLu9q*5~pPm65)>jlTr`yDV(`sIg7G85v=}QC@e!cZ0l!IRz7>fqMTxPqG>2->&Kom zee+VG=2Gq$qq4xuYU(B$ZB#s<9Qe#d>jBBQw zPA6?)e78aiB-<0esPEdPkQN`xKqz26^t<1mO#q@KGkg+AYj`c;XQDzD~dDuN?P;^ylz;vMV8r-5|{T;ENW*hM8Dl9zVl{ z{@R3P8`D`$CJueK8x+EE{Y4P|^B=c3C8oho`SCTDSgJ%=^?J;S5BgVwW^xC)xlTIZ zb7>cfLFbLzg{3pAb_dRvNAUwR8e~p?^*d;fDw|-DyORuCl1F}4-&mguqVcBYM5}Dye=q z9XWIPZl%{k={8V1@|+IN8f^Lehm>m= zn6Z{6nA?|sljgwSXh+}ClH<}zye5%}D7s_W(N@sq3c_nBFahCAyruKQrwK|UUr#o3 zpH*$&S#P0LW&1jY{H~6(;+|1CpJj<~dCo9Jta#sXpZX4nefhjq+7{P)z5oh;`U(-5 zZc753AxJ}1=iddusX7DD>IMW~VruA0i5r2FOS02nwk9RDPa z=EEv|5h9cd>X5^h`uJ_2tE;wriqRH6%~v}>1SsB#_OY=R6P`fxYPY?^i=t4M;NSf6 z+e%Ki#J&=?9LD9fH9ItLahugrZedLd+9cLdyWe}Z(r6kUK6h5LRNRzFQg><3%?#lX zhQO6ogImQ)K2=~VHf>;ZX?!FTn{5Zm2O@PdfLI6{9dgm)t#YqN0g=L@<$AH7aH*NU+XiRyq?GbiN=FNfq{hzYRgEg}p zN)0f7WafvEFHH>YpO!h%ZiXe$n*zc7WdF?L7J1Ps`;9&O(2YS!g4e)JF)9V#8e9-sQ zM_}nxJiI>12Ro@OD%`I7@rSDM1i#gC5vys?W?ff;&UXU1bHm# z7#`R)#-D07E97duwV;+JZbbs^Gs$Mw4~_rPi254dmy{QUy5o^9DAU^tjiK@s+-~{l zAe6V{WR833F=zj(_svh?GOsh(rbGW!J=O<+o9@s0>bK^HFpVxZm2jwB-`JW-ont$Xn4}qLX;LYe*Bjp0@EVrfZaZm=&^WaeG!F2WerR7 z)q#DHuHE2e>!50V9PxRLH|EI(9Kxf44%WT(IEzeSpYd(%wX=O8U8IAPCg~!$XTL4R zB(my3CsdrB_CM)FM(zSn>9>aM5hfNj$f_!kTHkc$iIYvMue>ZRZ4T7UO z)hEhGBcA&z4>G~WrjeN7p+Rf?a^^Px$@IWP8h5|VB>MQ4G#W1IbNcuo1RIWcjDoW~ zPJr)Nqi$6Sc&BYuw!-Mz19B_R+MspTOgwTF=e*7p&(=czg`?78O1e%G2gx%c5D3I% zL(+KrqS=-q?>R%D-g2~UcNg$YiG}U=T+Ns+PVSopqXXvI8ZOH@_`` zK0IbV@)fU;%HXhi+90@%xG+LzFGS0Kg&hGbAMJP4k%@djgGSUJfW-15Ye}Vsea!0< zQoVkH?&?C}-73M*$O&BzhpQtrvM_2d_794(#(T}Ny~9n}U=qN~IRI%e*J1KbwnpPE zVoremr$`p%!>NE4_Bdgv_}s{%fUUB`(Ve(PZCg7qe(A|!(kI001UgqbXkL!NSMc~x z*cf<(#Rjig+YD-wSnm`Bga+_Ci*)pOxm9FFyQ*yk$j4(A|Q_r5dAzV!Z^ z1nUH10p5<1y$?`Q#?V$zCJ;a&<{cT2h43?Gma01_I_xfJGC}tq?gN5!OaF;rxbWlDsxWM@V)#9$$28eLo*(eMdn(pJz&`?lAy%)x z-tT?~X5S_3B;N()Ak3=naMJur?`_i@3-7<+TK-R4w89k`mt6N1S*cysUCq1<^!CX) z-!1-#QtAEf)3WS4XxDZ2J5}9T!Yg4)w8enESg>zKjG5u$Y5%IT#^09M5&2s(WTsxDO{xAk;&Wk-IUSC zBRb0}s}8#D{0592z{EtUJu5{$hV?I4UDrRUK5&Rg;w8P*)1O&QS?%xJQ~ z6NndgBM9LZ;xp#TcHMhy%7`;&(Z-%DvRH?Ieq|mji#}s!2*uchm}A(HVHwfAZ$hfv z2kc4&QUPWpT0hUN@5*rUQ*-BQ7 zn{k!1;0WQabsPl0r`5Ix&gdfYd$2%2HIX`hJM=iD-Np5OL=j-rY>s>Pm8faVTE)Wk zaOA5X9%oi^`Mz;8up^hRWHzem@yIJ(Eu{2bQc>^oHpe~A9G{0-dygKds&C60r7|%U zGpB4d*496Yx6cdd2*^KA_nVt8pOtUUsS-+D^+AAx(eioEo2y&%mA;b|S7(l1jO_wP zzqv`bVr^BX%ud4`8#H^4lrx!S(c)ec9y|lY|yiQAZ&z@e)GDs0o^S99J>qtdO@0>nmDYlX_8j`20$+ZHxHz^wg7iM-J ze?fq|_TLQk{nsHlUK2T4<90iqYeijQrDc0G{2wjsbXvO1W-tG8h|6dQ{IGI_^Tm|5 z`vw(?uYh(nuYdS9zr-%|uzW|PIPKG#tDwh5v7Os|40V%9oSk-?T|Fu{O^ff~4HY)I zFE+|JR`XY0^J7BnI7f&IYRgaXjR>Nof5$So(C(o+R7uNuu;!sYe(m_%X_uO1i+)0# znA|k#inQ#cFWZ*mJC?{_Z$wIraLmm8!2Ljxz^~1Z`x@v&8~}~K3cTluAG5b?ryBiz z(x){atpM)%WUcr{#0I0BL?_}svx1$P2$WNIe1NGUjoh?(dd0OSl!N}$VH?73;@Ipz zT2PlqOT@d?4rW@iWf?a)fCOl`*7VqDM%Q+*+`_yr(u$fN=OA8QzxOP%gFT(!vJ9W& zD(*M94ocna@q30DX>O8&2=rN(Q%6d1THPO4L0Bkg4W=FZEpRv%@5lefILVZ-qR*J0 zX1IaR&tIHoQIXb(5L9xlSzWsb{oRwBCS@c7QB`#nQM%-JVdYmV={ z<;ych57!i94v>h_f5!_<{`<6a^{jLvYU^#>WX+~zNv2lwWX+6pP(f?dWQ|@{zLiCV zl^m9))XtF?4XD^^I4&bo@j>MFTMnoOW4!7R*W_Katrr4w&d?yuZktWb2sn$azN!>_ z%q`CRgwgbB;(GJ#GhMRtI?I_if4!2FHUk~JXo{^0EuXh#hP?QyxF3QakvR`Y-F_Q) ze$m?D)~kiN1-$(QI?XC-5flmCdW+WI|5=~fo`*C_C}n;_C~YqW{87ft%8Yyp4^MN- zT|kS6Um`lFQ*o<5*av&u3Nk+Ek6%qae4F7<{0G#fwwa1%5)dsBg2LEmj~jd3)R zl{BQJ2NgcieO*#g<@#3X@mNN)F-M$ppo|nUgnukb8ud|9diEmBmn2*CmpjWQySo|k z_%M7(_;YB%>t?g58Y*EjnFsQ$qbGdd*L#6-mzRhq3P2obJka{m{vK(Co7`dJs@;ZC z-`M0VZ0_O%;3`{Xo4oucYK)Yg5|S2A0?K4W3i5El!CkWBC@O zQ-F{!6uA^Xpc{*3P5k8spaRNm4m#*_^8-@2$B9t$4+U-~kEijZ{X-GJjcozpH-`>p-mxJ?^3R;>{d*)&LADY+ z8v*Zsdh2~LpDK)qv&t6H5KV7|0+bhyg;wj_CO+N%;)J^;!ap!G#@yj|<(&n}Q#3K; ziR*93cm5Lm&^$lTS8oy~mdnoNI>9G?dgfDRVMSm>eb=)gBDe^53%{oGS;=F*NR>dO z&C3J#rn795@LHw+?iX%1p?Z+JNN@u?sHu5ePWgF6mE0{Pceq-RnKAf{@wrel*=+X3 z&KkDXM#u@ZuKBOb#(sf*d$@CDnl(g&cv%Kmb3#I~na3)%mvb44qfCK#0Z0^t9{zQ_ zz^?YD54754o3qe;NeGIdqAlkQAVT~WWiF%ZdMu~ybU@^L?JxLXz;$c*?-&E#{y1-2nn=yN_1Yx)w9pgt3oxxlboMHTc^2m6&+^^9k5>U61w=GQ>GgI3 zre?badW`m&MqaJ`($e5i<(@kCln~)r-3$Ug9)4+|_y_gAU4sa$+fdQ8Sh!CtzPW<` znsDo9H!WuRHdWOrVkAyk`q>Z@g}RnB+DIBsIXopGe1ZKr3rJ9&bN^+b zUh#JCMnJi*cZs)lR;;t#WgpS>M;-D&SaET|;FqVz$2_`tMuDG+^Z7OAUe7>WVPCYj zPdns1N#r6eo|-7IO0Y~1;8%%-_3#zgLt3SFVqyXA(0pYMX*C064{*a%>qOEFnvZuP zO~0o5JbO`pOhZmQ1S-MiNf3hi5Pl+^#@@op*MjwWdhMv12*lnZX@qc_Mo*9S^z#OP z-aYo14k;YKl#uM_&67>}k7PUhP~E>`kzAW~X-1^c@U@<3~{99%eKvPh1!z5 z5Fq&KMS#b2Z;D+yduVNw@rT=$RpP&1Rj94)>@#n>8bp*n*ynhRh|$Px`ssPPN%+8t z{9u__+io=__-V?P*VDd4;Kk2zNEAtfor0JdQ`uhgBY$FO{GPmgw3SRl^k@yT!wg=^ zNBh?-SFLf*$wg#&V0>|q)DwQdHN1Bt3p{X>nDt@G`|)9TGLz49Hwu|b4lVOADaypivE zE(}qcIXo9emLAUr1v-T0xtUL8j19$nyslx)bJx$vyNft4Q%3jrUdc1jpSk@R)*s#1 zWT~{9@)fr;_W?^Om>3PGX-B<*QtWnthV&pUB~ZO_YFE$CCX1MA&F5vDXtVI^88bQr zCcCJN?P~tflY%3hhyxfp8rq>9<#}XhUEMnk`#$@@IIXc}Zs61aJ-l<9QRh4KT_Oq@ z_dh^w4)(oL`Jma>-bhZ|sLgEn(9S*di~05Nj`F?ZG=Ay}p=9Atui0xD`yccI-Rd{K zJ&BEtec>N!OZ}qJ;>eQ#$)#?GNP&rz(90P^qUM=<`{tVW7=WhwJ)w=nk1G}X?b)}E z>g^bN1HNYAS;;`ZI6WKL`cU)U7gh}7E$G&U(Po1hTba;Ly?|HTQ7q?T;t%0-zI)j` z)U4N=e>0AttOwYCdLcu-2LgvCGj`@20>sw(ON(e$-}zy=*#{jgfG6&V@HObs<->av z=bA)I->A&-fjKGJ#A|)hidI7!g(2|3^oMR1iM=9fXm|;A0;o!vU1(~nOq&>#N?Bu+ z!iSj)!vz(T2K-V4gtOY7735v+`XLg8(hS_;_s2 zSGuOR!x-Y4w<&HoCI&*#mLDpJO2Td(0@B~xva0I2P1s1s4ZYo-{8GBl^&KDp?$V~Z zHs?e6A^iM)wD6=%g_ZCHWo2CnSg7h4ha$bU`xD0vd>^Yv;647ZclU0a@^QXuPfCUIDpUHAgaqst(iOaf>@Q06)}bY|M5}BbSFz~7tloX zi=HL)4_Fjk^{%Z8=-;k7IZs#mIW;vA>&Z0D8BCOA8Q4i{PG;QJ>tllwV4@T0AlYa}Lo+S4dG&E519~@U=#EzSD#bx(_ z{SbdZ`CUa<$sd6#jQzGF;)l!Zii;!{EQdUEbPRLFg}=BigkY)vXpH@)u$EsLiv@Yj zh~4Pbg%R#s3U$SOPawSw03N?q!Xk7sP%;5)OP4~P{Xx=Ckn1-2jbS#3F>-(DN0a0% zzJGILzZGv`HXkl?|I?%DKdyyyT?CQ!#V~$DBp6^!3uTxj#|n$t0oZO>42a(t2*lsA z^BJ#@7+mW9I4Io?W@%18`OVwfKGSxnE4|$GDGv}JYRF)k66yXleWyTw-$mneZZDel zZ^A)6i%>4;*{0T|UiGi4V3vf_-eNW9LJCz<{|FV_AHL7F_!St{|~% zO+HKt`7_vg^XQ-+>NkPqb0LGus`xmBa=?vNsKt?H8dWPYuElvY@!9Rgbq-_W-OpMa z)_lge8-%B;w9YB>>0jmCd(lqgf;(o(qqMmEtJgc=6;*=;i>{W#Xe=`0f-D5fY}+t0 zC64FIaI&Go@?R+`#>zh<8o^Z2)m?PhHVILCvvqN_AZj^RJvpy%mb#qxEorR0tLaN^ zAbjh%-lIiy+rzROyvxfOKUjq^yri>`-gZX_A0Zgx?1|8;v@2BSu4tFBA>q5=62EGb z5hV;enNJMw`SQ73$|+0i{YQRg!`~+I(#@|1c~^7cN(?8%F~L4A@3273^Kf+c5(mAr zbl9BDD^S_}6DLF&g=&w_8>D~SM8WyzxRJr3&v6r0v7h6GEv9O{`|5xGsgR(rsVx|KNy zA!^!>S7$w!3Rtqdg88+tA2czW`^5|_7G$A^0CRgcF*iN~i)SLsTYLD9&#xlpAcs`< zF>}T(gF_<6EGmW`Y5|R!_Ez+C>RE1TF$m@_kxH7(dPC67SJC@wQWt~HPuW-l28on8 z<)fo+U0vIC#+;9h&7JQ}Z0~rRHdYR@yh&~{Tq|Z3L6ds-L2m6^0}yu@%@EYC>m)-R zSGjy{Ta@?je>R+S*{=o&TAze#m~Q`lvHg|A*`Y!8LiC32jbQt*aS2Ge4mMb+!kEN zhT0Y?=Sev)R;*(abZQT&V>^kZjQ*hVJqV0xc3)2^tY_s~=)pAmIa)A678hjUv8+b# zf~mY0Y0S9<7^?4k(RaB-DWp|?f1$V)ox;#h^jo2gi@RI=)lc?-PYEF2*;GZ|k43(R zNRUjc48h&Cejox&T4<-!-iNnkCG8BjUMmtZI)F67-W<^l8MKZ8OP&qjsYCOkGv}vf z#AYShLM_MoCFG3?&)2D#l>0l_FDvA@xCQCtwlg&i08Dqwi@Q%cy-7UEM*U!|lQEN5 z)9P`(ddh+1HRoAAj!}k{Ob4v?1hK>~l2;rPoknq9Xk8R_B4@R| z7ri9bgh!QiF}(*%1qq7EL{C}gsc|oE9@;vb)P* zCfB!nxo|AzhIX^b5Gj`S*WnB6U1-VDe5|}6fkZt9y9`wM;tvaFdi?>U zSh!}c?`w+FZCJkep+vf3M0>n{BM+lInCTko4K4f`A3D?$D6!L()`m$6!Ll6&r`aC@ zjc8`tNwec2b)DCpE3h?cqwN0H*umYW))IFO5zla?1vqC1rzb5d63V``&aTL3(){k^ zrA>_*PJ{Lxon2(VrsE>$j~lL278+A76R5}T9s((TIF-+Ib7WQ!hl1&+yazxW<4>*Z z9)$}B*B_O>cW?UM+7*%_J$OJvkj0c;9P!yj5t!-s_cYRK2H?$p!&2x95`hI*>P+zo zAjq2~0?9=?NSNwCZGkkw5W@vxIJY1!CvVWi-)@=?x{KH*4vOY?Go{dubxDhzM-^V% z{{yi6-I7+cLTe~AhxWEY?>;s`0P?ZUx$4j zhzIkU#4`NcS^?V&R;+L10T=EyrUw52ZbVGSo0nbhSwYSyIfn}oDo4P@ z-yYEIzF(mzn}t<_)-5u|e?;BoNDWHN18v=n;-FVmux}(&W!N|7Da8U$0p+0r&z+A_ zf=r!mVb>tVlGFFL4RP#K69(V}W50}rmZUa?k0j|z`zt~bh>LB%>vOfdcnSmpSGt*N zGp1bZs0iV9_!Wv6!?}^W&?Q8^yz$~ar`PK?>xAchO^Fj+&hMh*e+3?k(NkB>m*`)X zN7=2UKYhPEzwI;hPMFGE-GzF905#{5CO<*xvlq572k?9EQeWZR2X|51`g<)}Fm~G` zX2B|6fHq~y(uC#w>&R~I*`O+ZM%QTpZ6ddadO}u=g1&` zqDcIK6bUAD0zZIcEhnQt^ceP2H6nmSu~4Kk+at2$e+D7^?C1$aRc)biBvDhL^5`%U zHc+?TduYHJOMUjzSdSM${FfdtWqDoK!esx0F0Tld03$H`OET~{JK10Vr0U@EO_5nc?EsoceCtNVFQ3zO!zv9Dfln#mtbHV9dFKdpeL z8rq1{r!X*PssA?HDqByr)M`9qL~`U`vySSf(vTB6P6}5j$kZDEV*ZPaB;?PQ>N(wkgqp`*cK(%9UUcs=F?X`xjhttX%{ zMSUzJF8t3!27Vxr@`tS$d+79wm&BA!8(>r5k}7e+Y7LUsa_5NDYso5brz7B6^EM(P zAi$p%eiIe(ZvXi{6F3@-i5 zF`}8}!Ogd7m0x#*eR-=F!wE(DKc{E~$hd?zX;}rAQE|Rb?FfU1S(80I-It!@chIYgcpYiBI`!#6oOy{_d+cMM9s0LT zdZwO$Vh3Ie+&iLB#2ZnI-job$v8v?Y&7aoQo6J8b=J!4Xd6^dH`o-PEe!Ro}Q<2iA zzy)Kp!@ZSXr;Pas+Sw96QMTM?J1byydtPuNGHJ%evwRA>pw|oVASn*fzA5b_;{RIa z3pq2(rzcOChov7IsZ~2i&%D5j?=ikS@~SS**FU`5`z3&=Br7p~lExVv!Dmx}qBBM8u4=F67P zjEf1Jm)-((U(e0^GE3|sXH8F{Itc3DUC$+qFERy6lE?35mfj@=3g?{ujhPeNyX8X< zO@_`VV1v)i5ohPCehQ-Jt3y6X^9kqM(MkusS=5Zm2g(b0*Qz7l@$S5yd6_9!-p8=J zX7McUE3)FNdC5T_CnH(`he(X2|B7f&I z^f3(a_b_u-?eLG(6S%`rm5Q&+(C{l6yL_T1tJL<3vydB~GK_|3h4VR5QNTeHV;*q9 z+2c>tfmrLKpXUrrNX&2`f27^)=a%^P+9{$YJHd{J z_n2>~gk|=dhyv`tERL!^i)_q`Q$t+aU+dBaQc$IkyX0=v%A8RVc|ZyWpP-!r0)xNU zaYJ0P26sd*QGTx!Pw@X+Y^T!*fA(PI$_4&u!>g;T5o_uWv-$QSCaN)cKIDZ$6WZ?*)HShs1I)Iq-Q^du2(j4FgwMaJpYaN=>5lqRkqp5Y$ z+h&w`I6eJ~(vvAmsH%Ec>2z!cGHJd&sm_4j5H%iIot^3{{ig1HMJW}*LTq1EaA!cL z8%T%3P+=WP&VDYWSIjAZU?!AlT6`+$Nmwnpm+12%N6Leu=C=iA0(Og8W!$$3;gx$# zKOF0L9GeG8Ck=UzXZ?^^Zoz5G>XHh_1@=d$caB1A<>MnRqg};?>A~hulRwQY1JsRM zE&0(7YXW7_1uWx0eGzl)1bxdI%Ja04VCld&IuD8tp1u?a>>* z>T@Q65~usD@e~eO1Yi8`ww#*sjmGKu7TMO=;2RpS(14})hn9iv2glh#AFxd+pDJDl zxSqp~jgc-8wi}X2{%B{K^%cL-&~l;lwx_TAf?Q6Ih4S)T*cwc(WuABl>)epI^}DF~ zmhtWp@k2H27@8`A)j#u1+0q z4OflK^_?Xe>fcX8@>p|z(e}c7AOL<(6$0p^sZr86W?s3UM7<&-KNi1~Dv94dU8!U> z{RDDf8Je0Y^^65%N6F1mZk0$YO0@cP6=ealx-1^a8z@B%mWM}kXND8sjSn(F#(_IM zkEYqmZOzVPM)R3&M(asYN3=3tR=Gp| zF)L3Ts{FaYnj`OKa?4ki0U&qpmf^N9m&aI_RFR7x0CRVP-=-*pt~EHmil zJYp(xA6&5IOXG7nYTVUVx?nY!Y}uyn(8g z-|3;qrJqZQe#0>!xPuv09tV+qbWE@a3^WfywDSWl8~OdaM1F?M&EXki#95AF93hW3 zW}Di+(+5f4I-0TUdH9&E{&h8zosY36hJp99dM0B6UQ!;#$mv}5#K@H%to-z6Vp^nS z^PT?(g`&*=Bbb`DutKa>=yO*q{=rI!Iker57?wki6BK1noOcn8uqO_7?-qGjcF+^^ zdS)`_b<#Gbbi~<5p8*#uQyVhH=3ky5g!ez1dr440a#TNdxnZxN6>OlLO|GCd-Su$CmenR!VV9~Ru+|kF z6G|bIzSmAV?~bf$T@L>xW;xmMDPQJg$yAcU0k2oc{3bv6UwPY0+aI5R0?SA zd=H3`zRc#Zy@xKnzoJPnl?>&p04j0wdeQskLrT$7OQ5XybO zB~P9kse{<94n45?PRnEeQN0>i7?VE9FW%0&B5KZFbwci59P4Ez-aXN9pzGSvS(Ayz zZ;#K|J5K$0!<9m+A1Ze%Z zwrK%YJ%Pam^62GvoWJMuilHWo8OXv7JHcY+3~|`;-6Lj#s(Rqp0+jR`MFlkA+Am7m zK82Y4zxwi~m%QOB;=jUwxj^<{BOCTkr}UB@L`vI*$rqxy$#Ty>P?aaLQjt^KKO8D3 z$m+3famYq0FchNo(_X`;|7ys2ao5XuRG}sx?WX6G{c*Vvcwnw0&>LXhup#CmoA}ue zY5Tm{E>CGp*^ihLL4dV9)yW@TqY zf&`I;prH)W<0IN>p#4M7^Z~9dJx``M5Oe; z27mOW?jVVeE?JRabR<1a(<`q^v8#{58UrY^>ZY*E0SsS)4_Lwn5oMUf{j&`Kz?L!u z8C+grI5H{}sIrWC6il3G{*cI81~o#wHZOz`-0hl68|ZQ-vdE3q4e;glbOac3;tAM7 zlhWC$5upWNz+I!P1l>3_DCLVT&{0H;%Ns0pQnBoQvf%f#qekwrF=Pr-Jgo=BHiahc*OSL1c6qoZK|C>a54!BT^yHY0^{^2dnHVe! zgMpa!0-%2HYlryC{E=j3SRD~{4^Am<@GwC|WmtN~rPNrMhLL0G+6OFE+Op^{ZLAvk zQtEFRLKB3q+}S4xF>4Bh{#|<5=7YN2SQIvRE@po3@uC&GzME?85GOpF- z_J7H2gC}cRhK0!9|DU#JnV9|VuV8j8;)+q*!976;yBhibK$rqEA5+llgk!9&Dj@r3 zrpYQ_*?-_)zXau$#ZK1co`M%rKclez$H`%=*NFk_r$JTP-{3cC7~Vt-jQtO=tfx&8 z|0sVHoZEzTgwW(~TwUOBd+h^1re=KL6qG5Hr?85Xa1mG-ms zWbI1SEAB5m7?&f*Y%QwFj3*puPkTJM8=r3K{$S_3>_De3zrA{yw?8%>@O(P<$zzxZ zgM5#gk;YO%F@!~q(y6j^b6mZb*&cCIk{CC69FqLwur3{mN$^J15N+K5hYi?~BuLSg zba0&d$L12IaY%~BleRjfhvhWn6$n2l2o*^K-CI z>lTP`$-`8--`iB1#^=gM=QN;6~F3 zfWJV09rGNzjrkWZ%?c%nM|{T5i*YdS&%^DTO!X$3CkXGpr&H>U?7)8e)&opY-bfvS z^`vC9I7(4=gzxtQh)LzXh;O*9G9Y4R0HR^b7_PYT(Ofc=Riu8PPejA2gcOv|kxAnc z*VsrVmjsG~vOELGi&Wq1NxV@MX=Jl`II<(!!8x$^9W%i%&mt9HL;wV;&zH%SQP9hgYwbyh^1;=tfSIA9Y91Arw%(fyEsfTe3Wve%3 zJP4{Ueu^9$z&)ur+nM7(e=e>M-R`K59g+`Ciz(~$3PmRkB^ka5M`{mGVq#`|Ar$W- ziaFL&m{57HWH+=sh(?qx{Q4#)i;Tp-D3a8`@rI<}jpYl>nmHKY&gYj<|xx%0*uJGx1G_TSwvhP?3Il>UP zIKg*0Lptu2wWJV~PhLxJ9g6YhwV!3)xee}8(;S(yHH|Bx3T34&H?lIx;+oIs!5H85 zeoVsTWr82e{p{pYZp~h0uzz_HRJ_2AHO2>3YGWf?+9=s-cPgS@Bc(;E0(cPR=V340 zMF7w{re#dsJaQ9S?bLV&4t^D#FJE|4tIi1*gNHw!{%I)qa zPRn~>9ma`hT7Zk>iQ>ML1*XPc)BXCY44hF~@u~F8TC7cCJP6Se6Oqg8nWy!j(3ND) z*Rllhod`MB4)NvP1IyLF-vYX$bo+T1EXd`yr~j%h~eCoHEpfl~SV zrb;`Ep>tk_!#ibYviuSlj~`cd`KAIs_Oi#BP@oKf`xMyhQOu%1UO&67Nru~5X|$|o z6AB=IDb~@s(*}xQ&38fW+vyc1U;o4koYNCIrd{^KnJw6T5D~3bp5rad=oO?mwyC

0=uBz<&COTg6G8d~!mVxP?g$?|(jp|=6%UPtTWhzWo$n*ob@SFG=RrhyINNH;R7pxyc zPTkk2`rY;e6nG^wKWhp}6Mo9gs`w+LiUZBeVx6-9XMP7>HKu!+{^)%lMye^{J~8kq zyN+6{lM-*^B|d9!Q~yWdsuSV*DsBCJn}CHzgqUZ2%YuvQFPB=YIdn9#tcg$uw)b1M z;8reup8>)n|3>NlG4{?GCN65l7F(B*RYfE?sXViV{AK}Z<~(Js9N(bn5)t783hzm% zk(KYNZV)z?Dt~Kd)l4v90Trw6$JK0Dtkg0_T2nj%Z@+Y`6KVyWJNFwv zOw4Br_Y`C9N(t11ZAv^>?aZkZ=c4ow$S?b7)A6==w?tce@boahGdhSWBl=6>W@LNl zRq*8zMD$>`%Pn*`071E^OqrUs<*9@SD_Up+-huD-3>SBf+O8DPLn?RYI~`EWi)|l* zeR7ZcAgL@T$iRP(zPgM6Ap;I#?nt&%^dAZOs*0jX+&tH(iJ*k3AE=d#-~*p$m@JlN z3*YU7snQl=wUO!Pf4_B~)Y>yCCAfDJx=X z=MG2PvGV2V#`_@&=0U7V!DgBVr_4M`$Pe&#AS&3uu-&lo!`tT&j;cYjERXYe{m@u@ zSEJh&zku}72-YjiMdrx=Kp{Kqnyl53R=zEgUGDzgg{euxYw2)j)%Sh&cY?)~@K6Wz zC?;sWZX&(sG)SkCZjSa$4B<(zfbO-Zw9nrLV?SpyQ$nTWZJUbvA~V;|hc*j9@lVRhNoSy?9{Yl|Z0~`wbS>qd2Z~)10ov?hxLKGxzJ%>wyS_1NI0*8@~p1RUsQJ z67&Q3eq2B^vCFk1K%1vw-C$pcMkqQ|mu54b`=>jSZeN#%w=EOcJlujd%@bhT3Bygw z?Pt08wh)BUv>e=kFX+hRYsEz!JkucQCCyILar2&}asfC}Jw6jo7Y%M)lS?m4AQzJ{ zlOUt7J`oIO|8tB$v+%kwa(?_`nHj|v65AfTTfLiUmPbEgpGSw8uF-1Pukv?wm+lvW zVEHw8R8xle4u~1I{;xQOI-FJR1+NJ;NUB_S^z*54FL@-LL=}5RS6l!$TzDz{=EpIK zIp|a4ptssU<|f73YX;Q{5x8aO^EIEYk&Itqo28yE1ABg$5l70+oo0Y#PwEVVl9QvpLvGv z#!?x`vNiiXr|TIxTZ9TZT76)9w)D}fw&78`p&wUDUC*vHOA_a1u2(QA`oxMquHUXBc2qt?kr*TJ>#NZ!6My}M zaNT+l(_C2lS3f&d)?tCXq6uN-UbpYZw3Ibz9yNr_Jh~|(fY#9dA-5!xvW4=atV%$ufUe+U!r+0ZXr3TEU5Wqc(h%tKaP?s8LdYp8~DLYdkOn zFo;E@F*k7qQHMEElfHeb^M{%(spNR@lBQQn*0kvbQns9e6$~EIG7g z?AHpUf<8?nd&;)4hhqe*7oYVyV`%DIQ#bIym2Oduf8}a?jSTi@DehZk?4Q<5@KA_o zH3RG(xqfangK)?k4>qH0!}sQ5jWXG@r?wHVvIN-@UJ*}|^_=iz1CK#rwycRz|1Kv0 z1jX=xH3@oLFR0)IhoL<=!d4BnpT|Ry%g>Ua-@?qqaN$qG%m;fJ&>-|abw7x2wQ5|M z*$IHuCB?i){0Dy|El~{M$Ab*Z$6w`0#rQsqACMiV)66p`KxOwn{2!LyIx4E~dmq0u zLw8DdcXth)(k&(3of0zxN(u}}w=^i-A(8^pC5?b|Nh=|*FYnLq_s?0+-S?cmX3e_y zoPD2N>tA;WBO3&pOb%bl9V^Nqgp&$FjJn(nf}XR>t|_2W{d2b#cm=%2g-uL1wv?fcIg9}t<8Q*HCU=I1vP@XftG2g*`eWNM380QX{K?x zN_DxMq3#kpLO$cFZTqDc&7d?EDn;}-)iakH^74{mXv?>z4rB1Z0?&@ImD6{`oc*nx znl>BT)h`~$x4oB)Pez#d2-?F`G3t-r1K~Ui{pqX)Y;p^eqDW4OP&m$iBFz}vR?3@o zi7@u;|7hy?KPX~ITqI64G4bPo5_W=`uEpgT=*_;z$(<|KiZRl@gHqqHN=9vuYN?Jp zRI)JBCF_{a50?Tew8~n(2VF(&!JD}IH&~@ORUb0Zm_-$D-?gXU6ea{PnGtrmNIjkW z)h;IQP;_o$ei9x?-Uv_RwZ9l)*-IO1Pl0`o-Q7N|cb6Vk{h;(5I2c~6b*yq=J3jaC zn{RXG-s9b6Ee1~nQY}A&rr0cPYtL}aQ%>q{A>knH-|(FbG`6Ru0TZUoJeY4rby~2wcFBWr5YooSW6zEDpoS z3uA-Hy#`%5Oj{BQ8#-2KO3LQd=)b+4iKxNd%RRj|HZQ9c zk^i`x_=NRa@}9D{#_^d_gt4uCn0>?TtZIPeo#E%zWB-Wh7pTv)UKu40(a5lb5 z%=hs{7sPHBJbC{f<8aR@Gf+8Dd1ch?@BK?<-a(4QqP)jALDvU!*=2Hv^4pd$LGh=* zxK<-AKNc5npG?!~QXdQ7JQ}W#P1iyVpMyE*x;LmI$GL3NBil+BcIAOyzsJxf*skn= z?Lx`aOZ%zTe9hM4)vKT{DJG|tQ=D2?P21aYu7=@1TJBXvYJX!{9hU6H(aTQ|TaTXB zhHQWOx*oiQ>cRga-7K#0e8-#hydq=aaOt zSnoF$N2?M+WcWM^F&7EkTZF8ecXYs`xv_o?Ch zT{nTJ>7-9TgMXTtEOZ}F-mA)dfz5BOE>{*yjV<1H{pP0J8_r)iMYJ&#D(g4P$&F(LxuVK4gG~B?8fisO@#X&%VaL`p5xUQJtbWQDF?M) zlXQnY$H&xkK{AbK!_U<;P`0}YNQRQTdRlLM7DIQe-2Q8MsI8NMt=&{sKdU_7PxyVm zvNCLGeUU@5adT5XxVK;81UqZfI1h5znhJfO3&{NHCIU0edg9gy2Q8c;NP6%9Yt9D< zys3gl$t2)``0pJF5hL~8FJg9WenJ~g@10c8uWdrjMq*7gEKa&A=8jy{DxB+;@HGeF zd&xFlW~;8RD5fC(sr-k1mOG0jCUB@vf&wr{X%_4IV9s#Nd)~cq@^+63o_InJtO7X|_+=7b;j}y!AXq=0fIQ z?to2`sH9&zSEhe$1<_Y)!b^RQQ0NI)K`NuS*weZGvN2S!h1zD`0p;^#5H=>>&KXmm z4rc8P=7)0>I!ZL?r5&gqN71eVPtsG3*WR7}PE*Fp=vu6a9B3r8IT|1XF*nfs-rXi zc0EJvEFlb&2ZN*ts{oNzl#8%T+g!B=nBVSQg3x7^hL?5!Xt#S1rfVOHMj? z+A|6*WB_WJ%l=3X1Ex(ue#iij+K#6SGo~OzlIorb-Oo%Rzw`^YQJt@#z%(vh+wY~8 z7M(^Rx)gUlSK^c`Rv)xf>6mNswx#^z%nqsSkEB|H0?Ez05RSds!&>EbA|@f|?__kg z@(ZogN}~Sr*A8MC)%(TrMcZB^e#dg<$#mGKmuFh%ZNq=)=T}u$b^(Xr?oQ@j*elCO zGObEphG`+g%p%d4BO*eF&%BuD#+i;V+kitl&C8Bh9^*`MjQO&-F=qWtN3MoWrSGuM zybQQrmAsaQ+Y4nnmv_wK;3UxD{^oRk_dU1A9r$Uah8&`CdwVb)8Bg=1tH={w3``Zj>d;zb*fw%?<%n?`*WIgTWuy_3#; zKr!N!%=?232stR71`7U4br61xJ8fyP0LS(@`TP7maZn;qAO4MGiK1+W%-h{hld*qY zX|w1b*rnXmP;u+_Ec1(Iag2Is_9J5?A=WgVo&8rR?6e5*;#9Z$r;7>Gw-JOMSq2z_ zxBMYWv8<(?vat(RhQ;4>ieCvuNcQ9&5Q&VH{F=widFN7v^B&MG$1fHfsOXZ5i0BiW z3=8rMO2aXcD_hLhn&y4e;y!EsPHcbbs8eU1YUodhY^W?PRk{X1{6nFul?HgdT5E`s zUf&C@QE2jGqT1P3I>>{Ga#KM&F|hmjhn<>IcggS3pT;;jy zKB%g0#G9euaQvmV(s{k(?u$(U8*-pZ4_-`xF|5tkZ=4rSozMMdBZ_G0g9^wB$~%C` zJvC~RbIw^Rs|GyxKtR_UT}7$ew^x+W$viVSmEeY~9!q&O?!%ZZ_ni$fpSu1*_`BFq za<4c_xdb-tGf?|jCQx{xqP@`xg;x>MG-guN^yZwPb#e;d_=LsVwc7(~aI^a_a1Q7P zdcT*w;UG`09;Q06nK(7BW`~Y-7m7royg8@SH|3t6s(5Ui5|nT*gQTnZYf-Ardn_PY zr5=EOs}XBLflbrx7Oy9|HYtNr4S2}(~Oq8lZiGOeMl(5Hn7V$v}u(PnF%jGllcD~7{X$c)m zc%0m!`e0I#k{F0Waq`%x7Ic}=A-4%}M0V&SCflq!t<8NNZ8{2i67qObZ3J~vDsn3cm!$z z!+u`nl(87`m6orok2enVJLw&&{lhRMfE-pdFo8sW$Kd6b1s=(nd}t8#?PjkRnxm{R zKDJSrguEX4HDezF6ucq7^w+o6@gu|sm#Mph(0qU7!p8GD&fP>o1ik{%o5(ix+B!pZrt?m9;M#vd zA5+Ua^Tth8t;L)CuS{iN5M~wo>C7woA4Evs86651QTr!K)ul{CAAbw_t^p(bdb zf^`2ZfXuW>W_Ni0Byj5}5Z7v5f$gUVdz`$unPp_nfKr=AYm(H_kbo`ZCJeM4r4Eqn zN&>X?yX2ewjk4z|-~ONz#pTY{gUl=el{n;nCNLn!=jD=`N%K=UQt@z)1E}eo=q@N$ zJjeXxvhG!N2L!$pCZS0!5v}t2^IX4^!)m>QpuZM6kiFLXMi*Nd!MPxV#O*ZxLvQ)% z?N^=8IXt#At2S0`h|qpVSg%St?I~pH?~h@>S@MDBewv|jYzVVx?9*jwbON03tjs%q z#E8}r>+a5_Zv9K2f(Vwhey+W6{%;5OvhSaBp~8id=sN~f#~@GaV|&YJRTID7&qQyd zR|Gdizz$II1D2}U%`e=uzIpd^|F-8|4}eB&iUq`gcgfC^rS@GEe9|zs^IvHOW?Kt?G z%ur4M{K{xWTJzW=Xb0XdxrNO6b8@Lk)r@zpb^u81heVhzF~on`UEMiz<&e6k_6Pc? zD|f--zt3+X8jM~>z{Ht7L|AT|)N%{4fszz-W(4!vhfLls5dJk%0GHhN7c{Al9v^ve zlta-g;{j-3HA9xJ1i|yKh~cuIT5;ylx6#GY^sH~^vsP;Tx)5t7#vLdw3rjOweRuqk zngsho$wz(JFzVi|5~`7j`y!sDlXMdC{nv*NK+LC>FRCK2*8)b9n|t!rz16N4zCy#; ziP^;D5!Klp$p&|Bn5&MioX9Or$dBq; z3JB$>dasuH$o`=b@#QZv46eOIM!bsRz9t8X4RZ|nVHvt|*?S@b%zyF*9=W_-gIhBw z{^HhL@ROe~@U%LG=vtaM$;E5DOy1{BrG)Wgw>HSph$C-F$?hu-n4ru8SIyF~KvM{^ zj#^Zi&4YOpB>V1<;AyuaWH*G~LumrdUz;BT{u~z@(ct)^cex6)h-Nn=ZCE`#!jOf` z?^wVl=2i1qbb_}8NLrxioa|?m3z>p%xK?fNhrkOMoUO}&tc~{XDuEf*^iae*O5PkV zW4JrqgCyVZFf3$F2Be<)%fqBMMf#594%ISlSw(rQ9)&*D>J%IeN(%Hb6Pi{x6|w~$ zs&IPM=V_v5Co9xsYj40A&j%An688;4AyaRZmv)*0ItzU1zCG?eqyD(G=lHII<6?*H z&KZ_PSg)|0kw9xUc#@LHheTm?P|8`phj_xxotPFR&*DKWT96|CE`sD2nYiDJYe9To&@x#nWIBZ>Twuk2<`*A!9GCaUayQ} zY@@1_3i=P^3}K0HH~L$_@m1j)VIWf+JP`0x4<+KPv7iJ8SH8>O16e?* zF=d5k>Lui9ZmtPua8?-YfG@R+l~%i}(a%|YV?$5QjTo}CXRkRM_;(5|aMqKCcLVtA zea6WcNM*?Ev9(1M=Q^qh>IAjIP7+K7@yQm9PKZ=E$!|9}Ru{)x1M?dzzCvm8J|TdE z5J?Mr4mGfD*HMca!@Ptlz@zr*$zZSnWvPr4mtMVrrZ+E!?(JqK12j93)We1nDcy_k z$8p|gXW@DacvGMwk(dt=IYSVi#)+1sS%BVHOj@n%xs$9Lkva64HfEmW2?oqN4P zaFCSrt>r#DtFe(lpV61U-g{#&diMLQUXOgQEMu_Wvw)G_I+04i#OQ}~#E?G|V&Aqa zo1yV_kuZMy=(echlc-VoPjn5J1Tq`y=G99GQJCDrRihcll_r~p^s@V8UiGLOerNp& zCk#MQDqLZ!M#*2*d&rKVnJmoqqW?lRBLELw&dzN9qaxAj?^R=GFDBsrV_36sl#PO{ zEOGl74^v8*2e-Bh#(A?6*rz*ObhyGo0eAeJC2+?X?WF zdgv^<(eyuPMrEx|V*LRdUJIiOVNW?1O7&d6-d^OpVjQ2LfTT7shye2h&ZM&2Dg;_l z{+TPce}|m~@@{zVRN=AX*Q3_lc8r%vNk?6Z9Y85#oBy{GwlyN*2lhqr^O*#XSqjb+ zN%sSQb1NsxW21V?@#Wke4z zJt}bIr*((=LOW)4c-wH4eM~Ti1K0AHY@qnQbQ{#8PuO4d?tl;AJNwrl8=dAkK2Wtq zPFh_c1pfuguG?ybR7#B(6t%Yz{XWPk>|s(bO1on5mG`LP>m?zcPPO31Mvv<==^>R<#Y7G8-_7HGil|(Q?a=U%C<)C3@5h%O|t?W<>p# zDejwJtHTd`@m%#cn~^I`c;sxQsihv+Ch`l5A8dY;Asv1ezR_~5WZ&ZSslu)6w}$q> zDIURIFm56)Me{DlTNM`H(lk;4Rfh!XA|Dsd6a3u%Z2x&zTdL4~W$u_XPmKoMNt1P^ zxXz~TINM1fCA8H(a;wA{BE&+C__Gocb7-W`#I2+xzE!KqOtj85hEkVg@iVmlrn3sPU{-V}j1;rFK%hWibhp@lZ4$n+&)V{!ZiaI|u^=g~4ZP!| zwF}fxrAX9_ESk0&%`zd+t(hXA^PKL#;)&z{^OvErtD}15?E`6!8;tDjtjTJ``YIEI z(D*WQ*6-RzlN*LoA2kGR)K9n`!=EFS1(FRIE=Ze3i&(#;8PRx)%>Fu&q*J@^0K;2h zRW5|HxOwPe(nfq@)x4h)vZg9103DS(!*U)M&*idaQkSiBNV^y+NOxx9!hDHqb+?z=eXfx@1-Q_600uy2%ck_ zjvs3&L^@W3QoH#`Nk>j-JSc%|33u6Iz(O_Q-xdAA?ze-hBmwL||jgge4+aAy;- zN{(Ym-DeP{$KYGQz}$a`(tE9z^0e;7?9tBWgw~NV!eaYjn5+3LF#)zbyALTmq917 zax#}D9WF$I7RbQ|(Wc>DTKG^EUX;oh-mX9dJE&Xo?aW?%=8S?Hr>B>W$Ulf= zt^Ft)d$6#GwW*jV8dQqPuJhB(Zcs;*E zX zL_I1j&^O_D{#Dj_|iN01@8Z;Cda_QqorZ!d(M_ygTE&rTtj1wd;q>5L-;p2WGmhQ>*yuTzaK17drW!8x3 z6<6u2jfqz%f$wFRM{t(MGj0(@KDXF(4%uxOpd!|@yh3Z7O4~;IPSp#|veYZvOR%nD z0xk#XVa6V68pIR{Ko)?xsVx`*AH!XVlnE)uI8h7g=xztX7JmDY7nQz{sDtE0_tQuj z6nH?5!y2yMD}0zJ17@@(d^vh4##1yAz@9#^(VzFRa~9`pfvESr1r||bv=T3BY^6qu zCTP1ve@Fiu`#|xGum0be&b+btpWJgS6^GxGa##GBk%cXdi|2(4A~u=e<;pfq#L3-i znT>(^^Ag3lJqh~xr$7$1)iF_Tj^2PK#!N6{sBQ6N832`oHt1Dpf)vPIcl4ooA~(-J z58n1{V}5?oLDCCeex4b7%jL^Kuz9L~4iUG7YJbg4Jw)e(;cQw3`f>bSW*^Qts|k32 z!iqM0?|JDne#t66Y(>F81LSp5=v82kpl6*KS=V98(%s-%{2AU)Y--wI(_4F8@afG= zvU#boS)rXI1cf$o8JN-QoVZI!;>__@-PLO%3244by>_W0KdhxmW-fxKduOS8K?Z=+ z?*6uT#L5KBM-T1^m$+qA$c#xq=WbdnlhH=2zGJ)fP}o%c0yq;w-A$`K82vIkgL@T{ zm~W-4^D?nkamM*<$cqa5qe=w@ckVmjx9FSWVcP-78nltPnE-Wy^QV!5-@}VdokCNr zwmiO!0WGCZVD)_jy?F{Tu@%364c7bL)Gw_F zU%c31oHqT3_uB_^L{T3#;9JxM7ROHt(_o(&otrRy8Lil2N%=J0T-6O0QLn4422-gN zxlLxQ^GJTkzpo(DR*3~&v5RhtUc26G`r@8YDTX_vPcDQ2(>HZLk<&aOU7Ts1nVioI zulICe4!m%)pJl&_-g>3vWjD1)T2^jKl~u2o@mdzHU>S?rjHtZak^8|Gi<{@KB)s8} zFV@`?tD83e9N9m^V$&iY&W`rkXO>f>6wwgE95}2~xzrv#2}#~SOb}syt6)ayaL)jc z24;ZJ%YGW3{GffR1Lhp*;vPnqX&?AqwCjC3u@H7}lyQaQN^BhD$=c zGlE4*EV;yEsC7TdLBr14oXcdWb)dBHJYnSa1qZ8|5CJD^@LzmVV)A~4p+QKd?Y3M1 z2W!ujrU2n)P1d>evr5M&V=ZzF65_A1ncZAt&lmP38}V^~*U+HXDZb61H|8}C%Kvw5 zuwC|&pMagUppuFHejX;P_dJKLr7&b^>oNNd?Rk+C@TXCTFNBM=fM@;HG5PXKoTQue zonKkIFTIn#SjESAAGO(TXFru?{dL>c*XsG5JViAO3hzQJ?Y_&DEmhjABWH9`n{zVn+Mj0)7?ETVU2xlx{X;7U&keHwg;8DVX`UPL(28dhY_8{! z&|_*phF|hlZiDt%sC3HWhnF9UM`{af;CYLAzEx77ou z4zFhVD332mr(-GU_aAn5V&nL^(3N$ORWE<2n?yPRQe(W`E3C$;8rGdbn8_KNEb zbF_HEC|6(Z8k^eg)T82J`6?$fIG;pNi#x-(N^m#9Kr9){$#BS83#!2UT*FxQ8kia+KI zNS<7w4YVB4zb_{^?G7>R%us=!=HS77JYaqDMV}%i#m~v~>s8kax{!W4e4v_^`A?-- zS01}oi`h|Pv9kTx^3w7H@_679CGo*oeOb^# zaF5E{Eg;;r(|-IQPj<%o0g>6RsxP*Xzqo!9CzYE@ph&s*h??m&S%`7TQE-#8ZT-IX z#9%XSnSI6)uSd#ixL0WBcr*A#1dYhl^&QOn$a`I+A=wWLe*E;e+edm+BI@e`@8st1 zzR>otvL1;jz-w~4A)nCceDQXO0wvfFjSpmz2ZD}J0B`d^tUr)KC$6=GPD@FXgib}W zwvzyp=Lkiub?{`pRI)haa~^{e+){W42aJ;UIs<=_{|em+T3qzJ$fP1xsCP;Zwu3Vg zLFyZgeeSj^7vO`Ic84V~P&@p>C%Qpa9AfcHVG^Ll;o3i5Cx$Vh0 zb8?=On<5zrLSfZ{h2QZH%M`hhn4+qFxxD_8rWDHdg(^J+x=9>3$;4wsk!kry??EI! zdJ#s*+*kwDz7#&H=}cXzZrV?z{u)9}8p{Z&D!^9*U8fzhaFH9M6Qy*ZBk6`rm-LYWp zYXI*}peOS`6h`_`#He=&x~4mQ&~J8=z~CV?qGEHyo@yz2JBSV}kNll81g~-l^OTP7 zOFUJmbtZXBRCJqaSmCEGa^mBXlE^6Hg8)PCn4rkaiIS4|984=wKk0h$J~s#x;7%Cy z-GjJWyk+m0Oo}Y^tqsf~-z!c_fj_u?l7deY15IbT(jGm0gz81ZXiNwFp8~@nCIlHgjd@QHOd7{8|GkSw6rBupomI0*bT(JYM#fS-u^=`=~Y_>{KIYg z0PE*hp&%g^G5o=nkqYGFl%%RBQR|nzaKj#8F(hK}JvPP1@ghGI;^QBZHuM%PU3x}w z!wfdA+RN*z5+PHB<7dWV>e6`nm5Xd$3m$A#QtL{iuor}94fJ9Jbx=Qzwk%} zYw8?`=t8Y6k_zo%QW{iOWvA-o!tt;k*m`+VY3|CJlv(L!C4Y z%I`(6y!#ueW(yET5RtGI?U;N_kZVY~nbc6>EyCNWYTu~dRg!b%oLl>_GNwcfPdcoC z_4QuOcmU)SJ2+&c(S5;v@6kbrk3GuZUh~1uBiHM-X5*lz^qo7i$om& zUl>f^4PMZKfT=qn`5oUjbX3YQv6yj0~(Y2o$5P!zfXn)j?qJu`Ec_-~Z=r7D2JKp8#v z+Qozi=$zQbhY7bUZ9vJS;+8T=bo1klQsR_?|nNSAOk*6J(ktu`1V za;ctbSW-2~A~Ei`^2eA}^&ZBE z`V|OJQ?*nu|K<|@*#J9t$ww$44uB21V(Lb}QeyTU!J(JAcoWpq6#Q8Xe(>$}n|a=R zwl^e~xw&~u`m%3G3WQ!Je;--?q2%9sy=Rqtq$WdqsK-7*ex%3#AL)^v{~J3Q*L{z; zYxdGz+?&d1@?EuLg(8Pa)xMa)W4&AKG^@ns;?}tb6mz{OJ?7c?^I2+FWjQ#E2eXQ| z!Px&_znikjz7^N@7MeMs4*zt#vwA$HvX~8~xze-DwvZ>n+f8{*V$`xmN=oFk`LQ^( z1|vS*SvjQQR;-A&27}METv)n{+Fm5qVGB&jFs}I^2ge4mrZo-^Ydi9f^^lXkPK)MX-GH7Wfq#ygu8g+I>SSaL` zjhFYIpYPhMu;$|UY89cQFv&m65Tu2SRLz0>m%+X@^j5W3>uJhd3Rd28@yM5Y(ppsC zosWJnGDVODk?$PUOJP+HF@@w4VmU(HwlA;=#~Vpbl#DLt)fzh-U>x)eWeMHblb&W+ z{L9*7Pt*jWcs*SrPqRqO-C;C66Y^ z_zz)Ctag(F2NYIY$!t#OI$32r_#9G@QJPxBP?*C@(Z?E1{Qarj9tEXnCk5c806l-Q z97C#NhS)^9>``KaPK!xXmSooOYC}jqFIxzL(VpLbRahxC1ju|}RCMxRjDplOn`muGmbg(5W4-{5 zME$?y&{c~j^x36C89w8=;*t(yGfR?1-#An8p^JIolc(`hQFig6)ZLd@UzT zejgKB_zsr#4muE224;UWej9jL+iZOj^X$)Y=^q&!QXjMe@`pLX-|yvNwKWGtAh<4I zU{^p|ZRi?9m)jVY8E1C9F5z={qg28;gdSi>4i~T7o4RS{pb$ky%nebIRE0%W$m++> z7cA+X8;esnz>nbl#gss-KGq5KiZ2yCPcoRIZwm(}(<=_)2sfuE>}DOTcL34w`mn3J z;Fr}cNpoIMGeqZ$Tg#!2D#SAU`U>->Rf;x?1?}2J|0{maISB7wZtWyR4RAz}9%*I< zE~#mYaN0ctkr$5vAQA9p6h}g=zp=7r%{P)-(3rss93 zC{XGqjYBbG@gfXd#Ep8r-a#+)YiD(HnZc5lATl%%`46NUR8Uw?*38e+4#QO=`i@)q zSHN4K;iJ##_eiYw1_5vS+}*&;Kp^2Gs_qcqy>iTi#yxyNm&KmF-}YxdGX|H=TYy0& zNhAgD6ccWF-2b0KDy@Q69jjyzh87rFH-VPt9*h00a}U9t5UXG4`i3L6UJLAgl>lOU z$#^M@^D3}3KD9ef&9AJL#V|HKmDR^+8+$pUG*39rKlnqrI*Ru!^Q;CbPv&2=&&f*G z1n?hn6u{)fAlxKO2;A?rxnpa&nZb(jp_SJ$AWc&^gzO^5HbCWbK3Iy5;Xg1U(J|Bi z9-R#)*@0=LHal{}wLP2@=gJbthTh~Iz~th6V)rFuo=E6r@nmKe>uU$mIp(8cEK8Z# z^f}%2hMJv6Y-GPL^u-)=0dfKj&UjTh#RMlEEq^#tAC`d{#0U>`%gt|_R|V?8$*FgmzC%kE7ZN|^HrQj2I-wK zV=l|t^t5B#_Rzgrr|vH^CVTCMl(hX!Dh23pp_ZPuL#TC zy}|Zs>X!T?s!8i<)3k$$CaP(ky5u&zzyzY@0hqRCChM!&fRktf_E(EqxKk z*|w?M!ZWb)>k>C%$E`T95q83+xQJNhmiSiy)Z|VO&3;+pPRZ(Z&%pVg5ozR}5etar zvJ@OAO%U-Jmy@zMGlikOUN;!{0YlxKSlvUo?_X9Oy{ER@|7thKjP)Zs@DFzT)d(N~Dt{X+xWZmB#R+vXi*{IkM>a6zL51g%wHGd3dOZ zNEFz-qbz%sn?hn(JC6|WBm_0FiY2c-+>vw-*Z8HW*}!Jvau^P=z4OH`cIa(Ze%w#$ zWmvZT0J()ywd>(N%ZjpZAmOoW!wRB%N5*aO&!Fe;vjs`2lazj_t<@Sk;vC#fT)oUq zF@m;~I?qr*eI6o^y9;BDX0)9bnsCfc;kvdD(^-H1%Wxo{@red1y4%VAc*hFMZjUw~ z1(#1ZQ_VS0kn9G>sN9g|WYk>vHR!{8P;)uk;y>+A-Y9%)3&oDtrwbni*ZhbodA-MW z5ltGmuuj%1A`-n48-inJz9n-z7uQ{=K4!1Yj7H^h{j1a-GQ>P@4q} zwQJ?MmyL*fPd$=VlJVo{+D(X>k*wki`Om+3qs_47X!EZMCfH|L=m*}Gq*Q`ueZ%k} zN*OCB-y2N%O_sr4|KCxhwyqxE}?StW;B*=-Dr8+R8D57kTNr%QNusBcF- zd((!=(s1gB$yTx%lKC(lo87~;8X^dEXD!60d*C6!zP1FNk3|?rV)Pd_Xqnd9W;bJ) z>@BQaJXwWYC%|xm)*6HT@-O&Rq)g9zT=CH1`knD__egE(!4E}C*9~{Kp3tE8(mm%= zjaXIeB%I|B(HqR|FkYb{ z%fT6k@}(R4l5rAnw~&FF%V>~eJg!nUN2%Jnl+e59GPX{lE9v|tFUD2$8L#q}4{Gz{ zK2xSn@@6VzQ3|c6Gh*<7{j1XTFc@GjsSJH?xPLhrLNahco36zrlwIZBkBnxL#rY0( zSa32LbQ}&6%!*6flK#*HlD8~(e;clKDbA`Nt5COv=;Iu6;g_c0hO~<#W9fi?wvTkZ z1#bh6cknuV#>}LGQ9GYV!4)?M4%B@={=57u>wq`6*N4eJDrG# zNh%6=mEE3+y>D4ay(D;cNush;ji+LO5=El;5es7;p>AD&H$7gT3r5FS@#RFp7X9$=)eJ5iDg;)arhq1)^_;_5fd=3$~u`Jsz&LAIMk?2mQvB)DGv1 z`*r$~0BMJfeB-gJ()FcBhm{Mr`370`s8n?9K{|8gqlp?6xG! z`-fcI)sH2-cmk{z_|%prWR=;~cd5Vq855z)v#R!Mj(%Xp=()bi zbHB%%@L$2|Xs}EZrMR$9sA(gAY@l&+w(}L6WzcHx!}^LeyfM13>wRCWtW(;=*=sp5 z^~B1$A~*`;(CEqwtK->F0*K+FyJK2^47Bhw!7iK}5igvQ~Uk zM|(H3KAEwL*zp7!7ne-B^7a?=?Ckb$1 zqbUSSs_kTcu(f6N%{N*C;d}>K?qJ{j7)4+@3a9d908F2apAe8l{_XKIa9~%yr=sjO zBFmjWk8<@|{x$o>>t)Zr$r#k0!O(V8tAh*y?3=tLsgLwy8~&3#-f!jLKayAQs4O%O z5W5R^^)PXrBr5(2f=(>k{TGsA4St%-H;RyF2>T!Wv`3Un|6d)z9#H|6Pt3vXO;kz< z?~--SwhPL7p9W8n(xT-Gj2TtSq3IZS1fEafPvo5g7GKZL_)YdVXrg1N+6T^2AVFE+ z8+r}*{!hmdpgJxzf=tK56J5@Bet@Yc09K%jObbG0n3qg#mItl9KC;*ol84Hj@%vY0 z-*+-m4$`2d(BNhLYai%M{w*-@BP>Y@=M3A@5(S4Qh};k^EOdqz4_b!@uDvEu{`6aX zb7UJY>Jcqal_3>Mts~i9d#_~y9UYyBQj&bOHI&Cyq7$?lZ+abDX2~`s>$dUcV6T1n zTX&>}qc*S5bkFCjsPgUS`Gb6u#)=)yhsgDu}tW`aTr#)C(`d^F)i6Vh>Q@|1`D zpRr~T`Q)7bN#=;*Nc)k(W>5%5!COLIWN|$$JAwEHX+HDZV}|GHoaXqU8OEJ<8T|JJ zIPAWqv3PpKhIUJS&*Mb0el?d32+hGk-4yN9ei!>xg=H@u&I>xgkU@tVU>Yz!7mGxp zDLV7^K+BPNZGwzUI)b#%E*p_3-}7xgkyr$oc0HipHdu?UH5uCtAIZW5`wIMNaY_NG zQp9UIwZHEQ_CZ9EzGRMOgs*)u&Tyr%v~V0C=LqqBl+Q`3j=c#ToUF8ef0>8Z)i1Flh3}+Ia!V2`jU2J6t2i}j3|r5JY%3a^L7n(zGmQUZkD`P zGHK(hJGmqC=EL+w{v&%%QF)g>#s@rozEl6b#i-0>d?q4~=JB5;Bc0|UG@28jL zCc@hyze@W7h8QnV^(3RGviVx{pUY)JTxUBO5|^B8nnbtrd$VZ>KiooCbnV?ehGH;d zaXLxXsn#@^RTm5pzYP47@LgrO#=CO8;xr6Tk%TyyjRGq8%YGg3R80<#Xa3kfrY(q+ z{M4WLYYPZfqGby(CZ(sE#&xE)!MCYy;so(CoL3rq9(~5L@rKKAK9N4(w;{I)&)e}w zX;#fQ!h~W;ONgsExrgWBIL3xsAARQR73{r-J{DgKz+Nt~!q3)u2P`M@j1D&}pH)tj zn!#l@d?b1!js^GpHTC86 z-oePSY#WzSB=;U~xJ~OrA|bbzr9dj#SY;psF{E>cDQa{k@2i^Bacc6(nRE%IUL6Q9 zh;^Tb1|n^N3%YFjNRZJi--zybLhPG&tOX|Gz=b!P;Pe_)2xk)P9skbq;R6EHm~q!&Q9*Jy zFG6g>_l3RJ?p}unIQ_Ckylb}XdixqRY_Qu8RBzw|mfk6CfJb; zbqx+kpkqKeo&TYt5HzSTEOaQt;I8!GQFkF6B`EC=egXuX2%Yhy60Jq69g4C8&&qgm z4B9|}j%0(UMkUj3sRkd6SP_P9=e<#H!!dZ15HF<9iyBF27(zE07?gbvXL>q6D~1~8 zX(lVJxpM?=s`N?|%4Y_WrZ*1ki^83c10fTDrBdqku6Ma5xQ`|DyDw}K7JwBHY%9WdPm{o)CsRpkZS`98MniToU^x88K!+NuWI+BM zZxhcUGxi2O>DRX)9{%v*1SP~FzM!<~^Z1xj(tC~0prL1TA5~P&&L3`)cXP21G=g5( zB=`ECNe@7#RNnPo!@fa7QOmFVaN}MMvHXm5>Ba(v10XAYD$2w;Nt+q$K7%3Lw)w{V znx2H>DW8Yi@$Fd}DCbkh{DH_Q3TRwZSudUxHu)tS^wC!U@1VEb(YOM-@QrrT^`mG;F%%Xt*D`-IgEOrXOl;l{0Or`dbe+F`)A+=jE7? zZj#dGW@*+90?j{DDPLo*M>3tD6c!UvE1w|U+_p`#(eI!)7lv$_FZJSxO_Zf~_MTTx zo##(~Ba(KAaz0#kK!#PK{7@GZnUfU?rh0Ul4`vauSH!}w*c#w74O`T%krAO}e<|x~ zZj3M{OxPwq2Kl}EL?lf{XjXNXjeH7s=u*kRak1Pt^_0m=c;b_)NVfNnhN7Y4e%;)0 zx{Cauk058#{AXN32l`OYlC zJK3wB(;iby2>^NtW_Y`fvW-FX=QTQVEgwo!T}sg)CqeD8xe=YO0$exy zl)O0m(tIhke0pcU$8**HQ5QIS|A3jZZ`u3S%|6a+z~?pcE-fEL>JM5z1yS^0{_}F! zH1L(;$}Xsq3CMk<`+t2bzrW7e_xy)|RKhrroW72(WN2LA9Fcp?5O#JO-b%H5?LC*t zv9LFJdyYAe&*&EhcF9Q}{f9|;HAC?GdSNp^VJ?n?%R^h488R*__bngZu+uORmzM?eTjua_X-RbU=Sy_nk|M<>R()UHg+IC2Mt!QgDJp1G9&0AS}$ybiDU+ zTc-VbG%J^9xL)|CS@4uiEiP0cS~{5&2<^m9pnuou_I5S zqQHB?QPKC3h2-PP3Uv!|Vm>AVuUD&;B!s744-;&BBCXwS%?0o#U4PJtp_hGIM3|VZ zr>nr?b)0om-4}}ej1`o!Xi*)CfA?d01-V;v13s(a*?J^LY- zqz1mWr>zQ65_=+OANqg-AD&X&;1}uKKv!558%*has15D#?{73LQXCc-7M)lk3;5{@blW1fp zw}LRTJi98`B0`h85rH?T=kx4evBo48t0g3{ zk!>I++wW0hBNJ+dRQwIn?KK;(??OPS0)gN0HJQSJuXYmSNdAO5w|8D3ND|Vlo-I6G z5>tWD(33I0_$7ZfeVYr$Y zD`Z7IcYPGUE1DuufKQpt%oX&0YSy~lE|Oegv)ybizEP-lssrG!n;Nq|88MrCUi_eT zie|r|wIg4!ZmJ{dPTdrLzrdC0Q*o+5>!h)mx-wU=_>5Y9x~fNdt)iaY%l-Z_vzhDi zqpL0fifghK9``rA7*s?P);7Ww7e0hb-ScP71b7^zN(x;3@B2m}5uu_@M|D9q+79H` z*HmwWO~6vr$W7sfypzO;8%cKttZg3R%t@bBGEGnT8FvctXg)Oi1XKN_#enCtRdX*P z>1A6_;OKQQP}$||;IwDXk^@au{dzT2_Y5KgShk;E1$ObJu~&#juij_Ye?-VtbEINF zr2Cd8EU!O{2C=pNVebJ^ulgco*=9B!H04am_Cb`jzUub~$yZ#tQatN%cuVsu=4Ey- zC?9R|NF`Q49sMV*z_);>J`LsHK~C$zYKfx}y^qP)da*9c9K|-nS3WhxgwnZo@6S2F z`g~?tLx!bC5~Xv6^^*UU_M1BHy?!@Wcr+&K^}r5$FUUJjx@u_0z-4}^v*OHIh}3U* zew)AeZYJKUj7F%{X~sHCEa*P$8`wN%Wz6(*ExRPs)h86t+jHX0oJl3lqWSwiHJ`zQ zDYN8Mx^Kx#JtTE}HBu+Pe)Q^1uFDz%vdJPUKB3tdqZqruGEuV4aZrzNh;Jmf$~Wb3 z7LtABz#(n3U;SSS(y6gHYn3i362uUS%XIQ{s62l`T3=j}<7BQV*I?=_YfEwY;8|co zm&5L@mW(XW`mdmkee%ZyHm={M*e6$a(m0}#zsT}ty{~PVPSCHq44u7?=HZ9^i~_G2W9}}s`-Rz`cGeF|S5=#(ToFwgGs8wkIl|({NmB2UtMt1M zC|v8|S^PyKmR5N24$MYIaob<~3QHOaCFk2;JY*0*7z?pM1z9YrUU%M5ijpo`A5(w# zYz24V-~Rmg_OgNgf**+f$MJO?pvSHzOYu);T!JiH$GvSSxA`#m&Bm+ABV3APNzP-L znLdCWc7%+pzIAnXR_8Mt4}fp)*_mDQKi&#t4r)X^SfTmGEe_OM-s*-bD)61pnkmbc zL?^#cp)*vK&!^R)tP88$XL5u@>VF*Td37aQNpLF;$)J)XcOYT3_>({cY(u_DhP6yS zvM5kBpyTs1xIb4C{|A1vMS%N6b+Si1M@=70=#I0YB4u$A6s?vC~Mg@8qa){_zKOlW`Kdv zCh0nge|nD_aB;uAuAedlEZ>+{Y$xvtTR(*syb?G+*+R~nGao2#aUJ&z3M=qP7Q`i? zp4PkPYLIMyuD>R1O8$n_;)X9N5BE!-poXpVtHmUeEpJavR%-7E>s(pMr&VAmUr2wn z)`wfrjcd7O&UejOLa=$_FB&xKxc(Z2HrUTpa9OB-f-$IJ>-uF(tTa`{I zGJ>pA#*x-%)(3+e0kC-Pihf{=f`mfQCz5dr$C@Woi_!ruOEB37N}?Dl**D7zFDip4 zSr)GqO|wv}32Bog_zuW~r^q~|rqo}xVEyBKq*Hf3c&%6G9}g%xE>VHT-?p4lc#=xz z&r2`W<})@DvhUx;PAA%*zMN>17MfR4*H8M{+&R=E4mP78i3iedYkuKs9LfT9fQa6= z-wI5!`kd2${&=&G=Aj51eY20L2S+NU6OI2w?ygUsO~fD%Q}#6#-p>6gqal9&8?C{7 z^c2RHHxaKQ?ya;dtWo%p`8C!bSuy-`Vf5O^Vh#UY&F=rp;KM(AfAA?R>_gNr3ta%& zj*{xLlIqVPx{rYB>=XVDrH|5O7xxI1{n*74YR28nMe_lbAXc&kXj62OBop#Gl+JD+ zs%GQ!dy#6i<(opfSxjSKE~CP8I^kb;k^{c4Z4|NA4bI=%l>b#2o5<84yXjdqIb!Zk z#y>(fLU`LjaeE8q$M*hl+<)<}5juS)*Wwo+v&<-cNcaHF0nR85TF55h+rS&qEdPDL&vxi zd&HqF9K4$+G-TTK5uG+o{`gs2m_yk5%b&gm?2U#w-wFrMFcQx$R0W|A$WoN)voU%T z3wLy9?K^-jrpvlfDE?trB33b!=i3hZ9rhf$Klt9QgT4_r;}ZL|gzqzoOea@65C`br zH#<~Q+OKWAb+48;iZM^yKcAAN7(3;Gx;~IVdG=CyGLmys@r>_fZkvtduTjNktL{z4 z!5P(4&L&@cjl?zF8-3%}&dw9$;pW?Q4P>kV3V_e4{t|f3DLP$%g{gvbYtnKWlN52y=HRJ2hLg&0eHaq~@ zG$&W6zV`C8;LSywj`A|@MDsA{xBzn4Gtd8p>LRcP_(W=bI8jW#5&r5o$6hy0EjQUr z&jv8J%APP_a&nq%ELP~u9^(v8fm5X|-?L07%iid87>{_lu|(3xzVN~vg*rV6J2O87 zyMW}Ed#^(XhN>u!-{ z>>QnloVr{Rassj2}`20^V%GKz(5;<;|vsqZW0d^FD~}6;XQ6si|Y9lG8!- zE2izAw|)&y+>}HTdAd;nnc7D9tm)?!uqo9mh2tE5 zqjj`nR%=6m`{!xKs){4>AomNQLfF_N(lc|<->yfgBbQ!k9?J%Opr}!hF!R2)@_wIO zTi}LJN-L;EnZqknEF1l-o-TEz4%^;wnOgQ9@7zw@xndgEWBhc27iunAc1kbY8iOP5 zHD;K#k^6I-#{Il+NqR5w?H-aBz)S`%q8-oL=AItJF71HlsxZRTp>ToD2+5HJWkZCL zD9_E#Lq49>6jp%`&N#+~j^=>+<}02|#xw>g5&g8%gg`SJpt^#r>YQ#~e!|6zZb2dz zLaEn0h@7#DV_@u*%M`#Sn+uvU%EemxzdGYAiq`;^3B#HXrx&eNvcfS#S%iHh3zS!= z;YgG4($kg9bd08-$H+;o!eP_n?Tkugkx>%mcavey)Q`khbpT~W8DW6Tne*8do5rhy zeY#;PS}TD$H58-^`okQ9*FzmMXdXvG3?`=5MIOiY)G{!6`M?#MaxFR}NH)x5&da}# z4M8?65I+Sbb~|*R((&jdy!0c);k+)DxNiR8ZCh^5o{N7yH@mzg)wrM#$m0L-+XXOJ zW-&x?Hj&8r0Kj2q29jczo*`|sj{7G%f7@5z4}3jF=x_|qU;HI|{@s3FBB7UJ;(|dmA!9#+FL$Cb%fYRCo3%7_57MRV93pP-1}wApCuRxDnpJz9j4%EpX_@9s zb~P@(JxS#JfZA~Uy}XdAKT{-qE~CL!DWY)pt#_o; zb=xg|a!Vk#g_XbMI!6y$lCi$v1kb14;`~lSKe^sXV|u2OOVmxu^0s=ul#J6y+iT?) zzwF`CmcYx)Mbi7mbYK2};kyv!;y*|^l>s(&2kcJET-ss;t`vPSYDic12OR4a0)ra{ zIenb<-Osu+d=E*?d%~QvqcxD(a;!=>I2{Vi?My1yS@JgU1igtrHgWA8I_M?%aE7t2 zWZ3gE%a@`{dPV&HR?u+MJ?`-IJxxFHK=mkU0Cw7*L5IX?BOW-?`Vh9+&spGl`t?NJ zWa!^Qhe-=BepI`qny7CwWV6)4-m-+dIi4KCA(5BRw11NZr(-*#dr`dGWiS3-tc2hc{js_sn|LAtD+R$ih5%0xXHBiG zeXaY!

P($%%&6s1dimVCau`Vb_%=&@H0B6c#)Ym1Me*QLzQ$2~G#TKg8KG`aI-R zgGzgR^8*7hlF{$yZ$>|aQedunw84=IeC{1pv*`+zyaxatxvHn4jb0{O@FWD~b_V28 zH6yH7!6&j`tQW9S7mzC8-9cx_!e?M{$L$=_un+w@SA}Y_R15j875-=X6ukY5BGwmq z(lM=+hXyZ=5~6#IED1QnMYKT34xnLzP|7WX=1}_CpI}-q2r$OYPZ;83%P*Yc$$gBE ziK(H7b3Eg019G0tQbAjenQ}#9i9LJ%56;k5j}uS!{2!g|D+3s^i-qJ9TC>UvrHOLX zeqzt>ABWq20KK->Gd4K#G&?cTv(51kH@p;pp2nIB4NC)4m=de@a|`6^(KU?aMWJ=* zmcTwDDahWsJacvqcee;fr93(IRn$}gL+(=t9;)&a0xuD=bASIB#rM^{JwNfko6H-x zp|zKhbAZ%E=kG(o|1R^znh@TWILuYhGUIsU8gS@x>#D^4M6;oz=I$ z@uxs)jk5Bqt7EpERqV&(gR?IDX{aq!(WPqkVyXwly0Z#Km&Q_|R=4`s%6e=-W~v z{ucD*`8as%@2k?~-z*$_ z>Be~SxRI^(EN`ef=Ab zJHfoX7hLeEJK*g;f01T0nE_}GvV)xkaA?~-_9GvNPNhpS2dcKp@G=>s{xSxi({mkyI8iF19Q0% zqTZoB38O#pQSaRU|4KXxe{Gl4iO`mL*KxbxF#ChUO8a1S(KblEbgO&uTrROq8))0( zb~UWA8ea7aR_g1F%8o%ez73Xcy>MK}PF>vPL7sFU;X`=!tB@xPNBE3IJ%*;O{&U@pcDThy&+{(T;*NvQYU_}}P^fJy4@EwID^KX%u^rDg4GSvCkom=X zq)UCnhUJ(gv;hNP3%x{PUM?Yl)1TAqKIItcOJIl4sLc<(oU(2^;-3DR#1XEnx$1JG z|3fI3>wz-=aKzTTk=0b#Gk>BB3L61gIH_Rl4+`3NCND|!hMQl|Z5HbdlQCY5!?8g# z=x;rR0_j->{kR?sa??PJH-4+^@RM$hzQFG#N2pzaDe(1M&r>a>i zD?gt(!zWMcDIpxyz~3wdGHOl4fb|D*D*dAaI~IizVEJ!#?R-Uy)HlclK!hMoCkDi`j zzx%oZa-Z1N_!X4H^vA<*Q}2uT9jtzi-1c;*+;hF91B7>)yA+G}#Kv5GIJEy@I13`J zG9&qJQbDyR#lL41z{xy)W~tRhe!d2J_O$1n6M=Y(|g(-OC$b<&So+>bPVG1*2XM?wpn-cYq>N@wZ1Ms%uaz$c>QSf zKAKVwEBw4R3Nf(Zto!jBrZ$M7abTm6q;a5mq={}|LqAFKQ~!qkX@|Bw_O8Wper-^J z!|(3YYtb>1a{qij9OpD!zOh8X#dayLuway=Ca-~Pn}0Mr4ntv(#TW5PRL3KtJh_#n zpWRq$TzLI$o2hiP?kLCw(}TzMl8#enT(Aw?i7~$jgV51)Ed4*d&|TN0XJB zO>@MO{C>7!XrAQAr0^jqK@>cvZ=t2bpI$9#wkZ!p$ngPCQNaI#!a^Ja0!iXa88 z+ky4sJy#pcY;>E;)H*F@$IWsP+a@)=?ul^?vgE;u+(JtrPpLSN*89<%#T9(ag1(^hI&_>nQQ z+w=X)gQjd3B0b4j(OBrp=oj5R7PEV)+X{}qm%mWsOT6e zCa>qlnequPk6GjKiYu zUj~P=D>d+n7t*dzSJ`oJW$qs8)7^@W{x2tSQd+WR6emlc10x@P;Yf*Sxmm{06Bm^b_ViNu!9 zHjN{YUAFU1^ZjCe-C@nvvp8ysdhW*~5WQ)T&QO)nAoXO0_~-cH(HBZ8W2;opn7iPl zB;M=CK>=S+^6tDM!+XwlleI&He{8&=2fs2SWr?pe{%)$fKu6uKo2Z;VgAgZS{w!oe znUk07=uQB!Hdq6EWp~BEI*S(9dv#4xAW$ATZ=qnNnCMINBUSmIgZJXCEypRTACQLa zDTq|2&gA!zje};sDd~RAY$*#Zr{$n3S!RHNL@dcuE^ak~uO7oY?$5}}PrSBNlJ2be z>U)s|wWg52$~QVknP~(D%NW>46_gPF-n)wN7PQx>O#K2bZ7HiSf0O;>$`!HH--{3G zf9AS(K6 zK~=#zI^00Wl{k_T2>J%H3?EG>FpM%af`2=Gt+0lrw&+~2GQOlZH5}!ZDHU#Ej-&HB z`IlrAqR#|8b~F=8X)|ZBGwktmXGXJVy-7a4l#Z=R12F$k*$V$Wi*eXYvFa&{QTzSL z>^PGLJmZf?{>i^hX}FU`8)kPE?uUu8&qAN6jgtw!z5%+&e9Z}(>DRO`CEQ0g=x^Pw zxD}@*0_Av?U1NaPUGZDRckAZM)p7$0;leWIllK7fEAfPw>w(6~Q_Ga|+rjeZ6e>-B z@Tp&?3_axN;D04-w3a77g!+;WRax)e@lJ43KC3<9zrmPvWM=vKlikw6Y{`AfsxXd6 zUbW9|YvO_<4CD8n`Ah_Df2({NiJ;qm>f_@hbPCsl3!>w#3zUu8V7KK89s0KR)TM^? zZfY|CTJ<1v7M2u)hTqwr=7h(d6x`@|m$W^!q6|%9p~} z($%ByeN2o0=~EF&?|w}*6JnFz6TBWFH&YjR_nC?$%I`&Uep~d-IgY@#D^U%?#Ke)3 zpW;u@wT%VU5{0)ZH=#PDNrE)jPOjuk_9a)Y1Md3?mQ!tlA3GwvHRz2wlSNJy5Rv5# ziK%~duRGQ6eu@6-ohV3CeZHB0@V6V%rJKf4bkj2+Jdv0hYbIA36_1Y>Rp6G$qmveP zOwc=N`Mqu6T!4$GQ7~=K2x!DTKf~PGWtsgti=E%g+!@WjtI%DJ_IiU>j}ZNLlzU4W z2gI+#bV;S98|w3O4SdngwTZObSc4t*q14?8#$vY<;#7BE^WBO7#AO@A`;kaN0TnUA zW)8u0Jkn(A8#fP1}M=cvb$f%?2v74AEFE1i9l*TMV5sSvvk z$%prA3AjjHn9?lIG&X(O zck|g++T72Er5$XMd0>m%G{bjaZlU|H-W0>+HU3z=ivCjYkE;nXvFeUHc?W&cz?w$+ z_B*$@1p+#joWG>%pvAzTRjca?$Xi_mC@4O!;GW5NWL=@bJS)K>{F1??95L2hPs^K% z@Ck+0FJaZ>+M~gKEk{)6s!M=1Z2GK)c)o`Tx`$t8#5W&x@|F`f7;#w{;Md5 zwLDnD0Et>rlqF^hWMQCtM@?I(%x%|kMqs3;h*vq+Qy+ti18+VZ_e7$;Zv|wcvbG$p z@PkJ`8Swo_KRxihS3ZwKwxYnV34eHZ6&?fCFi(7q_u_dt>KNEyoWJ;QD>84nt$7Y& zG40|FG>`BtK(oGW@SWyaX1vB-tF|~HejYxHkPq(&kL?W|csn!cn-5`O;PHskvqyX3 z`{q48=t9eia29Jp1Jf6wFT)gl72rjp`{Fp2sBe zhZ)T(%y<|Uw{ExGG|pI1rV0%;P)Jf@z~@kuCN+$&mB~gm2T*nq?l5TamKYXe`wKiD z8;FkRtW6&XHMY3px1wPjZCURwVY9q;tbkFMCkZ=NuJyKB(++Au_Qkj2gmGXSYwOoL#c zf>ROL&`njPYom+Fiw||jCik{jyCs6!GO{xW1_?+iqGJ|&gxa@E>n`am$mN$D%%xU;TqN3$F`R((SHNy1*{}ITG ze*j4{=j<~4HEh@f;(r;fqFds?03|D!2a(Sb=(v! zvOzB(hc6<&mwF|Di(>1uVnTNgi&nGZ+`#JJH+9qZ6o6UJ zSp_@~>ZeFMe7NxH!dfkN+HoLu@_XpBy0X^psV{RV*DR{VjGYi9Q$}V4^wQa+nPzr8 z5H6N=d6cmg>{-R<6VSGI){JeW$S6e@KHivPv@Nc%944$LXx3BRtS-k+TFG!4KDm*p zjh1tF6`|2FbbKm!8=W?9g;pQ{_NX^_>RB}A_za+>&s=jTK4_cW(GD5KVXYnqR5mI} z2yV%pq;MXNdOWiHdA@3#&is1zXTy%b;)I~N{p$yXp4w9vC+6?#=3>-WP5j7j(I>~8 z2jV~R@Ow#UW0=OWE1-L%R}3kWpb(_mME8j-)E;-Tlz&uyjOh6}$Nm{u8xDa&2q93W zHtq_>35*l8aREH}&|qU*`XA!>JI)LGihjwtfY_=$!BffTZrG??BFa-S96`=i&IqU4 z8GnlpujQ>AZr-BupE<)=-l0Y+ixuSLpx*7=-k}!J$rfIGT1P>2`Z6Tl>l!~~G~k-d zuy1xZs6MD_d}kDcO#?!%Id+nT-2g)S ziNW}nCkZBq)BN+JGKPELRlC@f<8*ey(EgG@N|k)av#QK#T5dpy0DGci(K?4%r)CE8 zRvILwmqu?~{+yE;>w%w)+`pC++Y<52N6CjqEo6=Ly=+(Soofe?@!BJvhzdtWVt4^@ zouO`(p3F0Mf#>u*v|*T8o-MRtY3aFkZZ)*^_ts`pl$8p2=iks%Mrc^v^kW~;3Jy*+ zqDFnz4swE1T>#*~rkHsk4z753v5bRb8I3o4Pqb&PE5X2gN)@yYVq0|^ICaU9@!LH0 z0MwN4qa_|eQMdedn&y`Dg3<7($FW-YglJ6+E=;|XoKw=tgYgvWBnkYM(monQdtRKw zk1uB>6fj716nLI^6Wr9}e14Xla^RS*+nb{ua|ZlZndkn-uv6@*bjME2*=JE8Qs_Vm zR(8&*3H6atrYq(cdMnL$kpqz}^l2j%oR_`Jg0SfBzz?i2%ctd{Kl`VWwfiYJ{)ujaae z=QmN;tkz5jis+5lK#Q9AZ`WYkqN1NLxS@=b)UJ@s)4esCpN4ytL!+hU7%ve7?O^;)YW@ zp~O&Oza^oCH)IKmSQwYnV~eVS)dK?8t&oXK-EjTI>NI7Sn+C(XyR~GF?`K=7YuT1> zX~ukZAEyKNFmWm`f>mDF~j!1pT>mLn6tHjL)1Lh+PY7Ka<@&-{5);J9+c^E}l(Nb^c)ZgJ zb;j#=sA{qlda-JC+WZ&*V@w5CW)A5Nz4a#*U~_29^Sx9QE!d#gdV;%+US?A!8#TH2 z`*L(qJZNlcxr~Vas#yz#gWrVB8DLqv;J2G>uP^>aV>TNmgE{6YQ(}Z0^k=QVyHD_F zH4y!wZBy9y^~8ppl7<|Z{!r8Jt+hN?D}%4iQ@{Ewr<>*E(14kv&@>tv6!o)Dxh-!7 zwzVIl{L-)h+h$?$ss2*8@*<(Lf_r_5UuoxRFb=0(LU);`R}^O(*^O}=Ll@%>#L^5e z4H4VLqVU!Gir0?KWuK`Q@Vabhg~I@gs49PH;$|_C{ET>1CJEzkyuK2J6YgMSW_%vT zTOV}*jX6~Xs(K2Y;jyhAg}+#S>}btS&Kss8(eEk+%Yiqn9g|juh?$tUr8i*jMF&;4-^Ni5EPHl?W} zDG4{OFld<0&KPXgoJVWMM6AB~enl)NC+eYQs^p<;33=s#=U7L={g(N#_YK;3jK z?rb{%K711R%qMYJpfcXB@)5nUsTHsjh8mn0cU@rGw@SrW5_FK7dzk6A&YFlF&oLf( zB?>t?R!qn{(bA=yr-#qgEuHTUuWB|mjPD%_oZ7U_F^8DGAKJ<-9iUAx@d)~n3;8@t zFO?ujb1C#~qb(oZJNLscS|ha3H{>?MXcg`bI)5f0`g(v8>FX~t$j79*VkjWFTmHlt zil_ukQcPcD-9s5Oaq#fNV6d8@AIR@znW$$&J%k*JD{loAe;hz?UkqSfJc}2O zdfYh{&e7k^H7qx!%IW65(lhRO1S`>hwVWzx<>2-q#6jTJPF2SXZQ0#N`*Q}yZ71-e z%=wFs?lx})^d~eXeTBw?6{rb1$r@*99UptKL1aO$e|ll@s{gZD<~z&bjHgffsMRK3 zTB04&W!HKp&9RZ^jlr(7al9s;e{LSGZdWv^!Yo=Vh>+t3%zWkI6b8NRzS?5h>TU&p z3U_!GkMplbTDHou(au%fji4^DOl;l_*4i-ZK@%6+es+=rK<)1qV3wAYcafO=UIx56 zVJ8RP$)E9usfj$~9yS#2_C##>$WsH0=w+C5<@cNeC!f3=;+UHjm{7PC3&X|CE$y@i zB`xk0ai+nh(yoq%e`G}%I?7@;gAGc^oz7O%)5*5VY7y4!rC z+Fm0AHe>Go1@Lmy>DD_@j84H7ylubG{f0@y6BWhCvoQl!gynDZzDh3zE{9j`Cl(>; zf3_P;u8Z2VO5J{KzGZj)$J`>5z2dF{H{)9bv8H9`S{mQ6E!^{oKiZFs&iS!&toE;F z%W^ApLKH80LCrlrI!*l@k8BLA9#saV1gzIv{g>u$0xlmKS-+k9bNq3P{8wb~c+HBc znWF5&pwfivIz+H;a^&s{cTH;*O$tlHF>~F2izj#QfyA5mXe`~7NbO1P8?&%6o>~!{ zsYZWhuWyfhZ=JlKGtK?2{B}8+?R48?h5pxt|My)gr$R#pc+zchH58l$o~mDKgu?11 z+~F-i;RDm%Gyd+eYGhPG^|WI#z803UZy30lW;s1Omzd=$1$C^U^%P*3dwHR1W;~?M zAn~eVE&?*7r-ydu8J4kDmaqv|M!5(x=He}W zbfoCyx=g|PsE;QvSXn+dW_E|xb~wR~6|8}CEL_QY6-9`C=nPkOY*RQ5{1c!+^M;b3 z+2UnExI?I||8Tr0QHLP%f3Vno$48>Gj;n!m4_%_0Z3G`6J|j%GKPAMaB{QJNgksS^ z#0cHfHa$HRi^4ZwTmnMIA^Jj@>?m2w2eGf#pVpl}-7Z-K3>Tg(pb*w(WIF8QBB|&yew-b;wOlG_w){7vqV*%pVHH7Vkhu ztyYm3_G#FHc7yT>v0iE7j2qRAaU)^~qqwrXqxX}tul<@EES|VrzCHE^;v&%VS20Ft zwME_Vhe_V=@A`4(%^xc~7~sR>%4mgac}qUb5fpVELmrNVeFpv!d4ZA}zcIFg#^wu| z3*tLuV95&b3s=+?A`9(&>MRB-*#{{K~T}ifmH#-IPEie{@N(M*Y|6qj+7_EC4OT1MEs4d>4*JSKXG@*3tVWSZv#JZ3}B;MjKJ0tVh;M$z@;)Pu?hn#n-Y4` ziy6Am>B^qGXql5H(0;evi7u3svCwX_JpaLjEeB#n!=Sy*FDo#gXLp3yBC2|_ zUWxU@ig_SW8CalxY3aK{Xi=_p^Mc&{%VAmRC!{(`ufSCcLqEP&`uEnIBVM!*H-Crr z6E~;j0D-iZyj9S?xyJKFZP&{=`Ou0vA!6ugMtw>wCMgyMo{i@(pjy3jK_XYDmh-v! zakcURW}bU+;zaV?2hWIRAB0kgSX6V&bIYa$o{40E8!S`Fb7)mdxt4it|Mk2p00*Yo zNBG&!b`KhN?y}@hXP;SxJHKF<*4?dwiG2dJ_vJ_Uj)~LLZ(248bN^d|!V@joYd7(X zt|@rGlpH6=k6@aw0B zk!n-$j|qu#+v0`ovRBsNg~_)SZLsFDrP)xTp9!Y^EO@0-*$wMI)|Bn`UjVUkwI;a! z&vg76?%X4%7#2K|{SH%Z1SS?Q)yFSbeZ!mMn_K_GqhOG{vz7kaIY!{D>C4>#y+DgK z2#WpFZdxLdT!+cUw8!~42KWfG$(_I%VNy3CQ|DdiSH-V)L@pb0+XRz)ZyTr}9;n!%AY-W8Qms z%vm>Mg?Q-16;!3N?YvvaG7F!BoNmUk13ZBSc8LD&VX7C8sI z6rkJ5vp`gOA^g)ql{VgbsRz-znBk$Q!4O*{2U+!8n1n*G9~O&$2p2$G=KL4pBnG$j z=kV5m1Oe#1sA;=6j!2oq8M^lN6&`2Z-g^W?sf{(F^i3+xg6H+3Le3|rF^g#XEXcZa zMt1S$K&5iy=%t5AYhaGVR7y-0cnDSLg20&@X8E}U_>ZB_JBG4grz#V$?SfT>S3+55 zdLhTI=i5BR2k;Q9-;5al2NywA83%JASzo}Nz_96302g=(ZFVwq3eMh0KR{K5Ebs7) zLN2~8D4?=eU}g0=R|>4RLcwiYj&Q1@pO~32*sfLEbdc|I80Z_yhuA+$dJLCmgi2y?+xA-MMkk(ol0DUPCm<8H?9&fyb6W>L zSr|@5SO>2C;CX&=ICR{gN&`q}E)JO);b;E#C`9F8KYC~BcLt}k!-dTs84oNf+)YU`;Z&mp0J;)CZj zM2qyzcQN0Kv%nQH1Wi@I!GJPuy;v+xNBNs|QaMCujOpLYbdHiPsbls!ueTV!%M}fV zFVxysd3@FG;pyqfiby-eKw&q?NH{rVBnv}bq{150dSYGnCGHx014;W%QjKVY%x`ZG zo=D8|8>}5GLQ7(p=B-(#l{-rGqHfAo&rN56=vkO-&Ox%$QS~Tbh5`dq!1V4J4|yTz z19o=}93#5#%6e@*Bz(Yy7r>Xvf2F;nPH>azq^8-={&y>tN@YU(!7pwwi$2rAvt@GB zyK7%M8N> z|GZqOoI`hQoOu;=1n{XgSA8|NgYU|MAxtl|JE^6Z0KI*R%%R&KB3T71Dh4grn6T{t zPwp;wuKh3g6`!w%DJgzWoFu_Ws%=VU^!p!p4|xRy-vu<0%eJF-$T94k8+(HpsGWEa^o?kb`pq-q!WLWd%g zz52Q^(7da$s}HGGQ8uUKtIG^;dieN~vLQSSbU#8s<)zJQ-qgmUnt#=A+t+3jNM6-y zY6RtVLyfObj4JU)$FheVMXiynb+Os}Sg;ogo`=zsj1L|C-b}36){X%8=Ic%moYJ zDGcmJsA_2CuE`$?CE68* z0F-|z!~jlC%wduleLiYw!)o=VWyR@RUhD&uJ{P2T(EOB#Ox`xp|-))&;9nZoA*fUbN3Jy-K#j84X97b7}86POXn8-Ai&#ofW~ zFV`;hK(!e?fg58=0O$_MMrE;(pM5PCj%A2mnZ622AU&~n;+Qu=9|AW@6K{m z*239Xv@z*n&CPr7%W*eI1Xl}+vO2Nbs=Q~at@3w985R&cVebpQuL#Vg?boFR0Z_`I z7ifq{BuDgC?~pXD@(a^NmN0l4+z~p3aHx2v@S{FVYDd_6JfMRzz|q%FLk8 zKKEFK^>_d7l-LTvh<>|yS0dldjwfx%O^uQ^s7loei*!;~lE z9{5xMWa~A9CZu{4LxXQdHYQAfzrSpos&E5O6hgA^Z?Rew$epqE7Qg;hQg6BZb-~fh zOa%0bWUbpf2(Y@sIF~aW{buLWb|-UetWWWi?UX5r~Vgx(crNlu`k`|K;5>v4k0$Sv4KMqC8)c95CN#twgOKDX+vW8eSl({Bd172i^ zs{Am^;s`S?Fo?rvm28kSYd`$OMv{a`$dB}OQBfFR;2B8-vR;sW|A(4#lxB$9XK>Pt-AuqAwTWT`w9xs%wK1fHFI zJ#q7RNUwPn`vdxYWXX$QFatw>#H?3N-}(wD zw=Q*?5)sTwMp2l|mO7KF_vEgr2cL+|TH@_sY>CZ=RVOG04%|?I{~w~>0xFKCX&c^M z++BmaLvVsE1PB%!f&{k^g1hcw!8K@tOK^Ah;O-8=-Cgo;azF3)pTkTK-PK)J$;|1U z>8{$0Xev7chk2S5erApm=TQ#~LwfV}D zDzg5N_I5Qed^Rg#hatiy?~Dd>S*jbZYlB|}-JL-l1t=9d0N2U_%b4OM7+pkrGzY8+ z(Fd5xv_e0FTcIW$&xK#0xMcd%(O)1M?$6oc`6CVDcg9F#IX_68SXdNuQMJLwJ4D(Y4>Tg$7izz}!d7m2( z<1%dF=%nh=_6)&%nTFs{KZs?cSk2{ZtV{qfyLAyG^L>n{p#tlGlKb0Su_ODRuv9pE zRo&5+gPon>{wYh?2ej=>vN44nn9>%RaUZk7Ly#g^7{m5p>F!qsxh15mQ>?1|UHCV% zFF_HfuyB%waYNQI#OCnt1U{E{Ey;1HQWI>Lyi}_T1wAyN-xcR=t#WB|Z=TQGph&BT`*1NEw&0AkGx;I(dm>`%zDm2y&ywaMP9k|> zFTm?%-^y?7Xe!5IeP{~07lp^~%K$5?Y~9Ci+D_B~7f4+BK*$YUAa2e@A&}?;!Y7H* z0+|^o9_Y#n?ahqZPs3PhhM@zR@bp$A0;L5h0J%)0P-?$E-~EnM?8(Mz4swB}pINz2 zau~pTAshv60O|-_034Hf6yDo+C4FRNL{TA7AsH35?2=IQslErs+rfcmEBXdXy)J3W zwm!JQMT7W1LO@MNLO|2Txj7mvk@4pIwn_~plJ1UGQn+-E_>g>qM~%aee4@uRgC`{R z?ZTYEDhAD%q|f&V7_R(CA{SEi80VLuFwl$-3wb?);B4JvufAAN(cr}9^V@u-^5#R$ z_I2YKz$M)LX*_0w2+|HwX2yfFB-5kcr*e)-Ugt|oh|0TQox1Q}z+pZRaPDW1rr`U5 z&V|v~rlzGcw_zLoZ*_QFUrCWt;eH~;*f^)SN&1sipsugx0L*Y0WHpsXd@Eyg!h!f6 zDor%2=~Bs=d<8pdF|nxGsOy4!xC9!p26jdkx(10pRYQcLZkU7&4Stg~EJY*P1|@fu zo|U+n5QCC48vZmVLKiLRFaDmDp6douTaRHa+Nx-LS0>Vx_v7IN2ikkRZ_X`aiCAB+ z%!g}2>xmBIe|#wDNpT>z*9*K?x8u~J&|L482OsqwSo(TY;+}0lYK3&R(gPi>v%GNO z{JbX7##6?y{;<+Hp*@%HJe;-Dq?0}x;(QBZgoJb?+xz#BZ^GuHu&L;$-Rtf@sOd-> zuxW1?BXabbyZ^H(lIkDU?^FklU-9tQMLPxTDPq&{PpN1eyHHNNn7>n*xOAbIz;&bG z4n?}fMA`kn892@vp3uvz!EDG~`X!yQOzm#zoWhesFs zCf(>Wr7(<}z5m%=B%`31D_3bWxf#{>bx!*?V`H!x_Elwokk1j7h;KJa*QP+K5eJN1 znmv^Yt`pu6>M9275FJfEd})ew`7|%#{sfy%$I_-74g7DiZ~ul9#xoXA?7~Dpuh*ai zzQ>@1XdR(nQ-%%VXiIfrf`IK=X#l-7p{Ker3Ho5T*NaMZWkRri+}q^WA5H+?`=?u$ zj-_xHYMzf<|5{hTTfKj45?*k;DnZDt+9)Bd+UJ)rbHT__rN#g2|E~`m%yQG2Tz&u&cg z`T7h>+?~-|wMmd$w1I&JC9gtSv~kLaJu82caKN1A_ZSR?CC!z|N)Q_j=d6B*=!MCO zuR<)*KgPgSgOViy7MLoSPRTryBt9S;L1<7u{3oL)a5bF3qf?So3y}36xnTNNgA##t zZ-*_IpUsl*l6X{}I2*zwl4ZCu2_k?wDNIk_njV;M?l*homuYWI^7NQsAZ5!)g$S|* z6_0|G_Y5m93*Xs(P>>vi(3Y2v%qLyTwD25Ctge=TP26S!y(vbs#I5}+MV&v<=k`*R zB6&2|;HsHs%tFdWcf%z6lf<==84!8o_f}9vldQFo$$-a6A==2~5P5>>diE)}q-#9i zs4TbQtMeOMm<4TD6mUK2VJ6YL<)k^)(z&U3JAAcQPTtb0N1m|!k_6M1o&-IN{&e%g z)}_YFtNx9zw{Y?sOyA~Qn0{?tk~)u94o(u*47cW+XIAv<-HMwL?M}7a99CCL1+do& z+FvD@oN95BKt8UP60NuDxuK5tHz&vtc-7MgeS(2BY#(oi-KB1-m>J(io*x59T2Mn8Nq0mTHfW zH^Y2$rAdVj##I`%XuLVWuj60UAb5QR)$4B?212YG%u7zSfG}DA@{BcNy|RVf9`)H@ zzwE92Bc!^r)fd+0KeL7WRW{7}D=;trH`YI)Cm}(rr3HO|yFlOW{c9u0qmn$;+QNmw zjK$!-vX$nq{13}ulKJ||;G8v#J#18I<{DVF>(BqRcPROJw!hhh`jz3<3hG;l|CooN z!VGt~h|Y0lE4>Z5`j7Je0sgBkRay2c+ZBRYn$y{!&zt{~hPVaBKTg5)R4aZH^FLh~ zPr%R+$q&Nx_g79L)K{>pf>vA@Y*P3CV8ia$f9iofSXUkpzcf_3u|i=017V{5^%Hss zO#Hv9v2C{7S_up5%lg;;x7Rgd>VLFW`b%W-cdY&ROlOqmTfDup=={kihj*b93o z^hbNg>zQ1au#W0nzgd}bw1N$eRsV6o^+Qo2q1?L!QOnitk4bL#QWAq50zgRK7A|*E z6Y#t0Bc5|PIi)f{XB7jo|I2D&lq%w)4*<+(%+ps(I(!X|&y}78tScqzVK}bB-hO;C;C}HJzF6J{Q+q}x|#9O&~G7= z;7F}1hsVbmdhmeDV!ldg5o%0L$SaMx9NoC(z?K&z@QC71R}hA$ZC=)0|-TY#sLi0gQnMX%SYW3iwPsbpy-UB5bH)JpltkGrDJIulfXa+ zoOXAPtWQ*08IY7=POhlVD~U7#(Ntk|@5P~)4$d<2pW?2hUzx!U(#$&|$}w0P?8PRp zRrPHQ-I`lmXSaUgk;Dkp;sJQ-^X}Ml!w2)J3YK8O2kvp8qkga`(5$pyewl0iGF~Fu z3g|f=i38#zSHlBD4Beo;F?SsPeH7%Jemz7%Do6~F=?Qh{sx1por?;O0gX9{PA6@4OY9kPmPMKu(Yp zH{Wp;+D_bWN9CXzhDC*xA^%Zqe?@4Y&nO-J&WtV;jjYx31$2Ob4tJ&gvAgfal&K;d z=^XRMtdK!n80U57O*TS0$GYF*8GMH1fE&i8Jfw80z8FxzVjuaLlI%B{X82pKj?hB^ zqx&G(0tH0u{&`p&wJ%^i0){e*-VXJej-aD=d|+YD2K1ZsDdSY#ux>kcAcs#VZH6J# zy<4#3iz{Ly|6sGzyXjl|mDdf7dzhoGbSDKX;d_{{7;GC67 zmXHQCTwRQ{)OG;6RM$rOj;#`CYX^SaR>|GFY9HYNVNDCP|OI znZ_H=R{0AwhE?-4+I2M$!eM-iX7;7tQ=vGW$e>B0nYE#2&||{~ajg%je>W%zx+Ty0 z8uo?(E;hYW&EKGKH|Tbk$a`YUZC8nR9kOwduQ<4la*&_;@q%s2;f1=wJ5(@Nhr-C& z@agk)j!`SLEQj=@l6b5e`7FsJ**@6R67LG3F+`tiA^2r!K+O5q7|n0llD| zs5=;OyeA!qo}YUWe-&c^EdXZ8%J=ps&ZVC3VnGx6Dl`KeBV`&~UJDXEtjv~75Nztv zd>OY}j}IIN*GwDe+*lv+h^Sh&?i=c|B)-nwAZA;?(uzD=jDr2@wznC3al9_eg17K1s?AATerQMCsafl#^1__F48|nRtOO%$V0f{j zFYNngLz?&6^g{)xgLsDyqw03GzwtJOW(BH}49E{*Sy98Ij-C=l-nNnXQnPuty=hPO zb@5f0d!9&LoAlWf99p^6yz=l!Dm8{Bg}L$>hw{}e^VX23(4bH7 zglU(gkB@)*=}jB$n{!S)bL1WaTFR1J4afnp1O> z`dBaJ+_81q^~bg2Uq~muk#@_QykbscLwA#{n7?4#u6f!ZZspbI173y^<*Sc-duNNc zoDWaAyP-9d&GS?d_jR^(PI3W zoz`2HHRJdO;=1>-w-1Q(AuP}`u(YiB`6yghb;98G$D;l)*)jdTZ(;7?q8m%%(Ng_F z>SC5!-G}z|`UkCzUyV!GkNlixmwc;-6-)Vx=R$Sh6Ew}b2GQH8GMO+G9FDX{Sw0rq zHE#ykw&k+T0r$2yw>bpko3QV*r`DPzyTzhNYZ5r?kcqYD6I6Zgv8LT5;HEIq%5%!8 zvRCV3a9#6`Gj%Qv^-&4Iw8 zCuYM14+P?i&2anKt^(d`Q+)*|^wXFNz4fWLxZ}B#buj3Ri&8K8c*woO!1a+U+GTtx z^`m0)-4xTz;*hWLSATSd;^Q80^VwM5XsT(_rF*XEb-ux|&XP-8YX;3g4|jE`_)(lq zeRpZoLL+#mZX_7VfpAVKY-3T{!Rl=0TJOM>7n1Ax3DctbZHbld3R?e0v(kj=_v}%$ z4eF1)>F^cC+ix7m8r>g#*=K#N&eSv-MF^>Ak2hD+6?VKb@UvEjedSPN@HN|B(ofUw z59U_Xy;4~+=6&jS^VS+q`ikUN=UVSQ#-i9=)V#=Ns;nm5aJkKoZ%PjrZkM8@!Oi8r zWg*@!j2E7@SfiUkr_FABpLcl;+}e?wJp+}hz6CRqNx0&2BC~S@6CKbfoNxTTlAmk4 zs9NlP6mGuZT1?Y{ig7q%w(yrM>PgCV`IH|ME%mjjH=LaP92Mt=Z$M72tT&QchCcttLE2_6x#iN*|o(^QqYfV&A(_n?^XI{Z+)+-pC)X zeCE}!GN)GK&y-rW+KWkbhqrUxGhZicd%te@_fZ#4M-iQ0lK<}KyX@}met8CE@(MpD zi=>upyv}q$M+V##kZ0yz(!40%(qNv_3NCR$i5%D?wCkq&5M5dF^T4M^eUeZJP9J2u zdY9tj2*Fo-TreFHSP%`t( z9)RK4Y$^Vfec)R;?{p#eXfh)PQKNP>Alciwmjp|0t06w|=x=n*;KT&ayQ^QEx&?)s zq8XjK8CgUo+@<;VB$Et~EGZ)Hyk;BYs12_GA4lhf)VanF)v_I5J@@P~=OKKj7BzySmFURa; za*qmvlG6YaU*Djddn3a&NEgojB(Gn+y_D1%w!|eXnX0hEXuUl=pRI#}b^FSxUBU)C76;}XyXS(fganVJP!k8hrz ze~3}$zVduU(C}=d0jLg%K%IQyX2}%&?`XJr{Fo!k#nRx*3CZz)wQH_nf7uj)YD>hn^dI>byCe}mVBGj*pBMyw>5M=#c8 zbzmSkq7XDSUEXvEE0B|s?4{R|Q1jcNsQK`M8@!wZO0gvK{U~K0?jr`#@;wUe<)L^= zmueeVCuDNti|Aj&=bbzT6`ygHUS;Es9b+a zn`B$NxePCW{hli+8ii)S5cXj_8>Oxs<>vqXqRLTxkBwdyJD+L;?yZrA#xQ3Y(ZIr= zd`FXw%=gI&bl096RHm*h426i zB;ZFrcRDJ{mH_kMh(%898qN&)9`!i{5ks&*hnRGU9|^ZezXd-40kbh033AG=+m5r3 z`+*2>{sxuGi)L)oZ!afpj@*_8peD;4V{C4m*~$J3pvLTuM2Y`(#_^l8lY^EoNESNB zjh#a+ksR}hQtKW1{*xdEs-qu220RT;ApUaN?3rKe(Zm4=j&HyGc@*xX}`!iJ0v??P<}%ZE!pUY)}&*HxuLJb??9YxC}(*I2Qzk^meX2J zRjue!+`4a~GJX83X=Une2oXT;W9bnfQma2WQ2P%v5}2Q(GyfB-`n*sT{LfDfG2f2W zZT)*te^sT>dMC-@?}#p8-HF%}%So`sYnjXgyaIv5zW^cljE_`r6(F?_k+AerN9xX5 z_#`tuA6=|JkjRPEUO2ksdEx91f!?8*0@8l7WR05DY;*jVlr&K>%?`jKcVd#cj-1hqBrzYr`^1C{VpA!AKEO3xXWfMuyWLVu~$1fVs3H z-!P&av;D?`miQtS3@WTIyq*PG2tXU8N`rA#6*pjsceFN(qp9&)KGjnfQWTRoqf_9G zWsTe}z-JzNb5M~OWpp91wnOFmWrEBixR=#RB=K2X<%Z!M`M%o(ob2##H66}4hIB7_ zd$TFr8l*5)CqV9-SoIF;${KKdGo+zXOkcIu*|2kk4)Qws)R`UNj`~MBo*=M5uRV!XfHHaBIGpf6MK`r7 zuZk=63d>!SWM)u^e8e@inVy9~aQIfk199`_hsv>)buo%A+8L8cs0wNFjpQ5B0C$K8`CRVR3bg_^L(QS9h;(HFgfp;jLqi8y6E_Z8GnSS;Sg-oO53qR0*4I>`2+R9Or z3A%WKhyTF$bvsyUNu)CMmZYb76X=clS)1?77`F1|+6=&y;v))_8y$|Db^2Zdk9A4|p2J5!a}ZW78L=E@FtU3EH?R2kewxFdhRqw3 z^^Z_`$!oTON_#AkA7^WT*U1x#P%>mqCUmgZ1U<6Fs0)EFhv(M8VM$yk z`!b4K|JC>Ck7^^$p!QulU-zUodt_P&-C{<7da$^ljU^jy=rCm}V*Oh&LHLG%sYwx} zd`yTv>6aaEd)F?ZV*RwKEGf`(rv!WgS?ZgoPAlm#q&O7M+VYNS6{yK5Cb}ZRRW1Ha z$EX%ryt+?`uXhlZ_@8XQSM!`ox{4rIiWayU{p=86i$luBhtgday)g`xLyr~6?4`rA zKhgP+r$Kwk?)85K0U;VIn_+NnRd=VvDkDNzZk1PzK_6R8PwrO{n~kicnKBO2h&c)( zF3juek8Ee6j+gdCThC~WKoO1}Z$<^-I7LV5o#u;koS7=v`%^rjaJ1L$07Ja>2Ms47 zhq6&SdFO6&10yRYoQ@qx;MaL|%_OSZa8VqC`uFc|ZJHU+Y$|xH7CG%18nHxLiEF1e zus6ATOp8Us;7h+nlG&`DXd(yiQL9A51IneRPGY=tq$~l^qHs4|L7CWk)x2RcP(~0- zyVR-j`(n!I0}~w@QpOrFA0KIB1TA+IVhi|XXh^`T3Zhj$g~Sv{dFoM`)9%EU@`jEk zy&0|Sx&&G$CIPIPZvU%S)%wdnK-bQT@Ejrqk97m|`d@ z2WryRWp{y=0KzmcSCUL*=+Zk=qsK!QKt?AW6wQg)T50s*8Z?L#=s+=@jto6%zc?V9 zQCvjPB6lCCRDqP~Dp!1Fl-qLv3*8D05GVv_imIf&MS75R%&7k@a&8oCsocVjpE88J z%BD*wvlB$Kg~SL1iv@+RzEhLG6F|Y`xY|URhAmn9v`ZHo*`4Tpg_M03#UJY}h}3nj z(Q_Y(Bu@!`-zNy|C=jM8(4#C@p$4v2N?JCQ8^JCnAd=jdZVlt7G@o0>R|}Yom=KGA zZ!G{T_X4hl5j`Ga7P^|pO0r}FPfLIieF6>rz5 zO6Cg$D~iGQY;}XdV&RCCabt)y7Yp0Ow6F{mQep*A?0*%;R8*8(FIWS?eo*f{*GR~K zB^>Y`yo)w~bYe?=PnEALD`uBz(DB1t7UV5?;rEF+ZcGV)7aJ{vj|i3(+floFE4HKl z_oM+kf!Js%pAkx$tLV;b9bSIWMbqEM q|d#r0J=Fwwi4u)aW?|QSvoQ<&FpwYb& z{TkmV+-Ly3bj+iq9EdyN*xi9F;t;aYL?tcB-Pyd`N_BVVN79au+o0Dub8<>|IqaWC zRPvLMASyro^KK!5N-yqrKWpV% zvb?J?2+}j_$UbeyRJ-Su?J3=1cR8QqL3Y6!P4hgKxh?BHOt# zB0T|eaO!#J)!amyYooz&=7~@}31DG95}xfO5}fVit|{g8bqsyA_=dRMl-xT5R`vJ_ zrKEn13lKkTQ9djy0b%Ycpd9=4eW)uAd$Mbk2-jPh*T){L(KhEFCJ&Tl)&U$hb&Gww zs7xnPt37y4fQS8I+w|ysc{Gv&1%63V`L+>e5l1cGR)gTui1)={v zh@>DzQIw3DebI2gMW>>_ec$ZM;&3i+l^lZj*U&D{JO3~I7;wMoS`bhVj# za_6At_9Vy^p6p8|b@RhFl@~@w^Y~s|i4-=P4@^X;O_a>|x8xkyBhsE1O11+zAAq(` zbf|PV4E<_szuU`+-bMQa>c{&Hk&>@22N{uR-&*B=8cp6vZjo1;O+OIq^8~8Whi?g5 zT84%SSt4O4sZ4WF!>gVO8Nwfcj7BOVy5twZxMRw&T7>2Fj8w8QWu>THM#jF&ZBtW&)B=zYCtZftD%7r5 zBds}ZxdF;lD(Nmu2%jia$|bhu3s^<0K>GkjkRHY(Xj*=2a@X#QhCQg7!3e=(BFhfR z;(Z6|Fpa7ilEuXOyq^xUu%C{+S6*e&pssN7As3XU7et031bKt5xHhHP6|Pe)M&LZ$gw{ zwUqr|gUi|L?JCRJ0C!boFnwiTt2Iu1QG@hlos2{b6RN5Rk0OLhYKO457{8tg)a}u! zPnpqynJ_mZ%A970q>Q;IOvh+-MJ{EI-4v{!60?K;vNLv4sEJbM@(#kk)rRbGQ2AK0 zed!jSWKD~=?vaqZbb^4?@zH+B(UPqJMH>zCMcviLT%Q2Bkb^dfCt&dl@!}`~*mhga z06jBequ~sGZXWXbO>b4HAdj2e0^3sEZz>z*_50Sj<y~S+DL4~%|+A= ziss?lZFr+SC=R$SE~+MGFk(lP>VZ*Ks_vLDb?cySI}ySauHKjcj5rW6 zo;G9LApv`wly;r>UgImZs~+3w_6P30wOe>&%EU`0VmjSa+iZPRB z;C8~TUOp|`4QZy)3oGz=kaDhu}T*ep## zatT$?|G=`3W@0|R$b2c%2FSY0^QS{I%yjB9oa%Fr%k>$rJqSuayGoQ)>r2uOx)NG0 zFXd`?AmGnPc+5<8DZeL~+_=q?V7(%jc3=3C%tH(q#cPQ8wWbh&Ip0u5@}_6ADS0n5 z=1~4;w`>_J3E)!x=UdG(uXxJt-!iRCkagb#)Xc^*GrWp2v#x;0nzJQ&aR-qL79y6^%IIoOtM|HOP79pudRonvk zsK2}jQv**|6f_W?R(_}lA+P?xD^c0m(J&6Vjk?&xTM3Z?rhkyL-)a@Iq~^AEDic&N zbyDhBOub8O6cX0XEg6wh>sn1ESDl{SIbxsxfhS!$GJ?{voLaDLWvg@@>E+}vF|wRW zo`Mc*XBsxM8ATxeQ5_Jo2w6-epOBf|`GTxh$#40#sIFEhR@c;a6tOt3R>%$<3CkSN zD)g!Wr*veYL(&i;SnLAwNrLSK;u7=>1%r^5dIvoW9OY2!jLEQR8^<`M7`yBd$%fi9wTBGtFOi{1_2L`ZSo8g>=W;}+yia-m%s~*iR;s3cvC=#pUvtU9NB`WU@P0sWIq#?0 z@`;){_o&-GeM*wTsQWsWc* znVp-XjSW3ALlhgZ&Sf>*0xoFa4-XX4`8y z$4P}Y4j&SnNr>J%8Le>`#wBM|B#zcxS2OT~@Z7r|x<7$S_3N31m}q;ma+lyzA-e7@ z#P$ZQzfYCYj*qC;=7LKsJ#4T`4NOwBGyG+yZy50{L0ZZhahlL~jTsV=%A(QXKo4eN zo_7LYD3~0@_^ z2;*c$5?i17@M0YK(4B#~njgy%p)A^P5F>(;6d_c#N(L z8-o!8bA~rrZO5HvLK*KM%Uj0;@zp?LGS}4i=t@xRfVX#cCTECMCd@oRh5AP{kntL< zKLT(waK8}6%%u)~5I-UOVnAdxVzQ?E?9V~EiP+tsU4b3aq{jyvL%Nv%wO~~y=4=KYF;@!0XZfX9?><$2ex+EZmS8Wrx2+V;?C6h?IaOdz_;5PSY{wC&p}Bd2pOXvJPgSA z;@c8%s{RYLC-tLJO9yr`Je5Z}NkPWhlW9J?7rPox0H}kuTHc3fu_mr^)>7jcgt%vm zFi#37q0szUM~MNb#Se^1;rOEm*D;}P{`qkNKuAR?P6~c!vKcBM)h1#Mm_A#k&&#Ha z4I4i%s^Y=t8hZt-Lj-g;K=Gb(Z zaSbZ<@j=W2Kt?qo=dDxHX8?F6N1^$I1Db_8Ie}L~Dz#-L$He^Arx39KEmM9Hr=ti6 zl%C1e1LJ~!eYOUyv#M(D@35qh0@%>Afh8_84Vt1wfqiX!06-DMXa&>+xHvBbW_ri4 z=mdklD!?W4b;f*A~sssU$8=*NIZg~t*JbWcB5SLXy|;y}5S97y3) z)DV9G`R`~39%4(X@9*NNW&qxQ7{4K`F)7TB=9bdV#EA1RYA~q$#6Qnf*wXs zjF9$!PvdRovSaRsND(bXf8m3>FbqpQnZli z-b}rjIlSp9*q%z>A~fhtEW}GJLnT1a3Sx3A5cO~#OC0VYBb=l8`L(n5H^-%pyEe4F zKY*ij+G?Zw$Moo&=^2(8?jK7^PWwlLL)HAk$;wEbbgNzojH3c-b;5#Q~)r7;z_pHo3Ro<@s2;#GTX;GrY+db%V&U9IP}6#F#mvXZn5QvD|Mb zjv=KxepCpnrl(#>SERc6(uHTOyvv4hbQK?^n4gbU>G=?lN;pi4W}&?A?BFS1ls`39 z$o*ZEzI1w@*($?8IanYc?KQ;ffo9Tw?8nrdhtqd|fqdr;N6+usu+rGcQ$9)Wf8)ra z6$#`QYH}F(zpQ5;As$Svn|D+%$p zj9t1&RSmedS55vVM%!5U^i9ruo}g*V)jFNI@#HG?mTub1pDWr-a^YOMWM< zFTiFnzbCQApTEbPoF-+TAKx4v3kBD>lAxRmEmpq#Wo})B(rFdUjh1vx3ktH_9%Bq#uW(!!a8@oD4{Zg`?*n;E`x8b^KoPw8+&I(wASUAwS)VuS*eI z#mRGuq4=#2n$sbGPZ(TEKiqFt1B>xj-Q#pkY6V~r^ z=4{n!4dz;<&9J@0HGzTFw3^ua%4<6~QUm+yjZyk=8@nlXbJXs7U`tFVw$@S^UPIDD zVI4>`=6;>IbmB8jZF-#ta*dK)51eEGC6e_-NT?vPVg*}u+r6??=TkS|l6%XVEa6tQ zE(X@5Yxz@__zN+1l}@I6P^!zgiS~JzcyW>O!1Xn{trqpr5_Y^MWXaXXvh2{4wu&h1 zyU_gLeG0M~TTmwd8(KO>@t{oabyDkjE=@==ZG#MvBrewg4_&2h-2MGDPuh;lnphjn zqK!hG!>J^P7u71i0$DP0n>vwXw!vsxibw5H5pwXs?cTJV&ReTcglk1G)uBj&YIvJ| z^>`cogajUGIZkh#xs*0BM(*<{GwrWM3Hy_3S5FH#?zD2o7U!}wyGrD^ngExES8I^{ zO&wvcxp#}_)B|k&aySksVufrE&Y|`qNLHPaO+l&EsNwJTlCK7CFNa0ggJ=Amx*UtQ zZFXCB(pge!$J`@+_&l_mmag6mFUKibl?PC0EX8SWZzLTOf-dCfi5vwHy>w$m3+iU< z=*?q31F*s*)r`aWF^AMOaCHGT)mo5y8%c%QSQ9F9`{8xY$;bMJlHcP&AN;1f1kB>e zL_6v6jAxrd;skYx)MeT1qnH9Q!-ku2e&|rUjDax22?-`Yz}}l#u-#nO^?DOa5&jyZ}fJQY7D8lO95Kmx>T#%3JDHRSd3?@*>(I3x2@I=-xnh3bg5E zDQp_`>}Tt>Y|W4zMb+DUzK#!D=ay@yH~#h_C1s{Q)>n)Be!M?mAdJR~Q8Z&*(p3iD zOQ*BTVg0Bla4v?O$2kT?*hrOq9d=U_bt(Wf=Ud}UR2h~}R@FN{2S zY`{rJo-1{omXg(r{J9(1|C=);l5d6ULgjfN@4{n6;@3~zm`>{FW5S6z7tXzS(xOQ~pQpY8@9k?}Sn`~!pG3fcuX7Dwq z{`k7WdWhYu?zB4C*ZfU%XRBrJlL!2utfrAJ(jg^Bl&KR#gyT4gnXXzzqL-L5<{a1L zX581M2$`uRR7t)unvma+GF1zzvqi4B1a?xX%-GuRVaDI;M&^$qq59V{{#4wE6}(Xu zUJvHi=wV~$+NS4&+OXXRtEARcWQklj9AtRr++_?G~qv75=rWQD8D|8sg4p062S!Pfop4@dd%&_z&o6hh( zJVSm^OcdQNA6tHk`M`8w?u-qgz(-_%`Z zSpf9*dN%>$LlZg`d}nr6^oqM>$!0$kZkzRb2}*}W@)mnL>0S);f)qn8gIl~{qJBw6 zPjF~au5XN&0V17z!Qcn@(f9CHM@|CUsi|!$t6pB&AxEFv{R%m*8;yCJO4Vb-Uf&2l z_%=XF;v$kxA{XKE$?%z^x?w9aJ|HB$;3={{hC;3tgXp}!e=fn$;(>22#P^)^Ff=fr zKnv+iW;gOm*-MV+Q5)Mwq^JA!bB%Y-(~bsnpaO>!KExb(@Ky}xZv4oNd4(G zC!z4-MSde`5t;a zkYOto`Em8n2F(S(cw(lVmb_ZEQqYLs2tt1I!vzMfp|tbw@krT}>N0Q1#cqa;8H zwhFVdmpB1WASxp1`^-~DheL$ito6^1!seJVzG=FwP0_;f^G5#tI~|^{QmnO0^hK%I zp%4}%8slxDy+>I!hi#qS1i09aixSX~pRAdOR_wMDBz^qCyHB%l{(>lW{i4KwXs)m- zXQ(=iBcs3XMqW}f>Cr^(d|Ri~Pma(9G<-^^$Vs?nZYU)=T&;_UC-i#fUKeTIs=|NR zZ(el=?{)Vu=T;a`#@cJR5x@D^9q^Hg6NIj9p%Qjw6 z8yebJ|4+C0b+7XCSHdGchAd1G#S)^!x*YimjF*53FHJ#$V{7NWkdaIS=dl^nv$;-d7pRuS`kg#0 za4%L&34WM*3WWR0`eOrm2qE_6FmFZr035!LMBBGNtLhNv-;iCY%f36Ii6^{;+b`oE zQoYDpwT}{D`7{VAd$Lot;Qa6`sAV-9(&fAjrSA8L2K=32kHIna@f%V2jNBR=jdHay z#Xbz$jAb#u_)cBR$Adx{uhaG$bYyLQzo&zbdL`q@^sX79%14dM zKmX@1jIu<&Yz2ru5j>H3UDgD9X#ru!3@))1t|iJPd|NYigUV(kzQmTz)&1ZsroFQC zb)6i)5DsyS7)e^lk*|!#LPscmn<|a9aY0JX`>s{Evk2K#$IqAlA8l^|6-N-Y3!=di zg1Zgw!QB~PaCZq1U?4EK1Z_MxgS!(Pf;$8Y76L(oli(5{xRag#|5x7I^Y-m|=j@(S zJ>9peyK4H@NZ;>%b+6*+f}pEFMQgw0;0AJ9Rsf57`JG%DhdF#`UfHtuWOGH66`sFD zK`bNpmkmvW8&HhAZE=%SkiCeYJ8xkvy{LVqhf)yq;)J}lOMfU46XoXRm)amdeOnQ$ zRt4_BmURe0=^6wd{G~Rdxj_3`QV#n1dM zHVM7oQdrUcjVgdmCG5Dm`O>K)i9V{iv+vX3M*r{9d?19$-IOV9idvuS*NiblKWV07 z9XBH(S}g;Yp`+@eHhL(EpHUgF9h!aja+QDjRztHCylY9%)UW~F`OJn^_9ece!m~4k zelDf9#{BD_u&I*M%qQjUzvh^q@o*d{r(rpxJa}RnGYLy=@J;$b`+jibyb!#S=QWm2 zT2(Hj5J*|&eYn~Gajq$DCtX!sfsbB0JhH?itFM3_x{Nz5vY}WI{o$y2PE6rmD?Sf~ zD|H^I&-pznRAqy#k#Z+%nNSHg(1?=-n;5Z>Q(TSlW2$XW$V7c$?zf2It~0q?@z;D} z?TMtt?;Uw{1{HD1ZNp1;|Dcn0j07KnpU{0bgaA9eme}SE1867BHB~&X%p7VZ>yFPV z9vvpZ^hU$v>;cKv=_B2H0o(MepSc>P6-!dk(}>k~NS~8Cyt%jUCiiNn<#Jn6k_Ij7 zsOyzh9HF3v-z@Ap{Y)IK~~N}Wa!ROo<%?3neJ zXW4xqGJ|T=@+~r%$;H|Ite!kh!YT^raus38tupeBW{N{8;8J`x5lG}9Y#kRyoSE05 zI9k7mXWZVBltym#ES#q%+0dm4{5Wm_1mJc(uiSp!#VX>kw+r?`?m2f!&P=S35pD!QwgH1fgP&^2=4{QtE9Z!`&#LHP7(% zmm?_1Scx^Mx8+Fr8LMOCMcpRMs?)R{Zr|(|49$0;_0eQ$yqb3OG!R8~1j`N~c$`yx z)DTHR5gNaMUtw{>EBQ-hBtsrPy&6&`|0YGNk{;re)M~ierfE!_XTMN)yjoB*b=Dk8 zU5SD<>|BG@D(?cV)7+E%Ut$Ahw}8S)TM<$&R~tF+_O-x7mV4KP2&<}dM%I+&*fEN? zxUMsy4y;2GU)TBVsB}VtbTMG$@=Wpd`S()LWLt#Mp5S$5pvAkND|;=9RV#;w*K8IH3ATyvm0!}e(oG~82H z5;1CV`*ZqCNv{Co4c`=A3G5!+`B0+S;Q#V+%qMZBMXs*ld2spcXO1GoF8bAu$xlSI zBIt1hCVO-MgFYPkZ#y0m2eQEuTBgXW)BGnzC=yFY4@BCVS(@PWRK1>_8BpWthEu<~ zrb|A)p<`cpGf@hb)c{&)b*dOi$VL~slalTa+OR^APHBjfH8}$fbIE0v+0jR`^wdE; zCy;AL4Hk7VXzkV5BG3}-(NXxyt_#L`k}gqN#mo9`uWi7%!ZRkpQ)?1aWk6Frsp#C^ zV__<8(Wg#6#omoB5SkbqVtk{-u=Aj|VyAZv)>?F|KupgnkVU7T>5AWvg=;m5Hpb3P zGr=Oh*%l@v-GcL*b1RxC;zy%Jla^LJr+q0m@J{JCuWEzD=S25TPv`igFRtq6stI2w)@_f*)|J(wf7;RZ!nv*6l9Q)R0DOUmxa574)~K652KOQK86L* zv%O3JP%onCJcVPvX~y1ydk9!&)X|3a=D{k<;vzs1S!{Fswc2LX%q8gHUano5FbS$< z8gD4EU!qq{C}-C+ADZf;@%1&zze`1}Wlg+_fNos89k!_7#eD*CVA9gAM)$T(ox*j9P*%Ip(s9sSUMJ8!&`f%Zi!NJb6T)I zTqWPL-1$Owipk#@>%7ycrQzpB0H8W@$-KZBZocf8Iw*_b^Fh6OL9Y0#2K3eUxnd=2 z5JGZJgIw9{!N~)TQo>4u**~RuwyU9#Jt2+WIU=1-^iWR`p(}o_y_92?B^#NU1gu`K zjH4`7!p>d~2Th6&!MZGosbxZJ{>o?1u^qYEPgQthJDn~4#;3K5quU-L9Ldq;llnIFy}P7nLF_>K=NwF-_oYjfSUL8*Bzhi8Kd+?0j_F6cnL!2QD5uQlH0 z+6(89*2Hz6xCo>F++HqP9g7b){xI=*37hYMYM6$edKGd_zS*pW+kR;^7;W@5VW7H)-oA3JmIsx0gC5~U>5?5Vj zE%GtQEmoR^$COS;>^D;Xqbi@9w!=vdWcjT)U<9~~<>($;0lkDh zqWbxdZPNWYv*f&%lLs+O^}BjsX&)b)FTLv%`adL{OjIVIbUc(WD4p>Bm}b6dH)oj< zTzEFV`gozvP~jHDiDPK?Y80FZlONd(9^~3Nl^bS3;E%Jj#2152@qA*x6=!4zd>FLh z{0pd5#ec{<7m@J1&;VzqeA>VG*eD#lQ2HRQYF<`ZCx=>GV$)JQJ=n1_Gw_%++tSo>ci|xh=A}axM>%nlSeKO5%*GxG7uZXNr(Y zonE&zVJ=M=hhut>xcA_C7&ym>I)V=926EHvD!V&3$}*emb7o)lxUS$8a7_K6K%9zM zl*Vc?C%y_y7>ly*)(Dj4u5^@YyY~3c#pH*og9FQ{c5C#AJr?8^Nsz$O zDX%?9o~H%fGn;g@Cye8W5FoaVY%|`SA4-_P@DVvFb z9yLUhNIsEEj<_UzXfT(!+Ilk7<2Mg@vPlvxNBz9KzU{pg7C*_G{0SQ zn2e7S*;{kZKW;U7FN@GDN%e#f*{ZMy3Dt(|ve2g0WRWQ{)pC)(U)24%DGzS(=7)YN&1}-zyx@U;Z}M4<%Kce9Pge)!hnjbzIbdt2 z$Iq!)O`>$1T1~1HSWj9HS#rv#JX=kMCNuMqarb=BO+$=JUrHTR!xk1BTVem;m)X>3 zST~$K_X`%wjric3J;YVDgf&(^WYuLh*{#hTXJlo=-+PHn z7-xLM8?~CGKfcf{|K_gkWUiLk#EtfH?k9iFGB3W;{3i8SDOmdoyW* z?ngf@jTg;cu$q^88=xEw^d9u*(m|AMH?U=@Ih*K2sThcM8m4_|rANnzL*Rgpi(_G# z6*rldYJ~(34Clw6D6D429F7&a$Y=ql__ffgMwdRb@s2>c0DWIr)BWRt1Hv~(zI1p1 zPL768-sMee6Z91A_w}~u&)~!=S}zIn?0~?Ncx%9|xN9ji0)9SO>wLeCncgsP7ay)S zoklu?PA;rt#^?-QTR-sY1UQ0&zbfs8^j{?Tu1dk>u|jj}zGIb6eaNOx?XcUfX7A#W zS5~FOGVkF^pLjI-)sfCgKo`;B7wq{Yq-Sl(s3f?MQXf@Y`zpeas8N!tiL7XK&me!@ zu7`h~RSU$c!vJ3zA<#w;` zd7eN6A?T{Kl9-kmQ0HVYcL6e@9Nu`LnRox z@#lXB4t^cp4McUR|NamOby{z`d+EP}VIqNkq zvEoNm5w^vCFF4&zovWMPzbG=}bU%dsirH#&AQ7d@;e>_zDibhbRZF3m&$ow+g!ozs z#;%r{2^j<1WLTj#@qc~m@v_iI$$F_Zf<%2yUwolzlR5iVt3%@(2qhn!;w&BeO#Lr3 zxKsZFkBkk%u#UG7(P1to<8q`-1E<&{Y|_GsyZ8MVA5X}&T?vC6;|JOqyyD2PNaV1; z8hNPPC&jdme04i20c1|{8%?+0j6MnJXvu``gN^__izvMtWsmx}=WPj$Z)AC9y8s1) zice85`_*603aDL}&2F*cVu4_i6a7CivFE7H>hZVHDX*$O>(&%Y6x7=g$gMQ<%Rzkz z&_a}|%Nb+9M>eI{^l_G~t@XF>?QfK#ry0@oj&O=p@9>07wM;S+@x?MecE6eyI5N;m zdgcP=W$uhy&IX*miVO9amO(*5BM)|uWnM{T*t2!rL8K21|%o$j? zBk$A=_hWdX<7H)ZN4Jpcb(>_TJ2v&+3GGxfX7KE*nJzjlf2NbiTf&Kw+n!&EiRnhs z;Y$?cDk&r{H`%FhTP9$A?FmA>N4$Amo#G7Jx?nu<%F`dlG#@f#I4j&rt~qn?=udh< znDHQfmVSE1EPDtNy;rK?DaJ?!9pHwjGvU&)>y1YkQT~+`7p&w70<$FTmho9Z~F%F z)%ebYk_)9v-W?@J4Rx&2%O$)E6&iC2vWreLv8O9)37` zIVr5!;tA-b4+XPvSI(jX$}>l0KWARH&6G1K@rC8x=^`8gbiFZh-jiCWAJ4DymeYY8 zJG#r74THs-b@yPFRfWq+v-3s;;db}Se4Kb=0_{rG&tSm?NE6N!=Vh1~^l^mus-lvr z(Ql=6qX1GQ-bsOqCid3{`$f?{aq_~tGkV&mtEy0wUOcS^rXB*|sIgIyssWLQ3sYm~ z1Bd~$SX0Wxu6lm4%&+Iw>KFS3fz8t z3f}nH4%sWoxAj0~zd%upUsA?#QZ`Q?6WL^{*#VOP4fiD8GrBwGudeNSd8V_2X_h|7 zpxWV~!h>kNvv(WtmN!P~&>jfrdpQ;LRclXB4R^!YR5ytSf?DUq=1_6Lz^^nYo6_e} zLg-dO^26qscVB*!Y9BJ0)bp-(8p9U+tvkULsOS9z>n_((LTgJ+}uZB^Ne7eV7 z%N$lDG9@=3AZ(0vvT+T-v{nxg(K%@U~S&1Vs=Xvb|bO0MUSxrTYKb4(>mX%@@tgjo5?AJVd~Trae_>>CxaP~ zA3DLwyc7?pgJ?YxB*e+aPo%gGq$HB#SYfnwk`9oBRF98(>NA(O;h)UwhC4rwcNok~ zFVA(J@JCpI+Ht-)$$#91@zPx7ySu?({n#v=d!ja~`Gk0OcMx=H10r-yXl7eM2 zzXJ5`CIcUGmle-Pg$MS$aM_!XA)A@#rL(F5^bCMJ-u&U+2IsVLPHI{d;KM?AVt$w#7j-MR9&TQ6`bX{fb&6T=vvT8VsJ7<4s{Y2{jn1wC#f6&I^;Or}dU zY~zDj#LY)zqC!{HAA@BMrJmd{S>lN%$4UOmp+f-Mw)&)g%+=`5Z|phzR^SL z9>X%-6Yb3JaPgCdv?sOVdtDFEo3L+CIviBw&lG$mPKqturzb##dfqOwBsuE8i?@zX z!iWFSlZ8;4of9Qy)a8%mybC6BhZ)PbOOBw;D(%6D3q(K55-&0%qwM%=EIBEl! z2N>1prK;(5%R(r77#jLXoB40z;;s>*l*em3GVUV0fmIFtn}GYm|iZd7&Xgpo)4`yBQjY;XP2Abf0$o zAk$eNROaOJmGizD{(WA@#XFE}LnJ4SB*;LRhc23luvQkOFfh5B>($)D7?2|^Ft$4?C^0vuM$`L-K?hE682=}VV zM{kr70`T8S2thgyf$;s`&-$;E9`$!VgE1ZTDDyGh;i1bor2-4_bZSa?ACwnj$~PkZ zQ9yn_7Knuv&+(H#89^Wf4c^L^6~`wn&n813>{gYTH4PwR18t?~(Uw=oyqZ2}5jJe`uUmin0CjTILsi8g3i@%Jm~a zE`ysy02q}xADKyl6qW5%d8(4d@-bj?>trAP>RLK~Q%cDeIz-tJK*0Z-`JztgJNJ{? zb{YhNNH?&MhQScC5s}0V=}fYtw=&Jt0ti}FQ*o&t{%nm9e-eoQ(bp0WKEs%$MkW2y zLMiwTm*K0-ocS(>a>FsyF0-9(ZxW5NBE~t}hd;jnIV_3f8ThRtkw>I*r z>R(ejgjR@i@nN+6ym1^KMz?WjlQ}G3juh9mE`2=X!7n%B5mR99(q&r1DPIx|Ig$}$+!ndi#*3ruL24!&rcZV=fX563` z;tP#8++dSe!fZDzLz;%gp%;N`a4hn1O;w!8y7>zjIXRuX2{$7SpEF}81h4e%Xma)! z%yBFjcuaI|&vFV6gjqqbZ4?{4uS*_H@{Qmz)^oBOgCKPt4?Sk<88n565ffQagq{l{4fq&<;RU?$#kOG;=iILt3^M(8*qxuBdfIG~#|$)7CD=>(eO`bBd=wbcVny~2;JT1shx*M~4u#Du()BD~ z3MbB~D~D*j#VGW+SK}W|Yf@?768BjQ^BUic*Y>el7)?rI9&hw_lCi$Xo)G%349$CU z^DQIA^4Lt+jlvtq{NT)pLyzy+u5p%MQnHZ1^Ni}=X0pcmFL>4ETf2Sj(laGJN4~Re z0LKSEY#PmL4C#`vi~N>gD40|SO*{Xw-Vx=|L-T3c4Z89c1PT}>$~}5zd?Zg_tc9BV zE>n$>rgUE)J%1%yrmk{S0nhTy#)wTzlBsFTY)k{*-`z2QzriVpl<~QIFg9!)mDW^c zAFS6c^4Ka^Y#(kf=V<+cV8q~7+|*mDxb(RSQ( z?l*B+vKNGqQXXM6mA}8DfAWl%%?!W}r6Y7ow8yl*dF!Iehoj#TOr+mM^Mh2B zR9i2JNz4K7K>NCL@A{UG9q7bVlvV|H*@`$cz63l{>t&PZSaulK(iBv+;(iXWq-6pX zTqpD|H2mSlnh+ePUByF?oy8`!$|);DqausF-vxhGUBmUqnGe{N4@tLgM#5!X(3{N! zJmKX2EyJBtq&R%i;z>D_m=7Oa2&5sZxi`J*-i-j0ZnkYI;KMWS_#-uaqm_vfHH#q| zqPEO19>puhrVj-Z9%x)gG25VKGh|yTP;))ip}yxSJ|a7i?=H1O`E=8^aTS@uUmTT0>d# zdjoVId2tUk<9odL8cSCSzp~Jou_-`FGPY;G&P;L!DStmOOT~zOAF(=xR#Vl#N12|V z-O)o(bRK<*+SKz?aPhOgy6Md5jm2mNw>QHLx3)|54fow7cL?}r7r3R?yvybaI#v@@ zt1bCrYnchBGSt%%Jkp|i`6w~$~QWwH;3Q& z$k2_P5Y%}EL)(X0*RqPQwTn@PdUHaa&0Fqy3=5N^{+_(Wg!H9Buf%gnc(iQSS^|AVoB$JaV~ntA`rDh84Y@x=cxHU2M6)-x%Vur>HU z=3A-*_;;j#Gtho|)_<6u;VP+8ytE zL+kGnGFIqeGiA%boigTfb=k_XMV=7l=QN!Hh}FA;=WM@lmpU|>zf5}9QF%Ji7>YBd z&wj@IwZ3x${Fo$m`argVr96jDE4gmqkvu2AY#kO$Ra652meoPg;@G8 z#X2WUojvdC?*(wpJ>57!p^rNnUUO+ggwP$21is z=k_Z%RS@SeE9G~i9rydvT;gJnPVViuN+ZN=8G9HkxyqfOw3XLMY0J;n8QtS_T%J^w&SJ3{g}-C4Z=Y!kpGeqN0gUL3{^P~Lvv;B;QUfA4>GmCuj`ax z-@GuH8*z4{fAuk~Lc=}Wr1IhQ7*(AilDv$5CsSSK)(}Z$wg54^;0O&yJH_}DAe2By zVZQWQ=4HWh!qbeD+kC6|A>_1!MNZ-Pm$q~o8bb2CDuA?#xi>}WH4Q2Uttaz!)_j5k3H)cr;o~+f&?8Id)pDL{bS*!IF%-Jnm zQe;d$cjByjA6s8+W$g#B#&-E-11>nj`(4+4u>q{2EhfR|{VGR~zs5A<`U*=71IGPd zHg@hlE9hlF1hCfIi#=cc`{2p<5=X@uXgFCK&dzvGSw!~;yax#!$|^%w zRd30cUv4@cK^ly`Rps`pZt0BSZVU=|Osc(oZf(toG#AF8PZyjvBIlphG3HPbq&Q|% zxiHtV(j(IGI=8E#zf$+0GN~0w=IGRANVdF36|=-Q%d(K4vRVw`JFiiA=T``dwML}m zr5Y-e;lcAT(CknRoVozytW~b9ir7Z15{vO?8R>eY_q@Ie z+aKrW3WBxK9o_RGkjDp9!lu~OsknW~CZDl~mwA64vUCQ+F2hE@R}6Z6N5Ge?ZSU!x zIP3sR7v7OtD*w~hGx%x)P4Rxz*h>v3f4dIYjfuI>1J47;7eoPkDJ7go!>Tgfb&K|_ zcKxdr__@2m=PFMg_8WS7eKHRtURk$Qd zv(o;DD(+-%8-;O#V)u8`v|H9MR-=#Jt6(bNeLnt#N2x1p8rWl)Mn6o3}F)k)$zjZq^gEl zQ+cx|IXvJ+G5lSZLS{na8h0r+0TpA=J?zH=iH;{zUV`ec8Gn3&PbVIpCW@2I5f2Jq zC8w86MZ>HKPWO(w?Gj(*a>~}WiRr#4MPbs^zsw;&iTe=>!0Dor?KT!YVh&wQ_=<$i zD1@_F^bas4CjN+{T{WK9BqKbX4G<0-u2qO+R2}eI++T7-4%_0-81$crVAvA$+5gp_d&!k=W)!Oa#xEDL<>tao>UtKz3k z(D%fgmXG4=_p+_(i_UtNeOEbVwfBxU#1!1s6~}>yjcRq_8xDLz4`$K#{l_xEL6bCS z>$Ba%^U$B%C1l=PjZuBThIsIcMr{~QLrv~EVW{Xdl z2%|By#W^MmQLAB1c>V(L>+gkO5oQ+Qh&`%e)y(@jNseOY!hHyW?OW0IA9c-p$l$+! ze1nTNJEy4sp?KQu`2K(d>+4IWbT9)ftHGV;ODxIP>677l`Lx-qVtQ$!ex~N-WR=6( zzB^Eyg+r$4`Oem*yjEl^L(Mnfc`#+^QC(}1^?63>*SpbK*Ke~Cj0nK$1@!I*%~Pt< zD@>&V{29g@g3;Y(Ow4TTF-4*kbojWJ1io(V<&cocXvB&l#asOaa zVfOROj2G`Ch?fO~RMPX(|k64!L;LShK%wsvfC3|5$9uLZ%e6oheZy+R@t) z=7p@ppj_i*j@&rs1#O{>i$1J`Q0&cX@tFbBne^o2@>jaf&?ZvJk!LDMG-QWG~`BC^z`9JOd16hLhL1J_;ZN#RPI^0CQ}I)}GE4 zJvHMX&;h6x>ZU}AyNLZu22%3|(qjYa=6 zyMgUf$R7`jy(1<$*F%@|*`s9)pbEUEM^|#PDXqd(Hqx4zF~=MO*!i64yQF32_&uL? zdxC>0=ii&0oVQk^*UKc>)AzXL;(-`ZKA|(>v@;8+eAf4(w?!-X>r>WYfTu^Y(<(^B zC_Q>9cbcVIwICXk+SqewE>0M|;K$HE)bWiqXMw3Y4mW%JZa=&bx0w!8)%Yk_LoBVM z10dc)HN1*69efLUCIt^8-J^WtL?fuikB=7MUhiL& z?i^r2F(8qk*Lq9UCL$dYM{%TVVdFVTn(lf5 zhCxsRog|&_2f%G(yv9;o9V*9UJC@qi89@LfFO6uLXx-0s5EhcgF@z~~ zB{9z!>l2{+ypX;Ck(hw!kc>@`3=(e{8A)-m(gqZ~7@BXBgY15me@bUe3lZ=jGBWHH z1YJ#(NLHRoP-G}NbJeQkTTCeL9n5EyLmk4#InM3j(oy%50bi%}^lN?x%XM7cmetIS zXI$71X`utgr2hDwQ-QP_S zn?$R})+5dfsknDZWL@*;np)FaQj?}rVO%?{W6hc@wXAVp9KkUIl*Ep-*1D(w-Yxj z<6yjSuXsxSFNKz5CxKPv6YC*IiPk|@rI^LmgTfa$pr6JHJ}7o>ce01OY`$OQ8`DJn z+hNH-$wJwU`aOd$4AxYQMy3%_;(AYJSc5UF4qgA;Cit#~B|~FkIG6i5?20;52rO1o zo{OrGaeF#0CM|(;S#jM{?y}8AYJrx>SxFvRRV|W5lTJ;-6~(Se<@;FeE;g56m-TMM zZc|1xsU3h13iAF;*kuxVB*IHFaeh;3I%)-U(QR8R;+@15K+o+IZyox7@BcFRwi138 zHhhezPlw~V*rCOLcmy$O&_2Vzb7R4{q7-z`K8F7}`&gLU_?2q`KdUM_GQ%`Y(p?$$$Y{w8{>egoY z!wz@X$-nCiL)&p=C0=?ecTL3q{fQz(5h|?c^S_s2AK}o#sH-7_Z*`euZH?@|DYsG# zPEsy7la-Ia?4idngAJ@=l%sUit(RZ!uU_|;WTrpCf(!exqw9*6+21e13Pp4FFo-u{ z`32-u&gYN84dc6a$QPa8FZg5Kvn8b8&`-P>t#0uR`c>ZK8)N}Ca`?5=;2X3K4c}ui zNZiAqsQ-Q;Pmw)%LHKdxKR5Zkslkg`p+V!|G=Q4|<}dLlW)CCxPH0L~g=T6>jx(Qj zSrvC`>LKp$Bi`oUMyClMn7}&&^)WQZTCObTe9?lOlg*}_4SXr_uOWub zyMbrB=1w9%HC-na{2! zSp5=X{`Rc0tO6ksrc7FV)bs+86dY1lmUd{opv7R)KLA8} zMsGYC1zAot<$@9ZBNG?J5Ls#VDl5+qL8|41FgVmJmT%FRpjAdRT@mt)cFEy2kBn+% z-5_h2PP%}HT81vHhy^B}!KvQI=P$|1jZlPYB|)d>knf}+8*yJ|^#w8HWd0#mI&uD^ zWMh`=WFC1N=EI~~Z8f^H7`PVd0x%wiO$(sl)lZyOxrZV_)C8nnAFbKA<^WV3(KYLY zZx#DQ9`vG* zG`KFNHE@)0XSK46w&XG|%Io!51#HiGElk8@^}xb$af*=Zc(p-LTN`=D44bj#6y+HP zS(UxaNbLGWgctPI7Wr_C2Cu5h_|wC0l$+{HIzJh*Dj_n|Eu@xz8bqxJW0^m6RZ%nu zrY@~z?EjAGZ|w{^JL_Ajeg>*BGC6pkKZ4va+?-oZkXx|obE>@&*^0c7R;l%rh9wyf z;l^QPfZ-U$_1VvzEp8Fy0g2`Ze~#YH?Zf*OH_!QV=!786LQa+Fyb)#@^_VI14@0JuVx~Lg%*~|4 z%7pb7lZ??FGF)+7LkQR>+0&1@rTC-#;5nrfQkujvSsnTFV(Q>7N@I%D^70Wwq?lDy zl4U26TX9wPug%GBsEU0=E+R~~pGkyGn54mn&2DTjwd?AAM_KTp)M$O(W^~mLq*u(v z!IRZe*GvD9bisUDiJ(3C!m06jB*c18tl)j+7kzX-NUQy1ec3<~>K$s>0g9N2kwOS( ztaf_v`Kv3i5+@bvlNk~+9ezTHD|=92l%j>LHD=+_Iz0OQ#4OdX5e=0fJw{D6M8+d! zJJ*FbrbrU2`oShBsEkOVIHSS@gyu!zXw>F2tKrF-IIL0fM8EaFRNCSN!>2B)qGU#g zk+}GfTOTt^P1FGr)VK$JX6E3Q>mdSsxKTMX(yoKA{xZwzc8#RJ7V2oy<6MIET?nRC zrx=q1x05@L%&1y&19spS_St0ZutkGKDkQ9m!Qtp{lP~II;x^GeU*Dp^6gKWcTqc7Dx^|ijIV2 z@JHFYFL2W-yIu+7JPHPdo_v!R;OjI9tPW#LxyQe3{>VnL@L$Rl5h`O?QlA+NBCcl6|^owoMRmUb#D;=OV{Ky>6SwI0cz< za8t#DTIo9=8258saGI}F)7N4A0lH^pRm>Y?FT;F$u2w-tROd9!;N*5%NToBca`3M}o(6Wt&Vo)Ptdo?rJ3S$(HGR#CM(xsf>1IB(`&S0PEj<UT}3l%v>-m;qPI7d(P+OnJkKhdAKWu zoy^ORkd|4qp|ED7F7#lK)Y0^spY-6`3?~=tuSy@_nXCDf56uIR z^|w2LLnYNe!Y{G7$NwOIMLk3ZBirCeubJ=4L;29BglwJ+%w&4#fX zQXk#G!L-5KYYCELQLqj#R1TneNI-ljo(6 z9OiH>uNxtROtedZ`Ku;Xv;Z3u5nyaW+R+jUxFf+0u3&L_hTj%}5C;gka&UhLou!{H zicW6>-|Gtp=k>2dmg}NeA!yB8SD&&Z(x|ay`g71dmmQQN(M)6FHF!ozkRh(5FW^93 zNII@-0+Sx$<8?J&9;fEq_up71z02EM%{U@PA(7XmKPGVbE`-duKf*FYf`ZBB=J*l; z5o*`Bi8EDW$X}3bAmh0OX_)2@x8s<>AAT8vw$+g5*5?yn|Hf*IRQMSmr&EgPK+ zg1~rIP8kkFpD@=8h5}Go6TlhtK(u00SAb zRHEEJf=FdHx1zdSOg=7BD=Q810va@3jajUWWuYN|koA!qh+EmeV3XcA1)O`T@M>uU z#*H;n2$M(CYuxWD5Aok3c~tWuJx9Hw+CoB#zL4SJ$^_T2)HBq&6nfgK(ZIPZovLQ$ z`!8N4_vIRPM1q4osZMU_HiyTk08NkLNjiF1{>@14GJlkpv;I5YUk6e)ce$uY#8$1O z&nB*1s=<9NV1FrI^qbv3aI-7s4!{p}1%=mw~=O zAJv3R`~FO}+>Y*bgIH5Y;@3P9LTtKtZDrI~YLSbmR8n_~EV4C7IrnKAoy@j8Rw9b& zJ^La%a=n)&Pcl5}yS20tSP+7YT^lrhc;)9$cHOYb4{P?wRJh6=^PlE%5G-lnBa&#a zb$!|ND%(D*bX|fjxgFyB2XUT74~{v>d>s`0EPnSZUc*nnP+}GZ8yokDyPhZZQt)-f zPm>*&A3URx1=ZqbOtRz}3qh8J#Gi%<`dXk!0@^e-j_6uQOf7qxBlyE2Slbn-uQ1+9 zxxJIlM{oHouY<4lQV)3;od|i(0rh$qE4bp*t5>cxM>ejawTCQt014A_(|(&JMxE2! z5r7&=mV2c(B(s8_(RDBmHpp_vQO^8`CcdK>ng{O@+ca7@|EBrZHz;)Kf-oSV0I6%- zo5*SVr>-TPyNXCJ3LUDRP&NNW0mj(HYf?U1QX3b-QV&mM-A?!_5ex_PV4oujuN+&! z9xyjpfw&NbvKYAl7^dEsSPDJ0%;AbTkasaC+X&W1&g=HalWAEd&=s{D1&hs2Latjx zsid2+uCzI1y2t>z<2*#yqznZFrHt|aG+$6ICK~PN5 zMK1=WmITXHkO!|-5RuiTQ_hvqJ56~i4F>#P=rMj=uoMHFZYG}SHrW6Ct)b2p4~SP{ zhbOC~l=!S|4c07kMwF1AVuC$Al9Cfwy&eA}J$o4$bVocgZ-^LjZoMhYEM z{UP>~PRt21zUtcpxfp*C+ql99`iFZJc~;@y(Ya`4rSb+Y+t_NaK4tAPLB{QuGw2dZ z94tc@Gn$hC@3d442r<~sA3~tgrK0jtQ^({4YAsUKpowEmWaZf=#?0jWIeCURuYL{c zX-AG^Ab8S{KbEljXuqAWi*|XO@;cdgK_mD2z@~H)9@`HM^nzsko`#Vvrsns(s;zbP{OiS4D<_;4E z_J8t#`w55yWO@qDvn5HI6W(!Hzb5`QTRt#eKsp>_#UY4)aZ&9eRu`jw=J6J$m|cJ? z)I0lFXYl&X;s?-fRM2|V`oWzam__HZ3fnA(TcZxC8YcWIm_{2cwEnIhway_|t6L_K z^`nc@kF9YFqu(nmTy?!J?>4_woB&iZlMTs0Hh~sKUdf>aNkI;09Ao#hLqGP|lw!3$*}ua|6;cspWQYpkCYc8IA_M#N&{Hd8Q{fDO#=ro- z2W#zWuX@iJmSmO&A~N3TE}7Hvc(~5cjF56u`|P8P!q4#HW5s>^GLdcqBxv!-$a4a~ zfzF%0$!J;^PQbCG!&0<5mL5kIog(&WN27HPi|>>_S?{7*hG|ox)?iGWwE}T!Lzc&Gh)=TrmC=`}xtZR)4>b;6%q?SWTh3maydeJA z7}HuEc5NLf)qC+bzxNave`8ETya%R$ynx!9DUaloMh*E14JGxX$TfSyl!+b~L5d|J zt{>y0P*~>nd(*&c?;`271@@VZ;`!ObW0)4kqW^=&)EB$ovbz(p|X(YHlOb46XTK=4Kr;%uQavKnkF&S;0DjZ_!Nm9u!(9 zm7+>6iqQXSuiZtJm$(qZU`xhZ`Vy3odgrT{H{PGb=Tg0MIkLZ~!yNaFm*T{+@}{xY z=}}0XF5GNp!>e#C{-l*{Jh@z&G>O5A*sOX-iqPS zUVZ-g#jFm$Y5cQVu?YwpXx!8WDuF{f-OCJ7z1R4L_#qoE{9`Kk$dtWc3HtN!!w1Uj z;z&|l@#} zyWx%Pla2X+T%y~4Q7&CRv?(s8lYU06&9*bzWJz?goy9-y!9B#jdPl4Hf4FJ^8h4dj zJty~Zp7lnc%gmbWtGz4_-r9T8kXD=YGDO$i>QkYKHs!8+ay0{a$cS*N19_uA8*zMQ zd=H6Ez{{cL?H3rL5#s*_5oCQo#PFjt<|NicCjl#E8X4u~_uv?f-x@U9C9W(}q1x>i z(4IRE?Y!+JwpA>TZuK{maG)GI^NnEh$G1r*xfF|Z{4fjevUJ4SiVr$v0B+A86%9Tg zo;4I2zoo#p`&abI^f22Exc{{9wle>OunoA$N1sS4kJA0kedJ4<4dC9Kj9^EW|ML+B zTaqekh=>xQYtg2bS+5YCUr$M9v`e(ib*e78zr>$Y%fh`4ZLr?ImZxPSGAdn zVo8RV;NQ4A49P6|-(5_cS@dooi!KSPg}18j8t5kKbxiZNOR(VW^xNJ$P44F)y9>{l zZHq_g7ZX`9b%EK`hP9e=F(2TkTApVDGr_HTR&daw6v(1W~vgRh$raz=|qoXanytJEXM> zxSvu%nOIq!V75&c%Bk1!h1`~4YB9__3VK1p^YS|w?fYlU(9KU-nhox0@8!;PCL6$K zI{NFC^dH?op8pJYrb(tH84TH!Iy35R4pVq5IKsKR9KnEiOh(nFAuH4Ov0TO`y|eai zth91$P^ua$-ngxL5;?YEr(8voErWKv#_;qyne11vtoQHuXfq}iALCit(o{U3=c8EH zvAT$g{B)8pdC2}5$hBP`*x=Q82eGL*qP5Syc2frrYAN|7`eIPf6W#lsdGiHNdhN8n zny#7y7XYx9^zciZWcz*|tG1aOL8NX25yuxT?#4ASQ1Eq}n$VPiP#5bKn!H;*I_Cbc z?A<>by&qZ*BbCUD`Y*9%Q~zMF6(|4P;sf07m_V#%SxPDC%z{|1_F1U7)T1DP@o~a- zjNaz5a|-WX$1BO5!5mM2`)u&8XR__}-7bJZ-Zjdg(OV5UjIG$rWRL*4PdN{?o+ag$0Bv2QG9n1y(z8YHr?Yo+| zH|>lW>0hU6<&K1##MjVQR!qARD^s&VzKNl$T%kta=vVIPMgm-y zE6k-y;i2X746%Q|%l&U`eFaz*&D%F1B_Q3M0#Zsyr^KP9JEglD7D1%p(B0kAUD7Qr z-CdH>e(Ur6-}kHcy7uhs#LgW%bI+dHncq!($wIq-dm?7_GvtjIXG|4)>{op%hM-~l zGzl2IsfPE-BD$92ifyQw)NgFyB`c?9Umz%Ipce8|(`s=ch9=R=*JP2tr^)okgIJ{+_r)H604fZxY zb+O2oKp?2}oJ%hR7eR6FkyABwQX*UFS3DN3fLc&qw{(3+;NpkU*&+`{IKmdm2#q)+jpRQaXeup%H?SheDen62Um;-lCFIH9G&MdAl+HL~%<$Vm(tAzHb(A$tG) zbD>fXoyuy+z%F2;rjs!Tk{kcc=d3%7XE5z$_@_c*ZIC)>TNz$8t*3#+JZ0m*pLRp$ z`rb*rZ*b93Pz;?CL7ie%k_l1Cb)hy3oeI*VlZi33Nn!{J{U9sCs5A`u2+~GS^#7MI z=ctvVIw%`>U&nwK^&vl~fu`gW>;e%W6T2YcHz~_exq=YmzqG&kf>MZp>iPS_0WAq! zvuwO1cEh6_;?}w-XiH!^82*))u?_mK$@y=M!Bxw~vt!$tkb;`~%iLedIsHw>nE%n? zUsjdH(*LbFf{;!IfC8WZ>SA0V5%A7{!vR*M`*4t~@Zi79{FnCs)^k;aV9az=@Z$gX@%*ikdUELB$HyrLhYmhr_99SdA9BJV$e%Ef zzNi3Tox~uKU9OiKk6H9@1NgzVNGIc{us|ns7r`wP|8+>lJb{6(7vzT#XAHXlwOS^A ze6i*~g2*E3(8*}=k^D=MI%xuI5Kmp;DdRhMTImDDIpn`j*^X!MP0VkW9qVF_*qj>FP}l% zFxBUXur0Xk9tK}kJb{WyCJ*gEjbC+$RqK{_Z2?6tNNy;Z)Xp=k9$+_HXT&C%87e{n zVU&6=1%Wjs(10wJ2NabFt9MTmgQdAV5EQej)v;nH(o2hFm}P2p@id8#oz${x8c{Q! zsRx8L;xvB6Yda7KL^`esb>-3QMTM#Sr5RTLi9n!WBu?emmBe-gTb9PJD_=>F4huAS zeby=uiq}5|u0-Mg$!H=GLQthUqGOCD0X)u0zk|R&mN%%e={LV;( zw+AmCMj4Ak@qZ#H<~;IZ^|Mi9_1Al1^;7k&^hWsahrELI1Mhp;ZWW7Lw{DgF zVephFC)qu%F@Esu(HC)$eSPERErUOz*%>m5(SE|{fue$7J-OJCXTG!&$p+-kMYWQw znVWFGcmKbRhOCuA1Vw|iQoFQPlK=BqGvEH=t3z3Iv1G-&rE^__ql9buRrV7nYUbA)UIfLW-&4#UDA9ZMz_zVm2ZG|!I?rHHa(-O}ebG82 ziu7NdgfAi}61@XeT>aGw{NBf2F7-Hy$6sRh5Zb89v>iIBT6T!EfS`zFZ%H5^VN#;^ z3-=rs974Gj)K1Fv1sSK)=mFD@yMuF-0A}bft4u^`76(oUvbj#+@$59E_c`aFbd%+9 zIf2q-@j{%|83%RkDt?HrW<`UEx_#zoY7nAa%Ir!+$(k^{%;s=4@wZnCjCN+}2DnSiuB{AJSmTbYz5Nc0&T7m$Q_*PG4s>LS!a zgP0bj=O;0(a=z^=Cy6B;;%%Iqs)sE+4)h)-Gtz#Y8qNL|58`cxDnzZj4*5@WWz8hP ztt18@u8li)$Hbr=>FNJI+AbBJ(r1|_>OGl}^1PUl+yNK#Q$fVkopp&8j$A(BTi;!W zD1q9Fp8#tno|CDVx5U?KF`Mxi^XoVI(dKA`TH3cK>>^vQuM*@3zF9J!&WA;ef&6dUxDeT_gI@ETrna>=$ z)^@k1y;@zd`So18w~nG45=D>nUE*t&#l{i;sU2{9YWMHq6LV@o;`R5dt+Ppe*CuIm z{i`ur2W#ChXL!D>G2ROcH!r%5kmD1by=kWBIlk*>D*5XToZ+I_XaK^eWniIF)iK7Z17x-VY1Jll+(Z(fi`8 zt3%2u7zkLHJ*1Q85fzulO2Z$G(AhvSqHXXQnX}lG7C9g4svPqzkm6D%o_=t3b_A zwr7z->CYVIkd!N;=)=!NF@3tu=kaS&l`J{@{hrYwLr+GFvUdLl-IZoV%*@+^&d@vJ3^8xnmR;h9OmcA zbE*{k{-zl|e_saiho5F<2l61<9X~JkY=a~LEGx0x2Og6k=KN%2vP@k?LZd+~?MRff z(ZKUMn4yRFvF0s9VqLLi*6)8@C(+p|N{m@%?$F^Y3+tJRQqdKwq#OzS5+u~$E*kH- zSCE|iYEsW8Y$PNVX#+UjVm~%vr9ca#Mml; zQZe29^SHd)!(JXgU9>{*-JNJ@ctqqrR^Pp$LID9$F(xoFi1{4okHcCcB%={usPGe0 zl;wL!{46}&1;^YS`x`9efX7~oI!ZCAEwV@I^~F7PgCk0H+zq_&6f0MLE-vUu5tH_n zipmRK^wPq9a56=iVhiVW+F5A{tgE#S&8fM=$iiGmD*~-(^izcadw89fY3(#S)0pb$ z>|tpImJy(?y@7QdqmC;(%lXOHFNqva7H=4jOd&Z2w+P#E{@~Z3kJ9;Dgb+frlH9Vd zn|8*unu8I##`+DFJh>kSKlU$5WM=yP30Ssg+E`*%!~ag-C$6B_?bwATq6iF+etL|U zWNaow&-E6U4GnIz7!MFonKBYhtB}=P3(4KUf63D}-YB7HmLBRm8Ar5dVQ^*g zd!aQ`>&m3R95SxR}|AY01hjI=3Me40w*`1%#K&)5BP zmnGXsmTS&e^4l1K)55#gg#FT3%;E}3!jxJZ2-bN{ju(lNJ>jl?!xF;8xULe~a#^cL{Jsp866FmZQ{b0h4y{1xP7W-;i$o zxs+AbLgo@4w#kk2!w5eAvrBYC=rUzOl|jB}_Cq}}o?7YxMd~oQ%{Iw(gs5$45hZjL z%|%J=R_?`4W(qgPdsR!4O&$+2&KcCC$&?+sTcVbu(8nB8d2RfM3?yt(1kp&HC^7hv z`nuFrs5`1QlhrcNp-EDO3GXF4t8Nq1>y6Ip&>UnI)BRMMNmw&@;-{wgMZqx_t@1GR zOZ?)cS+(k@`WMPL52?gmD)%uoNkM(8x`9vX>Tq5p4~^Y6T=}+i6p}K0%0YR7m?7d( zm9sV}vQ=SAJ(W#l1U0->XeQ3~R{T?9Uq8zUo_}+CrtXsfzD@>&qLtYq+`+e@%Vv4~+|WxI$uy zkQ^3z!a~il&nZ41W$`C@l>AD0Eg8XZ1QUBtRtq)X@DSa1*|OM(xR^r`RXXmFlKT1F zg@-vDH9F@5Sc*X5J2cKpUXx=PkeQO_W3#8TW>~GVcw!M1N}>)s*6J z;1Zis#vnLeE7(doSgt<)pqdqm(CpiuI>>B03{h8K$+hFvaPjIoTyi?~Mf7~yb>FY! ze|iVCq4vCL4>Uh;6}9@wZ13!XyD2`7)Ejd=%Wtj#NV<$p>ze6Ey8K9a1VQ7_FdJTJ z*&C;jK)TWAh{rq`h`@b)o6x;EHOu#jZ(PaF4^4H(jMV5Y32uI@`MVUQ2Q28J5n}OnjDY(%Wd~ zwJ(SlMZ}$Zkz!)6m|@@kY9{_ZpYn?*%x7FzWhko)dm|=ySxj%UL)@w2>U%PY^gKlG z5I&qwTOLBoqyfkMQ%bsNcT?H1BTK{qQ9OIBjiryMIE(>bNQRKGGB7syN#|ciq5G-_se~`D`p$y;Gk1 zxo&QuCMtfG?>LY_Z$XUb_l2lu!|Ean-$&;T9_$Lw3vsYcJh`#R<3g4UW8*Yi`cD`>5_>WER1})|?#< zcdW5sIesh+ldqH~kTeJ{a5iiI2B6Os9y(NXdBACV_V*Y%#fWT~&RSf1jz~-%@wfX! zMf6m1`Z%+DUDR@^vT_GrtGfFgPCWW-d|R_PQU5)Vk##t(=(_hed-;y>^f5l`u7s3H z%`Uv~Q1LE>f{z=YVz>{R!r=~CcKnHw0~f?Inls~pgh$e`3|mSz+XHcCKc$S^@R|lT zL4PEJ99s_hRC98cP*lc%bd`viUOkCIM7y zKUXmvJ@CIp=#jCZuCG4D=q@gGozmM6w{(7EnjrlFMcHuI^w%SD9uKtc4u>8?r7cDg z^G;!y|AL^}0@j#0Ph4^L+Dpe)-#=w|Sie-N_kcO7hHjO|88&y__{M z!tK<7vCa%D$|kx`CO{gZmZv$MFE4(TbFb2MQK!}0Rah8P%?rpLOwq<%B7Yk7tesND zLr^TmFpfyl_17@y&&DNHk1$EN=AJ+@(=K3Izs~r|+(rkilSL65{n3>u_S9Ij46!$a z|B^*Kv>bqOV}6ZRX*T*8z})IX^8wsDwI-^%EpYPc=Cc~SX1^j;3R9@U+(uz$&IVRu z2DQFKtPy3384;ixOdN=^#L^fURpdf-ECHiD!~BtZ#LNSIK8*fuSWUD#E^twc#Rm8_ zruI@E&L}fN^(4!hLnAbX^*ukO%ew`88m;0?UNyf(6St&lyZrKjF_e`n=}AnQzT`?w~&mDi@GoACIVnP;v z{rsEnTOA9=2{=k><^hJNev|;)n`p?GGfJwWD8!*Q5|zW9vvLP#51;ab z7JH7P?pL74vXU^9NV-O{lf<(BFzDm+tq{^{?V;yhuFrQNFQwH0By!qQMOch0QB2Nn z-lsp3fSZb_n6m+4l}DE@UH!_@=wWQsr%4g>lH6(*@>}h%pZ8 z54)oFN&~z<$TIS@kwy7>M5oG$YoMg6LSAxl-lhQ6de{$S1ro_k`UQ{m-3_wG!Jwh{ z-F#E=>^&0qLHP_Iy4aBANcl4Y9z|>yQD4L$ZHKBKO?-I^?^tl`c�LZPlx7{<1Sl z^emzeMp5ea{JKwgl^^P{W5`I8eOm%POHl>g8Fi0UEG6!k!EZrRDT3;9nmj%qA0inJ zpy~mgwi>cJ%z5`IdQa<V zxV0ML5~S_|dARX2syx>;U843TI94M~cVdBiNHyk=S^JsUpg#irp%|+hasmmeskDtQ zUseNR2Ge)mrUh{aSo0gj42b~d_5ON$s~_na9B00bC&u-9ynTTh$a-Zi4d+82I~JT> zZ?443j}Q9#NSO1z7N&8UFD5544r(fOZLRf%?#I+hE+_3PWiq1uoYf9}uF}es_ws-> z-2^_0r`^(-iVn~8>k!sn;>kV~+sOSf+6pNb>iLjNd|dlwZSdQjGZEB71SXZd(e}4J zq`}5&xub2N4vYS_ZR}eEJNJv)cht1v(c`<$O}>T^s-iW-fzAemSv4CR-}U>LN}U|# zIZ?58!-^2XPpC;M)X|8_ioUy1`H%o{@rRpJpBH;+N^|9JZKcgb-}#ZULd|zC%6Dx& z1~_X6$8bxUUDjLcnqBN9WyZWN0=p`{36kEID<8k%)_MI!ZkY>O%paB@=~%zKoEE;; zXN)$R{+9bw@$PZ+f-qOl=on$?oz<$jQ1Cm|%fHJQbos)$W;c(UQ`D=>V+VlFY1WSY zGTZL0wPs#Zu0P}93`CEfkNjsG^-$%mMmRmo04Um4OwI!nVnv#xhBNmHbNf8;EAkO^ z-vOhAW}+|G<6a6*Q}mKMZ-fo3l&-A%vg@}4`m$YXkF3Ev^b9yG1leU9E4%kxQ z@7mSt_09(Or?Gbx<{J`U0MVn}nhy1lR+6%R4sa&4PXk=D@c$k7;3Qi}go2~{)x=g7m7+S1hIyIuhJaU0CyPp- zS(Cy#txjVr2I53!byf3oe(nE|E-(e28uOOPGe}3hN#8wDuOlwFr^x=Mr)B?xj#=^@ ze)~A~;dM3iO-T9e`bvaMyxxUC+_=oPAvM>M_q7vGb~&_mlQ%>O+9J4CV0{w$^>};v z>E&cfdhw@PXC{X-)JoU9TyOip()y2ooBa`e+_absw)iZozyh~D&=EIs8G&Ad#`9;7Xp&rE{6{Ln z_2`g@HuyhU*-g>)^7rs>#U?1|^zBBh zT>)qZhJEkL1e4CD4Q?!@e|rLCJ68ba!gX)-?vE9E1V)uA>0~-$YeGqvv)>+dEDLDJ6L+ZQdE9nAu2Pqj0#_nnNi@gJW zPmg=_!udIOr%TY~cFxaxbQffuHBT&}3|)c0rLQt(;j9&~5Gi?^RMs{|Tb5&oyJxNG zTS>FnsI}#j^l@H(NV3Lxi4HvLOAfc`JRJ-wuQXgZ`OGy~dsAsNS+-u7<@=0V_d!A_ z{nBEvgZ6DDWg=3?Aa{clrlJ5=b&If*!osf-1az96aAX=fY3<+`aIQQvm}1+5iL%jF za#$*tscLY7V<7IrkDe;nToWRm>TIGV7NFy;t|S~E6V9kiT|44y4TNK-JW+~L*Rs&M zp{B$vj9GMxl{Xl5@YL)bVC1GXWgZD4@+F=iIqpggjILbfZ5{h%I_?H<-PHs^T-MvM zt2BEAUSMP7ZsLqQ<^>if_wyMrhlB;T8LvDcOAD7O_eJA#lQ%S-f9s13X0a2naojQ* zA;FOS{lN}aT(u=vA85w^<=b)2QSPdcEA^C7$Bc@wz<6&%;m$!e8$O2-&FFC6sm6+QjobS6>w8W}#Ay+z*; ziP)YoV?zvfe2MG7VwgC{NoyT@5pP)aa%6lUG^6xfpwZi3odZ(EJ|=s~*1o|@A$l2r zMqr5&nqDCC;%BLecMzKMRqS~FMqjyC7~$^%1RtKf@E|$uC5KL1X_wU1$?q$0b5)fNvdo9v$-41jCg@4Rje2)bt2EVzHZM$rCoOvaW9PJA3Gr-6k53Gwttq*PyL6 z3K!{YiI_GN4Z)8u?^vIEoyu*R>%xVrNtu?Iv5IbSj;ev0$=QufMVo=)uU_t{bk$@` zOW{|aOnazGwXlP14@700Vtkm*@V~nkRD2@@V36ZU@3na?5AR>Ko%qxe=k^$xqp|7H3*W=V`iK&w`J4mE?`PD70Wbv zh=%_PU=;^U3&Rpv^xLx4c=$5SOC4MUShBr2IQfK(%7)D(Tz9%_e{p5RDVD)B_n_v? z%baRv)Y1Fg$5pa4e`4SyU(n{H`?|fptHis6nvP-M2lc|_tx~cS@aci>Gn@!E_`!_i znlQu(FsHbNHJjR-Sfi)18B#OD+QZY+4tV|{Qd$EnXKE}OBH=AIZYQ}AFXW`OY&u@J z;k}bbLHs^7$Lv3%?GlFg;p1+5#>KZq)w$LV4H=3NHhh|0 z*0$OSvub_GQK8gR&MQXb_6T0Yw}>)ju-4)-p3!NsTBy?aV&KHpwVnRQ@hW`*L4 zQet)jf<=er#KWaW)D!y=j~Igw4e$AlsPmlEFQ*SbYfH2feG-|%?Xiep=;a6YQi6!& z$LZJ7n;mI(C}T4oHgJ`W|bKc}-8d@?5X#W!w!Bso#o_EQo1oV}7A=0_zW(-So9GF3!De;AKg z*XjwK*lgQ14HsdJnC-H<@RA=*#Xq411Qk0vV@=(%s&}9Lg|z^@$ZjFU z+<{vgGMOP}4gyCkzFWo)@m#5rorI*OdeeHR#tyjTi!+yF(;jX^;m8;#ozWoV+xHLF zR3m?CF%z`h6+rCoLmzLi((zt%ml@uczd)z-GvVEnI^ZeI6$jUBZvwCXnT!a}T7 zm<_J|4GGIf?Zs(|f(606yt;04@g&3rQ~b@ynLyPex!&~Bv`j$zp1xdccgbmb5L&PD zg;)Au+hXYlAFoH-d?|4O2!H4Qb>aajNL;cVDge*BHOGgX=JCg34LHqjGOV(PI|$WG zo*{cti@7sbcuJSd8(L+YPbyxHRWW`~(uOW6b7GXV;2K#2qUS|GT_K zBey0%!Njr`Blpx9Kla4jAjVQrww#CeYXux z@`&#=NUH5MfVrC^gRd$5@6SRtBbXOVlZRM)WpKMM^|08I0`2RJJdIajZn@k!Oh?4H*Wx~fiB-h7JKq{V zogK*F?DR%=K!lD@{s@3LiwdoPJJ#G&nVJ2({+A+KV3_{=O7-p?IA7cm&6PW;$#0F{ zzor3pqJ$*+W@gBcsi;gRvs!blTbe8`YRjVYkZFlbrG`+m{@u1$MeI@i-$=VTN_FF5_C$&bM4PIsgDFg*AGDd%A(%rc^p+igyJJVJN%CwvPLIoV=_R`t_$ z&t+g{sdgd36sIsV70c=5L8{7osv~0wH1W4vWv(mcC3u-Z*5=n$PG20`E($BpBJxul zT$k1@6#B$d&6b0#-&D3oX4kM5p;BcCS^*5`I6-uotTIbWQqk1OYEbFACa5%1DReA> zv{PE*&0xNY?qE~Xaz8CMUj8x!SNt850`_b@!Rz``F_DT|%pcXIt_}h*jLY@UnC1k8 z-PPro;9I(<_h8|oOo4`X z5lr{YedV4j1nlr7+!rr%J2>7~@sE@BrN|>a?7Awhcj1ztSo){U3r=b>*qufgp@Eei zpAfl+);Hpa{Yf|@dmmxCpm2V1!b;l;E@`bldHZ$hW!b{gB`4`ch+k^E?`vTUp&>R3 zQ$%}$tai33Zf|cfTg``_}ibHcUp-uN7IpHg)TEP{xX<;cV0ir#2Gb~*88>xg_ zIYKYi^3OYd>o92zIm$0z<2Be`6%%KOA(1Y*cdfh@+D5~VU$Mg8)CK?=vd9Xocc6Qy zV6y>IOhPie6?j|doj1i8m13c%zWvL5vn0DATiJus2G}q2pM&ZmeWD9f!6|vYW_kif zR`v*dITKA=CKqx?vMA22Y&F{um9r-zvSpOyxI3arvENU<4fJ0ukzAc4)9~(js}A&I zQzEgDLQ09gIH`;hC4C3<-Wd%_pHn+2e`z%fY>{5pP1uQx+w_%G2z%h?$}D9Ph9K1A z{^n#LD+zd={7|caE85$ShsCADx*bs;RrI5w&ZW=L;z8%eSmU)*UnO*SB9;4Mw7_=Q zDunpl>==bg;aKL4{_${NF>-=1job0?^m|*HaWH|y?v2|Hku_jTkD-4o1IOgwd)fW9 zlOf-0$efshXtK?ye3A%^sCFowxJd-xj~}3{=c!gpurFB@T{y^rc%1)?5ZfM}KN@e# z8(kkfVo=IVzCqzyLh7JpiQgGG7lLA#D&wHfz)534u|}KNy7%az?d7mgjAUTt&G!hJ zJAC$oZ}2&DEF*9hn`y+8i-UH=h*e6!xrKgL_>ZF0 zQApr)Im!9{z-hpbJ8Gf!KuXb9rpkc6WNqmFWUfD7UK{KW#+#Ll6qvz2cu3os(DgEz9Lp zq=4Utqd9n@qjdaTOL*Oc0A3QgVMe}enMHqd8+4m`?oK#n>JKcIeeqNf`OEw(ph(J! zG2Ru@-3ke;wnRTdRcEHGG_65BRrWoo z%nv6eH>)$x_sjY@u%r!h@Mc)*XTVfh>9}zxRCdd1a=HoM*ix60zJ{wZG?`dKHnX1# zOJ&O{h0BD}g+8HF2S<8RB8+mXVYKu?ys|nIQiGX~aHjr;ddO#~%QjDo<+iO=A7fRo z3hAs;YPi99UMIwL{%ErGh-|z4C?l!ey`4P0b@ed9asH?qXg(VITz%?g-5b%mN@{Xc z*(kZzbTr9pv35N!*P+o;Yv>2NSJ{Z4jX4p|>79|jJ=0TsQLuuvjDkeBUUrx`t==L46U%rQ>x?c7lSC`JGscbv zLyF`mn6m(6ejI3>X!(3~UiuP8#!_>1xx3t8R;kW73aGJ#74s+9Le5(RO~Q4OLS~V7lq$HGhO%&QHln{Oh+{gP3}L?#-gX$uenub^TYt!9 zd4X>j0`s;m8M_N{7}js%k5=vg-!tIvd!Hs!qhCJ(JZD7%>zSGr-$SYLnCO?MFOfVl z_mOV#s0AprzkX?JaQ;CzA^xRJg(>)18?6)0goQ%ZPvA(VT!igM1_FIUNu%0|mc#Hf zo(=y*>w0`7i;z0Gc{dAxX7xJ0Av2FDQ=7&RTm%C5SkzpA%FQ5w1O9UHc!0|8bn715 zF99QFpy^YN9|5V}?g@hX@$}hDn8clygK?lAJ_x;lW9L$NAO-_Bu(Q=Zdw|$=eqYk# zlsPfi%>OaE$_#bC1UUaKg4nmo9CZhI@s`-6qlo&2>|RF>+QW?kd;u3_AB!UyJhXaW z<~3*eXrDiQSSkI7RA7hyRU7*EJ2G=M>jphfhx zz)vv?4wRyzQhc!x71JR6WEE2ceC*a?lqvX=H%x@?t;55k$y~hnc{+`+TU@Pk{|$_N zdGRgplj^fUp8&2)%Pm}!6*@R8|8Fo1Tq|v?%CCgS%wRL7%q;1TVcLD?8 zKjHrrw1xbtd;LFUS0hN~O!xZ8_IHaqONjkWblnkb|5+&p zAEVUGvvk<3{5*W9oji1Z4!-%*(w(i+_>0*FO_?>v-)kRTffrs&0xdjx+8yc;LvP-x z7x|0h+q10v>YcOJ;z-V1SnOX4(2;HMBYaAqX;=QZt8&$AbEq;EUhuS8A)!{6l4Td> z>7A_mN+!=|;WIS2@pY$LAq_samXX;+9eZ%t@0-^QpePo7?Lq164empUJ}MnU`9p2x z5-@=BN1%4M2#`iS@p%cp*`S!*j@AuoAhUoT`D>xa0Qxr62Wx{_U|QeEk|!c#NPfa zBD9fnGo>N*bu-PwTpO0WDkxyunh@8~U_R`wK*wA@gxRP-hraK5z?gD(^~YTGGE0*d z5}1V@9-@D#=<4s~^!~dFS$1Z6c_QL^w9lFjk^j=Que}k>DGK9zMLqwz@iq!W3{=&evNv7iTUqpP zS$NZ<|BP?{Zl{C2c=Hlwb-$GwriuW@Ow^ykaQ;OX_ox%hTl6##I>Y-{JoSr&A$XVN zz+(-UAIp_*&(m5HV03mU#|Gwjpnpu>ki!4GM+By>uDT*@q0_^etN@@iRfEF3Sa+k1f9OyesgQWHNu7fQTE|EwvI`g z>rEt{4*{cAvdtb@JS_;oqelI$p+GGsDomjV@N>&Zu*Wu~flQ>gj+G`&X6WxWc7GANZdEX9R}L zzaz8QGkr9pjAaE~e&Bjh6jQRK40Kfu2Hq{kdk8C%c3=4DietSBWjTf7+Jv>nWGeG; zI2*$a{!*>V-KkFXE~q%N7nc%=T1SQ~&&dO@3&Av$2J2|X6jA;@Sv+t~zRRhX>&Syx zq_Di{UKzq&SkRu5Iq3=~coV?>_+8X&x8g(BtlQ~$Mf%rXEa*ye9I(Un!h^65LH6|?^wGC=4))~}T_a;OEikA{wiznsO(G`<^^-9O7 z;TST0qaAADFyB8^ylAS+lJ@E4ed;IV@3RYu=`4J)ICmw5+knkv%s&xwKNY8jeTV{_ z&Co(F4X=(9tvOh~%}`7_$jqhy1p(1)01fZa9%P))k9IGDfD*Nk}ndTbF|SpJdvu#PoW;*jjZdr@2Fj!WWC=*vqHe z1t4&i<7{RVc~F}aR;!kV(%KJUTVsxUe>?Vy-F0y07#X5^{}#bSKFa?Qw!y!A2n))^ z3s++%B{KJ)d%|fb*XW}dyo6Z4m%Rp)_mKyQ!K#F$L=rcU2dBtjwNn3SU(%NU-M*5$ z*-2rg$G#z$5Jdt0KA&kQM;zcy4x;?OyxeuoE2lbWe#u?8nBG^5o3IO30oGPZL#aeJ zhzl*?gNrrm$A^X}zDL+@`=|xybP6Xcl6d%UDUgt?GyL{LFBbE}fCO#=$YCrm7S^|u(&MdG!PD1YW|EGTg23~xdXvi6xH#FGc^!cz=>`@V^aQb}wX z7YhFq4%>hOfi{^?9`hl&Wb+6*rAY+!96mgjhHsv9@-VML}>0^5# zo@?Uq2ShhU6_KfV8`zXI8cM*Qh0>8B1uhmwM`ZUDc~F29HU;c*mk$4M3P^ygdhCF5 z>XN~FCcT%gPL!a&L#^wZ9;~uLve+1TbUewUr(}KITcnol%7GNKt;UWN^LdR*`n+tH zGJtl1R6N$9hgAGWfNx$w7Ox^i8hk(FQ~UxGJJ$SE&LrMku|GwT?c{J!yN$lN39iOQ_r%MtufYt<6)mBSpMB?$%oRBq=%t-KT`W@gQS=zS!7PJ4r{I7~sef zqTY8EW-a6R-Zsq49!c|vx+kalNsV>nJqvU^@8JcMy?Q2kWLsWjn}|87Jp+B*@xOexe&zn<4Al$l}%T3`~SuMF99dtUTPKrhsMXk*Xj;WJO)o03Hi5p#R# z|9+;0L_|lqM`$!nW4Jkun+?&=Uf<=T#^o-;YtzeJ2_*0Bu{$3DU!Bs5@LZemYJ}|; z?crTgo%~z~bTu8NiF?m;wq^cMB11#w%y(9!qNQqZIeHBsX+OO4%`;#B?N^C--Z5Er z2aX;bw|+k3caJ>O%Ele)(z;^>zSslxm1c-q8A@zkcm6!4u=x*e^=_8Cb2tg_6`Iuf zF+w{qE}n0>&VH%uAW|{AUBfplvQrtA304_zrLgc?yZ&ne)c)E4-q=f|pRu*?K|62* zQ2{i&_p@V?%BGk$6hw5lx40-I??gk$2Ai7EPci8aiHrU1yaj4KG3kw+>er8M_)J5dt$wqVtK-I86lXZ>fdD87{Yn-c@5^s= z^so)vHeh_?l$(y8dP9E5Qwp77Xd#e>*Bj(AI%@kEv+dt^5G25R6il19UO z20-ZPc)i`h#N+~6a|)0K$m?ImR$|iIL4|NjIk`=Ixq|yAm@&Y?UWu4SiKjs*EA#E3 zGyg3IS>d2^o%k{%h@%Y1Lv);OCSuY<6glbW&4%LBpPXswqhwT^##4C{x7%JwUrP&; z4&A{y?PGwWlp=WPqYIeKAV+PJf`ZZT#yZnVIYoh^mJ;cZ=KwOODk(hh$x@BUIIY4C zTVb9-&HgIQ91)agh_|u%AEoUTgSXGdq$A64)BSUu=xHv|%OC5^3Dz~=R!g_H4;BEW zS=W9?w?`PY4UQw*q@a+WzED`(>H&;|Gi|R?Pt#lT_+u{>ia-9_`u5Bi?#_ikT|J!B zT9e3tp*yYD`3da1knt+8i1%L|`hntnQ%M2q z_Em|!EQ{LyJ9Zkl((YyC6)PO_SAUcSs|$VxW7`7{y)o&a1()<40~rG!BR9zBE0E7D z7Ty?6tMGB|3{{i8w177mK%Ak3SI5f=_E>xD^3mZl4sU8e=NlcsfT{CEp*KDU=Uvdg zxEx~(b{fk&l-|TV`_wvQ<*S**d=VR^7L_Kz^dRjGYtdltX4})c+C{z`|Lb5I8yr-`^g!MrG++6L660c=dY`C4ki==fn%h+N- zfB%yudUN8B>!op4s(ds$QxkJj`Y@z=S%JGa;JfQhB@i>&_&B6UJ7MwQGGxzyVS823 z6JW1hOmALbB2;$qNyyS_mz>cVaGMKPtw$%n%Tu4F0mjF@bf6~JLip(n-W!nzF;AC> z*9_aMT8FmwniJKV@!XYrbro%&18)wj7Y7WV=dBoJW~GS!+|s1_b)t% zF3MlWo-uf9qSVX4jedx7QwkI^VlEiC5?^3z@LjZJjv;Y`s8Mo6xZE*sqCnB@NlXYe zhY3Tk`*{h(4IySCyJY=tekPtjYb4H!d|OxHXQ+rFsYq2M6V|`48cVoF-J?fCTv5+q zZuID@_GCm?_#yxCxSSXx=3+7OBEusj-tmR%6xu983M2(^Yo}HQF;#dOORc&Kr~yvZzUj@?jNHj_IjD4;v@7vKb(gaD38vIV!5l{%|Af(X4k`nBS^6 z6YH{fIy-ufV35*CUY(Y zW0=@vnneWo;SNGel{eMZD=^aeKZNH+!&&B}O;AGS9?`E<=bH3QejIM7)8M{g${!fF z&BLRrfp2|RQS*yd-J;CzUqlhjnr}zuVka{(E%BP^reuwk%N9>rPI*UYp^s?sL1$vh zPB9hHhj=wmBPt#oZ>N||>K-dm;XV`qDiaw3L}c4#3sp5=ZSeE0F(%zcFKkBV9QGynIs8mV}t@-9Nq`M7c_iZSme$$e<#AJL(on7{U=(_MZ?Sp$3S$F~V zv^vPKy|*1tfs_#&l%++6l7!1DQ}t;8xFhDIRrp@uR&+QhT^*d0+Pm^Clvb41@`d_g z)~|LUE!;FEFyNb0%6U9bQ<$)0SiAW5+EEu2wY!Dgbo@A_`(J#$1ymeCv^F?{ySok& z+$Fd}aCawYfZ!0E9$W$hf(8%n5+wKx?hrgckl^kFPnP%I|L_0y?Atx(c6D{#>T0V~ zU3Kf$_x+x#nT+W*RMH4C@L66dbomiA;!*b<&21&}Ts;TxD;Oj@Cho>K8Y|$ncHcP| zmoWDpfz$5DsscYof|&J(F)?Iy!60IXYsFplb8q(jR`o1yjZYbA^g~ayx`?!gw&$ zBe<3^V~a=xMOjjZYnXi0m9ah-m=&_l{s#z2gXs$hNl{Z4(wiNTiQ5`=I`nG}Ifii4 z^N&qFE3dF~+UK}z(}qz#&%Kx<-%pb}MZW-%5RSWrdxWsOtkCA07Do08fvcTYH1ng7 z7QbR-arQ$cXZQ{RDnHR^&!G70C(o$$D$^yOWkvi*Q|L-S*;|>4sCB5F2_0Gw6)R(n zn4A<`5T=G%oqX2P)n&k7#F4p7@Rqq=*&H5HQTKf>WA)FZC_Fp1y(nc+|JE1Jh|sN= zM!qKe#mi_eR$xEEs5|T|+>bQkq3eSUy@E((%k(N2_r07Fu+Wk0oiLb3BtL>2+?`A_ zwhkt(3@}A;gv-JqkgIS$mkuN?Z1V&`byLg^VJ%kUfH*AkKQ`V*ZfU8p$!GhH1W_t0 z7|IM>ngY(I29feyswwcwqGIE(&HW*bQ8Q=1-yF)M;)o@4#583krY9{u>gXu1M>ajb zZkP0lwC&~w7zy5+9|TFaLWz^Udh;g5Uim65R8D{SFWv}ziW&)&MQTJ~V3{yIQ12?d zH^odZ_PV_I4fETJ+Iza#n~Iw^XRglw@WL1#WM^ceLArFR<(%S z7)BZDldS2%yi2cVjLSd-afi4n0v^A)Z9^*pl>wP2eg?b!M})XatT$X=1e5)eI2x%B zv<{Y>R=o2S5oOwRL`O;aUVJZRmNzy{yj@YIJLlE8)wix%lOZtyriEUq4xw|QwXV_L z6la?zMdm`Iy4_;La~V`52=>jj`JpNuct3^oHQ&;5$FsZ+I+xyb%1H%D9`q0;Ax_d> zf?Pbk9s{&*17u&vjKATxoBny>bSpnAs22RriN$)Yj>f^An_TJuewE9wXj0(rOM=Ab zH}v#QS@ne}BBNi#@4JA`qB(zIrL?X~(L6A;ldgnZt^nhF&9x@4H0z>Tblj3weynuG z1mRUvW_%-ey0B5sfF!~`_WI^*%hRr$sm?{PV8k44+tEO&COAV!&fhmdfNN=iE`$hS zk~ah;ir}V2&27t%@lZ3KbVgF9Hz7VeW>>u`ycqu$bDGJi3KsD1Sd@^ADH#4YU4$^xkqleS?YK*kf0YcKx8e4% zFdiWblJA}%N^5f@>H!mhWQz_=sRy5uyny(PI1y?HkaD>{zY=OH!fKmC)5f&ctsY5t2dh{OvC_9(l;>MWyvx=;YF^L5Jw`Hs}#QWZ0olX#1bfDF3T9XuOE3@sQRJMkO zz}hG~lc;;rN;_Jiw$7P55&4K`q_{!rv_YFGNy^r;}!o*I{OIcrn%Hdg%)=*?pL>hR+M82q5cHEuqk#!d{^) z)_BYxvi~y%C512} z3(!4}*BBn0{c6vAv!@G zoV0dA_@Xm+f2J!F11n?Nmh7St<9gAos-FnA-VnJpJosUWBnq>cxe59l7f! zW-YSsCZ^VU3s{I5+)jM=;?tO)@>Dq(hMy2575t6sI_8b9eE18$1m!fdsY1fOIhFqH z-bk>vjXSC0JVU_$3cA(|I`M<~*4`y74`}lie(=zfDD?er!JPAda8yiFYYQzf2shB6 z&GDfUg+ldN=dPLcLjSP)i(sqx{x!E^IX!d2!3Q$cv*pE}L#w*K= z@hx7wsjS9z6kJ`$032#7&QR~kTc(e1{Gs_b#@g01X8A8(FU+eaxi@Wi&cVIn4s}zp znMZ_evVR_OV%j7RDmSaA(&HLSaV~L8s{q81_4&_@l9VjK_>Bm@SMX~kYp-C9ezSb+ z(hpw2T>U2b*bc>QlBCu^jb^*N=+PDApJd(|)*buuD&pFg%{enrIIDntm;;Q1$q(dXl5DZqQ(1!s+FH>&&>}fmRb7d`D zEhPBwi{}g(@95yX@M#2hZxh=5vJ(dZU)mp1)V~}a#26K^I>Y@Khs2H*f1b-fk22>i zTXRgek^Sxd@I222@2X974_TZ~-aBg-WrJ&?eqT7}XEdF@Bk!-t?(FNHBeyZ<#2(IE zE)gI<8kOMtC1(#D0E6u&Y(*CrC3X}?hb*OTsGqnVaYnR(bs2GrtC#DHyc9S+w(e7c zvmI#e&)gi?GFGx(VIoyS+I3^8kssH;l@}80H;H}3>cCA}U6#}Jt1i#;k7rlFQvBpe zTBD7CZq+~CWq>MN_>j$Hwd~-*7w-&X*9lM2 z3&lgg0gpCHh`b+}EZi^S^`eAtwGP?`50vQ!<={SEWr>}y^D04pox8KmD-$21 z`IlV#*K=?6!+%nx%#N|uqD=D&cOmUm?&Y;r1nia`t}-1?xmX*PKH+n85}aXVJH1*H z6q$z@Xx8ifPeKG}))Q&gr_%QHvR_nuqIcNTos&mt@Cz&Xf6Eg_IyRYO$;A|0{26IO zpzq#;IKhvP(1Cog@Qp7sf8mR@$KLq4x&< z6XJO%#(~-rqKYUKBVcoTHa`S7R$K5@(zrYli($4*k2aU+8Tl@*t&M2M9mNhc2LBUg zT!TD}4Vk-t%atH5>txgTq<;tp}zIeVEP3Xt%QT7PeD{LZsc{rm0-4#|Wm8vry zkdp8J6Y$Ch7ScL4CPQ<8RG0KgU;ioA+_9`>?pM0?wwgKb?A@oSA#m11y6@A} z3Juy(`Ls6k_bv@jqG*)^^j^yOr^AQx<#2zLQ4otko&cHAxPT+&-D@jG<=M*yuVVCi z-C}Si`ONDdWc8Ot05q4z1#ti|>f$1o@)^_JNdUyp|KOlx53pPY5K|+GzL0gWm?4Da zEk_09AJqqe``x^%u5%dLBQ=GS`YXoCi|?!Xx?}kDMySwea~5RguGHB+TFn$z$3AF* z%+ZHsoo(`8i5dnL+?^pQ86dwBQN+##KSiTF{FF9CuVKOH9L3*@zYL?;KLSAts^p8v zUByt7KQvlX0KF=i_|<4ja%Ncw`$o0}iRJn4I9d*eV!nc9T`_z3_7}1XuRRn z71>>4DG29mhH72}e-MzosCrjYE>Pli{k5`VbR}OJ5SMNZwU!Ju{6~B@H{|#p_U!R$ z|7&7o7WqDX#K9*ew%SjmJCUeRj$o9^N%p?ldV9P!iNL~rsbYP!LiMAqrm`%Q%fD|l zL?2OmdcWjDT$EqY-ClDVn@UqweJglen?xq6VZ-O1u39#kQ;zLOe76|%I#I>2u&D0* zZ{|)h5>U<qM!{{ z5;eR}F3!!kK@wD%faETJG?NPdr6ZU@G|`=imE=^xDAi=o+KXmp;6R_+K8f({pwE+} zA@?~Z=2}mhv$NdhFHw&{G^<+;0p4ACgY1Za0U*^~oZ6AdvfS*9pH+IPmJA*OMd=1p znF@ZRNSoVb*(i6oTQ? zK=m8atWzYVTID%kMe~|ADV_*kC+G*4Jn0CXQv36dx|PZDHKOwuMaU+*Li?w9Zi@@? zNq@o1Y7)jZ^h<_r1+Ea*Xv(;se*_H#{tEHTfcps-wcCQ!iB6IT%SNO{;J%11h=*H< zKADS`o;1UB{3Ld#|@ zBeW=*8(k1lCOV`|3(*I?4q6qP7+lmCTC^93E zK?NGG?)|ctUJ-)m+OCVjfCOYp=D7;=b!j2!lSiroJm34vvhrMN0yF)wcHf<_@pNAT&EEn|We91N9sS@8tB9QvCBTpW?{E{p*75@rZb)v%J80`Z~Xt za^ECo?xPsY6Iz9^!PvDm@*Pe_{hLA5y8X<*ehEK=FPF3p>$UX)f%8Y?wXJ!HB;51& z4kFk2CmhzBOOu54EmsKfCuTC2ZY~Z)y*%If!GAuGY~-2*q_yRu*Z=1s6MSuQKdLQP zd0}~_E#1j&Pep9?*CfBx-0~zQPm5P>Wnn|^fwiOC9$!>fF6On2v)Gn~t?OP`4x6)B zpP}PR%(Hdj1HiT6)7bJ~#T&muK5k$5@E-*iGD|ue3XD03edKz0?=Wrga$gUpjkyvE zKlO7xdJsBpkfi0yQ(b<9sy}12EyuN-{W?vODkuNWq=j?-3-t=+T1bgXZFY>FMcWW$ z+Yyfp3EBiTnKO+M#H7f4rjxdui=eGu*Is4X2Odl_DpAGn+)j7h2lz=;tHfQr4i#OGXI_yxJ!fJJ9lJs8H#iZv~zC@d1f>j31qns zh6|bA>DM=)X~9O4MDo!d(3fwIDro@=nyemFI3_gLb5hao&QYu01zg^FrHUg55^nD0 z#*0>`kb{qrH)g(-jCdD)q~F|s&t_De_4m#?{@(qz3C%{!Yi|4F7pMYhBPg767ttK5 zKyp=cs0K6R#bO~v(j5reFsjeA24%|gg4FWJK)C8^EVI8!9I!=h*z9BJ-~dzXJ(Eb+ z?%NR-Omt9?OM9Cdz8rJ{PY$Y%hX~(}23yVasllzI!R{%2P&)@4>w(3Pwzo<+%X2*I z;%Qj+WC-uA$v{lp<47DPFL8sHI4c(7Z8bk}%*N{F-n)TD3?4>E&{v_XQEg0z5EKBm4=Jjf4Un0VBeTp>H=b zz$j2<(ACw&#J@{Sp64;4Su(6Y8J~Roz?o0T(2?gb8G#B*9$KcWu_?Bter~BFr>kx} ztJ!W4kFh0Mv$7@G-A)i4yflbz<89De3(~bc*f!_OAVt|6D0rWp!-e!S^<|6a!g?ZT zhx#sVdx04$mG^p?Z@9BD!LPqyrn;t#AzSwrdm2M~wq>E}+X&=#m#rKljz3!Km7eW9 z?xojkl3Rmf1e=7-AXDlXq%Th%j@g8j0^iX$nj`Ehb@NZ72N(DmZ*yuntDlm*8^-m) zR4g7RqX#B_$riq2UHOTV)oQ2MRq*-OK-RY{+Yl(Vak!yzH7CeoCY`wJ3h@V7@W|a@ zEUSv|yg9n2%E4E=C9pc=$9!Gbrdbs-9KY370ZlA*01qN&D7D<02h!fU#Z2WLXhdez zs?dbCl99ud=g&>@#E8x%3O*ZbM2cwjhD^cDci5ckR3FNm&q^yn~CK@Ar5QBu3r zp#j$)$i9toGp3LxIO5j$p8?&pgc7Hi&~JuS+aTl&ufIGGG^E$^mj?UF3IY z!I^N|dLpRn^$~`<;@KRQ%({4iDMxd9rZHH=GAGQU~7g2VP3A$Ka+Kg8! zD!^QO!;iN!(I#^jn5sW!X(kRScUUY^jy1X}Inxht-^(lHVnz19ds~1s@Dw(x{^YSg z>N%_zFqTZ>^jvm z2>aq`bTZ}BO^?uBGtR?hX~!|An;(s)>SthgLaM*t;`M*(G*Zi3z9Z3 z?Fm`k=stEzzZ%1g8RZf^(&6+~?|#=ps)d8+2BDUw{$0YogEOwpHWLeN1+4e?g6+x; z(oV96MJ(UWf*!y#*aDbnK0#IC=*@3ZSqitjZV-$!C3Ni2toh0XTOKb= zX^&pYJF=K|eII=XXjk?EkXBBsWD<1{Qm|ia-Gs>V1se=UIRPK)`x~DQ3UQ6CA}oVb zLn5Kc$a8hEnVBH2BEs@~C*o~?6KV8{cUBLbBwF581}CTp^{2$rdwO{w*)8uW$B)hJ zAW^_pQdGG>90n%Q|EZNLK2in#Wf}rw&t6nogi$?)rFqv~TNbe@0<=ZB@ zbd!lT$o$<9LX$R$v6G{O44dVmrt}vwOcoO#R~zF~v{o!{JH9_tyM+s*i(H^@AHX_H zPP?i&Az>d{UPg@~)*(fo4Jt_xy(`5H>2n(^E!3ByUx63j8ng8h?hztKa(_lpqQk;0 zS23lhexGIu*{B4%wSI7f5I3usgOz$%ovSh8RS)&OlM-%_{+P8vn+cd96B9Ai7PQeV zoSL@9&HyIa*D7YEz=|t<9-}ez@6aHq2jVnhFZcmD)fFMe!d^PIFlBcfb4t624>Gw%v^*6D}+03#>8?iq`2 znkfLeOPun|Ly1=4(w`O7 zRfRMg(SVaH#|$0mEbVHL3CR&eJacyS)25Wdt1)np6(=rmlKV_ z?q(XGwqXj$g`iJ9i0}w89tsUK`U*LgJ<-A=KC`fmqNE<$J+-YUR7AqOKY-hn#p2!nji?;ayT26G+_fYD@S8 z=wFC7yl|oKnV6m%TKN_-{w_$Oxrp=V9w7>~T*7E9E;YCobPnZo*2}cu6=ZB&cy9k} z{wyqwr7+NiP{2_3*TiU>(whU*Z zpW4>kQnw?~9osCO{)WxScVI>F1**i;&|QL{vT~X&b6CF3^f{9-lEr$2HZ@O*b?C*; zl4ysQFaGw+i-%Wy^?KTs*N)iOoFw_oH?q7Or~Zm-YerLs%oJU=zVRl)g6};O0~cHq zHE3o=vAQh#RTeL_)h~bY*Zz4sz*mD!qFfpb76BcPof7&&>6`G$j>!Xffc7j);TQ_f z>|X<&_dl-xScUI-E9UUh8%YOFRU9`cD4d1*HSTy!U08I;FddqlVD7`DpKK@V666#Q zjU{ghgWYoWw&vbg6b^G3P@+DeIXCZR^N25WNo{m!Q7R$^Y!%QSPZ|b70UlB2F*|b& zOi!WenDzO}=Y$vv^aY44Uv~H~{0#Eh1b>^4y^G7Ta`NHh*)rpT?fAZ2C5m9Qjb;mUQ}Dlc_f| z!DtpA>Ir4Ci4?!`Id#$$WGYKF3jymnncMg6QKBPpcI6u6h9p&&+7#u5$b;XhQPKv> zVR<8Me^R#ExTe_&(SM{~HGbwyUyqeN_cetN)b~hB!nGtE65b~RK1hhI`x0a^lq><& z`x9Q1++ifEl^^VCSbBRw2{5G%yFDhpbV_ zpDTX}Zxx<;$qe|o%d%H;WXzzvF&`~{Q1nkx_McJ6Bj8zHNV!vn-B&!d z95PAhh5OAiR?2jelg>k0wy~^LCm~!kN;Y_IwaTl%HUX?ngm@V<`HVrx+FYg+963QmF`}RamG$Q*((lc8&zRZ2znr%HU5 zKK>eS_Un**?8#IfGue-`It-Ygvm~uF*5>t$q;fHWL||h|*E+9^Ynw)L07=H^L3E1= zt`zvKYdCl4pF}7Pe?Qb9tBO|jFTqKd9|XSi)f-Qy;efh2xj`f&h(GK+wJX#XRo@9| z;U*!!E8&Q`JkaDoC@9}RD0O9%p0uMz^(Ick>pP!Fn*9c4scon)+yQoLr}$e>LBHiX zmk{Q72!1TRzCna+xChG($w3ma^TyD}=i(_@NT8;_Me`U06u}$fcOgrm~)s8l2e`!=n75`c3LGQm1 zV??7?b~b+@CH{|9xLpiyJRZh(v1q2)5Kq)xB&1h(@21$a0noFhHti<|^EP-;+BJjl zQ5-1Hyl365whG=BmT&qO*eQ_SC+6UR5!^^xU&9$~FJ=Z5vjmgWL&avOj zkg$G1Uv=_7bK!bd8Ii~jSy8V{h@oL08vVI4^liywVNJSlE~ z=Cm?kke!X5TAv?75RC%yB&X(Sp>}&)jvhLdJoRUq7Gfm*wa1j6*uI|*#8>gX6;shS zORxT;U>ZnAa1*)s4CLU%E}rTbOHYKD&YV=xrII|(Q@{wf^*58Q$QKYY=zrEIC}KcJ zT^MuX5+~SW8^0^w&U>=6AThCr^Xh9>1`t`UV2HvC04Prin& z0s4f)luK)=r$FAjsg$d!)}hiBY6XhPbFO-CY*<3SZ_<+6D0%LE{wU@StCSwJ$2IyM zgPwzv{HgE6>OQX4qwhkkfDj}zSN$C$>GpN>S6j6*DW`;aLOQ|K1fPmV7Cn|jLTUn= zw)i2=`lMgqsLHsLn5WN?xMW^8m-&o!?-+p;c0WG}@Rq`Cf#_+Nv;v$l zq`y5KbGuq0|MOp)Cz?9;Oz3TPt@- zvT~-t_({o3Zd&sf!PyH1G3n$O987$1CqZtu;h!d&Ze~S7{orc#ej`HTpvY}r@Lq!B zvC{c6u=9`p;kr@KA!P912S{yw-q!d0(!b_hvyNp?Y?S zSy}$=8<9yKaH=b@sFa_Rvr(VM(S8cMoveOuGZ`HIN7+k^zG8ternXWj3Fn6^Bv4uc zdTle@j$wZLwDR@+6rsrRhR}?P9-M&$Q89onURjpWfqo+nyAK~=drAg_`#%PjhbO<; zMWoaBiR-YCb}UI}85JBiAkK_P6IEI~XvW5P?ke9iI;ncCtZ1U3zXB3*Y-}6m(rIUT ztzo9=t$%C-X7vZbRA_%=lEL8Opnyy0CV1<1i;(P1mCCQsu>B2R_N1!UBm9iQzaPfm zo@Ou;>@W&{?sfpEU9n65d>dt&y+I7}@a)`tyrLw}*V=Q1a8`1I?&&Cu=>8B6KNOdS zRJ&P4rcsiimJ6Lz7odX-|2+s(#T-(4A9H`C9)@NkB>h|US4zF8gK(d1HOzc$hmHp& z5FbY9k;^YT9w%`_x|3ro9mGhfS;wXSKm$OIt_lXX0mOhy5*wjDr4cWQw8Uo_B+XGa z9}{l{x^2(C2q$m_M`R?(MUjKPC@#_R4Kjwk&Aq?%)%VWzeJlO{;OT{UiC!NT1QjjT zw;nAdv25hHPeJIzlRsgprDAQuLyafrMj^dnw{|a|+j;1vA^rm~rzcVU)j=>MVv*l0 z#!5W83^+BEPuwfi<|8kwqW6NxM_KG7c>leEbCu&neJ!pHUJtrL+Fe8vb_ksLeTFo? zplS6-3A}%X^g@;iwMS$S2o-Tc80XD}Q#l}43s{Tp?~bFnBdHz-;7%gV3O0L8b|Z|o zH&{{o7#9j2GoH}wVemtt1C=I`CF0SHKQ2jR0Nj>&1-_qk1vVfm@zuVP&ABgZO}(KQ z1VuG&bJ@Dg3i6{1S3cL%+(4O*|!B5?2;65ly%?!e$Y!sDs_)4)f$Y{M<`Qj0_Y zl^ru_33zL$CunKXrof#JWYTGzkA*1WOUZI*oB1RKf(un78VZvbY*T~C2}B8D`kzYa zYFx^Ta65Z!z{0@X1qMYb`W#&jBN80ffD}(^zUTE}dwdwUflYN9X%yUxy^S41Z$^=N zh+KTs=_EXA;FYXe(#;#Y$%J=fU<$zNq2%#=p+DxAUx_H~$(Tte9QxHHyuxXgwUZ#^ z8OtP>R#ua{KxpH~M&`3mTP=e;iPji8QeZ3$8ys(|QFewlftP3t`#9l09!&DS1i~4KqF#_?NCo&`qajqYY>>2V?EJJfxeAFc(o(p*yhs^KJkcHARYuI9hH z(gxMRoE&c$8C8`h5GdI7`tx{a>e-20E>WoakV>~qq0)lUdmz85{JJ_t6n9I0l>R3p8GY~8G55}Nyl znd{S6@QOaaI!6+W8M@Ww&lL+d;rmMRIIn07dFQeG^!+WrTsee)J21Cq@~dyc%IdU3J`N6)b}ExG14t2 zK^W=RrB|V5eGaFByO8GWS_Dp(G*5$G6}MkoBzMNGj`;2eqEzHqXau zB9!(^fgfisoZ5PWIvSXuCpLs{nWwzvHYL=dNgZqjHKPMaM1lr{|X{cfkary#1WBV>b zS-&~Bw<<6EHzC)+1v(6Vfj;#)G5u%}HEauX6S{d9!C6p@NGAEajCQlhFG~Iw)f6Po z#umHdH=zZgU26!l)qTW2f2ChC*Xf@+Hjsu_FRZ%Y_pP|LL*`qEs~&py(q7_5gyko` zg<6=?*H*wpoOC*>bGkr_xRHn$O*N@7O*KIC;wkY7bqwKyM%zhGfXORV_^ix}w*+0X zoISSnlezm;ebo*>^QgOvgzizTJo32CMc z6O`+t{X)K4qX{+)hD;7<(7;Qg^iUlV@&xxJc>qj+3K9)Nysa`g^y3GO$d=vEN0j7z zmjoN>O&TerdK<~-7vSIr_@XR>8UN9k)oeZDKD!4dUT(88;l`k9`5&=Z69L&BIvYoB zsQNQc5{#JTsKY?Emv5RGTrE?Zy@4y_RL{OU9zoI`Sz7m-_6Fw`v7|8y`png>cCUAacz+0BM=;C4Os2g8bY8$s($B53m1+leGb-SPoU zV`Dd-npYGb-VO{KeRWT1Z{R4Pgp>aP{9a9HntPjvtTA7HIP9X;%wDqKdUS z@Ynyv!Q`>8BS#ATbHH5h$IPR5^9rHhe(KZKPtqb?P}+Qe^+NTQTLG0Z>qi%|UqrsKHoZbY6z_wroI8~{qmuf-g)U}xiey>3$+ zv-j>~*(w>zgKZ70d6lA3*JtX=$j^DTSblfGJZ@y>(8=eIA&Q@m-fJr2bKLxGX$_rG zV@z33Rvc8w+_6xfl|=5m3KWL{L(h+9fSMb^&CWwt&idGWZj;R4#}V>Y0QIfy_QTOZ z@!s@VxmEV$y2Cy7&fLG-bF=9)biM7P4$Cz=cRcf7zJXRNpKpsKcPXaUCc6+lO17&w;$AKNk}ZH72(BC z35Vgtip5M`UMyt)4KBX}KhYR$tm!tv%p;&blu+Z^~nzO;kGf--fJM514~{*S8}MTg%g7_eY*rEK20+eLWhj z@85@9%vcsT$%_VrtsHLGd1w>@;YUuc- zrOV8xOD+n+wiYl@p1zo0dwDX-nL*)lMm=IZKERUq)s^q`&@v7yxIHhJy@e_!f#-%a2ej8;VQF!2?-!oX(;d6b;8 z!2!AdKOm7&rj4wvta?5A;xUmyrh&{SF^&3-EX=v9;h5!fLEF{A?KpnY*;%X{)4^@& zHJsp&=)^;w;3d}5^Yh~`;kYY*VA4fbaUG_}qEhzk5JZ46y0`wf^rSy%5Vaa|^fdP> z1h4O34biS3_hnwp{wV(^^MBpji(NGCxO*OhJV3sG*~*_vnaAqyt>{vV?Gq2awY1(f z4q8QZmFfaTW!A3-L8SfnVhLVids67n?QF{P_jS*KnSdZLr2`<}6WHi`DIDx`UA_wl zT0CpCTRijsm!}EqJ>Gc=RyxpnqVdJ95YgGY6^T=&NyD#QH-s(c#9lq-l-xh&h}=^* z0-``Ze{jgBAQ+DN`m9IW-#ZDfS0iUecB*;SI~QlqbJvo0%noPf0+qn#CAj=?8l%47EBNS5 zHR`U+1JB!s)GNz9LTD$?^5X#$pCKmTyalHysn>o0cA6cGBAEa9ULfQj(OGu@FcoYW z0%ZK@v#4it+w9kWyfwWN?m~9Twd$z}6lJO#4M;quE4cBCQQE%^4k>l~Dt1EkXcjhY z+`WRjz`t^RHEnix>m4GswIF3N#S#@T$~VbVUqV!PZ0KbE+&!GOcP95YBxr>zBDH`6 zHj6(2yVWgn`rEyL7$W8Pd?&#TM3M@wWIc2lmae0&bdO_x6DG|FIYDDgUYGHChB-#d zcTHUdQl~hbWWUPZ$vNn#2Rpgu*WVxc>TRlC1}5+mVE&djPGIi5^~#})ixPp|LSWzZ zJ+Y9S50Ns}*}f_O}xI`Y%_I9h%yd;9J-OkYnx zxr#x0##1lVBt+_JhG3nPEhat@7T#iLqA+S`o7Rb{(7q7(^)*ZJYN1*C)v`hro!XLl$fqh3)&x@mDJ4Ze8_TU<@OGb& zp^L`9lHt_bPkCQeYWz7ahFMLvec}Z_L5RiAWSY|zB-LF`hun}UWiuaeorD+s{-{Dc zEFPLAAtg9 zGADY!`!sV;-hS*G@w-}Dvw{+i;mW0WTvz#9(X2ZCp4xY>x zyn2-X!4`oM7GDbdZ9@q6{XS)ksG~$fPTC^}I*dAa z`Zt2};F-53zA<9DF4stMU?iVNiVsK1g~?W_CmKGhPvxVPHS?BR33 zzw5oc+mt5Lo7%TTDUW=A+xAZh#v3YO2{?5n8M68*XJMaR?km#RtxCU~2;)88`mGbr zu7|+Z!)4-^?z@Vw9+zPLs6VGKiBbEU;fRcoLl_KgRC^Ux)lF^Ee-@Nu!qB`}>!*j`+EI>)ihFQ_%YtGQu;g&7WSih2Joa z?pxP$pV#18{0n!`lFMT;o`c#OxzF6g0f;d8<-a#%ZIc1nd-HL#KR&cD?frayYzTiL zW8!?xKM8!T8UFjP%LC`+C-_u2I^dYhHz)kzdF|6>9{c3ix84~viNeudXYW`nzdxPv zPktSJT)|+n^uT$3vRL`d;NAUozwse=?Cmt&Y-7``ByN=#jlrIH^`yU1?p3|$-dmr^ zzQdg8d6EC8;*YtcV@(Qwo{OO-(7vc&GZMdI4Er~DqURuC_}_%oLE=UJ@09;K{9hrn zYniZz*g)(GtHv*lFCJpkcY*u-2e%!oFU<<4$pe;=2xqYUv+K3c$|I?W$--aGr8O92 z?)x7%bGS*aIiG{r`wMckK zQvYkQR(F(7_jK~FrmJJdwXK%=51Xe*nt7)sU)A|;G7Q?L3HuM)QYhx>I~i3EzAHXe&Whyp*NH{PhgzhU~0wj*o;pK zMk|()y7Ac7pXi=tCmwxz{sKlR?5Xy?(<4D*u#YD=-n;yy4p~UFIotoK3f01Wmf6zJ zeev9aJNUPvm_*D+ZfvoC0%Bhe_gcBj?Qso(;5@?9>|D;XVM?Tm z@C=Ye(CU-1ROds&$F6Hsi|oxxsatAKuWn6evCZ8luHp|y-S&!7nR(pLB(N(w zvFNBhasLVSK1BXUo*<=2?Ow-%qRm!+o1B!074ae7#ItE(#n#%73!;Wv`DWOzMvt7z zCvkA{-jiDa%JeA!^%CkkfN6$6Myq|KhQNFxckb%?gsB;~5=byDe~}>L=^mI*^zE0T zm#cdqS6Q+{j&3-mMKJ}=dHGd-Q=|6cBZRkA^1C$^@?Pf#Wtrwn*W%OW{)N7vM0aKB zkm3CGCwR^u8vWU=DyM)Tef3Xul?VS#c~=Q!(OCX}YySu3GXqaXv*P^qUFH9vT)p9< z8dgzXUrQ)vkEDThQ7WGt?t;|ReY8&*^!V8W`ooel3XCW8Xg;;S`;z6kE;9yLi%q6) zI?yM#3meQ<;)Hlu$6cbzez_sU39CgA3M;lA=Ev|c@R}-jjN^OLI5e|l9SkRrL~hfN zqCqPr0@m?Tg&57w7>2k{JH$g0hRnW`H|=Tf zU;#Zz5IS2twM4!bo{YGbbQ=IeqaNdQU{@{+2mD&z^5gema1zmEh-A1o@$~ja!tZQ0 zIWzu(yM${3zW{{>J(8rgO+&qRW0-mNwfXJ~9jk4GVr#@bJ#$JEg9v{{-5FX1EhxVH z?he{0ADtp=%I&!97kF_>Fy8#NQKm_|8Pq#DKB6gHK}hhbeuvLDdMXFl@H0fp8!n9S ze^Y)MVnOGRQip3cOzoUB+5fmdy?UgP_rw8xUd0D#EIm;-wP72Me(|&RKU2#e;tEMId|Q02g=}{kC0>->hlaUN#EH)y zOf(C^`1Ns7J15W1>pLD@HQ9S%XUAS~f+i*nZ$@~+H}rQe%S}?5)$!>@XaPq23yIt_4@mxaHf+1N2)*E!n)iEDyJRK zS!cZrJ3<7$6;or25@03`{Q6s%LTxoE4R-4h)%f|@bBik{T(FhM|St{O&=&YS2k zB945*7n~$xSnK$%MPXKTBdBFhKQBEi(H`wUDOk*D{@s-HGyTQS9>6?9Z!(femD8w+ zpBc#5zseto<*51yJQVB2q2s9!b@Uh`QcKnugh> z>!v135hw;w5wVL`r-OpG?8V6Z6+UdZDkD?Vu>wz6Gkct&Xh=Tk#A2VbS(ENCm0MrH z(_L1G4}ALlKG=H4)9q%rXbQdS#Z?V$vE!^b@ z4`9{(Y!f7nr!c`UE!x_tKfz!9to8lV|2+f#ukHUj{=0#&INI$t0z3GI6&C!rvF&~- z&26p}T+Y=0`97yJeSCotl=U%|E?<;brjlo4?IxIXnNrd8huj$Yv4BEcitNu*#rXQ1 z#Ya|3TU)9YXa{T!9wN{aUa`_wN43zeFp&3#~MhJU-&mq!4_~+q(+y$mUSsJ2GV= zU}=}*sSEv9W;w5{qN~2+ckRNQBG#_6a9isepD{PIC3(4k_pjlOufjo@3yYg#|`I?ksLo74Fv?)R;|d?ib`C6hw~cOqMX=HWq)* znI>Wf7L5Ul5~=O3`4<=TG!U&9^sd9drKuw9tkLzdY#OVEhc+hUu~Zkr_qIhqX^rxRb_p0yp@_rFk&{2@X&$l8_m8!>g=I5*8NqHPB zo3ZRYXJfo%LY+myVL{*_IUT&T>sp-pXi9{9FAq1_Ee_Df?^$q947}_5C5N^_+=RTL zU2vGFyh5nMwf8qsU-BPnb*Ha5Vh`Nm71npZeoT8UE?M~EEPAqOl;56yOp`_Fvs%N1 zf7AqtYP#_RH|(kd*H(C^#Vt8#>y2$ByYnwmnpyHhwvOjWw^tqQ?4QWbY_-T&Z$j{C zppsgn1^%o6aiXR}TGO|by`X!H8#G=kc`W3$j0@#l9wd-giuQCUz0q?I!aG0PnnP~C zGr{kN@2t(xo^)*eCBB@`_+soQTqJU@HP|hK^}dP26B`;ppXt2dQLwr8$fGu+uHF@q zYu}D+*h$NE;M)TEr{bN2^LHml_}_o@+0PO1(Z@ap11XwcjS^z+<$IB}@MX~ye)yhH z1^?ok`uaSwdd%}J`p-BlX|VToN4SX&H&iPy5nnnDD_YG~HLNcF8t3ZlPFu#4Q=A3| z8Y(TZUAohbcj_sp&Gw>H_U0<_2(eXO*#ox@D(NW#6%(bqR( zZT$hUO{KDQMQWYLgTkBBVx*q4(R(kw*bkj*I=Zhcw$F3Sha{cvZAI=Iu5`WhK(-y! z0((?P>H5Wm`h96qO zl?Od=0Ncg^Ih*%VJx>(u)8gckLbxVDaN{PE<|6*cFb>ygqT<+P+=}L^uS9 z3ltq_c9o^GL+c*P)GiYRA?N>p%^Pm*M~To5`Tk|^}y8* z!_Y688K3DEC`i%oGlnV91x3`StrOPwW(nxAbkRolKZht@z{KuK`%2WqwD; zNRG%#5>l6%%l5$_z`Li8$?;)2xBE|s(7_a?KP&O~6;)yOleKu)*H_;s*)dk}xR4#| zP!!}9bI!L;I$`je^Lj;Hev%uX-o#e_&|i7f`I7&QYa_75G**kiZt4R{MIr>o{_!XTS@QL!fSO69yn|HkH6^rsbACn7h zFtj+`)Sk>Fw+gSpE@ugYS~tMUXXUC=GuvRsy^Z;L%qo~=`uZ24Tx49QSAwloS3uP| zhKq4s!ZI$Cs)%o~i?4iEBm2}3My1iCoNaZ_>@vAo!jw)G(snp-SGd>R=&UQ!f5Yu6 zywebvSQj*E^k2TsOcVLnvJX7d%bz?^v*hBf+gmrY+F+eFtIxtQ{A7WPg#Cb0eBJn2 zi6)VbcY>TgMrW@xF-<#VX%{_>j>~&T$cQJyC2X{J>~%SxjFhbuMN8au>Go_>1jA!V zmY+Xs*2`Nw6!3SP|7+dx-3a{f;_m0Z@a0)R_E&<2tTd?br4;2dTjSXb`c6+ybvenra!i<+%yY5IBUaf6KJ}PzfYK#`(?R(qBrI2HI`!jSSXJ>;YMXNIx6}cKFlRX#leD!AKT8Q&|g3jG;n1gf^u=I{cmihd- zba`hj<5E)}6SR=>O`^nTA^+lEA3+WV*XxxkhEJTnPAQey3@_x8jdtXjToDl`1Z1Z|E2LiU!-fa%u!m2@G-J{x(N5uH z2R&P#$-a%aYBLTY-y11)1BL6luM!le?dS_b)P+c`yzak|D`$C*aB%f8CX+T<L&S07+-bTpX#z3OZn?`qv*o(_NbLhS@DDDa+hYv2k8J;PE^K@ zj2!r~zRQHiwvA~-(^eoGG%KR9<3&c))}M{S^b7{wK4AlF)>3^2F^C6+?lDX6ny#es zO35+hs}kh_z{AWn^LFIo{p_`803&nbdV8n+U+DRic%4YvA_;fBZ^g!&X7~qxo{F=Y z=k&P;PHRbleQPZ^{@d=$TaQ-H%%9I7b6IdlF0by%-J!Ph7DE}F83-Qpu{PW(~Vs*Qpk6Zbz+cljBlJHI`Z&^96G+O!G3{3%!R-u+vg@6 zbGi-KSro$0EvMv044K6d6JX@E5b|3MOEh#CBEl?nH3LR5(jf=j85g9bdoiMNR_K}K zuc}?kpR81+3pOA>H{uqIenD#CQ~P4{BUgT(q)!#<=j8Y5uJq>!>8GyVEZX%wf;ybl zRAXs-P8wC+3z3VH@WInV4tV7R6$Hd+1`HN5jfrhPwqSxovsrFeP8<7>ZSV;5NpNxvM+E+NA% z5rnO;omFwBF}I!U;p3&X7G4UDMz>rFK7kyXEwzl%!3la>;ScUFkB_vPNrA?Btk=#w z;-6#54Xk%R$x3Ch`PZh{rPWIMrfqu}Pi7A~NN}(3EIm4%{YpXj3#XAK(ia z$fzbd4-~FoKvZovo#{Ov5nBdbv@ZFMXX@YYQ~sV!)|^RRb`#>~ERW%rio=2KGDJ5J zTE?LgeG{MBFK&hv#)P}b5jmqvgqnGtiE5!06<^V)eTe9OKRn_PYC$%ER6xOnz`JzP zLd}~O<_6&wkcB?)Fh;1w@h)L6z{S9gL;AX&zl+pP^i`J*2brt*u_kpvKo!J@p)a>Ykz!|&oqwqT;MVY4nq1X**?}^on zU+&l7!>kjsn4Vc}75=UU4oK%0bglcny39AR4I$w@T87CDrF}pC62i`HGp~YYhK2*W zcO8onnCgdT5EG{@HoU{37ugL9!ZFQOf8UY$&ya!QCc1&E+CI`);}x{SJ#_(2G@xRSCgt9Lx zZl1glD()`1P?;C+4ES|L=j7+M(pk1HMsQU#=^ohiTH@g1_Pv$~+rq+(`EZgXd_K++ zsM6DriS=h`78ZvO?$ZrJs;-E(8qPDx=0}h&>jR%G>6w@55-@u!nY6U2#3#1JI7othgAB_D@AD6sA_vh+DOyzt{+O|iNh>7SXM@mZ75 z^lw0L04=NgnyE(2jVhlv_woqdlq-_@uf@fIZM_i<=)MVv!v&I#nRgw9x!Fe5gp{W+M@Z~EfpA=X~n|+XEnf5{1o&42JAG%a$5fsc)41c@Z9#H zRRg3eEqfXWxey%XGgbTbI5KY%kBrX0?5YeOMZRGrd3x!)wBg;ccTjnl?G?`;EO(Gd zJ%#ENt^PfN#`u|CqbJCo&%OCj{R8p%{TI%s^k+3US-?)9HWPI7;JvSD+Y<1XEDqu~ zlr+`ikfCAe=G7&mFr^%)`U1Zt2NqWm75l7azHNj{%BWrv?@O< zh9>_e(?7@qAHX)}i_3P4;;RH@?%avzLm0Kx+N{ zt>ZU@W~hF@Z~08-WldhxeQG18CV8?}e@Fw3!uwKw{h^Us8gEoSRme~&smtCmo-u+; zlgq-8F@((V8mFCd!qOW1&NhxefTmmtgl zG&X^l89;U`99m%qzp2o)1${la&Z>EX{`4}fqkda-Y`A!bskbi${bxp-*78Ngo{^Ag z2S%rJTAtugLbVx?TU+Ja8BkK>!_Nrl5SyG?53+!~o@~h|!neSaur>QQ|8OaiMhV*{V zp`NIo>fkHizqhC77EIlj2ja-e*( zZD3%8u#>B9G=f9%y@R*^n!DsdI}IQ^BACHeSbksmsf4teY!cdUutNWMLe6#ybFqc3 z<|i5e_mBx8ORwd@Ec`CT8ZjUDu)mYu8^*`_aGe`SW7@EtgQ&A#ElhTDwS=;8wEr0z z)%4}clIGjT(jjfrm7lx!>l87iPh%+oKxL`tA7u+0P0X z!}v}}K~m?{TPV4uL#!PxZX4X+aLGFze*MOn%$X&NT3Y5nm`ud-60vNNJxA!)1)N(Q z9M1@o?;03MdcxtX2@VNYY1Y{H=EqoTxHUq#SgfD+bRSgwuItiF#D8Hb+cyVT){84( z+#`y${v>tKcu;Z47Mtg}ToD}Y2bdew8OtL0!PsLmN_V;ws8CUfM1HKyc7Z9jq2rnm~<6!Tu(x^Ywca`5LW1 zk8D@T{$S;{Q4oYrH%t0Tna}QeskR_58He(7(c&NRs~_`(1cTb0qFwnO54OIlp=rpo za=TA*XeTpB5j5MbB)9YD_MiiUdRGWu7V|@5vgEop8hNCqURTOL{|cP9a_kjvv58|o zr^xlq+#%Oszeo<0l3jh)h5p?2xy^Ns?p}+4iSSF&<@Y;85)a;F6qk-fJcRLlb2yAD zKtCAE&v=r6w5w}h=uBFPW%*dA*23qY!+`QMDF1Lfi0hEkS8Fl+MVtwlG@mmHkDF|Z za9a66Uw(7T#uKpNaD*rN3-gJU%BUPPfj)7A24s6@p1uTiYpOEyLFLFFmo^MjavXQ>YDi<`B3cXl%KU@UadqMgKk@ zw)G(v@XysmWRsPfmH(>W_qa~O z>OuMK{^xjnv_M|fVT7-lwa_21Z(s$6hy>4k0b5T(f;5wjD1?T4|U$8H+ThZP{1fr*6+C9`B!ed;N&V?1xPNDl;;V!N%to5&BZ^9$~5AwKQ@5 zo7qaz-^2Kzvrk5T$YrDVMCBgbR>9g}e*1VTvO69= zSUN~O@^UX|B1cTlvKgyO(7saU#Q4tR(U#Oc@dt*Q%57=29Kxs{HZ8zy^=XAL3f#I6 zI+Syfjg1=-U8y{>!=N{{%bHJaIc(qQ-SNJ8 z+Y&s%jY4lrkkM>-xw(VKFP4T+T%X%W;W+R+EE@Hp%>_FTrN{9j;N-<4y?*cJ=Elf| zZ^-LgEnF8+9*FNZ9;XlH3iZ&Z(rF-7yClOCmcyDC-_AjC?;Lv99=Qj=2Y|KGG9i>9e_~%k$81!M}oqv5rquIM+nG1(o7a@f4B{0ZG$M8^?U?1@c#xam>fS32IIlJ(V zKB3QYA5YGU3npgRWNiRBAWSHf7ve3!S^7P;e_*V8@yq?2^vaytvZFm>Uc@PkNC;#Z z-4N52t^`4Rm*9)-PwB~)*lJB&isBB6!*hu|i=j*Qiih#7(ho-d*iAbCLc&*i?PrAm z$6edGSlzoWA-N5lQi$))I+j;kL0yHCY8iAT6Z_~#`_Za`l|c4}myNsmWeRpNHW;M` z!ECa;Kds&lVZjW*u5UI0Q!m@K3GrUKe7VoR34bS9d@y#6;NQ5YxuTDlO6o?jpmh$e zzl|LKvd=`uGq_haQ>m<#g#BRk8o_)ntX^4Jj-;n1TzF<#-DB4A@Lu2X$hFeSdeaeD z9Fju?>3cz#0gz6a-i}~1p4>~w)ij(L3wojsPg1%jufv#He<^iNW z1j8lgde~qykFwH`lUU4dwOxa3ZxUWUcyNAx%y7B`uwP1_)y$pENdkv3rSP~Di-|Sw zqjQ#%t{(_y^r~p3PJ|aUpQ(+>;2_z{QhXn@%ZQJ0%KQ}TC%+lA<4@fhfOEMjsm_rQ#V5$4Anc2gn*5@pz zx1|!xKcy0AsQK_F6Kgg9caW+u=847M1uD6@v6Gu_3cNKiN4f8vXd@{VM_GlRG|k?z z=KAW_MQ{wQENo|kFct>)ps%E32KL^50N&}>iF9b6UQ0ofY(-%@WJEK8kW~ANuK3!v9|Zj53O3TcTmeMHKqN1 zz6VvQp}_LMPRvY7>Zz}Fz3F7nj@(S7kx`|vZH1ShXMQUrrTpG$#1BU=vzrfEz+gqo z1)f}6X(+rW&P&Hdb;U#I{8S3?^tJvuyV7?>SE>g&R{UALt^%hqB3#&s>ESEkt`x%+ zUWa}a{wF__{!f0mH;;}y*N%0OqVtzKi?M?N5i@Af~H+y(TUb(Zu74b!4}V1V`L(?`6Lbc^v^t>yONV(l?01W_D9zkweU2|qzh+C|c8S1g_){8Pnwg1#TKXdk_l6h4#| zt`aZ7jtDc8N;{dK=)ru{O>8)^-|4-U3_+g?Z7Kjel_XNWtTo%PE~4I_tQ6&!-*VE# zrkHZzio7CM6%RSi%+!dAc9`wtd^$u~1fJEQFZm9pwNBcTR%YdReC}V-{5pLe#lK60 zb_wQA{`-cpZ?so@$`&Esy0$dlOi$A}f4%j@-^$gzS+v8f3T&ekanLgK78kvNKa4ha zjj{uF`^`E~czX5cvawBFXLp~hiyu^h8kh-lVKBhAstG4ptS5%0cS+jlnI)$E+r|jU zk=U0t&bs3TWa*Qve2g3D6`|D{q4SL!*&@mA;wy0HgODS$zG@^a(Mnpo{s$?(K6R@$ zb&KQtkQp`O5=f~Iua)jY#s&kj>+jgF(rCbDELAR2GBj5384xd9#Uu`OrZY!ZAdT7J zDy{QwEBc2kAp3C85MH!yiS1D7_nRg>pH;97`$yBAQuY^G$NrpL;w!X4Q^K*AWhX%m zE>b+wzdh9;Sy7U>{_q2I)-e~T7v((^x%*82N)w5%OQ6sjb!BxJ)E-xa%twic1EJsg zTJJK@Npcnl?d*RggM(!bD$wdkp1vK+ax+_cS~xappkJt(b#*!dK$ zj}x4se8$>SS+XC*(BUbrVw;@vi;!4{F`4 z-}bJ{6C_%|S6$EpTh@I~>!&rKffamtX5+*tLXi@(GK&u(C|c|+Em&T0&Iqn1c(D!| zX1|huPhuvGFX7ZN3eUlC4{F6fj(&96L>v|yIxDj#5acROQtPc>0dl%aY)B5l*C$vb zSJf97)q1Vk$$6!)9%{$Onh#hz5#{&18)O|jif6i0AR@M`qyxuYY2@+i)EpJk9Q#&W zX|e1Ne)UahhIV+WN5XlJWP|X-Zc+79S8fOB(j$GZyYyrZbxE$s``zB&H<9xEq?3I*^8n=7xZM-+1XFM{lnBKCfMRnKw9E`5gXK=0=~ni zA>K)nT-P*ga=g+YhU@63kyoS@WJ4M;FGg3tKDuP5q7P81=yI|&FR_?Xk;89s0BE(6 zAKF>4oKWYXI*E(iyZbava~0y!#Xok*KIZsZnkDwrDNcY;OA;u2Bjfu1Mw7T17G*8w1INPQ^!6}``yv1+%?Vrq26s1<-8B*FGjDxEP zv^W@GPnJ;a32wJN^9+_eV3UIdZDn>NTuWUW6192U>>ddc6jRl5xZk+QUl&F(JM75< z5P}n~hh!bk4X@sn=v8vuLow5*d==pOo1r1vx3Pw2=Rh;BF1PZLlb_yxIQB;}IsbEK z{=Ht6vWj!@g92E}Z<`JGUVGnZ-OxDKYQBTcH+=VpErO9<4-hH}xw)5qc`ZvkuwL~K zjOFR2rBKIgVsU`KOGmR-z%>+md+CD*&mEZU=-=Z! zQgM7ynyUK}PdD!es8dPRzIWf^?SUv&Y})f0T*ndxf`g)Mc6nLopCI!W$pI!h#p%ZK z>B0F)Q8v|q5A_M|O!bEm6lHAUf9e?R|>WcuQ6Y z=~u)jDrSO)bNHl?Cew{Zo&=k}LA^AZbnxM5PpSSTqP|y?mebIf#`ot)<$>f|@6)~; zBHun)e%w6#0kgnsa1IS+y9H1Q=OTJMjSRXEKp9Jk73v1R1x<3<_wW1)Xe5%P^N!tm zoYQsuhzvnpRC*+HFw&(Q-@DGTwbS& z-9WxeR|zL%g_(!wvL*V0H^Q(tPFi|ye8bF*Zp!-5~fKp{tIn{%6@Kq|ksH1Hs0l0XcaZZ=MaIjEDECTY8}F%(5>`?rMd~I+M9fJkFrXu=xgpdT|Vz zPh<*E3H+<30FBE4vnG|qiRltCLl4N zNXSNwZwi*kMckPp0A12ZeV){tB0$Lg#@U8gb45M1?EQTI5jiVmnX$gw0HqyhLgNWN zt;s9XV)fL<(GH`2%3@fIfCy#&Z>|FyV&N3!)Wmzes*hupQ{lnF>6j3kh;IBlKMi4| zfid6xszcdSQXf?mC#K4)JPNDJr3Cso_iEr&*B(;GKX>##jUKpYl(S79zM$vZeu7AS z#8kMi@!&qh!hs$3+l!N>O-OXu!Nbgf;9H=xMtOV6P5tC%ps$sK08CJH8+N4^qS)bC z9I;eA`PQ*XNObmcUn552<9zBP=e0_WA>tgbIlUj{?}Y1cyfrKw2$B?19}xorbX1v1 z5+YREux;q0ir95?T=-;>g*1qGKJw+__2@6d(ay9<8s7PnW!2e+^k1|=Cp3AAPn?0E zPW&s+@B5`VR6-G~O}2seo@V?>Ab>p-$6x09_;|G7@pZ;uXxGzDd`C$9n)J|%SGdU~ z4jMWOkuTS^=EtAhxi9!q_HCUOursK;qil$m)?jkmi=EiA2cL5Bt^&PpxFq>?$`oPe zZfhkr-uCHPZ5BoNE2WTUFD=RXly~Y4E$#PNY{tgUacPF4v`0H~@gjP|l%+CM>Sd@! zKZ`|qeHHQu__}1L{2+D;Ud0n|nW*hU&)+ez1on-@Rm?#RB>I?}o&*f(sids!~g_pi@1dmOs;R8>n zO+Mp)86i5oZ@ik%JNv}9){ga)9>tvpdoP?{;-G4|a0p6q3aa>UcQsC3LvP1}vu3>) z3u}=P9o$(lJL|>0GgQO1xf!N7syS*PZ&&z}SvIPg48MlvCbsutc9&k&|BrG!@C3Mh z<^;*$DKL5x3)s#n8ED0q7wOuq7gR=3M_1E~B}^A=MjzNjvIlB{B|9E#WJGwAFHQ|@~JXghTrA>tNmQi(vV|H6S7rRe|jXkc-WS+^mfzAsP zuSVx59T~Zh_`t_%UJGs1{dZf7n+^lR7b&SVpQzx9(+hlfsL6i?!oaJI1pE8aO2Ral zp594@xvRzF@Us53VwE2v{!-qR%k}#qjmKoVsnXxtG79`=4cF{^?~XzMr*iT2lUJrT z5rz*npD3CFW1SyQnRa9&^zoL|d-1=u3VkguygMg9x?_&Js{OMeEl*3GJMm>!nKx)i z`mb}~>(ooS1He4gRnit=l4wD#2*&SA@rH8!(wb2b4;8VCz=| z4~5s7(&Ryt8#}&{mPc?mX;Zy>eSl1S{C=w=0i~9yLY6|a2=IxD?|gU{a9tjao*zP{ zF4-+7XPkAuiz=*$`^@=r5O&{+f&1&HWmc*fGx2`w7R?^}rZ6PF9rwz>RM^D$f`LFj z*hO)!bHPA*h7>RpR~k7B;|Ly{Cn&U}Bh9s{AR&x8ZE}sDTWxYxZ%&U?b~O{PMK924 z@p|hYQkv6}0=(5{VfwfJybf+Iei(e!`ScE@c4HWxTyvc(=Vx$94k}EM`&EOhv&a>T zxwyHUbFoY<6;>c4;z+34^`lgMn4+oRIGJFS4yxXbb<1z$o2%A0%iMY^aBJzrx16yc(NF`SjWszG)s=l-xzO*f8c;Hf7)Ve1Ob<;Ookf(A+eFFPVetqH`9!gnJt$1vwBjp^S^W}m( zz1vd&h1=I+7ZvnQzs9g)}Da8MWg3EW$9wcX9tM(N)65)iS)!!VO}NN zq1ga3t5;t_`Kz)M-z8oqQztDs@|KVJJmvmWuFX40LY&c8ox}>W@#wS;uN( zVO}b~ENX{kAnY|(t@<&SV}rKoL3o+&d-nv<2pN-x#1q()QtnSmyC`&LMpl{Hd&|I% zq&jFuRB{%Y^^+10VxVd5%AO%B_6!NUFlRz-I38$?h?dRKq;KFusEE+#Zd zS~+C>WP@x=64j`%v6#gyX(sy0`M3(Y`huC+1 zlPwHupQ~6UM;ra7eelbrede9Xihc0ye4D4F{PCp32&bq#6JnNBft4_k3hqT9I4{Qs zqj}mLm4|rQa=OY-=#x=mX@AqZpM@t6|Z?kt)6j<$Rc%CU4OUpP6>sWG%l8T&a zvO$_=?hh7&fwc%|>1y2SOE)aQ8IimC%Ng#kmfWqLyT+<(<1ziHvY}Bv_Z4(AMo2)n z^|&aN+z;ted2-|m!MiG&f7#0mF;xOEd9DZ@Gt z2~dtQVh%vjq+B^A@0DbUBj>ciOnTw3&y?0X<$fmyyoolqTZuu$J(;mqGs6-kQlK!a z{mN6qm3;TB`XMzVTd`K9|~lMJfet z7olO|(PfT$UVn=|mqvww#MJ_VlCY$?Yb|xYD6yu*qBzmow8CJ3%elgUm@G-k(3g0R z77@$$E)a3~VK<8}KY93t{&)W(wGs!YVL9;=yyEF)=$G1Q)4k;fXnLr zHDLr@_zG+mg;Z=IZ%}RtVC)_wrfrDb&dp%mD*5rAya4*JwaK`IWk8{YOWIj1-e^Oi zMRmc8dr=x-ZeU1A9S#Az`^`HG48;7)bXA&40dw$yEF$QdZ`bcubAvA#Co&u+n!3VQ zU_kM28`412(XrP@aWb^ES7XYX{q!eiee*w z>rK@Eo-tHelmB#<;e6}N87ZJr@Z+vZE(O4gs*k-F)7ZRW@JDyfm9vR+;C3_doczle z@iJG^p)^)0Vd14(t*uJ#0i{8S(*D@Hk&Z)`ouUDKE_P>H!&4s}uIn4Rf0>w}0(CuC z8N=Z`g8}7;Xg&&pnKK0VWwiN&lJ)e}m$q0=L$`{M#%M*PVoJZIfWf!5-{pY8K#D~Q zUu*2FsfWRqr$M54$+6@%n?x#+TgGBBB?X&ziQ@?fonqg>WF3ji&%QO0$!Fr0|LYkuoiRn@8`Nz+XE01Xg|$aAB0Tbc>9Nom z$4eKDYq0nEh(~M{5h-LnW&^lHgac}7*RzvAmDOA_%G}@FW8$XGG{@~Tg)?J6jO|vC zx|f&AkFUSx6X$%g(}vJ}>QbG9y7V6e@tZm%xCNNz5s17x+?iAF!Hkl@*d= zuck-Py{I_dCrRg;3X6fZ3GZexLzy((%%&N;AbX!k8ykgonQv|4rD^M-qeM+%4|b9S z9lb?jI9t8CkHbf})E@JWz}5C8Mu~6g;_|y%gDgYj>s)=Q{H9S|8^^x-WId$WT|1gG zHd6jxm13Tug(+^pcj9@@ou9QnF(t?XseL^D6}?P+fGctLRaQ^vm7q~%%e-caK6EC0J zLYHfa8HgVjxEUw2V%vD3i9d;7;S>FT4s8E8h*$~l5gXsZsTL;0;lX+MFF)?w9`l0c z@o=C^lXuyY81U~A65!zdKkinBG2e~p`Zzug4jVNN4)1?(D&}|o;aH$%CU+kE1ODHT z{7WD+ghlH)2@cLfss9H3-~9_@gVNRzlm1&U{}ESz5cv-rT(i)Qcz}cBDtsH>|9}f!{{=Hc_iBiV|DVd({-M5oydTwk z8^akY9GoZrK`lf6H->-x?oj{#V>lF$;wg`dgR??_gTwzH;N8&w1@{8)ko~7l|4EB# z{zVgsK`4rRq2FK@Z;cEtls%AwIAjFFBMf!eE;7x z_m3>^{Hj5%J9lsv4e|a<*8AaqQEbrjdSa@7ll2d^_h;>HvE3b|beHCEbMSx=q`y+q4z_4_WWJ x@BR;^2qUKbuXxSA{QAd#85bv<`2K%|FlOLi6z~5{(*YPU%U%0Y;@dmv{{Z@qe3t+K diff --git a/Hardware/LCMXO2/gerber/GR8RAM.drl b/Hardware/LCMXO2/gerber/GR8RAM.drl index 2832612..3afd95c 100644 --- a/Hardware/LCMXO2/gerber/GR8RAM.drl +++ b/Hardware/LCMXO2/gerber/GR8RAM.drl @@ -1,7 +1,7 @@ M48 -; DRILL file {KiCad 7.0.10} date Monday, March 18, 2024 at 08:49:13 PM +; DRILL file {KiCad 7.0.10} date Friday, March 29, 2024 at 07:49:20 AM ; FORMAT={-:-/ absolute / metric / decimal} -; #@! TF.CreationDate,2024-03-18T20:49:13-04:00 +; #@! TF.CreationDate,2024-03-29T07:49:20-04:00 ; #@! TF.GenerationSoftware,Kicad,Pcbnew,7.0.10 ; #@! TF.FileFunction,MixedPlating,1,4 FMAT,2 @@ -182,9 +182,11 @@ X71.755Y-131.318 X72.263Y-80.645 X72.263Y-85.725 X72.263Y-90.805 +X73.75Y-111.4 X73.787Y-115.379 X74.803Y-83.185 X74.803Y-88.265 +X75.35Y-112.6 X75.7Y-119.2 X75.7Y-120.4 X76.35Y-104.7 @@ -231,10 +233,12 @@ X82.0Y-126.85 X82.4Y-93.5 X82.423Y-80.645 X82.423Y-85.725 +X82.45Y-109.1 X82.55Y-131.318 X82.65Y-105.2 X82.65Y-126.1 X82.8Y-102.1 +X83.0Y-111.6 X83.3Y-126.85 X83.45Y-96.55 X83.45Y-97.75 @@ -242,6 +246,7 @@ X83.45Y-108.75 X83.75Y-98.8 X83.95Y-123.8 X83.95Y-126.1 +X84.0Y-110.6 X84.25Y-105.2 X84.3Y-102.1 X84.6Y-124.95 @@ -260,6 +265,7 @@ X85.2Y-127.381 X85.25Y-105.4 X85.8Y-121.4 X86.0Y-112.05 +X86.2Y-114.6 X86.3Y-92.3 X86.4Y-94.85 X86.65Y-120.65 @@ -276,10 +282,10 @@ X87.6Y-96.75 X87.6Y-97.55 X87.6Y-103.25 X87.6Y-104.05 +X87.6Y-114.6 X87.63Y-131.318 X87.65Y-101.65 X87.65Y-105.65 -X87.8Y-114.45 X87.9Y-99.0 X88.05Y-110.2 X88.05Y-129.65 @@ -293,6 +299,7 @@ X88.8Y-107.8 X88.9Y-92.55 X88.9Y-94.95 X88.95Y-103.25 +X89.15Y-113.45 X89.4Y-129.85 X89.45Y-116.35 X89.7Y-92.55 @@ -446,7 +453,7 @@ X107.95Y-131.318 X108.05Y-104.35 X108.3Y-123.8 X108.9Y-113.4 -X108.95Y-123.05 +X109.05Y-123.1 X109.45Y-103.35 X109.537Y-126.809 X109.95Y-104.65 @@ -455,14 +462,15 @@ X110.1Y-128.45 X110.25Y-126.1 X110.363Y-88.265 X110.49Y-131.318 -X110.75Y-96.45 +X110.55Y-96.45 X110.8Y-102.45 X110.85Y-105.65 X110.85Y-107.0 X110.85Y-110.25 +X111.5Y-98.1 X111.55Y-123.8 X111.55Y-126.1 -X111.75Y-92.5 +X111.75Y-92.65 X111.75Y-103.05 X111.75Y-104.65 X111.75Y-109.45 @@ -481,6 +489,7 @@ X113.05Y-116.25 X113.1Y-120.5 X113.15Y-127.95 X113.7Y-119.6 +X113.7Y-123.1 X114.05Y-92.65 X114.05Y-94.25 X114.05Y-95.85 @@ -510,17 +519,17 @@ X114.75Y-108.75 X114.75Y-110.15 X114.75Y-111.15 X114.75Y-112.65 -X114.8Y-123.1 X115.0Y-119.6 +X115.0Y-123.1 X115.25Y-90.75 X115.25Y-115.35 X115.443Y-88.265 X115.55Y-122.2 X115.57Y-131.318 X115.65Y-121.0 -X116.35Y-125.8 X116.5Y-120.05 X116.5Y-123.15 +X117.325Y-119.6 X117.35Y-121.0 X117.35Y-122.2 X117.45Y-103.05 @@ -530,6 +539,7 @@ X117.983Y-90.805 X117.983Y-116.205 X118.11Y-131.318 X118.35Y-119.6 +X118.35Y-123.5 X118.65Y-104.65 X118.65Y-105.85 X118.65Y-107.05 @@ -546,7 +556,6 @@ X120.35Y-121.0 X120.523Y-83.185 X120.523Y-88.265 X120.65Y-131.318 -X121.0Y-124.35 X121.2Y-120.05 X121.2Y-123.15 X121.95Y-93.45 @@ -635,7 +644,6 @@ X130.683Y-88.265 X130.683Y-93.345 X130.683Y-98.425 X130.683Y-113.665 -X130.683Y-128.905 X130.81Y-131.318 X132.08Y-131.191 X132.75Y-121.35 @@ -678,15 +686,13 @@ X140.843Y-88.265 X140.843Y-93.345 X140.843Y-98.425 X140.843Y-113.665 +X141.2Y-118.55 X143.002Y-80.645 X143.002Y-131.318 X143.383Y-85.725 X143.383Y-90.805 X143.383Y-95.885 X143.383Y-100.965 -X143.383Y-106.045 -X143.383Y-111.125 -X143.383Y-116.205 X143.383Y-121.285 X144.78Y-82.423 X144.78Y-88.265 @@ -745,7 +751,6 @@ X138.303Y-128.27 X138.9Y-123.45 X140.1Y-122.3 X141.3Y-123.55 -X141.35Y-118.95 X141.35Y-120.15 T3 X137.16Y-129.794 diff --git a/Makefile b/Makefile index 15d1c7e..9a7fbe3 100644 --- a/Makefile +++ b/Makefile @@ -33,19 +33,15 @@ CMD_PCBPDF = pcb export pdf --black-and-white -l F.Fab,Edge.Cuts -o $(F_PCBPDF) .PHONY: all clean \ - Hardware/MAX Hardware/MAX/gerber Hardware/MAX/Documentation \ Hardware/LCMXO2 Hardware/LCMXO2/gerber Hardware/LCMXO2/Documentation -all: Hardware/MAX Hardware/LCMXO2 +all: Hardware/LCMXO2 clean: - rm -fr Hardware/MAX/gerber/ Hardware/MAX/Documentation/ rm -fr Hardware/LCMXO2/gerber/ Hardware/LCMXO2/Documentation/ - -Hardware/MAX: Hardware/MAX/gerber Hardware/MAX/Documentation Hardware/LCMXO2: Hardware/LCMXO2/gerber Hardware/LCMXO2/Documentation -Hardware/MAX/gerber Hardware/LCMXO2/gerber: +Hardware/LCMXO2/gerber: mkdir -p $@ $(KICAD) $(CMD_GERBER) $(KICAD) $(CMD_DRILL) @@ -61,7 +57,7 @@ Hardware/MAX/gerber Hardware/LCMXO2/gerber: sed -i '' '/"U10"/d' $(F_POS_JUMPER) rm -f $(F_ZIP) zip -r $(F_ZIP) $@/ -Hardware/MAX/Documentation Hardware/LCMXO2/Documentation: +Hardware/LCMXO2/Documentation: mkdir -p $@ $(KICAD) $(CMD_SCHPDF) $(KICAD) $(CMD_PCBPDF)