From 4abb0873bf2d12c7aff326d42343f6de60f46c05 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Tue, 16 Jan 2024 14:31:03 -0500 Subject: [PATCH] RC --- CPLD/MAXII/RAM2E.qws | Bin 619 -> 0 bytes CPLD/MAXII/output_files/RAM2E.asm.rpt | 12 +- CPLD/MAXII/output_files/RAM2E.done | 2 +- CPLD/MAXII/output_files/RAM2E.fit.rpt | 268 +++++------ CPLD/MAXII/output_files/RAM2E.fit.summary | 2 +- CPLD/MAXII/output_files/RAM2E.flow.rpt | 16 +- CPLD/MAXII/output_files/RAM2E.map.rpt | 115 ++--- CPLD/MAXII/output_files/RAM2E.map.summary | 4 +- CPLD/MAXII/output_files/RAM2E.pof | Bin 7877 -> 7877 bytes CPLD/MAXII/output_files/RAM2E.sta.rpt | 523 +++++++++++----------- CPLD/MAXII/output_files/RAM2E.sta.summary | 26 +- CPLD/MAXV/RAM2E.qws | Bin 619 -> 0 bytes CPLD/MAXV/output_files/RAM2E.asm.rpt | 16 +- CPLD/MAXV/output_files/RAM2E.done | 2 +- CPLD/MAXV/output_files/RAM2E.fit.rpt | 267 ++++++----- CPLD/MAXV/output_files/RAM2E.fit.summary | 2 +- CPLD/MAXV/output_files/RAM2E.flow.rpt | 16 +- CPLD/MAXV/output_files/RAM2E.map.rpt | 115 ++--- CPLD/MAXV/output_files/RAM2E.map.summary | 4 +- CPLD/MAXV/output_files/RAM2E.pof | Bin 7877 -> 7877 bytes CPLD/MAXV/output_files/RAM2E.sta.rpt | 485 ++++++++++---------- CPLD/MAXV/output_files/RAM2E.sta.summary | 22 +- CPLD/RAM2E.v | 92 ++-- CPLD/UFM-LCMXO2.v | 36 +- CPLD/UFM-MAX.v | 24 +- 25 files changed, 1051 insertions(+), 998 deletions(-) delete mode 100644 CPLD/MAXII/RAM2E.qws delete mode 100644 CPLD/MAXV/RAM2E.qws diff --git a/CPLD/MAXII/RAM2E.qws b/CPLD/MAXII/RAM2E.qws deleted file mode 100644 index e99fdcd84f187d47f6f5f1b9e5273783401459eb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 619 zcmbV}%`U`X7==&E!qNp;Sco)|%FGyvrC3;5iG^7)+76SVH7XY3n%ss&B(|=__<7Ek zvLO=QFYnKJ`~IBo>t>=)STbBU8~YWC8#Essc4HL{ElvvYh8WRKG#U8*1$dL zQgt~wn$p?PMu<8@J#s(52i6u^nyv?RKx88h7Ale zd>(umIFCWcaA?~8ctF#k!itC~0nd`N&RM4|z{zWtxm^LnMvL0g72bQ!L3{X$7dnFd z#HAh^2s=jRwM}aQ1(#$he=0IDYoc9^;d=6)O&;taOMz4kxnHPK_l@cdDGykov1;#l d_V+QX(eu;8*T3=}MUTAWeh4u<(3{mb)(6MqX(Ipt diff --git a/CPLD/MAXII/output_files/RAM2E.asm.rpt b/CPLD/MAXII/output_files/RAM2E.asm.rpt index 32f5068..80a27aa 100644 --- a/CPLD/MAXII/output_files/RAM2E.asm.rpt +++ b/CPLD/MAXII/output_files/RAM2E.asm.rpt @@ -1,5 +1,5 @@ Assembler report for RAM2E -Thu Jan 11 09:29:25 2024 +Tue Jan 16 14:28:02 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Thu Jan 11 09:29:25 2024 ; +; Assembler Status ; Successful - Tue Jan 16 14:28:02 2024 ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX II ; @@ -67,8 +67,8 @@ https://fpgasoftware.intel.com/eula. +----------------+-----------------------------------------------------------+ ; Option ; Setting ; +----------------+-----------------------------------------------------------+ -; JTAG usercode ; 0x00165DEE ; -; Checksum ; 0x0016605E ; +; JTAG usercode ; 0x00164FC2 ; +; Checksum ; 0x0016533A ; +----------------+-----------------------------------------------------------+ @@ -78,13 +78,13 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition - Info: Processing started: Thu Jan 11 09:29:24 2024 + Info: Processing started: Tue Jan 16 14:28:01 2024 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E-MAXII -c RAM2E Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 13074 megabytes - Info: Processing ended: Thu Jan 11 09:29:25 2024 + Info: Processing ended: Tue Jan 16 14:28:02 2024 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXII/output_files/RAM2E.done b/CPLD/MAXII/output_files/RAM2E.done index 6247ee1..4c189b6 100644 --- a/CPLD/MAXII/output_files/RAM2E.done +++ b/CPLD/MAXII/output_files/RAM2E.done @@ -1 +1 @@ -Thu Jan 11 09:29:29 2024 +Tue Jan 16 14:28:05 2024 diff --git a/CPLD/MAXII/output_files/RAM2E.fit.rpt b/CPLD/MAXII/output_files/RAM2E.fit.rpt index 7bdb5cd..35e06a1 100644 --- a/CPLD/MAXII/output_files/RAM2E.fit.rpt +++ b/CPLD/MAXII/output_files/RAM2E.fit.rpt @@ -1,5 +1,5 @@ Fitter report for RAM2E -Thu Jan 11 09:29:23 2024 +Tue Jan 16 14:28:00 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -57,7 +57,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------------------------------------------------------+ ; Fitter Summary ; +-----------------------+-------------------------------------------------------------+ -; Fitter Status ; Successful - Thu Jan 11 09:29:23 2024 ; +; Fitter Status ; Successful - Tue Jan 16 14:28:00 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; @@ -134,8 +134,8 @@ https://fpgasoftware.intel.com/eula. ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 1.8% ; -; Processors 3-4 ; 1.3% ; +; Processor 2 ; 1.7% ; +; Processors 3-4 ; 1.2% ; +----------------------------+-------------+ @@ -151,26 +151,26 @@ The pin-out file can be found in Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pi ; Resource ; Usage ; +---------------------------------------------+-----------------------+ ; Total logic elements ; 238 / 240 ( 99 % ) ; -; -- Combinational with no register ; 115 ; -; -- Register only ; 26 ; -; -- Combinational with a register ; 97 ; +; -- Combinational with no register ; 112 ; +; -- Register only ; 19 ; +; -- Combinational with a register ; 107 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 118 ; -; -- 3 input functions ; 41 ; -; -- 2 input functions ; 48 ; -; -- 1 input functions ; 4 ; +; -- 4 input functions ; 115 ; +; -- 3 input functions ; 55 ; +; -- 2 input functions ; 45 ; +; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; ; -- normal mode ; 224 ; ; -- arithmetic mode ; 14 ; -; -- qfbk mode ; 6 ; +; -- qfbk mode ; 14 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 24 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 123 / 240 ( 51 % ) ; +; Total registers ; 126 / 240 ( 53 % ) ; ; Total LABs ; 24 / 24 ( 100 % ) ; ; Logic elements in carry chains ; 15 ; ; Virtual pins ; 0 ; @@ -182,15 +182,15 @@ The pin-out file can be found in Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pi ; -- Total Fixed Point DSP Blocks ; 0 ; ; -- Total Floating Point DSP Blocks ; 0 ; ; ; ; -; Global signals ; 1 ; -; -- Global clocks ; 1 / 4 ( 25 % ) ; +; Global signals ; 2 ; +; -- Global clocks ; 2 / 4 ( 50 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 26.0% / 25.7% / 26.3% ; -; Peak interconnect usage (total/H/V) ; 26.0% / 25.7% / 26.3% ; -; Maximum fan-out ; 123 ; +; Average interconnect usage (total/H/V) ; 28.6% / 30.2% / 27.0% ; +; Peak interconnect usage (total/H/V) ; 28.6% / 30.2% / 27.0% ; +; Maximum fan-out ; 122 ; ; Highest non-global fan-out ; 35 ; -; Total fan-out ; 976 ; -; Average fan-out ; 3.16 ; +; Total fan-out ; 992 ; +; Average fan-out ; 3.21 ; +---------------------------------------------+-----------------------+ @@ -199,27 +199,27 @@ The pin-out file can be found in Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pi +--------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+----------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; Slow Slew Rate ; +--------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+----------------+ -; Ain[0] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Ain[1] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Ain[2] ; 43 ; 1 ; 6 ; 0 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Ain[3] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Ain[4] ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Ain[5] ; 34 ; 1 ; 3 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Ain[6] ; 39 ; 1 ; 5 ; 0 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Ain[7] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 123 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 15 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Ain[0] ; 56 ; 2 ; 8 ; 1 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Ain[1] ; 54 ; 2 ; 8 ; 1 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Ain[2] ; 43 ; 1 ; 6 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Ain[3] ; 47 ; 1 ; 6 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Ain[4] ; 44 ; 1 ; 6 ; 0 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Ain[5] ; 34 ; 1 ; 3 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Ain[6] ; 39 ; 1 ; 5 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Ain[7] ; 53 ; 2 ; 8 ; 1 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 122 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 14 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 12 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 12 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[5] ; 49 ; 1 ; 7 ; 0 ; 2 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 7 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nC07X ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; nEN80 ; 28 ; 1 ; 2 ; 0 ; 1 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; nWE ; 51 ; 1 ; 7 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; nEN80 ; 28 ; 1 ; 2 ; 0 ; 1 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; nWE ; 51 ; 1 ; 7 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nWE80 ; 33 ; 1 ; 3 ; 0 ; 2 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +--------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+----------------+ @@ -243,31 +243,31 @@ The pin-out file can be found in Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pi ; Dout[6] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Dout[7] ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; LED ; 88 ; 2 ; 5 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; RAout[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; RAout[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; RAout[10] ; 16 ; 1 ; 1 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RAout[11] ; 7 ; 1 ; 1 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RAout[1] ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RAout[2] ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RAout[3] ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RAout[4] ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RAout[5] ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RAout[6] ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RAout[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; RAout[1] ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RAout[2] ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RAout[3] ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RAout[4] ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RAout[5] ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RAout[6] ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RAout[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; RAout[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RAout[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[0] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; Vout[0] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[3] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; Vout[4] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Vout[3] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Vout[4] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Vout[5] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[6] ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[7] ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nCASout ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nCSout ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; nDOE ; 55 ; 2 ; 8 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; nDOE ; 55 ; 2 ; 8 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nRASout ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nRWEout ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; nRWEout ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nVOE ; 50 ; 1 ; 7 ; 0 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +-----------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -279,7 +279,7 @@ The pin-out file can be found in Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pi +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; RD[0] ; 97 ; 2 ; 3 ; 5 ; 3 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; -; RD[2] ; 99 ; 2 ; 2 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; +; RD[2] ; 99 ; 2 ; 2 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; RDOE ; - ; ; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[4] ; 91 ; 2 ; 4 ; 5 ; 2 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[5] ; 92 ; 2 ; 3 ; 5 ; 0 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; @@ -428,8 +428,8 @@ Note: User assignments will override these defaults. The user specified values a +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ -; |RAM2E ; 238 (180) ; 123 ; 1 ; 70 ; 0 ; 115 (90) ; 26 (23) ; 97 (67) ; 15 (15) ; 6 (1) ; |RAM2E ; RAM2E ; work ; -; |RAM2E_UFM:ram2e_ufm| ; 58 (58) ; 33 ; 1 ; 0 ; 0 ; 25 (25) ; 3 (3) ; 30 (30) ; 0 (0) ; 5 (5) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ; +; |RAM2E ; 238 (183) ; 126 ; 1 ; 70 ; 0 ; 112 (89) ; 19 (16) ; 107 (78) ; 15 (15) ; 14 (9) ; |RAM2E ; RAM2E ; work ; +; |RAM2E_UFM:ram2e_ufm| ; 55 (55) ; 32 ; 1 ; 0 ; 0 ; 23 (23) ; 3 (3) ; 29 (29) ; 0 (0) ; 5 (5) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM ; work ; ; |UFM_altufm_none_lbr:UFM_altufm_none_lbr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component ; UFM_altufm_none_lbr ; work ; +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ @@ -493,6 +493,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; nEN80 ; Input ; (0) ; ; nWE ; Input ; (0) ; ; PHI1 ; Input ; (1) ; +; Ain[0] ; Input ; (0) ; +; Ain[1] ; Input ; (0) ; +; Ain[2] ; Input ; (0) ; +; Ain[3] ; Input ; (0) ; +; Ain[4] ; Input ; (0) ; +; Ain[5] ; Input ; (0) ; +; Ain[6] ; Input ; (0) ; +; Ain[7] ; Input ; (0) ; ; C14M ; Input ; (0) ; ; Din[0] ; Input ; (0) ; ; Din[6] ; Input ; (0) ; @@ -503,14 +511,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Din[2] ; Input ; (0) ; ; Din[3] ; Input ; (0) ; ; nC07X ; Input ; (0) ; -; Ain[0] ; Input ; (0) ; -; Ain[1] ; Input ; (0) ; -; Ain[2] ; Input ; (0) ; -; Ain[3] ; Input ; (0) ; -; Ain[4] ; Input ; (0) ; -; Ain[5] ; Input ; (0) ; -; Ain[6] ; Input ; (0) ; -; Ain[7] ; Input ; (0) ; +-----------+----------+---------------+ @@ -519,22 +519,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ -; BA[1]~0 ; LC_X2_Y2_N7 ; 2 ; Clock enable ; no ; -- ; -- ; -; C14M ; PIN_12 ; 123 ; Clock ; yes ; Global Clock ; GCLK0 ; -; CS[0]~2 ; LC_X6_Y4_N2 ; 3 ; Clock enable ; no ; -- ; -- ; +; BA[0]~0 ; LC_X2_Y2_N7 ; 2 ; Clock enable ; no ; -- ; -- ; +; BA[0]~1 ; LC_X5_Y2_N0 ; 3 ; Clock enable ; no ; -- ; -- ; +; C14M ; PIN_12 ; 122 ; Clock ; yes ; Global Clock ; GCLK0 ; +; CS[0]~2 ; LC_X6_Y2_N2 ; 3 ; Clock enable ; no ; -- ; -- ; ; DQML~0 ; LC_X2_Y4_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -; Equal1~1 ; LC_X7_Y4_N1 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal1~2 ; LC_X7_Y4_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal1~4 ; LC_X5_Y4_N7 ; 4 ; Clock enable ; no ; -- ; -- ; -; Equal1~5 ; LC_X4_Y4_N9 ; 3 ; Clock enable ; no ; -- ; -- ; -; Mux14~0 ; LC_X2_Y2_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -; RAM2E_UFM:ram2e_ufm|RWMask~1 ; LC_X6_Y1_N3 ; 8 ; Clock enable ; no ; -- ; -- ; -; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X4_Y1_N1 ; 8 ; Clock enable ; no ; -- ; -- ; -; RAM2E_UFM:ram2e_ufm|always2~8 ; LC_X7_Y4_N3 ; 16 ; Clock enable ; no ; -- ; -- ; -; RA[2]~0 ; LC_X2_Y2_N5 ; 6 ; Clock enable ; no ; -- ; -- ; -; RDOE ; LC_X7_Y2_N7 ; 8 ; Output enable ; no ; -- ; -- ; -; S[0] ; LC_X7_Y3_N8 ; 35 ; Sync. clear ; no ; -- ; -- ; -; S[3] ; LC_X5_Y4_N6 ; 32 ; Sync. clear ; no ; -- ; -- ; +; Equal1~1 ; LC_X7_Y4_N9 ; 8 ; Clock enable ; no ; -- ; -- ; +; Equal1~2 ; LC_X7_Y4_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; Mux14~0 ; LC_X5_Y2_N8 ; 2 ; Clock enable ; no ; -- ; -- ; +; PHI1 ; PIN_37 ; 7 ; Clock ; yes ; Global Clock ; GCLK3 ; +; RAM2E_UFM:ram2e_ufm|RWMask~1 ; LC_X2_Y1_N4 ; 8 ; Clock enable ; no ; -- ; -- ; +; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X4_Y1_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; RAM2E_UFM:ram2e_ufm|always2~8 ; LC_X6_Y2_N6 ; 16 ; Clock enable ; no ; -- ; -- ; +; RA[1]~2 ; LC_X2_Y2_N8 ; 6 ; Clock enable ; no ; -- ; -- ; +; RDOE ; LC_X6_Y4_N2 ; 8 ; Output enable ; no ; -- ; -- ; +; S[0] ; LC_X7_Y2_N3 ; 32 ; Sync. clear ; no ; -- ; -- ; +; S[3] ; LC_X7_Y3_N8 ; 35 ; Sync. clear ; no ; -- ; -- ; +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ @@ -543,7 +543,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------+----------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +------+----------+---------+----------------------+------------------+ -; C14M ; PIN_12 ; 123 ; Global Clock ; GCLK0 ; +; C14M ; PIN_12 ; 122 ; Global Clock ; GCLK0 ; +; PHI1 ; PIN_37 ; 7 ; Global Clock ; GCLK3 ; +------+----------+---------+----------------------+------------------+ @@ -552,13 +553,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------+--------------------+ ; Routing Resource Type ; Usage ; +-----------------------+--------------------+ -; C4s ; 163 / 784 ( 21 % ) ; -; Direct links ; 46 / 888 ( 5 % ) ; -; Global clocks ; 1 / 4 ( 25 % ) ; -; LAB clocks ; 6 / 32 ( 19 % ) ; -; LUT chains ; 9 / 216 ( 4 % ) ; -; Local interconnects ; 324 / 888 ( 36 % ) ; -; R4s ; 142 / 704 ( 20 % ) ; +; C4s ; 169 / 784 ( 22 % ) ; +; Direct links ; 52 / 888 ( 6 % ) ; +; Global clocks ; 2 / 4 ( 50 % ) ; +; LAB clocks ; 7 / 32 ( 22 % ) ; +; LUT chains ; 3 / 216 ( 1 % ) ; +; Local interconnects ; 351 / 888 ( 40 % ) ; +; R4s ; 168 / 704 ( 24 % ) ; +-----------------------+--------------------+ @@ -574,21 +575,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 23 ; +; 8 ; 0 ; +; 9 ; 2 ; +; 10 ; 22 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.54) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.58) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ -; 1 Clock ; 23 ; -; 1 Clock enable ; 10 ; +; 1 Clock ; 22 ; +; 1 Clock enable ; 11 ; ; 1 Sync. clear ; 2 ; ; 2 Clock enables ; 2 ; +; 2 Clocks ; 1 ; +------------------------------------+------------------------------+ @@ -605,9 +607,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 21 ; +; 8 ; 0 ; +; 9 ; 2 ; +; 10 ; 20 ; ; 11 ; 1 ; ; 12 ; 0 ; ; 13 ; 0 ; @@ -619,50 +621,49 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 7.08) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 7.50) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 1 ; +; 1 ; 0 ; ; 2 ; 0 ; -; 3 ; 2 ; +; 3 ; 1 ; ; 4 ; 1 ; -; 5 ; 3 ; -; 6 ; 2 ; -; 7 ; 3 ; +; 5 ; 1 ; +; 6 ; 6 ; +; 7 ; 2 ; ; 8 ; 4 ; -; 9 ; 4 ; -; 10 ; 3 ; +; 9 ; 6 ; +; 10 ; 2 ; ; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 1 ; +; 12 ; 1 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 12.17) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 12.88) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 0 ; -; 4 ; 1 ; +; 4 ; 2 ; ; 5 ; 0 ; -; 6 ; 1 ; -; 7 ; 2 ; -; 8 ; 2 ; +; 6 ; 0 ; +; 7 ; 1 ; +; 8 ; 0 ; ; 9 ; 1 ; ; 10 ; 2 ; -; 11 ; 1 ; -; 12 ; 3 ; -; 13 ; 3 ; -; 14 ; 1 ; +; 11 ; 5 ; +; 12 ; 2 ; +; 13 ; 1 ; +; 14 ; 2 ; ; 15 ; 1 ; -; 16 ; 2 ; -; 17 ; 2 ; -; 18 ; 1 ; -; 19 ; 0 ; +; 16 ; 1 ; +; 17 ; 1 ; +; 18 ; 3 ; +; 19 ; 1 ; ; 20 ; 0 ; ; 21 ; 0 ; ; 22 ; 0 ; @@ -702,6 +703,8 @@ Info (176444): Device migration not selected. If you intend to use device migrat Info (176445): Device EPM570T100A5 is compatible Info (332104): Reading SDC File: '../RAM2E.sdc' Info (332104): Reading SDC File: '../RAM2E-MAX.sdc' +Warning (332060): Node: PHI1 was determined to be a clock but was found without an associated clock assignment. + Info (13166): Register RefReq is being clocked by PHI1 Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements Info (332111): Found 3 clocks Info (332111): Period Clock Name @@ -711,12 +714,27 @@ Info (332111): Found 3 clocks Info (332111): 200.000 ram2e_ufm|DRCLK|regout Info (186079): Completed User Assigned Global Signals Promotion Operation Info (186215): Automatically promoted signal "C14M" to use Global clock in PIN 12 File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 8 +Info (186216): Automatically promoted some destinations of signal "PHI1" to use Global clock File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 8 + Info (186217): Destination "nVOE~0" may be non-global or may not use global clock File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 59 + Info (186217): Destination "PHI1r" may be non-global or may not use global clock File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 20 + Info (186217): Destination "S~2" may be non-global or may not use global clock File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 19 + Info (186217): Destination "S[2]~9" may be non-global or may not use global clock File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 21 +Info (186228): Pin "PHI1" drives global clock, but is not placed in a dedicated clock pin position File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 8 Info (186079): Completed Auto Global Promotion Operation Info (176234): Starting register packing Info (186468): Started processing fast register assignments +Warning (186473): Ignored the FAST_OUTPUT_REGISTER assignment made to the following nodes + Warning (186484): Ignored assignment to node "RAout[0]" because node "RAr[0]", which is feeding it, is not a register File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 87 + Warning (186484): Ignored assignment to node "RAout[1]" because node "RAr[1]", which is feeding it, is not a register File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 87 + Warning (186484): Ignored assignment to node "RAout[2]" because node "RAr[2]", which is feeding it, is not a register File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 87 + Warning (186484): Ignored assignment to node "RAout[3]" because node "RAr[3]", which is feeding it, is not a register File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 87 + Warning (186484): Ignored assignment to node "RAout[4]" because node "RAr[4]", which is feeding it, is not a register File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 87 + Warning (186484): Ignored assignment to node "RAout[5]" because node "RAr[5]", which is feeding it, is not a register File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 87 + Warning (186484): Ignored assignment to node "RAout[6]" because node "RAr[6]", which is feeding it, is not a register File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 87 + Warning (186484): Ignored assignment to node "RAout[7]" because node "RAr[7]", which is feeding it, is not a register File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 87 Info (186469): Finished processing fast register assignments Info (176235): Finished register packing -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 @@ -724,18 +742,18 @@ Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning -Info (170089): 5e+01 ns of routing delay (approximately 3.0% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. -Info (170195): Router estimated average interconnect usage is 24% of the available device resources - Info (170196): Router estimated peak interconnect usage is 24% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170089): 5e+01 ns of routing delay (approximately 3.1% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. +Info (170195): Router estimated average interconnect usage is 26% of the available device resources + Info (170196): Router estimated peak interconnect usage is 26% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170202): The Fitter performed an Auto Fit compilation. No optimizations were skipped because the design's timing and routability requirements required full optimization. Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 -Info (11888): Total time spent on timing analysis during the Fitter is 0.44 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.52 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Info (144001): Generated suppressed messages file Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.fit.smsg -Info: Quartus Prime Fitter was successful. 0 errors, 1 warning - Info: Peak virtual memory: 13748 megabytes - Info: Processing ended: Thu Jan 11 09:29:23 2024 - Info: Elapsed time: 00:00:03 +Info: Quartus Prime Fitter was successful. 0 errors, 11 warnings + Info: Peak virtual memory: 13751 megabytes + Info: Processing ended: Tue Jan 16 14:28:00 2024 + Info: Elapsed time: 00:00:04 Info: Total CPU time (on all processors): 00:00:04 diff --git a/CPLD/MAXII/output_files/RAM2E.fit.summary b/CPLD/MAXII/output_files/RAM2E.fit.summary index dedcf93..24879ac 100644 --- a/CPLD/MAXII/output_files/RAM2E.fit.summary +++ b/CPLD/MAXII/output_files/RAM2E.fit.summary @@ -1,4 +1,4 @@ -Fitter Status : Successful - Thu Jan 11 09:29:23 2024 +Fitter Status : Successful - Tue Jan 16 14:28:00 2024 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition Revision Name : RAM2E Top-level Entity Name : RAM2E diff --git a/CPLD/MAXII/output_files/RAM2E.flow.rpt b/CPLD/MAXII/output_files/RAM2E.flow.rpt index 9fab878..28ccc30 100644 --- a/CPLD/MAXII/output_files/RAM2E.flow.rpt +++ b/CPLD/MAXII/output_files/RAM2E.flow.rpt @@ -1,5 +1,5 @@ Flow report for RAM2E -Thu Jan 11 09:29:28 2024 +Tue Jan 16 14:28:05 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -41,7 +41,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------------------------------------------------------+ ; Flow Summary ; +-----------------------+-------------------------------------------------------------+ -; Flow Status ; Successful - Thu Jan 11 09:29:25 2024 ; +; Flow Status ; Successful - Tue Jan 16 14:28:02 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; @@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 01/11/2024 09:28:55 ; +; Start date & time ; 01/16/2024 14:27:30 ; ; Main task ; Compilation ; ; Revision Name ; RAM2E ; +-------------------+---------------------+ @@ -71,7 +71,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------+------------------------------+---------------+-------------+------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +---------------------------------------+------------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 121381084694.170498333501484 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 121381084694.170543325000956 ; -- ; -- ; -- ; ; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; ; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; ; NUM_PARALLEL_PROCESSORS ; 4 ; -- ; -- ; -- ; @@ -86,11 +86,11 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:25 ; 1.0 ; 13116 MB ; 00:00:41 ; -; Fitter ; 00:00:03 ; 1.0 ; 13748 MB ; 00:00:04 ; +; Analysis & Synthesis ; 00:00:25 ; 1.0 ; 13117 MB ; 00:00:42 ; +; Fitter ; 00:00:04 ; 1.0 ; 13751 MB ; 00:00:04 ; ; Assembler ; 00:00:01 ; 1.0 ; 13070 MB ; 00:00:01 ; -; Timing Analyzer ; 00:00:02 ; 1.0 ; 13066 MB ; 00:00:01 ; -; Total ; 00:00:31 ; -- ; -- ; 00:00:47 ; +; Timing Analyzer ; 00:00:02 ; 1.0 ; 13069 MB ; 00:00:01 ; +; Total ; 00:00:32 ; -- ; -- ; 00:00:48 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/CPLD/MAXII/output_files/RAM2E.map.rpt b/CPLD/MAXII/output_files/RAM2E.map.rpt index f932d7f..f182e19 100644 --- a/CPLD/MAXII/output_files/RAM2E.map.rpt +++ b/CPLD/MAXII/output_files/RAM2E.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for RAM2E -Thu Jan 11 09:29:19 2024 +Tue Jan 16 14:27:55 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -46,12 +46,12 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Thu Jan 11 09:29:19 2024 ; +; Analysis & Synthesis Status ; Successful - Tue Jan 16 14:27:55 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX II ; -; Total logic elements ; 244 ; +; Total logic elements ; 252 ; ; Total pins ; 70 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -163,33 +163,33 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 244 ; -; -- Combinational with no register ; 121 ; -; -- Register only ; 32 ; -; -- Combinational with a register ; 91 ; +; Total logic elements ; 252 ; +; -- Combinational with no register ; 126 ; +; -- Register only ; 33 ; +; -- Combinational with a register ; 93 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 118 ; -; -- 3 input functions ; 41 ; -; -- 2 input functions ; 48 ; -; -- 1 input functions ; 4 ; +; -- 4 input functions ; 115 ; +; -- 3 input functions ; 55 ; +; -- 2 input functions ; 45 ; +; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 230 ; +; -- normal mode ; 238 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 3 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 123 ; +; Total registers ; 126 ; ; Total logic cells in carry chains ; 15 ; ; I/O pins ; 70 ; ; UFM blocks ; 1 ; ; Maximum fan-out node ; C14M ; -; Maximum fan-out ; 123 ; -; Total fan-out ; 977 ; +; Maximum fan-out ; 122 ; +; Total fan-out ; 1001 ; ; Average fan-out ; 3.10 ; +---------------------------------------------+-------+ @@ -199,8 +199,8 @@ https://fpgasoftware.intel.com/eula. +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ -; |RAM2E ; 244 (181) ; 123 ; 1 ; 70 ; 0 ; 121 (91) ; 32 (24) ; 91 (66) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ; -; |RAM2E_UFM:ram2e_ufm| ; 63 (63) ; 33 ; 1 ; 0 ; 0 ; 30 (30) ; 8 (8) ; 25 (25) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ; +; |RAM2E ; 252 (192) ; 126 ; 1 ; 70 ; 0 ; 126 (98) ; 33 (25) ; 93 (69) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ; +; |RAM2E_UFM:ram2e_ufm| ; 60 (60) ; 32 ; 1 ; 0 ; 0 ; 28 (28) ; 8 (8) ; 24 (24) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM ; work ; ; |UFM_altufm_none_lbr:UFM_altufm_none_lbr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component ; UFM_altufm_none_lbr ; work ; +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ @@ -221,32 +221,33 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 123 ; +; Total registers ; 126 ; ; Number of registers using Synchronous Clear ; 3 ; ; Number of registers using Synchronous Load ; 0 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 62 ; +; Number of registers using Clock Enable ; 59 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ -+--------------------------------------------------+ -; Inverted Register Statistics ; -+----------------------------------------+---------+ -; Inverted Register ; Fan out ; -+----------------------------------------+---------+ -; nRASout~reg0 ; 1 ; -; nCASout~reg0 ; 1 ; -; nRWEout~reg0 ; 1 ; -; DQML~reg0 ; 1 ; -; DQMH~reg0 ; 1 ; -; CKE ; 1 ; -; nRAS ; 1 ; -; nCAS ; 1 ; -; nRWE ; 1 ; -; Total number of inverted registers = 9 ; ; -+----------------------------------------+---------+ ++---------------------------------------------------+ +; Inverted Register Statistics ; ++-----------------------------------------+---------+ +; Inverted Register ; Fan out ; ++-----------------------------------------+---------+ +; CKEout~reg0 ; 1 ; +; nRASout~reg0 ; 1 ; +; nCASout~reg0 ; 1 ; +; nRWEout~reg0 ; 1 ; +; DQML~reg0 ; 1 ; +; DQMH~reg0 ; 1 ; +; CKE ; 1 ; +; nRAS ; 1 ; +; nCAS ; 1 ; +; nRWE ; 1 ; +; Total number of inverted registers = 10 ; ; ++-----------------------------------------+---------+ +----------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -254,12 +255,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ -; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[0] ; -; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |RAM2E|CS[0] ; +; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[2] ; +; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |RAM2E|CS[1] ; ; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |RAM2E|RAM2E_UFM:ram2e_ufm|RWMask[5] ; -; 16:1 ; 2 bits ; 20 LEs ; 2 LEs ; 18 LEs ; Yes ; |RAM2E|BA[1]~reg0 ; -; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |RAM2E|RA[4] ; -; 19:1 ; 2 bits ; 24 LEs ; 4 LEs ; 20 LEs ; Yes ; |RAM2E|RA[2] ; +; 16:1 ; 2 bits ; 20 LEs ; 2 LEs ; 18 LEs ; Yes ; |RAM2E|BA[0]~reg0 ; +; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |RAM2E|RA[6] ; +; 19:1 ; 2 bits ; 24 LEs ; 4 LEs ; 20 LEs ; Yes ; |RAM2E|RA[1] ; ; 10:1 ; 2 bits ; 12 LEs ; 4 LEs ; 8 LEs ; Yes ; |RAM2E|DQML~reg0 ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ @@ -281,7 +282,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition - Info: Processing started: Thu Jan 11 09:28:54 2024 + Info: Processing started: Tue Jan 16 14:27:30 2024 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E-MAXII -c RAM2E Info (20032): Parallel compilation is enabled and will use up to 4 processors Info (12021): Found 1 design units, including 1 entities, in source file /repos/ram2e/cpld/ram2e.v @@ -292,33 +293,33 @@ Info (12021): Found 2 design units, including 2 entities, in source file ufm.v Info (12023): Found entity 1: UFM_altufm_none_lbr File: Y:/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 47 Info (12023): Found entity 2: UFM File: Y:/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 166 Info (12127): Elaborating entity "RAM2E" for the top level hierarchy -Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 112 -Info (12128): Elaborating entity "UFM" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst" File: Y:/Repos/RAM2E/CPLD/UFM-MAX.v Line: 79 +Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 138 +Info (12128): Elaborating entity "UFM" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst" File: Y:/Repos/RAM2E/CPLD/UFM-MAX.v Line: 78 Info (12128): Elaborating entity "UFM_altufm_none_lbr" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component" File: Y:/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 217 Warning (13024): Output pins are stuck at VCC or GND - Warning (13410): Pin "nCSout" is stuck at GND File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 78 -Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52 -Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52 -Warning (14632): Output pin "Dout[2]" driven by bidirectional pin "RD[2]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52 -Warning (14632): Output pin "Dout[3]" driven by bidirectional pin "RD[3]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52 -Warning (14632): Output pin "Dout[4]" driven by bidirectional pin "RD[4]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52 -Warning (14632): Output pin "Dout[5]" driven by bidirectional pin "RD[5]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52 -Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52 -Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52 + Warning (13410): Pin "nCSout" is stuck at GND File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 77 +Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 50 +Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 50 +Warning (14632): Output pin "Dout[2]" driven by bidirectional pin "RD[2]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 50 +Warning (14632): Output pin "Dout[3]" driven by bidirectional pin "RD[3]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 50 +Warning (14632): Output pin "Dout[4]" driven by bidirectional pin "RD[4]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 50 +Warning (14632): Output pin "Dout[5]" driven by bidirectional pin "RD[5]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 50 +Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 50 +Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 50 Warning (21074): Design contains 1 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "nWE80" File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 11 -Info (21057): Implemented 315 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 323 device resources after synthesis - the final resource count might be different Info (21058): Implemented 22 input pins Info (21059): Implemented 40 output pins Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 244 logic cells + Info (21061): Implemented 252 logic cells Info (21070): Implemented 1 User Flash Memory blocks Info (144001): Generated suppressed messages file Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.map.smsg Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 12 warnings - Info: Peak virtual memory: 13116 megabytes - Info: Processing ended: Thu Jan 11 09:29:19 2024 + Info: Peak virtual memory: 13117 megabytes + Info: Processing ended: Tue Jan 16 14:27:55 2024 Info: Elapsed time: 00:00:25 - Info: Total CPU time (on all processors): 00:00:41 + Info: Total CPU time (on all processors): 00:00:42 +------------------------------------------+ diff --git a/CPLD/MAXII/output_files/RAM2E.map.summary b/CPLD/MAXII/output_files/RAM2E.map.summary index ce6c7eb..20c5b55 100644 --- a/CPLD/MAXII/output_files/RAM2E.map.summary +++ b/CPLD/MAXII/output_files/RAM2E.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Thu Jan 11 09:29:19 2024 +Analysis & Synthesis Status : Successful - Tue Jan 16 14:27:55 2024 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX II -Total logic elements : 244 +Total logic elements : 252 Total pins : 70 Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXII/output_files/RAM2E.pof b/CPLD/MAXII/output_files/RAM2E.pof index 041a29044d9d4ccbb0ee69337530ba51fe528fb4..329b5f6eec060e6b963e3e12f5551382bd624bf4 100644 GIT binary patch literal 7877 zcmeHMe{@vUou3E^c;x3=Ep!!9TWwEkF%Y9mk%ZP?J@pq|1x|Y!!IlJBNoEC-DdL-p zd%D!!6|JYm8ga<#u2##EoGwRL8E3A)pyDPIY!`AMd2`V{OjhE&7aC_?=Dpne-Os&m zg4nZX|K4*}IFtMC{eC~+@ArOx-TP*i-*rnQ5~+wxF@GEJ_j_&kZQR_pse1Xw^({^K z8(p{YzLu7zjnylgHf~xUZLMCoc)`L2HPzp4Tfbp#^>x?RRM#xNX3?T+7S$|VT)q6h z%|E`sX;XF0f|^B}Hm|L|`@7Y*uixBMee>G&n}Lm75Sb1YH!r_q(X}=AEUc-yu`V(x zav_#hv~FI%c|+6MNF{jmzuA##Uoa2)&rxlnA|lz)IkcOI6}Bi+)Hl>SM5G?x-6uga zbeEVC;ES2L$SW^g2R(<6Bo+f*N%A@~<9gokfg+dWkN?PuAw4j1@EkXDFndZ`!SFdb z6Ldu$@LVYp$^!2v>sqd!YdU$rlXQtf`TU%G1=}>AqxEdfpN|)My-1!J)3jPGjbUG! z4P3=Vk1mnI=?_9=Q94}zA*wvsHKFCTh*BgfVBIB}AJnFGhM zo3b68C{L2>x$OmI`*}DTeoy1QxaM~U%5)0%50DZb6(|r2@>A#JldVYaMcG7<|!{sT(AN7S4ywgy&vlZOp$qxmB#~g*a%(6bov+{pU-VZYZ_JTt$FMTL}*|0S79Ts_y&ZSws%Y~Bk9_&vyh z{5^s6hGGywlINkio!PSARb#uDEgD9h!G2{4rL_FL*F|o~OUX~p2JFS4nZRgNvI zsJc2b{*9GabZNiI>o5IWZpxa-^opaI+)rkJ{>7>)Co-Nodk}hnukWn^Jtx0%O1#Lv z1Ns{khaevKJbIHd_R$XqZ&}y;_RWKjJ>J}W z!=}W0b2}c7{q{rKw##*u$-MZZoRiONIOaI@ixV&ZTWz*>*KIpGIzqaTTqWT*F1&U| z)JBf+1Hx(SlboW8;)p-3E)qxHBX8s6rGkn4w$TqQqNw*AvUnnPzI+B9GAL2coKg67@Jg`C*G`^TJ zR)hX>XK0U+x1R$K|LFV+a?)QpNB|-&po~NKaO;Sl()tv=CPf>>G2-}BaIOr}D!`d-h?JKpMsma(O=^zV+H zmC&qldAbAs+EIE1``{-~>N>9R4e!63vnJ1}n>9XT-ikSq zrk_+yn|E;}nV4H`@GXUbK>e)o;Wm1`PklrUFVKfas{V88$B8YG5FZ`L7l$g_FVXZ3 zW1v?YsoE6LbluiqpWSmf)|~78;bVQxw?6#-#{WIo?KYTIuc`I35^r#^6;(>*hG zwmS9u63;!;k=Zx!@RfLFZ=_ z@zorV&pP$UrR9+`jA$cS(%{wXhE7W7dpz$_XI6FV^Q#~A23)y69K>la5a+aD>DB|t zS3ype3c!c`jaCECM8WmkVt`YIynKE%OYnvbQz^MdE$2=uQ&*PE7W0k20{k~mMfYZGH}>>pWe_Ls*k$>5V7 zOOG?lCa<7&MUkg5p4B)@_b0MLeZ#0j;wVEU(Y&-=+tTs{<(;f>T;<6isn@v-YC}AU zK=Z9wk?%f!`(EmmoBE1dbsb0%<`?Q$Ko58{AL>3(s7y)eaBlhq;sP@0NsBnGG<;!v zC42J~B?Rb!R6bKO89dP*{JTm8PaAxmLmmGMZsR4w~z&)?j6uN<$T`T9+(d#oWoqToVj6tbUeOf-;Fyu7MuMy3OEjD4*a9>>P4ibaC0(`dRZ0z)?^D=;VuB;>xKchkyUUL&;mdeA|3f^tX$X3JVNro+ zl~k5nrt82#z451_n2j7Pt~-G974-(JM9re)klik?=X$=CrHM=EEB7CbFP}%A0G%jn za1-`hs6U+VF_+S=XCoQ4-?0@k_dCdEs2gb$?9Xa^Ku@7&B&34R+|%_F@`SR=Yb~IZ za;Qyhu%Uwo^~Wq-f5RKY^=K6J753+_&Xku&mZh~kDUwAO_fzEj9Gh;k{em1Kc>lufghm$MK>Tx!uPh?-gKGlp6CTjCj`PN}3U+@L$V6{gBop z%&f}`B=}(o3koS^@Rxu`^A(B#$GL@lyQ9b3Jl_^}Isb7^#~?L|?JG`}DzUH|v*z&_^xtzBzA^Hq{=R;f0<|e%~zw({8rc}kJ zkF<<0dn0qv%#nQmBW8aEwq>bm`s!HavSTx<;_tlPGWf-xR!y2TlIVZL;1dUbIZd(K z*WBFm))Q6RrY)R4_6E(EQu}`5$yW{D=D!?K>%KFu^7Y)ztJb^|nLbweg{s=xvxz64 zEAP*?Gwa&D-rk?~wcm8vmv+9_VLu+be$+l;8+x8U`sns|zx@2^wF`FUob=os|MIUL z+1grt|19I-N8|$h|koJdI~vf8f`z7kCxZr!?r04ZF4dumk5S*0kR9M%`b_^O&Z`y9gH~^nKKg?jE?$ zk$sGCf6d~Yz?u@+Cal%CJaJ7gNUr6M8ae5p+l*zoct6AbVN{xiqOhMEyI^35*ZYPZ z5A`V?=ms5fI68_Rv5vD6`IpBz2E3jV?+SE3!7+>Z3;r>3*s2wF67giXT%v8L0(p&+ z!u-N{sql7bsX)Mletmg0a=e2^KI%8Ec#2tqcxHT8N{%l{wz91xd5GVMn~v6FGuXq3 z*=}#2@u%m8^~bqy=7pkzIEoT@eMjIP6v~IaR6O9iCE>Ea+za~!1pvJiof2^ zPF&-$-~3r1Bx8lH!LOH6wH1&+^xQ*_Z9lW;uh;ZmbaYC*@}*gq%Shyw$PFVG-aobL z_n;Tpw!@A*HKk(e(acpVeiKQYtccul!8HGpHR1hp?>ApRq^`ezO~v;|X8Mz-F1E&= z+`V8<%}COK<{A#J=$#*Zl`2EDI<@#dIhNu3@agO9>9QsjneKPUfq9vJR^0vLnCB}Z^&r#ez z@kWpRc!eS4o#)XJTNwYqzlbmMkRIS?Tn3z(^CqqZkA^0D0#0T}neK+c*$mHqP5+UFuJQ^^|sXt#B z`DVQY4xDymb%T#Lhx`D2(*GI0e_^Knlq~pC@~$Fk8+8@-2go*c4#`ChoaAUA;4k;L z62&3^VM;YZzI;|+bFWh*sDwm51MDll5RT{R_X7uCkD>lJhrJBvbUF(<`i9blD$zuX z>9n@b$0NSa0m0y7Oo;(eo)78%5+~0?e7MFp;RC+FP8mVo7W5{#QAyX!mhT_OiZ1Gl zD0&dq!7RL|)$i2k(9beH#mQ^Uz?-CyjogRX{(RiEePw0Gd z2EFgIBiJ_))SiuF#PK22-AzWa9WXa798Zk^(Hp1SDS#P}~BnK$jx(WR4X%>A=5 z==*j^f3vf7+ssZme(}#Qt=={|_sWWL{q^*q{)P?>CQyI%n-Zgmr!%#i-nUPL^=DJ2 zXZv?sGwU=+cn14LrK5?{p^L?7e8UC>ThE*94 z{hYEMNB(NPTE4bJdRm{)CiLp_>zsacPMwzlI~bm8S}x?BqkE`Z&Cl?)&-u8reDoXi z9en?6`bDTWI3Ew3*(dlX^iGU=og06I`p#dUupj;*_9uFcJz6i;S$RCie{TMX-cbL< zd}0j!LrEn*O)sziFY?8C_Hgv4PvieYKG$>jpP$5N?gwwF9JI?0|{rnl<{{=tHiAa0JrU`m3*jt#78|A1ws(D1wscvod`SA{{DdYl!+FDSamC5MT>= zyC?R&1|6lHsl{i?3n^{YX&p$XR`O)oJy8UwzWk`23KF<`TO=DL?!92#z3kqc^L?N1 zxf|5h_y3!D!eq}q=llJBzTflxanASduD)x9Wm#p`4D(r!&#!NNVDpx(ZIRWRH#Kj> zXKT~u2b!BVZjP+oxVddpYfEI|()kPLS4aMF>!yb`M82}5I#RuK!JJ8YaVVc`rw`E`){AvtVLwy2A7dZy&&cQC zfRCXY{RY>*v|qyyT%vGaqAuK*1AUk9O1KVo1%42~AMPVC@*&+e_nep+o8uKrv@5BiI@G73N2y`#!yk5(bE>Jfmnf}7w%`abh{J!AR>HSP4A&Uuf5{=oHDueN?ub?r|=`Pwf< z^o)PMpep<8)<1O*)2(NgTj#5;eagtId66$c{%uwHZspvk<}!VH=Zj45F!CxmeR@UH zhO>jGA0JxLIJd3)^{%d=Xw5IWyLe|XJQx~y=1j)T4n6dSJCIu1{i_>m_SV#_+11q* z(!C_<1oB5ZV_I-LU8Tv@S!8Ev|Lmtalh^Qo3X%cyC4n8%75H0_Kd5_8DdyWt0(wsw zdJglvpgPVE#pjA^>~vtPqd`JPP7^;gUf9VAM*q7<`svenr|r zKH^MDQ;$$ce60Jh&(v2|YM$#D;+=B((uyXE=@1ur%km&f7!G-@9T~&IL6W`=SfHsy>IC>%9{hQnc`@uEjrvteQcAZ5DrhkDB;!*YUgrG>!yk84pc2yH ztMoeH^Ddar!6=o^wX#2re0PqTwDA0p4t>m*IDxq~^@EYLLz8BGxS2BpY}Z*wFn=;Rb>4{A59j|wWFhZq-+`ZeUw<@8o#lH7!Y|J}Dlgq!JlSFY^8jCq2>x_O`d&+HnW?OgHJM@(+##7+ec_fmm<8u-5 zS^Sxy%->ndZhuKHS+V+?JKre0?bTL4JvqB1$OXvn zL#P|9$Hue+eBtB%K;9Gb7YB?I$&#FOAaC@>!}<&N;X6~fTz`yDY2Vy`Sg(g2TR%*P z{pS92*q?Dwls8_Y3ug*H1t6wtYQR`mqVH*U9S8bdoI3=0_!(zgY(L2~cx@oV++3~! z^@AuXofN5hkxTM@$9BbhS9_}7j{07@;S}Th0KT{$Gx<;1QFm&-4xKl`^9dK$0!^Y>;pZZ%1gq9DP~OXzy4)t$(=U=L^cK^o1*reXTA~*L4{A?jF^j*}0)(`K`fkiP{ce%@&E!zac;8jgzM11*QE!H(dpkM*gfLzJ#_Z%rlIKJli{$0KEaIkp9k&CV*KP|cP0=YMe>Zr*r_?Al zYfq?{O?3zbEx#)p=09aN=9P07$1O$LL5CRfhjPGyyx_XCMSg@5TPYf35>FRm=mLzr z>_36??xoh7be~G8!+(F{TxasIJ-^S`7lZD&S5VEUVjZ7z@@^pJX`Pom5v&c**H@-x z5<|y!Yg?@iD5?VIgu7_mj|Brpe)qDQXJpELz05>lf|1CWT^Ai!IuZ<*jQ4ki)Ghz)|8D^ zMLLYUq8k=uW>ma#=gR~0R&;jD@{7~1uBeGj*j8sK?+pwbi)J$Q0|Q=TQ*90IKYJ*; z(C^-J($EzRZFus*RqkNR7mu!5Ra-Oo%SB!3n#66pp6@dB7$TESzzI$r`V!7>TexPK@4oxzA4+}ip1IPku2;+mS2&Kde7~?`Iq+Ozl~z$xJU;T)gS z5DNFB+#w;T056OXwbzq(AnrMF;2U~D=H$6`>(Ybb;&8lOKQ>6cH|aXJ_+oGqtR$)z z?PJ=N-q!r9a_`}!*lq{!e)IiA6#gjH-+?y|;_fdzMs)7gQ@Lc(KXG8(R`n~78vK2S zZncU>Ze9KKA5YI%8=3jt@{5+W%Id%P=!1_Qz-uP%-Ln41SIbsEeA%C_xVnSsWtYvF z-k(&TLp#U&Ue-S|Q#rGutpDtf?kIoiz1bJvDyyjb%llOu%8Y*tb!V+Jm0#;Dzvb+Y zR#{K|c6Oo6s<@*6{q576&<=23y)uxAZo~ce_;5>OZOw}lJtxoAByj)PCI7se>3lGg zdB>gq#%u20uI_#RwP)ywJ-GkE`YQmU7zhIY7~TWW!Qd5(qVx{1Lww{b+bQ60Ps@5d zYp)k!=DcD34o2Gnjx(aw2{iiA;x^y62a^e=U%;R7vxJ;rewHUH_|mMSK4nRdhlWz# z8-QPN8hM63b&mj_iY57?M4mGa{t6`N9QU1|6{&&OMYzs$qtEA&&jEfvE0CabGPGmF z(33cC{GcG1Kg|F4u(uEJ`&jQ9#@A@m87J)1Mc7xHIoGd8?)s)vFg!@bao%H{?ckB` zKP5dHA{xg$&}oT!^G*B;$0Az|zkTX%bx;a)Rh+gmBZdZc9hs!~{WmV$Mf3WfygzbY zSse?Yg6yiF&C1Mpd*#t1{h-gZW?%fmT+lCcSsliHMR(%p`yPW|dcO$m z4RNI5ga73Sc*1_*WMMhpSy(T}rRabk-`^NJ5JZ&96dv*K13w++-v?@Y`1gU|qfaOb zzVSc0AA^Inzh3`j{!u^uU-HMb)~xB5ei4fBFG2|5C;vY2z$YH~f9ipm=C@+1{~tX8 Bg%AJ$ diff --git a/CPLD/MAXII/output_files/RAM2E.sta.rpt b/CPLD/MAXII/output_files/RAM2E.sta.rpt index baa9ce5..a658af7 100644 --- a/CPLD/MAXII/output_files/RAM2E.sta.rpt +++ b/CPLD/MAXII/output_files/RAM2E.sta.rpt @@ -1,5 +1,5 @@ Timing Analyzer report for RAM2E -Thu Jan 11 09:29:28 2024 +Tue Jan 16 14:28:05 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -17,8 +17,8 @@ Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Editio 9. Recovery Summary 10. Removal Summary 11. Minimum Pulse Width Summary - 12. Setup: 'ram2e_ufm|DRCLK|regout' - 13. Setup: 'ram2e_ufm|ARCLK|regout' + 12. Setup: 'ram2e_ufm|ARCLK|regout' + 13. Setup: 'ram2e_ufm|DRCLK|regout' 14. Setup: 'C14M' 15. Hold: 'ram2e_ufm|DRCLK|regout' 16. Hold: 'ram2e_ufm|ARCLK|regout' @@ -84,7 +84,7 @@ https://fpgasoftware.intel.com/eula. ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 0.1% ; +; Processor 2 ; 0.0% ; +----------------------------+-------------+ @@ -93,8 +93,8 @@ https://fpgasoftware.intel.com/eula. +------------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +------------------+--------+--------------------------+ -; ../RAM2E.sdc ; OK ; Thu Jan 11 09:29:28 2024 ; -; ../RAM2E-MAX.sdc ; OK ; Thu Jan 11 09:29:28 2024 ; +; ../RAM2E.sdc ; OK ; Tue Jan 16 14:28:04 2024 ; +; ../RAM2E-MAX.sdc ; OK ; Tue Jan 16 14:28:04 2024 ; +------------------+--------+--------------------------+ @@ -116,7 +116,7 @@ https://fpgasoftware.intel.com/eula. +-----------+-----------------+------------------------+------+ ; 10.0 MHz ; 10.0 MHz ; ram2e_ufm|ARCLK|regout ; ; ; 10.0 MHz ; 10.0 MHz ; ram2e_ufm|DRCLK|regout ; ; -; 70.81 MHz ; 70.81 MHz ; C14M ; ; +; 68.96 MHz ; 68.96 MHz ; C14M ; ; +-----------+-----------------+------------------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -126,9 +126,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------------------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +------------------------+---------+---------------+ -; ram2e_ufm|DRCLK|regout ; -23.738 ; -23.738 ; -; ram2e_ufm|ARCLK|regout ; -23.720 ; -23.720 ; -; C14M ; -9.644 ; -106.641 ; +; ram2e_ufm|ARCLK|regout ; -23.682 ; -23.682 ; +; ram2e_ufm|DRCLK|regout ; -23.562 ; -23.562 ; +; C14M ; -8.731 ; -96.469 ; +------------------------+---------+---------------+ @@ -137,9 +137,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------------------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +------------------------+---------+---------------+ -; ram2e_ufm|DRCLK|regout ; -16.287 ; -16.287 ; -; ram2e_ufm|ARCLK|regout ; -16.279 ; -16.279 ; -; C14M ; 1.421 ; 0.000 ; +; ram2e_ufm|DRCLK|regout ; -16.461 ; -16.461 ; +; ram2e_ufm|ARCLK|regout ; -16.317 ; -16.317 ; +; C14M ; 1.433 ; 0.000 ; +------------------------+---------+---------------+ @@ -166,132 +166,132 @@ No paths to report. +------------------------+--------+---------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'ram2e_ufm|DRCLK|regout' ; -+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -23.738 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -1.671 ; 2.068 ; -; -23.712 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -1.671 ; 2.042 ; -; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 200.000 ; 0.000 ; 80.000 ; -+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ - - +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Setup: 'ram2e_ufm|ARCLK|regout' ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -23.720 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.001 ; -1.663 ; 2.058 ; +; -23.682 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.001 ; -1.630 ; 2.053 ; ; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 200.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Setup: 'ram2e_ufm|DRCLK|regout' ; ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ +; -23.562 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -1.497 ; 2.066 ; +; -23.538 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -1.497 ; 2.042 ; +; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 200.000 ; 0.000 ; 80.000 ; ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ + + +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Setup: 'C14M' ; +--------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ -; -9.644 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.671 ; 10.983 ; -; -9.644 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.671 ; 10.983 ; -; -9.157 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.671 ; 10.496 ; -; -9.157 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.671 ; 10.496 ; -; -9.157 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.671 ; 10.496 ; -; -9.157 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.671 ; 10.496 ; -; -9.157 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.671 ; 10.496 ; -; -9.157 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.671 ; 10.496 ; -; -8.710 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.671 ; 10.049 ; -; -8.708 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.671 ; 10.047 ; -; -8.612 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|LEDEN ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.671 ; 9.951 ; -; -6.381 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.671 ; 7.720 ; -; 31.279 ; RA[8] ; RAout[8]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 3.308 ; -; 31.326 ; RA[11] ; RAout[11]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 3.261 ; -; 31.442 ; RA[9] ; RAout[9]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 3.145 ; -; 31.464 ; RA[0] ; RAout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 3.123 ; -; 31.631 ; RA[10] ; RAout[10]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.956 ; -; 31.767 ; CKE ; CKEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.820 ; -; 31.783 ; RA[5] ; RAout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.804 ; -; 31.887 ; RA[3] ; RAout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.700 ; -; 32.525 ; nCAS ; nCASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.062 ; -; 32.582 ; nRWE ; nRWEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.005 ; -; 32.583 ; RA[1] ; RAout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.004 ; -; 32.593 ; nRAS ; nRASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 1.994 ; -; 32.721 ; RA[4] ; RAout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 1.866 ; -; 32.969 ; RA[2] ; RAout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 1.618 ; -; 32.978 ; RA[7] ; RAout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 1.609 ; -; 32.989 ; RA[6] ; RAout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 1.598 ; -; 55.719 ; FS[4] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.789 ; -; 55.719 ; FS[4] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.789 ; -; 56.206 ; FS[4] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.302 ; -; 56.206 ; FS[4] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.302 ; -; 56.206 ; FS[4] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.302 ; -; 56.206 ; FS[4] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.302 ; -; 56.206 ; FS[4] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.302 ; -; 56.206 ; FS[4] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.302 ; -; 56.603 ; FS[4] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 12.905 ; -; 56.844 ; FS[4] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.664 ; -; 56.844 ; FS[4] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.664 ; -; 56.844 ; FS[4] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.664 ; -; 56.844 ; FS[4] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.664 ; -; 56.844 ; FS[4] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.664 ; -; 56.844 ; FS[4] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.664 ; -; 56.844 ; FS[4] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.664 ; -; 56.844 ; FS[4] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.664 ; -; 56.915 ; FS[4] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.593 ; -; 57.079 ; FS[1] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.429 ; -; 57.079 ; FS[1] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.429 ; -; 57.323 ; FS[4] ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 69.841 ; 0.000 ; 12.185 ; -; 57.476 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.032 ; -; 57.476 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.032 ; -; 57.566 ; FS[1] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.942 ; -; 57.566 ; FS[1] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.942 ; -; 57.566 ; FS[1] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.942 ; -; 57.566 ; FS[1] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.942 ; -; 57.566 ; FS[1] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.942 ; -; 57.566 ; FS[1] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.942 ; -; 57.651 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 11.857 ; -; 57.772 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.736 ; -; 57.772 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.736 ; -; 57.960 ; FS[4] ; nCAS ; C14M ; C14M ; 69.841 ; 0.000 ; 11.548 ; -; 57.963 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.545 ; -; 57.963 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.545 ; -; 57.963 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.545 ; -; 57.963 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.545 ; -; 57.963 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.545 ; -; 57.963 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.545 ; -; 57.963 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 11.545 ; -; 57.963 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 11.545 ; -; 58.006 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.502 ; -; 58.006 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.502 ; -; 58.006 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.502 ; -; 58.006 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.502 ; -; 58.006 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.502 ; -; 58.006 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.502 ; -; 58.006 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.502 ; -; 58.006 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.502 ; -; 58.030 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.478 ; -; 58.030 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.478 ; -; 58.039 ; CS[1] ; CS[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.469 ; -; 58.040 ; CS[1] ; CS[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.468 ; -; 58.040 ; CS[1] ; CS[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.468 ; -; 58.068 ; FS[4] ; nRWE ; C14M ; C14M ; 69.841 ; 0.000 ; 11.440 ; -; 58.143 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.365 ; -; 58.143 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.365 ; -; 58.143 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.365 ; -; 58.143 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.365 ; -; 58.143 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.365 ; -; 58.143 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.365 ; -; 58.143 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.365 ; -; 58.143 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.365 ; -; 58.204 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.304 ; -; 58.204 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.304 ; -; 58.204 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.304 ; -; 58.204 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.304 ; -; 58.204 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.304 ; -; 58.204 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.304 ; -; 58.204 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.304 ; -; 58.204 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.304 ; -; 58.230 ; S[0] ; S[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.278 ; +; -8.731 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.497 ; 9.896 ; +; -8.317 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|LEDEN ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.497 ; 9.482 ; +; -8.260 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.497 ; 9.425 ; +; -8.260 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.497 ; 9.425 ; +; -8.260 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.497 ; 9.425 ; +; -8.260 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.497 ; 9.425 ; +; -8.260 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.497 ; 9.425 ; +; -8.260 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.497 ; 9.425 ; +; -8.260 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.497 ; 9.425 ; +; -7.816 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.497 ; 8.981 ; +; -7.814 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.497 ; 8.979 ; +; -5.971 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.497 ; 7.136 ; +; 27.670 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.917 ; +; 27.670 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.917 ; +; 27.670 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.917 ; +; 27.813 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.774 ; +; 27.813 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.774 ; +; 27.813 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.774 ; +; 28.259 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.328 ; +; 28.259 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.328 ; +; 28.259 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.328 ; +; 28.266 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.321 ; +; 28.266 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.321 ; +; 28.266 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.321 ; +; 28.402 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.185 ; +; 28.402 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.185 ; +; 28.402 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.185 ; +; 28.409 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.178 ; +; 28.409 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.178 ; +; 28.409 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.178 ; +; 28.823 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.764 ; +; 28.823 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.764 ; +; 28.933 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.654 ; +; 28.933 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.654 ; +; 28.933 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.654 ; +; 28.943 ; S[1] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 5.644 ; +; 29.076 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.511 ; +; 29.076 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.511 ; +; 29.076 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.511 ; +; 29.412 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.175 ; +; 29.412 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.175 ; +; 29.419 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.168 ; +; 29.419 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.168 ; +; 30.003 ; S[3] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 4.584 ; +; 30.086 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.501 ; +; 30.086 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.501 ; +; 30.847 ; RA[11] ; RAr[11] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.740 ; +; 31.031 ; RA[9] ; RAr[9] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.556 ; +; 31.053 ; S[0] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 3.534 ; +; 31.540 ; S[2] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 3.047 ; +; 31.845 ; RA[10] ; RAr[10] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.742 ; +; 31.915 ; RA[8] ; RAr[8] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.672 ; +; 31.941 ; nRWE ; nRWEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.646 ; +; 32.280 ; nCAS ; nCASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.307 ; +; 32.515 ; RA[4] ; RAr[4] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.072 ; +; 32.546 ; CKE ; CKEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.041 ; +; 32.578 ; RA[3] ; RAr[3] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.009 ; +; 32.601 ; nRAS ; nRASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 1.986 ; +; 32.954 ; RA[0] ; RAr[0] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.633 ; +; 32.975 ; RA[6] ; RAr[6] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.612 ; +; 32.976 ; RA[5] ; RAr[5] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.611 ; +; 32.977 ; RA[7] ; RAr[7] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.610 ; +; 32.987 ; RA[1] ; RAr[1] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.600 ; +; 32.992 ; RA[2] ; RAr[2] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.595 ; +; 56.663 ; FS[13] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.845 ; +; 56.914 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.594 ; +; 57.077 ; FS[13] ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 69.841 ; 0.000 ; 12.431 ; +; 57.134 ; FS[13] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.374 ; +; 57.134 ; FS[13] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.374 ; +; 57.134 ; FS[13] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.374 ; +; 57.134 ; FS[13] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.374 ; +; 57.134 ; FS[13] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.374 ; +; 57.134 ; FS[13] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.374 ; +; 57.134 ; FS[13] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.374 ; +; 57.137 ; FS[13] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.371 ; +; 57.140 ; FS[13] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 12.368 ; +; 57.277 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.231 ; +; 57.328 ; FS[2] ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 69.841 ; 0.000 ; 12.180 ; +; 57.385 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.123 ; +; 57.385 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.123 ; +; 57.385 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.123 ; +; 57.385 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.123 ; +; 57.385 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.123 ; +; 57.385 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.123 ; +; 57.385 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.123 ; +; 57.388 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.120 ; +; 57.391 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 12.117 ; +; 57.670 ; S[1] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 11.838 ; +; 57.671 ; S[1] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 11.837 ; +; 57.748 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.760 ; +; 57.748 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.760 ; +; 57.748 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.760 ; +; 57.748 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.760 ; +; 57.748 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.760 ; +; 57.748 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.760 ; +; 57.748 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.760 ; +; 57.871 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.637 ; +; 57.875 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.633 ; +; 57.938 ; FS[14] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.570 ; +; 58.030 ; S[1] ; CmdLEDSet ; C14M ; C14M ; 69.841 ; 0.000 ; 11.478 ; +--------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ @@ -300,8 +300,8 @@ No paths to report. +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -16.287 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -1.671 ; 2.042 ; -; -16.261 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -1.671 ; 2.068 ; +; -16.461 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -1.497 ; 2.042 ; +; -16.437 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -1.497 ; 2.066 ; ; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 0.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ @@ -311,117 +311,117 @@ No paths to report. +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -16.279 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.000 ; -1.663 ; 2.058 ; +; -16.317 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.000 ; -1.630 ; 2.053 ; ; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 0.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -+---------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'C14M' ; -+-------+----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ -; 1.421 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.642 ; -; 1.421 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.642 ; -; 1.445 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.666 ; -; 1.451 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.672 ; -; 1.461 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.682 ; -; 1.639 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 1.860 ; -; 1.684 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.905 ; -; 1.687 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.908 ; -; 1.688 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.909 ; -; 1.696 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.917 ; -; 1.702 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.923 ; -; 1.706 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.927 ; -; 1.716 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 1.937 ; -; 1.818 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.039 ; -; 1.905 ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; RWBank[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.126 ; -; 1.928 ; RWBank[1] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.149 ; -; 1.935 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.156 ; -; 1.954 ; RC[0] ; RC[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.175 ; -; 1.961 ; RC[0] ; RC[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.182 ; -; 1.968 ; RC[0] ; RC[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.189 ; -; 1.971 ; S[3] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.192 ; -; 1.972 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.193 ; -; 1.984 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.205 ; -; 1.993 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.214 ; -; 1.995 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.216 ; -; 2.107 ; RAM2E_UFM:ram2e_ufm|LEDEN ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 2.328 ; -; 2.109 ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; RWBank[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.330 ; -; 2.116 ; FS[8] ; FS[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.337 ; -; 2.117 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; FS[5] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.338 ; -; 2.125 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.346 ; -; 2.126 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.347 ; -; 2.128 ; RWBank[7] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.349 ; -; 2.133 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.354 ; -; 2.136 ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 2.357 ; -; 2.143 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.364 ; -; 2.150 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 2.371 ; -; 2.163 ; RC[1] ; RC[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.384 ; -; 2.181 ; RC[1] ; RC[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.402 ; -; 2.182 ; S[1] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.403 ; -; 2.184 ; RC[1] ; RC[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.405 ; -; 2.212 ; RA[10] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.433 ; -; 2.230 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.451 ; -; 2.232 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.453 ; -; 2.239 ; FS[13] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.460 ; -; 2.239 ; FS[14] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.460 ; -; 2.241 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.462 ; -; 2.249 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.470 ; -; 2.250 ; FS[12] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.471 ; -; 2.259 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.480 ; -; 2.261 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.482 ; -; 2.272 ; RAM2E_UFM:ram2e_ufm|UFMProgram ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 2.493 ; -; 2.277 ; RC[2] ; RC[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.498 ; -; 2.279 ; RC[2] ; RC[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.500 ; -; 2.282 ; RC[2] ; RC[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.503 ; -; 2.302 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.523 ; -; 2.305 ; S[3] ; RA[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.526 ; -; 2.310 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.531 ; -; 2.313 ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; RWBank[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.534 ; -; 2.316 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.537 ; -; 2.319 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.540 ; -; 2.323 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 2.544 ; -; 2.332 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.553 ; -; 2.347 ; PHI1r ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.568 ; -; 2.372 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 2.593 ; -; 2.446 ; RWSel ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.667 ; -; 2.455 ; RWSel ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.676 ; -; 2.457 ; S[3] ; CKE ; C14M ; C14M ; 0.000 ; 0.000 ; 2.678 ; -; 2.459 ; RWSel ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.680 ; -; 2.531 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.752 ; -; 2.542 ; S[2] ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.763 ; -; 2.544 ; S[2] ; DOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 2.765 ; -; 2.563 ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.784 ; -; 2.606 ; RAM2E_UFM:ram2e_ufm|UFMErase ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 2.827 ; -; 2.610 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 2.831 ; -; 2.653 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.874 ; -; 2.655 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.876 ; -; 2.656 ; FS[10] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.877 ; -; 2.657 ; S[0] ; VOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 2.878 ; -; 2.660 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.881 ; -; 2.678 ; S[1] ; DOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 2.899 ; -; 2.719 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.940 ; -; 2.750 ; S[0] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.971 ; -; 2.764 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 2.985 ; -; 2.773 ; S[1] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.994 ; -; 2.785 ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; RWBank[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.006 ; -; 2.794 ; S[0] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.015 ; -; 2.815 ; FS[4] ; RA[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.036 ; -; 2.830 ; S[3] ; RA[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.051 ; -; 2.850 ; FS[1] ; RA[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.071 ; -; 2.851 ; RWBank[5] ; BA[0]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.072 ; -; 2.902 ; S[2] ; nRWE ; C14M ; C14M ; 0.000 ; 0.000 ; 3.123 ; -; 2.911 ; S[2] ; nCAS ; C14M ; C14M ; 0.000 ; 0.000 ; 3.132 ; -; 2.929 ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; RWBank[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.150 ; -; 2.933 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 3.154 ; -; 2.935 ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; RWBank[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.156 ; -; 2.948 ; FS[8] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.169 ; -; 2.949 ; FS[5] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.170 ; -; 2.957 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.178 ; -+-------+----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------+ +; Hold: 'C14M' ; ++-------+-----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ +; 1.433 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.654 ; +; 1.650 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 1.871 ; +; 1.668 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 1.889 ; +; 1.683 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.904 ; +; 1.685 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 1.906 ; +; 1.695 ; S[1] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.916 ; +; 1.696 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.917 ; +; 1.714 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.935 ; +; 1.808 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.029 ; +; 1.878 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.099 ; +; 1.912 ; RWBank[4] ; RA[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.133 ; +; 1.932 ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 2.153 ; +; 1.935 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 2.156 ; +; 1.939 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.160 ; +; 1.962 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.183 ; +; 1.967 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.188 ; +; 1.974 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.195 ; +; 2.085 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.306 ; +; 2.107 ; RA[10] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.328 ; +; 2.108 ; RWBank[2] ; RA[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.329 ; +; 2.113 ; PHI1r ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.334 ; +; 2.116 ; FS[8] ; FS[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.337 ; +; 2.117 ; RAM2E_UFM:ram2e_ufm|LEDEN ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.338 ; +; 2.126 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.347 ; +; 2.139 ; RWSel ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 2.360 ; +; 2.144 ; FS[5] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.365 ; +; 2.153 ; FS[10] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.374 ; +; 2.159 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.380 ; +; 2.166 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.387 ; +; 2.177 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.398 ; +; 2.177 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.398 ; +; 2.180 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.401 ; +; 2.182 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 2.403 ; +; 2.231 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.452 ; +; 2.239 ; FS[13] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.460 ; +; 2.239 ; FS[14] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.460 ; +; 2.240 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.461 ; +; 2.240 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.461 ; +; 2.240 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.461 ; +; 2.242 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.463 ; +; 2.248 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 2.469 ; +; 2.250 ; FS[12] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.471 ; +; 2.252 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.473 ; +; 2.262 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.483 ; +; 2.263 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.484 ; +; 2.268 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.489 ; +; 2.274 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.495 ; +; 2.275 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.496 ; +; 2.276 ; S[3] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.497 ; +; 2.288 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.509 ; +; 2.334 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.555 ; +; 2.344 ; S[0] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.565 ; +; 2.398 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.619 ; +; 2.400 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.621 ; +; 2.491 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.712 ; +; 2.516 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.737 ; +; 2.521 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.742 ; +; 2.537 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.758 ; +; 2.545 ; RAM2E_UFM:ram2e_ufm|UFMProgram ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 2.766 ; +; 2.633 ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; RWBank[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.854 ; +; 2.657 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.878 ; +; 2.661 ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.882 ; +; 2.684 ; PHI1r ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.905 ; +; 2.751 ; RWBank[1] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.972 ; +; 2.766 ; S[3] ; DOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 2.987 ; +; 2.767 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.988 ; +; 2.768 ; S[3] ; VOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 2.989 ; +; 2.834 ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; RWBank[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.055 ; +; 2.859 ; S[0] ; RA[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.080 ; +; 2.868 ; S[1] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.089 ; +; 2.873 ; RWBank[0] ; DQML~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.094 ; +; 2.874 ; RWBank[0] ; DQMH~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.095 ; +; 2.918 ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; RWBank[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.139 ; +; 2.945 ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; RWBank[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.166 ; +; 2.945 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.166 ; +; 2.948 ; FS[8] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.169 ; +; 2.949 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.170 ; +; 2.950 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.171 ; +; 2.950 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.171 ; +; 2.976 ; FS[5] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.197 ; +; 2.985 ; FS[10] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.206 ; +; 2.991 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.212 ; +; 3.020 ; FS[11] ; RA[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.241 ; +; 3.038 ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; RWBank[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.259 ; +; 3.039 ; RAM2E_UFM:ram2e_ufm|CmdBitbangMAX ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 3.260 ; +; 3.048 ; FS[10] ; RA[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.269 ; +; 3.059 ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; RWBank[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.280 ; +; 3.059 ; FS[8] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.280 ; +; 3.087 ; FS[5] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.308 ; +; 3.096 ; FS[10] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.317 ; +; 3.101 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 3.322 ; +; 3.102 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.323 ; +; 3.143 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.364 ; +; 3.143 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.364 ; +; 3.167 ; FS[15] ; DQML~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.388 ; +; 3.169 ; FS[15] ; DQMH~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.390 ; +; 3.170 ; FS[8] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.391 ; +; 3.171 ; FS[1] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.392 ; +; 3.179 ; FS[14] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.400 ; ++-------+-----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ +---------------------------------------------------------------------------------------------+ @@ -429,7 +429,7 @@ No paths to report. +------------------------+------------------------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------------------+------------------------+----------+----------+----------+----------+ -; C14M ; C14M ; 1625 ; 0 ; 16 ; 0 ; +; C14M ; C14M ; 1550 ; 0 ; 52 ; 0 ; ; ram2e_ufm|DRCLK|regout ; C14M ; 13 ; 0 ; 0 ; 0 ; ; C14M ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; @@ -444,7 +444,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------------------+------------------------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------------------+------------------------+----------+----------+----------+----------+ -; C14M ; C14M ; 1625 ; 0 ; 16 ; 0 ; +; C14M ; C14M ; 1550 ; 0 ; 52 ; 0 ; ; ram2e_ufm|DRCLK|regout ; C14M ; 13 ; 0 ; 0 ; 0 ; ; C14M ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; @@ -472,23 +472,24 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Property ; Setup ; Hold ; +---------------------------------+-------+------+ ; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 1 ; 1 ; ; Unconstrained Input Ports ; 28 ; 28 ; -; Unconstrained Input Port Paths ; 176 ; 176 ; +; Unconstrained Input Port Paths ; 170 ; 170 ; ; Unconstrained Output Ports ; 47 ; 47 ; -; Unconstrained Output Port Paths ; 76 ; 76 ; +; Unconstrained Output Port Paths ; 84 ; 84 ; +---------------------------------+-------+------+ -+----------------------------------------------------------------------+ -; Clock Status Summary ; -+------------------------+------------------------+------+-------------+ -; Target ; Clock ; Type ; Status ; -+------------------------+------------------------+------+-------------+ -; C14M ; C14M ; Base ; Constrained ; -; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; Base ; Constrained ; -; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; Base ; Constrained ; -+------------------------+------------------------+------+-------------+ ++------------------------------------------------------------------------+ +; Clock Status Summary ; ++------------------------+------------------------+------+---------------+ +; Target ; Clock ; Type ; Status ; ++------------------------+------------------------+------+---------------+ +; C14M ; C14M ; Base ; Constrained ; +; PHI1 ; ; Base ; Unconstrained ; +; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; Base ; Constrained ; +; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; Base ; Constrained ; ++------------------------+------------------------+------+---------------+ +---------------------------------------------------------------------------------------------------+ @@ -679,7 +680,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition - Info: Processing started: Thu Jan 11 09:29:26 2024 + Info: Processing started: Tue Jan 16 14:28:03 2024 Info: Command: quartus_sta RAM2E-MAXII -c RAM2E Info: qsta_default_script.tcl version: #1 Info (20032): Parallel compilation is enabled and will use up to 4 processors @@ -689,23 +690,25 @@ Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (332104): Reading SDC File: '../RAM2E.sdc' Info (332104): Reading SDC File: '../RAM2E-MAX.sdc' +Warning (332060): Node: PHI1 was determined to be a clock but was found without an associated clock assignment. + Info (13166): Register RefReq is being clocked by PHI1 Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|ARCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|DRCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -23.738 +Info (332146): Worst-case setup slack is -23.682 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -23.738 -23.738 ram2e_ufm|DRCLK|regout - Info (332119): -23.720 -23.720 ram2e_ufm|ARCLK|regout - Info (332119): -9.644 -106.641 C14M -Info (332146): Worst-case hold slack is -16.287 + Info (332119): -23.682 -23.682 ram2e_ufm|ARCLK|regout + Info (332119): -23.562 -23.562 ram2e_ufm|DRCLK|regout + Info (332119): -8.731 -96.469 C14M +Info (332146): Worst-case hold slack is -16.461 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -16.287 -16.287 ram2e_ufm|DRCLK|regout - Info (332119): -16.279 -16.279 ram2e_ufm|ARCLK|regout - Info (332119): 1.421 0.000 C14M + Info (332119): -16.461 -16.461 ram2e_ufm|DRCLK|regout + Info (332119): -16.317 -16.317 ram2e_ufm|ARCLK|regout + Info (332119): 1.433 0.000 C14M Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is 34.654 @@ -719,9 +722,9 @@ Warning (332009): The launch and latch times for the relationship between source Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|DRCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements -Info: Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 13066 megabytes - Info: Processing ended: Thu Jan 11 09:29:28 2024 +Info: Quartus Prime Timing Analyzer was successful. 0 errors, 6 warnings + Info: Peak virtual memory: 13069 megabytes + Info: Processing ended: Tue Jan 16 14:28:05 2024 Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXII/output_files/RAM2E.sta.summary b/CPLD/MAXII/output_files/RAM2E.sta.summary index 9e7e945..1658067 100644 --- a/CPLD/MAXII/output_files/RAM2E.sta.summary +++ b/CPLD/MAXII/output_files/RAM2E.sta.summary @@ -2,28 +2,28 @@ Timing Analyzer Summary ------------------------------------------------------------ -Type : Setup 'ram2e_ufm|DRCLK|regout' -Slack : -23.738 -TNS : -23.738 - Type : Setup 'ram2e_ufm|ARCLK|regout' -Slack : -23.720 -TNS : -23.720 +Slack : -23.682 +TNS : -23.682 + +Type : Setup 'ram2e_ufm|DRCLK|regout' +Slack : -23.562 +TNS : -23.562 Type : Setup 'C14M' -Slack : -9.644 -TNS : -106.641 +Slack : -8.731 +TNS : -96.469 Type : Hold 'ram2e_ufm|DRCLK|regout' -Slack : -16.287 -TNS : -16.287 +Slack : -16.461 +TNS : -16.461 Type : Hold 'ram2e_ufm|ARCLK|regout' -Slack : -16.279 -TNS : -16.279 +Slack : -16.317 +TNS : -16.317 Type : Hold 'C14M' -Slack : 1.421 +Slack : 1.433 TNS : 0.000 Type : Minimum Pulse Width 'C14M' diff --git a/CPLD/MAXV/RAM2E.qws b/CPLD/MAXV/RAM2E.qws deleted file mode 100644 index e99fdcd84f187d47f6f5f1b9e5273783401459eb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 619 zcmbV}%`U`X7==&E!qNp;Sco)|%FGyvrC3;5iG^7)+76SVH7XY3n%ss&B(|=__<7Ek zvLO=QFYnKJ`~IBo>t>=)STbBU8~YWC8#Essc4HL{ElvvYh8WRKG#U8*1$dL zQgt~wn$p?PMu<8@J#s(52i6u^nyv?RKx88h7Ale zd>(umIFCWcaA?~8ctF#k!itC~0nd`N&RM4|z{zWtxm^LnMvL0g72bQ!L3{X$7dnFd z#HAh^2s=jRwM}aQ1(#$he=0IDYoc9^;d=6)O&;taOMz4kxnHPK_l@cdDGykov1;#l d_V+QX(eu;8*T3=}MUTAWeh4u<(3{mb)(6MqX(Ipt diff --git a/CPLD/MAXV/output_files/RAM2E.asm.rpt b/CPLD/MAXV/output_files/RAM2E.asm.rpt index 6b6f25c..d6a44c9 100644 --- a/CPLD/MAXV/output_files/RAM2E.asm.rpt +++ b/CPLD/MAXV/output_files/RAM2E.asm.rpt @@ -1,5 +1,5 @@ Assembler report for RAM2E -Thu Jan 11 09:29:26 2024 +Tue Jan 16 14:28:02 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Thu Jan 11 09:29:26 2024 ; +; Assembler Status ; Successful - Tue Jan 16 14:28:02 2024 ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; @@ -67,8 +67,8 @@ https://fpgasoftware.intel.com/eula. +----------------+----------------------------------------------------------+ ; Option ; Setting ; +----------------+----------------------------------------------------------+ -; JTAG usercode ; 0x001658EB ; -; Checksum ; 0x00165BE3 ; +; JTAG usercode ; 0x00164C9F ; +; Checksum ; 0x00164F97 ; +----------------+----------------------------------------------------------+ @@ -78,14 +78,14 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition - Info: Processing started: Thu Jan 11 09:29:25 2024 + Info: Processing started: Tue Jan 16 14:28:02 2024 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E-MAXV -c RAM2E Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 13073 megabytes - Info: Processing ended: Thu Jan 11 09:29:26 2024 - Info: Elapsed time: 00:00:01 + Info: Peak virtual memory: 13072 megabytes + Info: Processing ended: Tue Jan 16 14:28:02 2024 + Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXV/output_files/RAM2E.done b/CPLD/MAXV/output_files/RAM2E.done index 6247ee1..f11a0b3 100644 --- a/CPLD/MAXV/output_files/RAM2E.done +++ b/CPLD/MAXV/output_files/RAM2E.done @@ -1 +1 @@ -Thu Jan 11 09:29:29 2024 +Tue Jan 16 14:28:06 2024 diff --git a/CPLD/MAXV/output_files/RAM2E.fit.rpt b/CPLD/MAXV/output_files/RAM2E.fit.rpt index 11be2c7..dcd6e46 100644 --- a/CPLD/MAXV/output_files/RAM2E.fit.rpt +++ b/CPLD/MAXV/output_files/RAM2E.fit.rpt @@ -1,5 +1,5 @@ Fitter report for RAM2E -Thu Jan 11 09:29:24 2024 +Tue Jan 16 14:28:00 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -57,7 +57,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------------------------------------------------------+ ; Fitter Summary ; +-----------------------+-------------------------------------------------------------+ -; Fitter Status ; Successful - Thu Jan 11 09:29:24 2024 ; +; Fitter Status ; Successful - Tue Jan 16 14:28:00 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; @@ -129,13 +129,13 @@ https://fpgasoftware.intel.com/eula. ; Number detected on machine ; 4 ; ; Maximum allowed ; 4 ; ; ; ; -; Average used ; 1.04 ; +; Average used ; 1.03 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 1.6% ; -; Processors 3-4 ; 1.2% ; +; Processor 2 ; 1.2% ; +; Processors 3-4 ; 0.9% ; +----------------------------+-------------+ @@ -151,26 +151,26 @@ The pin-out file can be found in Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin ; Resource ; Usage ; +---------------------------------------------+-----------------------+ ; Total logic elements ; 238 / 240 ( 99 % ) ; -; -- Combinational with no register ; 115 ; -; -- Register only ; 26 ; -; -- Combinational with a register ; 97 ; +; -- Combinational with no register ; 112 ; +; -- Register only ; 19 ; +; -- Combinational with a register ; 107 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 118 ; -; -- 3 input functions ; 41 ; -; -- 2 input functions ; 48 ; -; -- 1 input functions ; 4 ; +; -- 4 input functions ; 115 ; +; -- 3 input functions ; 55 ; +; -- 2 input functions ; 45 ; +; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; ; -- normal mode ; 224 ; ; -- arithmetic mode ; 14 ; -; -- qfbk mode ; 6 ; +; -- qfbk mode ; 14 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 20 ; +; -- synchronous clear/load mode ; 25 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 123 / 240 ( 51 % ) ; +; Total registers ; 126 / 240 ( 53 % ) ; ; Total LABs ; 24 / 24 ( 100 % ) ; ; Logic elements in carry chains ; 15 ; ; Virtual pins ; 0 ; @@ -182,15 +182,15 @@ The pin-out file can be found in Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin ; -- Total Fixed Point DSP Blocks ; 0 ; ; -- Total Floating Point DSP Blocks ; 0 ; ; ; ; -; Global signals ; 1 ; -; -- Global clocks ; 1 / 4 ( 25 % ) ; +; Global signals ; 2 ; +; -- Global clocks ; 2 / 4 ( 50 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 26.9% / 27.0% / 26.7% ; -; Peak interconnect usage (total/H/V) ; 26.9% / 27.0% / 26.7% ; -; Maximum fan-out ; 123 ; +; Average interconnect usage (total/H/V) ; 28.7% / 30.1% / 27.2% ; +; Peak interconnect usage (total/H/V) ; 28.7% / 30.1% / 27.2% ; +; Maximum fan-out ; 122 ; ; Highest non-global fan-out ; 35 ; -; Total fan-out ; 976 ; -; Average fan-out ; 3.16 ; +; Total fan-out ; 992 ; +; Average fan-out ; 3.21 ; +---------------------------------------------+-----------------------+ @@ -199,27 +199,27 @@ The pin-out file can be found in Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin +--------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+----------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; Slow Slew Rate ; +--------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+----------------+ -; Ain[0] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Ain[1] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Ain[2] ; 43 ; 1 ; 6 ; 0 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Ain[3] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Ain[4] ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Ain[5] ; 34 ; 1 ; 3 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Ain[6] ; 39 ; 1 ; 5 ; 0 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Ain[7] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 123 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 15 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Ain[0] ; 56 ; 2 ; 8 ; 1 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Ain[1] ; 54 ; 2 ; 8 ; 1 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Ain[2] ; 43 ; 1 ; 6 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Ain[3] ; 47 ; 1 ; 6 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Ain[4] ; 44 ; 1 ; 6 ; 0 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Ain[5] ; 34 ; 1 ; 3 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Ain[6] ; 39 ; 1 ; 5 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Ain[7] ; 53 ; 2 ; 8 ; 1 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 122 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 14 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 12 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 12 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[5] ; 49 ; 1 ; 7 ; 0 ; 2 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 7 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nC07X ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; nEN80 ; 28 ; 1 ; 2 ; 0 ; 1 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; nWE ; 51 ; 1 ; 7 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; nEN80 ; 28 ; 1 ; 2 ; 0 ; 1 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; nWE ; 51 ; 1 ; 7 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nWE80 ; 33 ; 1 ; 3 ; 0 ; 2 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +--------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+----------------+ @@ -243,32 +243,32 @@ The pin-out file can be found in Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin ; Dout[6] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Dout[7] ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; LED ; 88 ; 2 ; 5 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; RAout[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; RAout[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; RAout[10] ; 16 ; 1 ; 1 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RAout[11] ; 7 ; 1 ; 1 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RAout[1] ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RAout[2] ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RAout[3] ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RAout[4] ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RAout[5] ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RAout[6] ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RAout[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; RAout[1] ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RAout[2] ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RAout[3] ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RAout[4] ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RAout[5] ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RAout[6] ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RAout[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; RAout[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RAout[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; RAout[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Vout[0] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Vout[3] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[4] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Vout[4] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Vout[5] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[6] ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[7] ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Vout[6] ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; Vout[7] ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nCASout ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nCSout ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nDOE ; 55 ; 2 ; 8 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nRASout ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nRWEout ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nVOE ; 50 ; 1 ; 7 ; 0 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; nVOE ; 50 ; 1 ; 7 ; 0 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +-----------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -283,7 +283,7 @@ The pin-out file can be found in Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin ; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[4] ; 91 ; 2 ; 4 ; 5 ; 2 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[5] ; 92 ; 2 ; 3 ; 5 ; 0 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; -; RD[6] ; 95 ; 2 ; 3 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; RDOE ; - ; +; RD[6] ; 95 ; 2 ; 3 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[7] ; 96 ; 2 ; 3 ; 5 ; 2 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -431,8 +431,8 @@ Note: User assignments will override these defaults. The user specified values a +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ -; |RAM2E ; 238 (180) ; 123 ; 1 ; 70 ; 0 ; 115 (90) ; 26 (23) ; 97 (67) ; 15 (15) ; 6 (1) ; |RAM2E ; RAM2E ; work ; -; |RAM2E_UFM:ram2e_ufm| ; 58 (58) ; 33 ; 1 ; 0 ; 0 ; 25 (25) ; 3 (3) ; 30 (30) ; 0 (0) ; 5 (5) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ; +; |RAM2E ; 238 (183) ; 126 ; 1 ; 70 ; 0 ; 112 (89) ; 19 (16) ; 107 (78) ; 15 (15) ; 14 (9) ; |RAM2E ; RAM2E ; work ; +; |RAM2E_UFM:ram2e_ufm| ; 55 (55) ; 32 ; 1 ; 0 ; 0 ; 23 (23) ; 3 (3) ; 29 (29) ; 0 (0) ; 5 (5) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM ; work ; ; |UFM_altufm_none_p8r:UFM_altufm_none_p8r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component ; UFM_altufm_none_p8r ; work ; +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ @@ -496,6 +496,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; nEN80 ; Input ; (0) ; ; nWE ; Input ; (0) ; ; PHI1 ; Input ; (1) ; +; Ain[0] ; Input ; (0) ; +; Ain[1] ; Input ; (0) ; +; Ain[2] ; Input ; (0) ; +; Ain[3] ; Input ; (0) ; +; Ain[4] ; Input ; (0) ; +; Ain[5] ; Input ; (0) ; +; Ain[6] ; Input ; (0) ; +; Ain[7] ; Input ; (0) ; ; C14M ; Input ; (0) ; ; Din[0] ; Input ; (0) ; ; Din[6] ; Input ; (0) ; @@ -506,14 +514,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Din[2] ; Input ; (0) ; ; Din[3] ; Input ; (0) ; ; nC07X ; Input ; (0) ; -; Ain[0] ; Input ; (0) ; -; Ain[1] ; Input ; (0) ; -; Ain[2] ; Input ; (0) ; -; Ain[3] ; Input ; (0) ; -; Ain[4] ; Input ; (0) ; -; Ain[5] ; Input ; (0) ; -; Ain[6] ; Input ; (0) ; -; Ain[7] ; Input ; (0) ; +-----------+----------+---------------+ @@ -522,22 +522,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ -; BA[1]~0 ; LC_X2_Y2_N7 ; 2 ; Clock enable ; no ; -- ; -- ; -; C14M ; PIN_12 ; 123 ; Clock ; yes ; Global Clock ; GCLK0 ; -; CS[0]~2 ; LC_X4_Y3_N0 ; 3 ; Clock enable ; no ; -- ; -- ; +; BA[0]~0 ; LC_X2_Y2_N0 ; 2 ; Clock enable ; no ; -- ; -- ; +; BA[0]~1 ; LC_X3_Y3_N0 ; 3 ; Clock enable ; no ; -- ; -- ; +; C14M ; PIN_12 ; 122 ; Clock ; yes ; Global Clock ; GCLK0 ; +; CS[0]~2 ; LC_X5_Y1_N7 ; 3 ; Clock enable ; no ; -- ; -- ; ; DQML~0 ; LC_X2_Y4_N5 ; 2 ; Clock enable ; no ; -- ; -- ; -; Equal1~1 ; LC_X6_Y3_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal1~2 ; LC_X6_Y4_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal1~4 ; LC_X6_Y3_N1 ; 4 ; Clock enable ; no ; -- ; -- ; -; Equal1~5 ; LC_X5_Y4_N8 ; 3 ; Clock enable ; no ; -- ; -- ; -; Mux14~0 ; LC_X5_Y4_N3 ; 2 ; Clock enable ; no ; -- ; -- ; -; RAM2E_UFM:ram2e_ufm|RWMask~1 ; LC_X6_Y1_N9 ; 8 ; Clock enable ; no ; -- ; -- ; -; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X4_Y1_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -; RAM2E_UFM:ram2e_ufm|always2~8 ; LC_X6_Y3_N9 ; 16 ; Clock enable ; no ; -- ; -- ; -; RA[2]~0 ; LC_X2_Y2_N5 ; 6 ; Clock enable ; no ; -- ; -- ; -; RDOE ; LC_X3_Y4_N0 ; 8 ; Output enable ; no ; -- ; -- ; -; S[0] ; LC_X7_Y3_N2 ; 35 ; Sync. clear ; no ; -- ; -- ; -; S[3] ; LC_X6_Y4_N9 ; 32 ; Sync. clear ; no ; -- ; -- ; +; Equal1~1 ; LC_X3_Y3_N2 ; 8 ; Clock enable ; no ; -- ; -- ; +; Equal1~2 ; LC_X7_Y4_N0 ; 8 ; Clock enable ; no ; -- ; -- ; +; Mux14~0 ; LC_X4_Y2_N7 ; 2 ; Clock enable ; no ; -- ; -- ; +; PHI1 ; PIN_37 ; 7 ; Clock ; yes ; Global Clock ; GCLK3 ; +; RAM2E_UFM:ram2e_ufm|RWMask~1 ; LC_X2_Y1_N9 ; 8 ; Clock enable ; no ; -- ; -- ; +; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X4_Y1_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; RAM2E_UFM:ram2e_ufm|always2~8 ; LC_X5_Y3_N1 ; 16 ; Clock enable ; no ; -- ; -- ; +; RA[1]~2 ; LC_X3_Y3_N5 ; 6 ; Clock enable ; no ; -- ; -- ; +; RDOE ; LC_X3_Y3_N1 ; 8 ; Output enable ; no ; -- ; -- ; +; S[0] ; LC_X7_Y2_N5 ; 32 ; Sync. clear ; no ; -- ; -- ; +; S[3] ; LC_X7_Y2_N3 ; 35 ; Sync. clear ; no ; -- ; -- ; +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ @@ -546,7 +546,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------+----------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +------+----------+---------+----------------------+------------------+ -; C14M ; PIN_12 ; 123 ; Global Clock ; GCLK0 ; +; C14M ; PIN_12 ; 122 ; Global Clock ; GCLK0 ; +; PHI1 ; PIN_37 ; 7 ; Global Clock ; GCLK3 ; +------+----------+---------+----------------------+------------------+ @@ -555,13 +556,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------+--------------------+ ; Routing Resource Type ; Usage ; +-----------------------+--------------------+ -; C4s ; 171 / 784 ( 22 % ) ; -; Direct links ; 55 / 888 ( 6 % ) ; -; Global clocks ; 1 / 4 ( 25 % ) ; -; LAB clocks ; 6 / 32 ( 19 % ) ; +; C4s ; 168 / 784 ( 21 % ) ; +; Direct links ; 51 / 888 ( 6 % ) ; +; Global clocks ; 2 / 4 ( 50 % ) ; +; LAB clocks ; 7 / 32 ( 22 % ) ; ; LUT chains ; 6 / 216 ( 3 % ) ; -; Local interconnects ; 343 / 888 ( 39 % ) ; -; R4s ; 150 / 704 ( 21 % ) ; +; Local interconnects ; 347 / 888 ( 39 % ) ; +; R4s ; 164 / 704 ( 23 % ) ; +-----------------------+--------------------+ @@ -577,21 +578,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 23 ; +; 8 ; 0 ; +; 9 ; 2 ; +; 10 ; 22 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.58) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.25) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ -; 1 Clock ; 24 ; -; 1 Clock enable ; 9 ; -; 1 Sync. clear ; 2 ; -; 2 Clock enables ; 3 ; +; 1 Clock ; 21 ; +; 1 Clock enable ; 5 ; +; 1 Sync. clear ; 1 ; +; 2 Clock enables ; 2 ; +; 2 Clocks ; 1 ; +------------------------------------+------------------------------+ @@ -608,9 +610,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 21 ; +; 8 ; 0 ; +; 9 ; 2 ; +; 10 ; 20 ; ; 11 ; 1 ; ; 12 ; 0 ; ; 13 ; 0 ; @@ -622,18 +624,18 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 7.50) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 7.38) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; -; 3 ; 1 ; +; 3 ; 2 ; ; 4 ; 2 ; -; 5 ; 3 ; -; 6 ; 2 ; -; 7 ; 2 ; +; 5 ; 2 ; +; 6 ; 1 ; +; 7 ; 4 ; ; 8 ; 4 ; -; 9 ; 6 ; +; 9 ; 5 ; ; 10 ; 3 ; ; 11 ; 0 ; ; 12 ; 1 ; @@ -643,32 +645,32 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 12.88) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 12.21) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 0 ; ; 4 ; 2 ; -; 5 ; 1 ; -; 6 ; 0 ; -; 7 ; 2 ; -; 8 ; 0 ; -; 9 ; 0 ; -; 10 ; 2 ; -; 11 ; 1 ; +; 5 ; 0 ; +; 6 ; 1 ; +; 7 ; 0 ; +; 8 ; 3 ; +; 9 ; 1 ; +; 10 ; 0 ; +; 11 ; 3 ; ; 12 ; 1 ; -; 13 ; 3 ; -; 14 ; 3 ; -; 15 ; 2 ; -; 16 ; 2 ; -; 17 ; 1 ; -; 18 ; 2 ; -; 19 ; 1 ; +; 13 ; 5 ; +; 14 ; 4 ; +; 15 ; 1 ; +; 16 ; 0 ; +; 17 ; 0 ; +; 18 ; 1 ; +; 19 ; 0 ; ; 20 ; 0 ; ; 21 ; 0 ; ; 22 ; 0 ; -; 23 ; 0 ; +; 23 ; 1 ; ; 24 ; 1 ; +----------------------------------------------+------------------------------+ @@ -706,6 +708,8 @@ Info (176444): Device migration not selected. If you intend to use device migrat Info (176445): Device 5M570ZT100I5 is compatible Info (332104): Reading SDC File: '../RAM2E.sdc' Info (332104): Reading SDC File: '../RAM2E-MAX.sdc' +Warning (332060): Node: PHI1 was determined to be a clock but was found without an associated clock assignment. + Info (13166): Register RefReq is being clocked by PHI1 Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements Info (332111): Found 3 clocks Info (332111): Period Clock Name @@ -715,9 +719,24 @@ Info (332111): Found 3 clocks Info (332111): 200.000 ram2e_ufm|DRCLK|regout Info (186079): Completed User Assigned Global Signals Promotion Operation Info (186215): Automatically promoted signal "C14M" to use Global clock in PIN 12 File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 8 +Info (186216): Automatically promoted some destinations of signal "PHI1" to use Global clock File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 8 + Info (186217): Destination "nVOE~0" may be non-global or may not use global clock File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 59 + Info (186217): Destination "PHI1r" may be non-global or may not use global clock File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 20 + Info (186217): Destination "S~2" may be non-global or may not use global clock File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 19 + Info (186217): Destination "S[2]~9" may be non-global or may not use global clock File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 21 +Info (186228): Pin "PHI1" drives global clock, but is not placed in a dedicated clock pin position File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 8 Info (186079): Completed Auto Global Promotion Operation Info (176234): Starting register packing Info (186468): Started processing fast register assignments +Warning (186473): Ignored the FAST_OUTPUT_REGISTER assignment made to the following nodes + Warning (186484): Ignored assignment to node "RAout[0]" because node "RAr[0]", which is feeding it, is not a register File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 87 + Warning (186484): Ignored assignment to node "RAout[1]" because node "RAr[1]", which is feeding it, is not a register File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 87 + Warning (186484): Ignored assignment to node "RAout[2]" because node "RAr[2]", which is feeding it, is not a register File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 87 + Warning (186484): Ignored assignment to node "RAout[3]" because node "RAr[3]", which is feeding it, is not a register File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 87 + Warning (186484): Ignored assignment to node "RAout[4]" because node "RAr[4]", which is feeding it, is not a register File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 87 + Warning (186484): Ignored assignment to node "RAout[5]" because node "RAr[5]", which is feeding it, is not a register File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 87 + Warning (186484): Ignored assignment to node "RAout[6]" because node "RAr[6]", which is feeding it, is not a register File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 87 + Warning (186484): Ignored assignment to node "RAout[7]" because node "RAr[7]", which is feeding it, is not a register File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 87 Info (186469): Finished processing fast register assignments Info (176235): Finished register packing Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 @@ -728,16 +747,16 @@ Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 23% of the available device resources - Info (170196): Router estimated peak interconnect usage is 23% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 25% of the available device resources + Info (170196): Router estimated peak interconnect usage is 25% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170202): The Fitter performed an Auto Fit compilation. No optimizations were skipped because the design's timing and routability requirements required full optimization. Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 -Info (11888): Total time spent on timing analysis during the Fitter is 0.40 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.35 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Info (144001): Generated suppressed messages file Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.fit.smsg -Info: Quartus Prime Fitter was successful. 0 errors, 1 warning +Info: Quartus Prime Fitter was successful. 0 errors, 11 warnings Info: Peak virtual memory: 13751 megabytes - Info: Processing ended: Thu Jan 11 09:29:24 2024 + Info: Processing ended: Tue Jan 16 14:28:00 2024 Info: Elapsed time: 00:00:03 Info: Total CPU time (on all processors): 00:00:04 diff --git a/CPLD/MAXV/output_files/RAM2E.fit.summary b/CPLD/MAXV/output_files/RAM2E.fit.summary index 5e8be28..30cf72b 100644 --- a/CPLD/MAXV/output_files/RAM2E.fit.summary +++ b/CPLD/MAXV/output_files/RAM2E.fit.summary @@ -1,4 +1,4 @@ -Fitter Status : Successful - Thu Jan 11 09:29:24 2024 +Fitter Status : Successful - Tue Jan 16 14:28:00 2024 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition Revision Name : RAM2E Top-level Entity Name : RAM2E diff --git a/CPLD/MAXV/output_files/RAM2E.flow.rpt b/CPLD/MAXV/output_files/RAM2E.flow.rpt index 4e4737d..ddd9b56 100644 --- a/CPLD/MAXV/output_files/RAM2E.flow.rpt +++ b/CPLD/MAXV/output_files/RAM2E.flow.rpt @@ -1,5 +1,5 @@ Flow report for RAM2E -Thu Jan 11 09:29:29 2024 +Tue Jan 16 14:28:05 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -41,7 +41,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------------------------------------------------------+ ; Flow Summary ; +-----------------------+-------------------------------------------------------------+ -; Flow Status ; Successful - Thu Jan 11 09:29:26 2024 ; +; Flow Status ; Successful - Tue Jan 16 14:28:02 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; @@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 01/11/2024 09:28:56 ; +; Start date & time ; 01/16/2024 14:27:31 ; ; Main task ; Compilation ; ; Revision Name ; RAM2E ; +-------------------+---------------------+ @@ -71,7 +71,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------+------------------------------+---------------+-------------+------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +-------------------------------+------------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 121381084694.170498333604684 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 121381084694.170543325107988 ; -- ; -- ; -- ; ; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; ; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; ; NUM_PARALLEL_PROCESSORS ; 4 ; -- ; -- ; -- ; @@ -85,11 +85,11 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:23 ; 1.0 ; 13116 MB ; 00:00:40 ; +; Analysis & Synthesis ; 00:00:25 ; 1.0 ; 13116 MB ; 00:00:42 ; ; Fitter ; 00:00:03 ; 1.0 ; 13751 MB ; 00:00:04 ; -; Assembler ; 00:00:01 ; 1.0 ; 13072 MB ; 00:00:01 ; -; Timing Analyzer ; 00:00:02 ; 1.0 ; 13069 MB ; 00:00:01 ; -; Total ; 00:00:29 ; -- ; -- ; 00:00:46 ; +; Assembler ; 00:00:00 ; 1.0 ; 13071 MB ; 00:00:01 ; +; Timing Analyzer ; 00:00:01 ; 1.0 ; 13072 MB ; 00:00:01 ; +; Total ; 00:00:29 ; -- ; -- ; 00:00:48 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/CPLD/MAXV/output_files/RAM2E.map.rpt b/CPLD/MAXV/output_files/RAM2E.map.rpt index ce00533..e074ddb 100644 --- a/CPLD/MAXV/output_files/RAM2E.map.rpt +++ b/CPLD/MAXV/output_files/RAM2E.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for RAM2E -Thu Jan 11 09:29:19 2024 +Tue Jan 16 14:27:56 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -46,12 +46,12 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Thu Jan 11 09:29:19 2024 ; +; Analysis & Synthesis Status ; Successful - Tue Jan 16 14:27:56 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; -; Total logic elements ; 244 ; +; Total logic elements ; 252 ; ; Total pins ; 70 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -163,33 +163,33 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 244 ; -; -- Combinational with no register ; 121 ; -; -- Register only ; 32 ; -; -- Combinational with a register ; 91 ; +; Total logic elements ; 252 ; +; -- Combinational with no register ; 126 ; +; -- Register only ; 33 ; +; -- Combinational with a register ; 93 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 118 ; -; -- 3 input functions ; 41 ; -; -- 2 input functions ; 48 ; -; -- 1 input functions ; 4 ; +; -- 4 input functions ; 115 ; +; -- 3 input functions ; 55 ; +; -- 2 input functions ; 45 ; +; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 230 ; +; -- normal mode ; 238 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 3 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 123 ; +; Total registers ; 126 ; ; Total logic cells in carry chains ; 15 ; ; I/O pins ; 70 ; ; UFM blocks ; 1 ; ; Maximum fan-out node ; C14M ; -; Maximum fan-out ; 123 ; -; Total fan-out ; 977 ; +; Maximum fan-out ; 122 ; +; Total fan-out ; 1001 ; ; Average fan-out ; 3.10 ; +---------------------------------------------+-------+ @@ -199,8 +199,8 @@ https://fpgasoftware.intel.com/eula. +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ -; |RAM2E ; 244 (181) ; 123 ; 1 ; 70 ; 0 ; 121 (91) ; 32 (24) ; 91 (66) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ; -; |RAM2E_UFM:ram2e_ufm| ; 63 (63) ; 33 ; 1 ; 0 ; 0 ; 30 (30) ; 8 (8) ; 25 (25) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ; +; |RAM2E ; 252 (192) ; 126 ; 1 ; 70 ; 0 ; 126 (98) ; 33 (25) ; 93 (69) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ; +; |RAM2E_UFM:ram2e_ufm| ; 60 (60) ; 32 ; 1 ; 0 ; 0 ; 28 (28) ; 8 (8) ; 24 (24) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM ; work ; ; |UFM_altufm_none_p8r:UFM_altufm_none_p8r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component ; UFM_altufm_none_p8r ; work ; +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ @@ -221,32 +221,33 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 123 ; +; Total registers ; 126 ; ; Number of registers using Synchronous Clear ; 3 ; ; Number of registers using Synchronous Load ; 0 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 62 ; +; Number of registers using Clock Enable ; 59 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ -+--------------------------------------------------+ -; Inverted Register Statistics ; -+----------------------------------------+---------+ -; Inverted Register ; Fan out ; -+----------------------------------------+---------+ -; nRASout~reg0 ; 1 ; -; nCASout~reg0 ; 1 ; -; nRWEout~reg0 ; 1 ; -; DQML~reg0 ; 1 ; -; DQMH~reg0 ; 1 ; -; CKE ; 1 ; -; nRAS ; 1 ; -; nCAS ; 1 ; -; nRWE ; 1 ; -; Total number of inverted registers = 9 ; ; -+----------------------------------------+---------+ ++---------------------------------------------------+ +; Inverted Register Statistics ; ++-----------------------------------------+---------+ +; Inverted Register ; Fan out ; ++-----------------------------------------+---------+ +; CKEout~reg0 ; 1 ; +; nRASout~reg0 ; 1 ; +; nCASout~reg0 ; 1 ; +; nRWEout~reg0 ; 1 ; +; DQML~reg0 ; 1 ; +; DQMH~reg0 ; 1 ; +; CKE ; 1 ; +; nRAS ; 1 ; +; nCAS ; 1 ; +; nRWE ; 1 ; +; Total number of inverted registers = 10 ; ; ++-----------------------------------------+---------+ +----------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -254,12 +255,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ -; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[0] ; -; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |RAM2E|CS[0] ; +; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[2] ; +; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |RAM2E|CS[1] ; ; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |RAM2E|RAM2E_UFM:ram2e_ufm|RWMask[5] ; -; 16:1 ; 2 bits ; 20 LEs ; 2 LEs ; 18 LEs ; Yes ; |RAM2E|BA[1]~reg0 ; -; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |RAM2E|RA[4] ; -; 19:1 ; 2 bits ; 24 LEs ; 4 LEs ; 20 LEs ; Yes ; |RAM2E|RA[2] ; +; 16:1 ; 2 bits ; 20 LEs ; 2 LEs ; 18 LEs ; Yes ; |RAM2E|BA[0]~reg0 ; +; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |RAM2E|RA[6] ; +; 19:1 ; 2 bits ; 24 LEs ; 4 LEs ; 20 LEs ; Yes ; |RAM2E|RA[1] ; ; 10:1 ; 2 bits ; 12 LEs ; 4 LEs ; 8 LEs ; Yes ; |RAM2E|DQML~reg0 ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ @@ -281,7 +282,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition - Info: Processing started: Thu Jan 11 09:28:56 2024 + Info: Processing started: Tue Jan 16 14:27:31 2024 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E-MAXV -c RAM2E Info (20032): Parallel compilation is enabled and will use up to 4 processors Info (12021): Found 1 design units, including 1 entities, in source file /repos/ram2e/cpld/ram2e.v @@ -292,33 +293,33 @@ Info (12021): Found 2 design units, including 2 entities, in source file ufm.v Info (12023): Found entity 1: UFM_altufm_none_p8r File: Y:/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 47 Info (12023): Found entity 2: UFM File: Y:/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 166 Info (12127): Elaborating entity "RAM2E" for the top level hierarchy -Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 112 -Info (12128): Elaborating entity "UFM" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst" File: Y:/Repos/RAM2E/CPLD/UFM-MAX.v Line: 79 +Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 138 +Info (12128): Elaborating entity "UFM" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst" File: Y:/Repos/RAM2E/CPLD/UFM-MAX.v Line: 78 Info (12128): Elaborating entity "UFM_altufm_none_p8r" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component" File: Y:/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 217 Warning (13024): Output pins are stuck at VCC or GND - Warning (13410): Pin "nCSout" is stuck at GND File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 78 -Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52 -Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52 -Warning (14632): Output pin "Dout[2]" driven by bidirectional pin "RD[2]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52 -Warning (14632): Output pin "Dout[3]" driven by bidirectional pin "RD[3]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52 -Warning (14632): Output pin "Dout[4]" driven by bidirectional pin "RD[4]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52 -Warning (14632): Output pin "Dout[5]" driven by bidirectional pin "RD[5]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52 -Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52 -Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52 + Warning (13410): Pin "nCSout" is stuck at GND File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 77 +Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 50 +Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 50 +Warning (14632): Output pin "Dout[2]" driven by bidirectional pin "RD[2]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 50 +Warning (14632): Output pin "Dout[3]" driven by bidirectional pin "RD[3]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 50 +Warning (14632): Output pin "Dout[4]" driven by bidirectional pin "RD[4]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 50 +Warning (14632): Output pin "Dout[5]" driven by bidirectional pin "RD[5]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 50 +Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 50 +Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 50 Warning (21074): Design contains 1 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "nWE80" File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 11 -Info (21057): Implemented 315 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 323 device resources after synthesis - the final resource count might be different Info (21058): Implemented 22 input pins Info (21059): Implemented 40 output pins Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 244 logic cells + Info (21061): Implemented 252 logic cells Info (21070): Implemented 1 User Flash Memory blocks Info (144001): Generated suppressed messages file Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.map.smsg Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 12 warnings Info: Peak virtual memory: 13116 megabytes - Info: Processing ended: Thu Jan 11 09:29:19 2024 - Info: Elapsed time: 00:00:23 - Info: Total CPU time (on all processors): 00:00:40 + Info: Processing ended: Tue Jan 16 14:27:56 2024 + Info: Elapsed time: 00:00:25 + Info: Total CPU time (on all processors): 00:00:42 +------------------------------------------+ diff --git a/CPLD/MAXV/output_files/RAM2E.map.summary b/CPLD/MAXV/output_files/RAM2E.map.summary index 788b915..9d43a0f 100644 --- a/CPLD/MAXV/output_files/RAM2E.map.summary +++ b/CPLD/MAXV/output_files/RAM2E.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Thu Jan 11 09:29:19 2024 +Analysis & Synthesis Status : Successful - Tue Jan 16 14:27:56 2024 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX V -Total logic elements : 244 +Total logic elements : 252 Total pins : 70 Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXV/output_files/RAM2E.pof b/CPLD/MAXV/output_files/RAM2E.pof index bebf2bcd3462d040b0297a0171971d41474f1f18..67bace51405d84279784d88d09b1fc6910c17272 100644 GIT binary patch literal 7877 zcmeHMk8@N-o*zJhc!02{Ubq#A-f4MN9tN^5iyDF};NGf-TOMw$Zj9cNaHvUkfn=2M zrq8mVtU6KGUG^?wlG8n{rCf-Xr6~z-I#mtVJZ>2*shmn^Ti^MNft z`G@+=6_txCmu}v&zT&R$SKPjFOMS)a^&7W9H!(Fa8z!#5W9hdl@AC*3>R~Q07X~*^5zARQ;KzD)J(?u5dMc^|zR-2B zE}YW(c@BD{9`dP`VT7QT8L6Be${PDb1-s8zLc|XqFnsJ!Q9AZBam>eouPHC78*Hxc zMFib(GWqdRA(P27^*b*-0$k?}#6bnpA(xBpPVjSh43NT;0tWQ)Nm7N9-n9CL9U8jO z@QHG%N4uEARvP?uMn0r;&d&z<>?>T6tM5DFClHt0d=b{AUUkNm5)|wk+NSuP5?_c`rlZ<$+|7yt zS3*gBSM|7a26X{kYbP4zxuO^5o1ac0|6g9H^5hPEWcEpW^M88z@K1I;R^3;;bxz;Y ziRlyNmy|vEPsPT>%lnM~oCy34iEF0!_04%|<52w?eNHqf8E>P2Y*~tIelVzqU^gjpK%h`>a1Pg zv14mCtp8--*pq{6*4*^7jt|-&dn%b6sow3`^}!GQFP|6=>Ibhq90Z*=4($1t_H0$v zEqkllV}8iFmOMgSM^ePdfv-)z4B$b&WB>3zYRM0&OLO!I3I8w?mt3q*(hty56lL*| zpbO8iFom%;(TQ;APd7(7rG?wXO`odcvA)5HOxch= zkO2<*f-w38tKZQa2~FKSrmh3t!`>kBk+0BCT7F!&Sa*c_N{s|canV2wd01K>C)9a2 zqzF zZ|lz`IT>_ITYs83H~VM`Rj5ST)?Z@|_)MbjC@0=;+o;xUR7;K5Wg)9LYtwZ+WAqT; z(67VTrr`$?as07v^gXwiWLl2))+*ks!wC7Z->zSAjnH&wyjowhF2e*k_FVCRgLlQ% zkRIvj-5VCWgLGQtBH0rZ;s2UNmhZ9?WBIOkKT%bg*3Lg3UFII>I+`EV$!hP+-Ld^e zseoxwdyJ^{hpgbs6y5h{Z(%>a)%S8YIr^KI&%M5{|KOT!Tbb9^*)e!?VtjV_%(#B& z!dvz1@N|Ax?BN*`s}4Q>@6D<5=c_u3FHGv6CdR$;GHvQaQUAbTvSIj8|MOwPnpD!2 zN4>$zwnW~s-&=e|!Dl;81cCp_tV2z~O;sH)Jlj6_TGd@U=g0Nuk|%UqGV-W5Y4Juh z4zPd*bT$>o%j8eXsNy6vxg2?YulBpqU*rAzGQfFE!dD-YPE*FiB!zTzQ^NWO_RTq? z6f^mZI+W7USrCxJpp&w3+8o()B`bRskw{TNJZqo=>jEw zv&C_t2Rxjs8KJdAKPc57tZPB!q5iUAUOLE8Yd0bu`>#0!K663}1Id)99Hl+fX{r9s ztrNXJpa{5v(q`SL7mI^;gM)1#f0I%vastBnx0apbpOwQXeQfYP27QQIddUyi9_pS! zT{z|*ptlTdZf5qzikkurN zJu?p#Cyvpq-|B-7PhxKP5Oe9sHjP3=L&?Vew{(X3V_Np|7NVgP*wx&#_=-ky=G66r zf=H(W<2Z(vg8F1JpJ`VM00$az(c`-)Q#-7BsrEn|H=l>bKKDF7Y+B1|RWaACmqK6U zOZAt@*j&R?fx_NT+Y=3U#T7H2Gci9T@E4HxdHOpMK* z__?j0#+q5*(pP=wk<}j@{FBr*LmzBcH$Dsg>2(uRV*j`3a=rG>;~mrbp4OGi=c z6LY52jH^X){rSPc&-L277an^2;Kixe=FEL*V)meyg186E0>a;T|k z@fFn{%s)CfZ`p|F{hV39>foszS6=yIW5dyN#6bv$A+DjCY^0t{c0_1*ytkxx z-Z^@TnPf2WD!9~Z@jV2pWCwFS>M22;=6q>8s}~CJWo{DddC1rsGJP7Oxo4Y^Ll5^I zAutLT<`poPXhbA69Y7ScHM~06%p0lGMiMYe*}Hh1A{+3;52LEQ42a7T218LTWj&_3&0Hpb!fp;Or%;! zpu5`d2UdR_2fv$`Vv5oq_J&GEerH3z#}nBf#{R`Q51vOyR0`|x$m9bf+)%$*zkq%y z!+mm;DdCd#;m1;6!MeB>8LAh4s6YP<{V~a&+SD_?AT!_UJB&%4)HPnynVN>%+Vsu? zy-1NELext2hfQ}Q-$;0!)U~KZdXKjbJi=6U?7v-G9~~Q>_M1i1Z|@r^n>r!3PrNy$ zY~K6DI~G1*>+cWj(P};YnhEd+%MurT-0}OKb^8)?57b@|*N?mZm$US(x8J{d>f5wt zW%>MpiFxgVbEllHUGby%{OkVZX+7h63s+C=qq3Fdb3K~Z+nGq5u1zeD<4c}5cx!9q z^=}_)O|mQ94`&_=s;Wl3`A1{_@F$+waq8+9?+C8l)zGkFUdNti+6UjNx@G5EyDi@} zenPI}95Uxvfb&Y5c>AQz`j?w{J5n&yiEAY{qf))`{_Q=?YvL$@C}_@BgbGT*4J+D+53;RW8?+;AXY_`!lTe*OC* zh}->)8eAUpA%lI!_fKRe^!KIdh*Y>9Sbc*N5p$uVaX)s=9EXp}V8nX~--u(~1qS># z)1w0Ui{k?P`U002xdO1JW`j?8Mp9{Q>nBG-1T1OjA}!U331cd^3;n1T@IQZu>AD9`HLu(2pt)!^S-+Eh-8x)Hg-Lyq&>C!d!qcAIf+DWX4$3t{uB84J>`=8p(PjGyz2NX;3uX(GCAe4MB=pt zWpk~6_ZGsM;YC+GK66!H*Wa(2mDpZf@amk)E*k47`-ZK*NeZtz(R{_p+2EJ0{NB`; zit`g?fAx#8`DJJ9`px}w%?8{*-+nSgeO0xOwYR^wY}v_<_EP=P@Mk+7Y#7|wwD{0_ z{m=If{M-H;J70hOw!KxmOa38IFyG5bnEFd9c}xY2Mel-Q{DXWhAkT9yhod>?o}@M{ zIH?romT#((_+)gX>mHP^kKVc!w?mv$G7J6~=>l*LBThoIW`Y}?^aw?hh>yWXyy*-^ zmjh1#2Nny&3Fm3azQs53HFFLedJfC-3?AM~bm4Pt`!M_K# zv0pOrQTPve*p2lKKI~}Vmv9W7I~JEk9DJei@kM`U0`(`nZu9-_je@Hv)oJR3nAL}9 zX!?-i;D@|aM^@j)e-hmU`0hFZdrakECw;ebZeR4qR)PMIK~|bN56MxZC0yL6fqR(J zVZfWEiblG!88jZE&7BhXl(qOTp(t?R$qv(J5lC0?I?Y+GHWS}JTNuk}QHSqSBf!t9p%@3Oxjdi29$3VtTVitnW8AfY~0&>YfOhG3>{oDJt%_vLg8!!E|{2yDF-E?~9!t#C30)H2~ z?&MPL#zv`XS{LN6`u!H&#)~>N1X|8D_=vXK0GVo1)BU_-ah~wT1d2ZxQ zU47}?G;^#+QwMU$;$pD+>?}TSv*$DPZCx38JVr0ZHzSL2V*K;yMlPgN=j5$@@I^^J z#zFn%J%h&#jsSjW`7yq^x5jc`wfn!&A^c@|Cbckf@p)tSTf!^JaXZSh`!e>#dG=Yd zz@cCIy~@Gb&hpP+5BePc7`XBGlB1K==-CE0?tkcF%+AG^{RUk8ec-tv^Y?)jUAR|{ zyo5 Iil3PNKV0o|bN~PV literal 7877 zcmeHMdvH|Od0!ceS0rJ_O(HshsF}9OI57xh%v0Jn9+QUw({X`}2Q2J>2n~_~0yaqA zJ@QOU+^GRO1gEmQsMJH#)|KS3YRjPBJ;cx2k+f-Qp$h5lwE=01Uxb}zeE=Y0L0 zb5}r|Y5(p_y)(OK&-eJ9?|iRw?(X^rRtExsg1`*@xdoqpw(pTWd-pYzt>3e=b~`@n zckFqjws!lTvW?sKH0-ReD_gXD!J-A_W#8DhbJw=AdzO}$l`mhoc=5u;<%^b=t$$?i z_rAZqp{#sC`QnDX+sYpNPT88Bd$*U}w{7QM=mu^H6k~}cYZu>L{?MNo4l#*UCgmL?YOlJWj;Y2P8>~Cwr4b^0DJ_0iNbN zj7bY}Aw9QJ(gocVVd&V95yV{Zr69MpZ&J;_^s(04eSu{(pIkl1_x$Jrf`pr+Jb#Lg z(S<(1d_DFFy*xjUBgB-xcSBC;ldbJ?Gyj`@#)!~Oe4+FdK2X^d-jw~It9@O!U(M4d zqp9_8;_LObKKwI9_xBGaNnQ;26oKw<<2jxQpQv?GN)KOd;%hubPiX&;8{vv?P2ppN z-D#ztHq?M%+@vqCzK6LW>A5a&e7>XNt>sb~#z6_#L zS>sCS@^0|E6R*M_)>QuLeb|OGj*TckDZ4pFuJ>nBJg)L7Wxiqk@e;WluDUx*iVCjf?*Pp1*&z&rbF2>hH6UFOUA?vd-fxD;_-5 z;;*m$)$O?^w~`UqyN_&veaRG@qxTOQMwUX1BILlYDpT@tRwF~bx{O3h#{pd8F}It{ zAxq04Kfr;UbF&`gL5tztkGJBFT*vpg?jsOr2Oao24rvE{%kj9NaQ!Fh>xMnD)l#?* zQwaEoBfcA4==uYlC+a&n>L;Gp$2!0V9U~K#hy7WWpeCxpdrDt~PLX8spl^S^zj?5~ zOZ8Dz@6KQbYlY#PhiQV4=Zc0j(q{Y@dHEh z^PZ^2I)fsHe4)sdpNFExmQDWp)|kyqKJxL%z&eK`i_kA*tq7yLEBz>+RwO@jxwP=b z*E=Im%u4rX|I4Y`eB0&P(&_E0{!)C}s?1$(LE*1{!#6h14Ae$um1F{em*#zUhSoP$ zBv*~j_)*Ir{ik>2@b+cdCGw8ndpmmDm2gX;);EIAs?nb;Z7w|X#u54LW!T@*V^vqK zUamal>x-r}J$<2?cY1rCa%xu3Z!jiI(_Xs!ggN+(kI!uT;Q2bcc67m8>+FABKJUbn zPpfvu8 z95{v|mX0qOBHYlywSUp`GnBD}qwrMx21vT~Bsj+m`PZfNRGdlUBY!9p!-3@CJn`x1 zzvzb|Npf7vIis5H<@uw?F3Le4IPKU+2>l+o==B;G*`vqEILTjiF_qQ{3-}b_nodd7 zXMK~_XAUfP*DAUJ3C-90>gOp$KH=%}8TKnX)NbG>rq6LZ9Krc!fe#=VL4W7!Sb|&! z=EVQmKz&NL+JYZR<`mAP);A#|;qBBG;2U~>@INE?*VhM#UgunjAq|EmF{X zmhb;aO(sr5`zYbW(0m1Y8|uD02Z+~7s`L!KFVQ&4(7&@{A@Yn{ICV`Kx!b;KZn)srANK}ju(M;!#KZB# zX0$cxqRddgCu~dWZwUs9<7@M?d$&Tb`Kx z=A6iV-z^D83T9{4&$+Up)IYz>##?WZtq;6Vyy{H+o~?Hl&Xc#_@%GDiOoU7Q`V+xF zoSm8TotKN7&%~!Ue09kP75ud7r8!qBzgVE-ldA7Q{e_Zm4fn)r_D4d-#9Y(fy) zEew7|-y5|LK3Zd^)|B+ujeh;hpF45-FITVZy!TK`g|;8@HxW-{8znPJUgZVOvm5oN z&Ji1ab|^BT_~`ElzX&2uS{`vDi%bLyQm9Wt;vo89s{Z4jBN^BMI+Vdz^`f&|>6@Ub zQN$eD@8<{fJt-30(sb6L_&g0H=@3$&&Dn234=VZk^z}WpF6@9Y39j?gr*lKCN1_S! zV!O}}a>dFax7Sl{8V`?jRra9D0Of!q0z(tEoldFj*um&&IV@#A0DG0I%)F z8u-FQNW}Kz)KvF}Si#aC(;Hl~Zq-Yl6Ocye~(j|xhs-#V?!X!h&J zSn=4x(HY-rt_>vT%>VwZ+rrmIva#kF7v}xCTI-A8sxxxwzx}xQ^@~U5_szPkF#8AB zN@jk=Gn>EZ@84GSSCj1RITYW2F<243GB}v1_<|c9jQaa0`{Kd#>+D|p>;0p3vF_fJ z&pm(q6zcCN?p5%eNMRpMs0Y(ZqV7VdKk>WgA9*8?#v=kQJ{~2QbVURFuJp+rkOP8; zYY|^d^<#(dct9pMkvIPHiOvTL`oiJ@d6B^?7_`2+Z$PdRc~^}Q>y1wqw0?dj(ZVZbY1~TdZ>@CyEGp-y1vxSt-ZSo{;|m3 z0(|V=*LRaj--KUmPqqsHN#0K4MefHB`bthPK~>iC>OOATW0=!Nntn2;_NC@R&4K$6 z`r{5PvsTxS_MZ#;P|sCP&N8r$0ygkgdfRj7&>y6oavaYl&chC#-#G3I!oPp^li6>F zLT=lj4L^*>pdX0|g9N91{V^sjQH{E)jXg#Kmk@&7_;{1BU-a!~O-+)UX0{8LkO_u9 zB?fF*te;1*ewHzogMRwOiR|%6Rki7!`m4To1{#)MsFgYJxA12VXWY~O6n3Q(xkMA$ z*Qy^ooqKIkSe^d*bm@w{Fyy{#uI1<5!L~Mrdys+qQ!JtPcVfkG_4$Ua>x)18!B3_K zO5Ky$Kjz2KebsXAXnE>EvKR>A}y~-Qhr;)^A%8tlt{inMAvcaAQKHd@dRw4M)x6bS|MZx@%pY6JOAh257 zFWBZ`)L+JY(~R%mR#kB-+tTtL&O_r4zds1->pOV{1 ztGh+7pM{M%FNB2SNy+g$QqteoyNZrC>Wd+cPMGU>w(30SHYMVy^@aNVh#a0h>$K*m zo_Ylfj+ri=KYF=}{}sFyPCg&FNyMe=xCih&r|4BQ>3bwU2U*DL z^AYl}gERC2WtX^tKa|5pWd>NP)$=OOs5d&ES2dDUT@Kl^MMai0L4jsZm3r}W@Q)GZeYoS`Not-lW?Ae|xno+lFt zi?cxpHj+hx9839KuZL&MtOdWgYK}f=aTzwuy!}d^=n~GrG3mfQP8*3-oTvDkbGVb5 zDbL9`?0})@M=aLDZM{F>^NdW68xUH6z-5Ps_7F{mC*A7&`i^w1W0wX5J2xP4FNt;^ z&V3Efi-Q{fnOyKs#=du7pm2EdozIsnTl7S>bpG@}xtvw{%);le)^-X8YIcA>d8TAz z$;?HMXXnoUY+(JrRF*c=tKf?q6^$l;{ATa)WPDv^&H%%P(n!0Dml3;$`keBX7#q8ol~6@q)3j{a<+|KhxnXu7ClfxbV)NTWhG zO;YWH)vCUY#L)E(S@;Dw8lPcyy`EHEspmh~3n_nnJRd^BV~uz=P-M_Eg5BWf^uCDu za{1p4u;)BJ=n6;O>$uXVb5J!f=JDCoIyt>go_@XmaKdq=_44>rde`w){V80@{4u|7 zUXFcI-k)E`zi#JsIrKY?m&fVW_MtXz*g0j78vh4;WskOtqy8ZWe@y91vTnxbiVnZ) zex>@8q`_<@o<;I>!udw(9)Y(_a!f_^=Jjsw vm%mN_q}-?T|2zIE4HVsa{U4z+^^XvO`swRa4}9u@|EC_9ssAgU^T>Y#hQoiO diff --git a/CPLD/MAXV/output_files/RAM2E.sta.rpt b/CPLD/MAXV/output_files/RAM2E.sta.rpt index b54d01f..bb73226 100644 --- a/CPLD/MAXV/output_files/RAM2E.sta.rpt +++ b/CPLD/MAXV/output_files/RAM2E.sta.rpt @@ -1,5 +1,5 @@ Timing Analyzer report for RAM2E -Thu Jan 11 09:29:29 2024 +Tue Jan 16 14:28:05 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -93,8 +93,8 @@ https://fpgasoftware.intel.com/eula. +------------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +------------------+--------+--------------------------+ -; ../RAM2E.sdc ; OK ; Thu Jan 11 09:29:28 2024 ; -; ../RAM2E-MAX.sdc ; OK ; Thu Jan 11 09:29:28 2024 ; +; ../RAM2E.sdc ; OK ; Tue Jan 16 14:28:05 2024 ; +; ../RAM2E-MAX.sdc ; OK ; Tue Jan 16 14:28:05 2024 ; +------------------+--------+--------------------------+ @@ -116,7 +116,7 @@ https://fpgasoftware.intel.com/eula. +-----------+-----------------+------------------------+------+ ; 10.0 MHz ; 10.0 MHz ; ram2e_ufm|ARCLK|regout ; ; ; 10.0 MHz ; 10.0 MHz ; ram2e_ufm|DRCLK|regout ; ; -; 27.71 MHz ; 27.71 MHz ; C14M ; ; +; 27.62 MHz ; 27.62 MHz ; C14M ; ; +-----------+-----------------+------------------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -126,9 +126,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------------------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +------------------------+---------+---------------+ -; ram2e_ufm|DRCLK|regout ; -25.451 ; -25.451 ; -; ram2e_ufm|ARCLK|regout ; -25.441 ; -25.441 ; -; C14M ; -20.081 ; -208.886 ; +; ram2e_ufm|DRCLK|regout ; -25.457 ; -25.457 ; +; ram2e_ufm|ARCLK|regout ; -25.439 ; -25.439 ; +; C14M ; -17.639 ; -171.643 ; +------------------------+---------+---------------+ @@ -137,9 +137,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------------------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +------------------------+---------+---------------+ -; ram2e_ufm|DRCLK|regout ; -14.567 ; -14.567 ; -; ram2e_ufm|ARCLK|regout ; -14.558 ; -14.558 ; -; C14M ; 3.133 ; 0.000 ; +; ram2e_ufm|DRCLK|regout ; -14.583 ; -14.583 ; +; ram2e_ufm|ARCLK|regout ; -14.560 ; -14.560 ; +; C14M ; 2.730 ; 0.000 ; +------------------------+---------+---------------+ @@ -171,8 +171,8 @@ No paths to report. +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -25.451 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -0.970 ; 4.482 ; -; -25.432 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -0.970 ; 4.463 ; +; -25.457 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -0.912 ; 4.546 ; +; -25.416 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -0.912 ; 4.505 ; ; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 200.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ @@ -182,117 +182,117 @@ No paths to report. +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -25.441 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.001 ; -0.977 ; 4.465 ; +; -25.439 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.001 ; -0.958 ; 4.482 ; ; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 200.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'C14M' ; -+---------+-----------------------------------------------------------------------------------------------------------------+----------------------------------+------------------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+-----------------------------------------------------------------------------------------------------------------+----------------------------------+------------------------+-------------+--------------+------------+------------+ -; -20.081 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.970 ; 20.731 ; -; -18.769 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.970 ; 19.419 ; -; -18.109 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.970 ; 18.759 ; -; -17.584 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.970 ; 18.234 ; -; -17.584 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.970 ; 18.234 ; -; -17.584 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.970 ; 18.234 ; -; -17.584 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.970 ; 18.234 ; -; -17.584 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.970 ; 18.234 ; -; -17.584 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.970 ; 18.234 ; -; -17.584 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.970 ; 18.234 ; -; -16.915 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|LEDEN ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.970 ; 17.565 ; -; -11.924 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.970 ; 12.574 ; -; 25.780 ; RA[5] ; RAout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.819 ; -; 26.401 ; RA[0] ; RAout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.198 ; -; 27.872 ; RA[10] ; RAout[10]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.727 ; -; 28.323 ; CKE ; CKEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.276 ; -; 28.531 ; RA[8] ; RAout[8]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.068 ; -; 28.539 ; RA[11] ; RAout[11]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.060 ; -; 28.589 ; RA[9] ; RAout[9]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.010 ; -; 30.107 ; RA[7] ; RAout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.492 ; -; 30.133 ; nRWE ; nRWEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.466 ; -; 30.360 ; nRAS ; nRASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.239 ; -; 30.365 ; nCAS ; nCASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.234 ; -; 30.369 ; RA[1] ; RAout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.230 ; -; 30.392 ; RA[6] ; RAout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.207 ; -; 31.173 ; RA[3] ; RAout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 3.426 ; -; 31.207 ; RA[2] ; RAout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 3.392 ; -; 31.456 ; RA[4] ; RAout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 3.143 ; -; 33.754 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.766 ; -; 33.754 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.766 ; -; 33.754 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.766 ; -; 33.754 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.766 ; -; 33.754 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.766 ; -; 33.754 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.766 ; -; 33.754 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.766 ; -; 33.754 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.766 ; -; 34.170 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.350 ; -; 34.170 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.350 ; -; 34.170 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.350 ; -; 34.170 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.350 ; -; 34.170 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.350 ; -; 34.170 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.350 ; -; 34.170 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.350 ; -; 34.170 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.350 ; -; 35.281 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.239 ; -; 35.281 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.239 ; -; 35.281 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.239 ; -; 35.281 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.239 ; -; 35.281 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.239 ; -; 35.281 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.239 ; -; 35.281 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.239 ; -; 35.281 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.239 ; -; 37.521 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 69.841 ; 0.000 ; 31.999 ; -; 37.937 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 69.841 ; 0.000 ; 31.583 ; -; 39.048 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 69.841 ; 0.000 ; 30.472 ; -; 40.235 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 69.841 ; 0.000 ; 29.285 ; -; 40.239 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 69.841 ; 0.000 ; 29.281 ; -; 40.355 ; S[1] ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 69.841 ; 0.000 ; 29.165 ; -; 40.651 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 69.841 ; 0.000 ; 28.869 ; -; 40.655 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 69.841 ; 0.000 ; 28.865 ; -; 40.771 ; S[2] ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 69.841 ; 0.000 ; 28.749 ; -; 41.239 ; FS[15] ; nCAS ; C14M ; C14M ; 69.841 ; 0.000 ; 28.281 ; -; 41.532 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 27.988 ; -; 41.557 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 27.963 ; -; 41.762 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 69.841 ; 0.000 ; 27.758 ; -; 41.766 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 69.841 ; 0.000 ; 27.754 ; -; 41.808 ; FS[15] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 27.712 ; -; 41.882 ; S[3] ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 69.841 ; 0.000 ; 27.638 ; -; 41.904 ; FS[13] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 27.616 ; -; 42.244 ; CS[0] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 27.276 ; -; 42.246 ; CS[0] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 27.274 ; -; 42.482 ; FS[15] ; nRAS ; C14M ; C14M ; 69.841 ; 0.000 ; 27.038 ; -; 42.717 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.803 ; -; 42.717 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.803 ; -; 42.717 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.803 ; -; 42.717 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.803 ; -; 42.717 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.803 ; -; 42.717 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.803 ; -; 42.717 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.803 ; -; 42.742 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.778 ; -; 42.742 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.778 ; -; 42.742 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.778 ; -; 42.742 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.778 ; -; 42.742 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.778 ; -; 42.742 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.778 ; -; 42.742 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.778 ; -; 42.780 ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.740 ; -; 42.842 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.678 ; -; 42.988 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.532 ; -; 42.988 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.532 ; -; 42.988 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.532 ; -; 42.988 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.532 ; -; 42.988 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.532 ; -; 42.988 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.532 ; -; 42.988 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.532 ; -; 42.988 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.532 ; -; 42.991 ; FS[0] ; nCAS ; C14M ; C14M ; 69.841 ; 0.000 ; 26.529 ; -; 42.993 ; FS[15] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.527 ; -; 42.993 ; FS[15] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.527 ; -; 42.993 ; FS[15] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.527 ; -+---------+-----------------------------------------------------------------------------------------------------------------+----------------------------------+------------------------+-------------+--------------+------------+------------+ ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Setup: 'C14M' ; ++---------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ +; -17.639 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 18.231 ; +; -17.637 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 18.229 ; +; -15.030 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|LEDEN ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 15.622 ; +; -14.952 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 15.544 ; +; -13.751 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 14.343 ; +; -13.751 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 14.343 ; +; -13.751 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 14.343 ; +; -13.751 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 14.343 ; +; -13.751 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 14.343 ; +; -13.751 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 14.343 ; +; -13.751 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 14.343 ; +; -10.128 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 10.720 ; +; 16.820 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.779 ; +; 16.820 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.779 ; +; 16.820 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.779 ; +; 16.844 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.755 ; +; 16.844 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.755 ; +; 16.844 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.755 ; +; 17.014 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.585 ; +; 17.014 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.585 ; +; 17.014 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.585 ; +; 17.038 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.561 ; +; 17.038 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.561 ; +; 17.038 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.561 ; +; 18.060 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.539 ; +; 18.060 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.539 ; +; 18.060 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.539 ; +; 18.084 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.515 ; +; 18.084 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.515 ; +; 18.084 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.515 ; +; 18.321 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.278 ; +; 18.321 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.278 ; +; 18.321 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.278 ; +; 18.345 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.254 ; +; 18.345 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.254 ; +; 18.345 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.254 ; +; 20.092 ; S[0] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 14.507 ; +; 20.492 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.107 ; +; 20.492 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.107 ; +; 20.686 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.913 ; +; 20.686 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.913 ; +; 21.317 ; S[1] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 13.282 ; +; 21.732 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.867 ; +; 21.732 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.867 ; +; 21.993 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.606 ; +; 21.993 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.606 ; +; 26.069 ; S[3] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 8.530 ; +; 26.118 ; S[2] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 8.481 ; +; 28.496 ; RA[4] ; RAr[4] ; C14M ; C14M ; 34.920 ; 0.000 ; 6.103 ; +; 28.497 ; CKE ; CKEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.102 ; +; 28.552 ; RA[10] ; RAr[10] ; C14M ; C14M ; 34.920 ; 0.000 ; 6.047 ; +; 28.590 ; RA[9] ; RAr[9] ; C14M ; C14M ; 34.920 ; 0.000 ; 6.009 ; +; 30.057 ; RA[11] ; RAr[11] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.542 ; +; 30.106 ; nRAS ; nRASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.493 ; +; 30.124 ; RA[8] ; RAr[8] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.475 ; +; 30.337 ; RA[0] ; RAr[0] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.262 ; +; 30.381 ; RA[6] ; RAr[6] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.218 ; +; 30.386 ; nRWE ; nRWEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.213 ; +; 30.391 ; nCAS ; nCASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.208 ; +; 31.414 ; RA[1] ; RAr[1] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.185 ; +; 31.415 ; RA[7] ; RAr[7] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.184 ; +; 31.443 ; RA[2] ; RAr[2] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.156 ; +; 31.443 ; RA[3] ; RAr[3] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.156 ; +; 31.453 ; RA[5] ; RAr[5] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.146 ; +; 34.230 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.290 ; +; 34.657 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 34.863 ; +; 35.260 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 34.260 ; +; 35.431 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.089 ; +; 35.431 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.089 ; +; 35.431 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.089 ; +; 35.431 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.089 ; +; 35.431 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.089 ; +; 35.431 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.089 ; +; 35.431 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.089 ; +; 36.333 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 33.187 ; +; 36.936 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 32.584 ; +; 37.042 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.478 ; +; 37.390 ; S[0] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 32.130 ; +; 37.395 ; S[0] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 32.125 ; +; 37.408 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 32.112 ; +; 37.689 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.831 ; +; 37.689 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.831 ; +; 37.689 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.831 ; +; 37.689 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.831 ; +; 37.689 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.831 ; +; 37.689 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.831 ; +; 37.689 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.831 ; +; 37.689 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.831 ; +; 37.794 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.726 ; +; 38.141 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 31.379 ; +; 38.243 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.277 ; +; 38.243 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.277 ; +; 38.243 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.277 ; +; 38.243 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.277 ; +; 38.243 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.277 ; +; 38.243 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.277 ; +; 38.243 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.277 ; +; 38.292 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.228 ; +; 38.292 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.228 ; +; 38.292 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.228 ; ++---------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -300,8 +300,8 @@ No paths to report. +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -14.567 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -0.970 ; 4.463 ; -; -14.548 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -0.970 ; 4.482 ; +; -14.583 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -0.912 ; 4.505 ; +; -14.542 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -0.912 ; 4.546 ; ; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 0.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ @@ -311,7 +311,7 @@ No paths to report. +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -14.558 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.000 ; -0.977 ; 4.465 ; +; -14.560 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.000 ; -0.958 ; 4.482 ; ; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 0.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ @@ -321,106 +321,106 @@ No paths to report. +-------+----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ -; 3.133 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.172 ; -; 3.136 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.175 ; -; 3.429 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.468 ; -; 3.436 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.475 ; -; 3.453 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.492 ; -; 3.483 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.522 ; -; 3.527 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.566 ; -; 3.753 ; RWBank[7] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.792 ; -; 3.765 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 3.804 ; -; 3.767 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.806 ; -; 3.803 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.842 ; -; 3.833 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.872 ; -; 3.879 ; RC[2] ; RC[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.918 ; -; 3.883 ; RC[2] ; RC[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.922 ; -; 3.885 ; RC[2] ; RC[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.924 ; -; 4.002 ; PHI1r ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.041 ; -; 4.318 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.357 ; -; 4.629 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 4.668 ; -; 4.854 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.893 ; -; 4.855 ; S[1] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.894 ; -; 4.859 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.898 ; -; 4.879 ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.918 ; -; 5.050 ; RWSel ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.089 ; -; 5.054 ; RWSel ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.093 ; -; 5.156 ; S[2] ; DOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 5.195 ; -; 5.163 ; S[2] ; VOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 5.202 ; +; 2.730 ; PHI1r ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.769 ; +; 3.117 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.156 ; +; 3.171 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.210 ; +; 3.193 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.232 ; +; 3.363 ; RWBank[7] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.402 ; +; 3.367 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.406 ; +; 3.385 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.424 ; +; 3.403 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.442 ; +; 3.441 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 3.480 ; +; 3.448 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 3.487 ; +; 3.458 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.497 ; +; 3.464 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 3.503 ; +; 3.740 ; RAM2E_UFM:ram2e_ufm|UFMProgram ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 3.779 ; +; 3.740 ; RWBank[1] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.779 ; +; 3.766 ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 3.805 ; +; 3.832 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.871 ; +; 3.846 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 3.885 ; +; 3.854 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.893 ; +; 3.858 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.897 ; +; 3.862 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.901 ; +; 4.411 ; PHI1r ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.450 ; +; 4.806 ; RWBank[6] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 4.845 ; +; 4.857 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.896 ; ; 5.217 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.256 ; ; 5.217 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.256 ; -; 5.228 ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 5.267 ; -; 5.229 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 5.268 ; -; 5.233 ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; RWBank[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.272 ; -; 5.253 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.292 ; +; 5.217 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 5.256 ; +; 5.227 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 5.266 ; +; 5.247 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 5.286 ; +; 5.257 ; RAM2E_UFM:ram2e_ufm|LEDEN ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 5.296 ; ; 5.266 ; FS[5] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.305 ; -; 5.272 ; RWBank[1] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.311 ; -; 5.281 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.320 ; -; 5.290 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.329 ; -; 5.301 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.340 ; -; 5.312 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 5.351 ; -; 5.313 ; RC[0] ; RC[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.352 ; -; 5.315 ; RC[0] ; RC[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.354 ; -; 5.316 ; RC[0] ; RC[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.355 ; -; 5.320 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.359 ; -; 5.329 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.368 ; -; 5.351 ; S[3] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.390 ; -; 5.354 ; S[3] ; VOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 5.393 ; -; 5.355 ; S[3] ; DOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 5.394 ; -; 5.429 ; RAM2E_UFM:ram2e_ufm|UFMProgram ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 5.468 ; -; 5.429 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 5.468 ; -; 5.443 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.482 ; -; 5.443 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.482 ; -; 5.449 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.488 ; -; 5.452 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.491 ; +; 5.267 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.306 ; +; 5.271 ; FS[10] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.310 ; +; 5.286 ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 0.000 ; 0.000 ; 5.325 ; +; 5.320 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.359 ; +; 5.323 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.362 ; +; 5.429 ; S[3] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.468 ; +; 5.429 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 5.468 ; ; 5.452 ; FS[13] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.491 ; -; 5.453 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.492 ; -; 5.453 ; FS[14] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.492 ; -; 5.460 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.499 ; -; 5.464 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.503 ; +; 5.452 ; FS[14] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.491 ; +; 5.455 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.494 ; +; 5.464 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.503 ; +; 5.465 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.504 ; +; 5.465 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.504 ; ; 5.466 ; FS[12] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.505 ; -; 5.552 ; RC[1] ; RC[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.591 ; -; 5.559 ; RC[1] ; RC[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.598 ; -; 5.561 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.600 ; -; 5.563 ; RC[1] ; RC[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.602 ; -; 5.564 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.603 ; -; 5.565 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 5.604 ; -; 5.565 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.604 ; -; 5.570 ; S[1] ; DOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 5.609 ; -; 5.579 ; S[1] ; VOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 5.618 ; -; 5.613 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.652 ; -; 5.884 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.923 ; -; 5.988 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.027 ; +; 5.467 ; S[0] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.506 ; +; 5.482 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.521 ; +; 5.486 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.525 ; +; 5.508 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.547 ; +; 5.522 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 5.561 ; +; 5.533 ; RA[10] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.572 ; +; 5.538 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.577 ; +; 5.546 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.585 ; +; 5.563 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.602 ; +; 5.580 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.619 ; +; 5.581 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.620 ; ; 6.001 ; FS[5] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.040 ; -; 6.016 ; S[0] ; RA[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.055 ; -; 6.132 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.171 ; +; 6.002 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.041 ; +; 6.006 ; FS[10] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.045 ; +; 6.037 ; RAM2E_UFM:ram2e_ufm|UFMErase ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 6.076 ; ; 6.145 ; FS[5] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.184 ; -; 6.276 ; FS[9] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.315 ; -; 6.315 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.354 ; -; 6.319 ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; RWBank[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.358 ; -; 6.338 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.377 ; -; 6.365 ; FS[8] ; FS[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.404 ; -; 6.445 ; FS[1] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.484 ; -; 6.451 ; FS[11] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.490 ; -; 6.454 ; FS[4] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.493 ; +; 6.146 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.185 ; +; 6.150 ; FS[10] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.189 ; +; 6.289 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.328 ; +; 6.290 ; FS[9] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.329 ; +; 6.298 ; RWBank[4] ; RA[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.337 ; +; 6.371 ; FS[8] ; FS[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.410 ; +; 6.430 ; FS[13] ; RA[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.469 ; +; 6.454 ; FS[14] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.493 ; ; 6.454 ; FS[13] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.493 ; -; 6.455 ; FS[14] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.494 ; -; 6.462 ; FS[6] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.501 ; -; 6.466 ; FS[3] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.505 ; -; 6.516 ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; RWBank[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.555 ; -; 6.536 ; FS[10] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.575 ; -; 6.567 ; S[3] ; CKE ; C14M ; C14M ; 0.000 ; 0.000 ; 6.606 ; -; 6.598 ; FS[4] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.637 ; +; 6.457 ; FS[1] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.496 ; +; 6.466 ; FS[4] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.505 ; +; 6.484 ; FS[6] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.523 ; +; 6.488 ; FS[3] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.527 ; +; 6.503 ; RAM2E_UFM:ram2e_ufm|UFMErase ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 6.542 ; +; 6.527 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.566 ; +; 6.537 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.576 ; +; 6.557 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.596 ; +; 6.562 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.601 ; +; 6.576 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.615 ; ; 6.598 ; FS[13] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.637 ; -; 6.610 ; FS[3] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.649 ; -; 6.627 ; S[2] ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.666 ; -; 6.628 ; RA[10] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.667 ; -; 6.710 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.749 ; -; 6.735 ; RWSel ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.774 ; -; 6.742 ; FS[4] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.781 ; -; 6.754 ; FS[3] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.793 ; -; 6.771 ; RWBank[4] ; RA[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.810 ; -; 6.787 ; S[0] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.826 ; +; 6.610 ; FS[4] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.649 ; +; 6.617 ; S[3] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.656 ; +; 6.618 ; RWBank[5] ; BA[0]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.657 ; +; 6.632 ; FS[3] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.671 ; +; 6.644 ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.683 ; +; 6.647 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.686 ; +; 6.675 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.714 ; +; 6.705 ; RWSel ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.744 ; +; 6.705 ; RWSel ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.744 ; +; 6.712 ; RWSel ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.751 ; +; 6.715 ; RWSel ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 6.754 ; +; 6.742 ; FS[11] ; RA[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.781 ; +; 6.754 ; FS[4] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.793 ; +; 6.776 ; FS[3] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.815 ; +; 6.786 ; FS[10] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.825 ; +; 6.786 ; FS[10] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.825 ; +; 6.786 ; FS[10] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.825 ; +; 6.803 ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; RWBank[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.842 ; +; 6.836 ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; RWBank[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.875 ; +; 6.838 ; RWBank[2] ; RA[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.877 ; +-------+----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ @@ -429,7 +429,7 @@ No paths to report. +------------------------+------------------------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------------------+------------------------+----------+----------+----------+----------+ -; C14M ; C14M ; 1625 ; 0 ; 16 ; 0 ; +; C14M ; C14M ; 1550 ; 0 ; 52 ; 0 ; ; ram2e_ufm|DRCLK|regout ; C14M ; 13 ; 0 ; 0 ; 0 ; ; C14M ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; @@ -444,7 +444,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------------------+------------------------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------------------+------------------------+----------+----------+----------+----------+ -; C14M ; C14M ; 1625 ; 0 ; 16 ; 0 ; +; C14M ; C14M ; 1550 ; 0 ; 52 ; 0 ; ; ram2e_ufm|DRCLK|regout ; C14M ; 13 ; 0 ; 0 ; 0 ; ; C14M ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; @@ -472,23 +472,24 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Property ; Setup ; Hold ; +---------------------------------+-------+------+ ; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 1 ; 1 ; ; Unconstrained Input Ports ; 28 ; 28 ; -; Unconstrained Input Port Paths ; 176 ; 176 ; +; Unconstrained Input Port Paths ; 170 ; 170 ; ; Unconstrained Output Ports ; 47 ; 47 ; -; Unconstrained Output Port Paths ; 76 ; 76 ; +; Unconstrained Output Port Paths ; 84 ; 84 ; +---------------------------------+-------+------+ -+----------------------------------------------------------------------+ -; Clock Status Summary ; -+------------------------+------------------------+------+-------------+ -; Target ; Clock ; Type ; Status ; -+------------------------+------------------------+------+-------------+ -; C14M ; C14M ; Base ; Constrained ; -; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; Base ; Constrained ; -; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; Base ; Constrained ; -+------------------------+------------------------+------+-------------+ ++------------------------------------------------------------------------+ +; Clock Status Summary ; ++------------------------+------------------------+------+---------------+ +; Target ; Clock ; Type ; Status ; ++------------------------+------------------------+------+---------------+ +; C14M ; C14M ; Base ; Constrained ; +; PHI1 ; ; Base ; Unconstrained ; +; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; Base ; Constrained ; +; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; Base ; Constrained ; ++------------------------+------------------------+------+---------------+ +---------------------------------------------------------------------------------------------------+ @@ -679,7 +680,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition - Info: Processing started: Thu Jan 11 09:29:27 2024 + Info: Processing started: Tue Jan 16 14:28:04 2024 Info: Command: quartus_sta RAM2E-MAXV -c RAM2E Info: qsta_default_script.tcl version: #1 Info (20032): Parallel compilation is enabled and will use up to 4 processors @@ -689,23 +690,25 @@ Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (332104): Reading SDC File: '../RAM2E.sdc' Info (332104): Reading SDC File: '../RAM2E-MAX.sdc' +Warning (332060): Node: PHI1 was determined to be a clock but was found without an associated clock assignment. + Info (13166): Register RefReq is being clocked by PHI1 Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|ARCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|DRCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -25.451 +Info (332146): Worst-case setup slack is -25.457 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -25.451 -25.451 ram2e_ufm|DRCLK|regout - Info (332119): -25.441 -25.441 ram2e_ufm|ARCLK|regout - Info (332119): -20.081 -208.886 C14M -Info (332146): Worst-case hold slack is -14.567 + Info (332119): -25.457 -25.457 ram2e_ufm|DRCLK|regout + Info (332119): -25.439 -25.439 ram2e_ufm|ARCLK|regout + Info (332119): -17.639 -171.643 C14M +Info (332146): Worst-case hold slack is -14.583 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -14.567 -14.567 ram2e_ufm|DRCLK|regout - Info (332119): -14.558 -14.558 ram2e_ufm|ARCLK|regout - Info (332119): 3.133 0.000 C14M + Info (332119): -14.583 -14.583 ram2e_ufm|DRCLK|regout + Info (332119): -14.560 -14.560 ram2e_ufm|ARCLK|regout + Info (332119): 2.730 0.000 C14M Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is 34.581 @@ -719,10 +722,10 @@ Warning (332009): The launch and latch times for the relationship between source Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|DRCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements -Info: Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 13069 megabytes - Info: Processing ended: Thu Jan 11 09:29:29 2024 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:02 +Info: Quartus Prime Timing Analyzer was successful. 0 errors, 6 warnings + Info: Peak virtual memory: 13072 megabytes + Info: Processing ended: Tue Jan 16 14:28:05 2024 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXV/output_files/RAM2E.sta.summary b/CPLD/MAXV/output_files/RAM2E.sta.summary index c1760b6..f9a86c4 100644 --- a/CPLD/MAXV/output_files/RAM2E.sta.summary +++ b/CPLD/MAXV/output_files/RAM2E.sta.summary @@ -3,27 +3,27 @@ Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'ram2e_ufm|DRCLK|regout' -Slack : -25.451 -TNS : -25.451 +Slack : -25.457 +TNS : -25.457 Type : Setup 'ram2e_ufm|ARCLK|regout' -Slack : -25.441 -TNS : -25.441 +Slack : -25.439 +TNS : -25.439 Type : Setup 'C14M' -Slack : -20.081 -TNS : -208.886 +Slack : -17.639 +TNS : -171.643 Type : Hold 'ram2e_ufm|DRCLK|regout' -Slack : -14.567 -TNS : -14.567 +Slack : -14.583 +TNS : -14.583 Type : Hold 'ram2e_ufm|ARCLK|regout' -Slack : -14.558 -TNS : -14.558 +Slack : -14.560 +TNS : -14.560 Type : Hold 'C14M' -Slack : 3.133 +Slack : 2.730 TNS : 0.000 Type : Minimum Pulse Width 'C14M' diff --git a/CPLD/RAM2E.v b/CPLD/RAM2E.v index 54b0303..e7701a9 100644 --- a/CPLD/RAM2E.v +++ b/CPLD/RAM2E.v @@ -19,34 +19,32 @@ module RAM2E(C14M, PHI1, LED, reg [3:0] S = 0; reg PHI1r = 0; always @(posedge C14M) PHI1r <= PHI1; always @(posedge C14M) begin - S <= (PHI1 && !PHI1r && Ready) ? 4'h1 : + S <= (PHI1 && !PHI1r && Ready) ? 4'h1 : (S==4'h0) ? 4'h0 : (S==4'hF) ? 4'hF : S+4'h1; end /* Refresh counter */ reg [2:0] RC; - wire RefReq = RC==0; - always @(posedge C14M) begin - if (S==4'h1) begin - if (RC[2] && RC[1]) RC <= 0; // RC==6 || RC==7 - else RC <= RC+3'h1; - end + reg RefReq; + always @(negedge PHI1) begin + if (RC[2] && RC[1]) RC <= 0; // RC==6 || RC==7 + else RC <= RC+3'h1; + RefReq <= RC==0; end /* Activity LED */ wire LEDEN; output LED; assign LED = !(!nEN80 && LEDEN && Ready); - /* Address Bus */ - input [7:0] Ain; // Multiplexed DRAM address input + /* DRAM multiplexed address bus input */ + input [7:0] Ain; - /* 6502 Data Bus */ - input [7:0] Din; // 6502 data bus inputs + /* 6502 data bus input/output */ + input [7:0] Din; reg DOEEN; always @(posedge C14M) begin - DOEEN <= /*(S==4'h8) || (S==4'h9) || (S==4'hA) ||*/ (S==4'hB) || - (S==4'hC) || (S==4'hD) || (S==4'hE) || (S==4'hF); + DOEEN <= S==4'hB || S==4'hC || S==4'hD || S==4'hE || S==4'hF ; end output nDOE; assign nDOE = !(!nEN80 && nWE && DOEEN); output [7:0] Dout; assign Dout[7:0] = RD[7:0]; @@ -54,37 +52,43 @@ module RAM2E(C14M, PHI1, LED, /* Video Data Bus */ reg VOEEN; always @(posedge C14M) begin - VOEEN <= (S==4'h7) || - (S==4'h8) || (S==4'h9) || (S==4'hA) || (S==4'hB) || - (S==4'hC) || (S==4'hD) || (S==4'hE) || (S==4'hF); + VOEEN <= S==4'h7 || + S==4'h8 || S==4'h9 || S==4'hA || S==4'hB || + S==4'hC || S==4'hD || S==4'hE || S==4'hF; end output nVOE; assign nVOE = !(!PHI1 && VOEEN); output reg [7:0] Vout; // Video data bus - always @(posedge C14M) if (S==4'h6) Vout[7:0] <= RD[7:0]; + always @(negedge C14M) if (S==4'h6) Vout[7:0] <= RD[7:0]; /* SDRAM bus */ - reg CKE = 1; - reg nRAS = 1, nCAS = 1, nRWE = 1; + reg CKE = 1, nRAS = 1, nCAS = 1, nRWE = 1; output reg [1:0] BA; reg [11:0] RA; output reg DQML = 1, DQMH = 1; inout [7:0] RD; wire [7:0] RDout = Ready ? Din[7:0] : 8'h00; - wire RDOE = (!Ready) || (!nEN80 && !nWE); + reg RDOE; + always @(posedge C14M) begin + RDOE <= (!Ready) || (!nEN80 && !nWE && (S==4'hA || S==4'hB)); + end assign RD[7:0] = RDOE ? RDout[7:0] : 8'bZ; - /* SDRAM falling edge outputs */ - output reg CKEout; + /* SDRAM falling edge command outputs */ output nCSout; assign nCSout = 0; - output reg nRASout = 1, nCASout = 1, nRWEout = 1; - output reg [11:0] RAout; + output reg CKEout = 1, nRASout = 1, nCASout = 1, nRWEout = 1; always @(negedge C14M) begin CKEout <= CKE; nRASout <= nRAS; nCASout <= nCAS; nRWEout <= nRWE; - RAout <= RA; end + + /* SDRAM address outputs */ + output [11:0] RAout; + reg [11:0] RAr; always @(negedge C14M) RAr <= RA; + reg RAT; always @(negedge C14M) RAT <= S==4'hA; + assign RAout[11:8] = RAr[11:8]; + assign RAout[7:0] = RAT ? Ain[7:0] : RAr[7:0]; /* RAMWorks Bank Register and Capacity Mask */ reg [7:0] RWBank = 0; // RAMWorks bank register @@ -94,7 +98,6 @@ module RAM2E(C14M, PHI1, LED, if (S==4'h9) RWSel <= RA[0] && !RA[3] && !nWE && !nC07X; end reg CmdRWMaskSet = 0; // RAMWorks Mask register set flag - wire CmdSetRWBankFFChip; reg CmdSetRWBankFFLED = 0; reg CmdLEDSet = 0; reg CmdLEDGet = 0; @@ -103,14 +106,6 @@ module RAM2E(C14M, PHI1, LED, reg [2:0] CS = 0; // Command sequence state reg [2:0] CmdTout = 0; // Command sequence timeout - /* Chip-specific UFM interface */ - RAM2E_UFM ram2e_ufm ( - .C14M(C14M), .S(S), .FS(FS), .CS(CS), .Ready(Ready), - .RWSel(RWSel), .D(Din), - .RWMask(RWMask), .LEDEN(LEDEN), - .CmdRWMaskSet(CmdRWMaskSet), .CmdLEDSet(CmdLEDSet), - .CmdSetRWBankFFChip(CmdSetRWBankFFChip)); - /* Command sequence control */ always @(posedge C14M) begin if (S==4'hC) begin @@ -133,7 +128,17 @@ module RAM2E(C14M, PHI1, LED, end end + /* Chip-specific UFM interface */ + wire [7:0] ChipCmdNum; + RAM2E_UFM ram2e_ufm ( + .C14M(C14M), .S(S), .FS(FS), .CS(CS), .Ready(Ready), + .RWSel(RWSel), .D(Din), + .RWMask(RWMask), .LEDEN(LEDEN), + .CmdRWMaskSet(CmdRWMaskSet), .CmdLEDSet(CmdLEDSet), + .ChipCmdNum(ChipCmdNum)); + /* RAMWorks register control - bank, LED, etc. */ + reg CmdSetRWBankFFChip; always @(posedge C14M) begin if (S==4'hC && RWSel) begin // Latch RAMWorks bank if accessed @@ -142,15 +147,16 @@ module RAM2E(C14M, PHI1, LED, else RWBank <= Din[7:0] & {RWMask[7], ~RWMask[6:0]}; if (CS==3'h6) begin // Recognize and submit command in CS6 - // LED detect command - CmdSetRWBankFFLED <= Din[7:0]==8'hF0; - - // Volatile commands + // Chip detection command + CmdSetRWBankFFChip <= Din[7:0]==ChipCmdNum[7:0]; + // LED exists detect command CmdSetRWBankFFLED <= Din[7:0]==8'hF0; + // Volatile settings commands CmdRWMaskSet <= Din[7:0]==8'hE0; CmdLEDSet <= Din[7:0]==8'hE2; CmdLEDGet <= Din[7:0]==8'hE3; end else begin // Reset command triggers + CmdSetRWBankFFChip <= 0; CmdSetRWBankFFLED <= 0; CmdRWMaskSet <= 0; CmdLEDSet <= 0; @@ -282,9 +288,8 @@ module RAM2E(C14M, PHI1, LED, nRWE <= 1'b0; end // Hold BA - // Hold RA[11] + // Hold RA[11,9:0] RA[10] <= 1'b1; // "all" - // Hold RA[9:0] // Hold DQMs end 4'h5: begin if (RefReq) begin // Refresh request @@ -370,7 +375,7 @@ module RAM2E(C14M, PHI1, LED, // Hold BA RA[11:9] <= 3'b000; // no auto-precharge RA[8] <= RWBank[7]; - RA[7:0] <= Ain[7:0]; + // RA[7:0] is transparent DQML <= RWBank[0]; DQMH <= !RWBank[0]; end 4'hA: begin @@ -397,7 +402,8 @@ module RAM2E(C14M, PHI1, LED, RA[10] <= 1'b0; // no auto-precharge end // Hold BA - // Hold RA[11,9:0] + // Hold RA[11,9:8]x + RA[7:0] <= Ain[7:0]; // Hold DQMs end 4'hB: begin if (nEN80) begin // Idle @@ -429,14 +435,12 @@ module RAM2E(C14M, PHI1, LED, nRAS <= 1'b1; nCAS <= 1'b1; nRWE <= 1'b1; - RA[10] <= 1'b0; end else if (nWE) begin // Read // NOP CKD CKE <= 1'b0; nRAS <= 1'b1; nCAS <= 1'b1; nRWE <= 1'b1; - RA[10] <= 1'b1; end else begin // Write // PC all CKD CKE <= 1'b0; diff --git a/CPLD/UFM-LCMXO2.v b/CPLD/UFM-LCMXO2.v index 1a8fbf1..36a175a 100644 --- a/CPLD/UFM-LCMXO2.v +++ b/CPLD/UFM-LCMXO2.v @@ -2,11 +2,11 @@ module RAM2E_UFM(C14M, S, FS, CS, Ready, RWSel, D, RWMask, LEDEN, CmdRWMaskSet, CmdLEDSet, - CmdSetRWBankFFChip); + ChipCmdNum); input C14M; input [3:0] S; input [15:0] FS; - input [2:0] CS; + input [2:0] CS; input Ready; input RWSel; input [7:0] D; @@ -14,19 +14,19 @@ module RAM2E_UFM(C14M, S, FS, CS, Ready, output reg LEDEN; input CmdRWMaskSet; input CmdLEDSet; - output reg CmdSetRWBankFFChip; + + /* Chip ID */ + //output [7:0] ChipCmdNum; assign ChipCmdNum[7:0] = 8'hFF; // MAX + //output [7:0] ChipCmdNum; assign ChipCmdNum[7:0] = 8'hFE; // SPI + output [7:0] ChipCmdNum; assign ChipCmdNum[7:0] = 8'hFD; // MachXO2 /* RAMWorks register control - Lattice MachXO2 */ reg CmdBitbangMXO2 = 0; reg CmdExecMXO2 = 0; always @(posedge C14M) begin + if (S==4'hC && RWSel) begin if (CS==3'h6) begin // Recognize and submit command in CS6 - // Chip detection commands - //CmdSetRWBankFFChip <= D[7:0]==8'hFF; // MAX - //CmdSetRWBankFFChip <= D[7:0]==8'hFE; // SPI - CmdSetRWBankFFChip <= D[7:0]==8'hFD; // MachXO2 - // Altera MAX II/V commands //CmdBitbangMAX <= D[7:0]==8'hEA; //if (!CmdEraseMAX && !CmdPrgmMAX) begin @@ -41,7 +41,6 @@ module RAM2E_UFM(C14M, S, FS, CS, Ready, CmdBitbangMXO2 <= D[7:0]==8'hEC; CmdExecMXO2 <= D[7:0]==8'hED; end else begin // Reset command triggers - CmdSetRWBankFFChip <= 0; CmdBitbangMXO2 <= 0; CmdExecMXO2 <= 0; end @@ -85,22 +84,22 @@ module RAM2E_UFM(C14M, S, FS, CS, Ready, wb_adr[7:0] <= 8'h70; wb_dati[7:0] <= 8'h80; wb_req <= 1; - end 1: begin // Enable configuration interface - command + end 1: begin // Enable config interface - command wb_we <= 1'b1; wb_adr[7:0] <= 8'h71; wb_dati[7:0] <= 8'h74; wb_req <= 1; - end 2: begin // Enable configuration interface - operand 1/3 + end 2: begin // Enable config interface - operand 1/3 wb_we <= 1'b1; wb_adr[7:0] <= 8'h71; wb_dati[7:0] <= 8'h08; wb_req <= 1; - end 3: begin // Enable configuration interface - operand 2/3 + end 3: begin // Enable config interface - operand 2/3 wb_we <= 1'b1; wb_adr[7:0] <= 8'h71; wb_dati[7:0] <= 8'h00; wb_req <= 1; - end 4: begin // Enable configuration interface - operand 3/3 + end 4: begin // Enable config interface - operand 3/3 wb_we <= 1'b1; wb_adr[7:0] <= 8'h71; wb_dati[7:0] <= 8'h00; @@ -254,17 +253,17 @@ module RAM2E_UFM(C14M, S, FS, CS, Ready, wb_adr[7:0] <= 8'h70; wb_dati[7:0] <= 8'h80; wb_req <= 1; - end 49: begin // Disable configuration interface - command + end 49: begin // Disable config interface - command wb_we <= 1'b1; wb_adr[7:0] <= 8'h71; wb_dati[7:0] <= 8'h26; wb_req <= 1; - end 50: begin // Disable configuration interface - operand 1/2 + end 50: begin // Disable config interface - operand 1/2 wb_we <= 1'b1; wb_adr[7:0] <= 8'h71; wb_dati[7:0] <= 8'h00; wb_req <= 1; - end 51: begin // Disable configuration interface - operand 2/2 + end 51: begin // Disable config interface - operand 2/2 wb_we <= 1'b1; wb_adr[7:0] <= 8'h71; wb_dati[7:0] <= 8'h00; @@ -310,13 +309,16 @@ module RAM2E_UFM(C14M, S, FS, CS, Ready, wb_rst <= 1'b0; wb_req <= 1'b0; + // Volatile settings command execution if (RWSel && S==4'hC) begin // LED control if (CmdLEDSet) LEDEN <= D[0]; - // Set capacity mask if (CmdRWMaskSet) RWMask[7:0] <= {D[7], ~D[6:0]}; + end + // EFB commands + if (RWSel && S==4'hC) begin // Set EFB address if (CmdBitbangMXO2) begin wb_adr[7:0] <= D[7:0]; diff --git a/CPLD/UFM-MAX.v b/CPLD/UFM-MAX.v index e0b94a9..a4ff3eb 100644 --- a/CPLD/UFM-MAX.v +++ b/CPLD/UFM-MAX.v @@ -2,7 +2,7 @@ module RAM2E_UFM(C14M, S, FS, CS, Ready, RWSel, D, RWMask, LEDEN, CmdRWMaskSet, CmdLEDSet, - CmdSetRWBankFFChip); + ChipCmdNum); input C14M; input [3:0] S; input [15:0] FS; @@ -14,7 +14,11 @@ module RAM2E_UFM(C14M, S, FS, CS, Ready, output reg LEDEN; input CmdRWMaskSet; input CmdLEDSet; - output reg CmdSetRWBankFFChip; + + /* Chip ID */ + output [7:0] ChipCmdNum; assign ChipCmdNum[7:0] = 8'hFF; // MAX + //output [7:0] ChipCmdNum; assign ChipCmdNum[7:0] = 8'hFE; // SPI + //output [7:0] ChipCmdNum; assign ChipCmdNum[7:0] = 8'hFD; // MachXO2 /* RAMWorks register control - Altera MAX */ reg CmdBitbangMAX = 0; // Set by user command. Loads UFM outputs next RWSel @@ -23,11 +27,6 @@ module RAM2E_UFM(C14M, S, FS, CS, Ready, always @(posedge C14M) begin if (S==4'hC && RWSel) begin if (CS==3'h6) begin // Recognize and submit command in CS6 - // Chip detection commands - CmdSetRWBankFFChip <= D[7:0]==8'hFF; // MAX - //CmdSetRWBankFFChip <= D[7:0]==8'hFE; // SPI - //CmdSetRWBankFFChip <= D[7:0]==8'hFD; // MachXO2 - // Altera MAX II/V commands CmdBitbangMAX <= D[7:0]==8'hEA; if (!CmdEraseMAX && !CmdPrgmMAX) begin @@ -42,12 +41,12 @@ module RAM2E_UFM(C14M, S, FS, CS, Ready, //CmdBitbangMXO2 <= D[7:0]==8'hEC; //CmdExecMXO2 <= D[7:0]==8'hED; end else begin // Reset command triggers - CmdSetRWBankFFChip <= 0; CmdBitbangMAX <= 0; end end end + /* UFM Interface */ reg [15:8] UFMD = 0; // *Parallel* UFM data register reg ARCLK = 0; // UFM address register clock @@ -87,7 +86,8 @@ module RAM2E_UFM(C14M, S, FS, CS, Ready, reg UFMProgStart; always @(posedge C14M) begin if (S==4'h0) begin - if ((FS[15:13]==3'b101) || (FS[15:13]==3'b111 && UFMReqErase)) begin + if ((FS[15:13]==3'b101) || + (FS[15:13]==3'b111 && UFMReqErase)) begin // In states AXXX-BXXX and also EXXX-FXXX if erase/wrap req'd // shift in 0's to address register ARCLK <= FS[0]; // Clock address register @@ -95,7 +95,8 @@ module RAM2E_UFM(C14M, S, FS, CS, Ready, ARShift <= 1'b1; // Shift address registers DRDIn <= 1'b0; // Don't care DRDIn DRShift <= 1'b0; // Don't care DRDShift - end else if (!UFMInitDone && FS[15:13]==3'b110 && FS[4:1]==4'h4) begin + end else if (!UFMInitDone && + FS[15:13]==3'b110 && FS[4:1]==4'h4) begin // In states CXXX-DXXX (substep 4) // Xfer to data reg (repeat 256x 1x) ARCLK <= 1'b0; // Don't clock address register @@ -103,7 +104,8 @@ module RAM2E_UFM(C14M, S, FS, CS, Ready, ARShift <= 1'b0; // Don't care ARShift DRDIn <= 1'b0; // Don't care DRDIn DRShift <= 1'b0; // Don't care DRShift - end else if (!UFMInitDone && FS[15:13]==3'b110 && (FS[4:1]==4'h7 || FS[4]==1'b1)) begin + end else if (!UFMInitDone && + FS[15:13]==3'b110 && (FS[4:1]==4'h7 || FS[4]==1'b1)) begin // In states CXXX-DXXX (substeps 8-F) // Save UFM D15-8, shift out D14-7 (repeat 256x 8x) DRCLK <= FS[0]; // Clock data register