RAM2E/Hardware/LCMXO/Docs.kicad_sch
2023-09-21 07:26:21 -04:00

3276 lines
113 KiB
Plaintext

(kicad_sch (version 20230121) (generator eeschema)
(uuid fd598035-6326-4f6f-91f7-e2bf6b6a8e28)
(paper "A4")
(title_block
(title "GW4203B (RAM2E II) - LCMXO256 / LCMXO640")
(date "2023-09-21")
(rev "2.0")
(company "Garrett's Workshop")
)
(lib_symbols
)
(junction (at 153.67 46.99) (diameter 0) (color 0 0 0 0)
(uuid 040fddc9-2da2-4fd9-9366-9e1096791e1b)
)
(junction (at 99.06 49.53) (diameter 0) (color 0 0 0 0)
(uuid 08ab7689-c9d2-4ffc-8023-17b8d28feacb)
)
(junction (at 171.45 46.99) (diameter 0) (color 0 0 0 0)
(uuid 0b956bb2-a0e6-4436-8aa6-de3ea7c0c2f9)
)
(junction (at 212.09 46.99) (diameter 0) (color 0 0 0 0)
(uuid 0c6dd234-c78b-4b9e-a3ea-60e2c2a9d60f)
)
(junction (at 151.13 46.99) (diameter 0) (color 0 0 0 0)
(uuid 0c82d78f-28f8-4e20-b904-a508dd49612c)
)
(junction (at 104.14 46.99) (diameter 0) (color 0 0 0 0)
(uuid 10549899-91e7-4c51-bd6d-5c022170ca6b)
)
(junction (at 113.03 49.53) (diameter 0) (color 0 0 0 0)
(uuid 15adca59-3f67-409f-81ec-90b7eb4c87b1)
)
(junction (at 187.96 50.8) (diameter 0) (color 0 0 0 0)
(uuid 17455790-a7db-4302-ada9-ff7eb374c3b0)
)
(junction (at 207.01 49.53) (diameter 0) (color 0 0 0 0)
(uuid 17883476-5b98-47c5-bdec-f866ca0b60ac)
)
(junction (at 100.33 49.53) (diameter 0) (color 0 0 0 0)
(uuid 198cfa1f-fb3f-4197-adc6-3ca597186a0d)
)
(junction (at 207.01 46.99) (diameter 0) (color 0 0 0 0)
(uuid 1daac09a-8341-43bb-9157-10323294212b)
)
(junction (at 204.47 49.53) (diameter 0) (color 0 0 0 0)
(uuid 28ebdb3e-95bb-4c12-b3d3-9ce9e4d89961)
)
(junction (at 152.4 49.53) (diameter 0) (color 0 0 0 0)
(uuid 2c0d324c-757e-48b1-b582-6976abc23fc2)
)
(junction (at 210.82 49.53) (diameter 0) (color 0 0 0 0)
(uuid 2e0b21b9-09fe-44c4-a6d3-2178b24ca096)
)
(junction (at 96.52 46.99) (diameter 0) (color 0 0 0 0)
(uuid 2fac2e79-0460-400a-a9ed-b6208241d4fd)
)
(junction (at 152.4 46.99) (diameter 0) (color 0 0 0 0)
(uuid 2fd04b17-039c-4d03-8027-07b2a554f2f0)
)
(junction (at 209.55 46.99) (diameter 0) (color 0 0 0 0)
(uuid 33dd9a0f-360f-440a-a36b-811f4509b382)
)
(junction (at 209.55 49.53) (diameter 0) (color 0 0 0 0)
(uuid 36429b6d-6074-4915-90ac-e0c3ee1f30ae)
)
(junction (at 170.18 46.99) (diameter 0) (color 0 0 0 0)
(uuid 3b0d7ec0-d462-4d37-8cbd-6fcd7cf807fd)
)
(junction (at 205.74 46.99) (diameter 0) (color 0 0 0 0)
(uuid 3b7ce578-56cf-413a-b045-a69e76d2c765)
)
(junction (at 116.84 46.99) (diameter 0) (color 0 0 0 0)
(uuid 4015f6c3-57e0-4b29-abd3-949d9ce42a7c)
)
(junction (at 212.09 49.53) (diameter 0) (color 0 0 0 0)
(uuid 405b7cb6-a798-4a45-b7ba-33970663cf31)
)
(junction (at 149.86 49.53) (diameter 0) (color 0 0 0 0)
(uuid 41bd98cf-39a7-4e2e-9a4f-8f1038711960)
)
(junction (at 205.74 49.53) (diameter 0) (color 0 0 0 0)
(uuid 432fd637-0769-48ca-97af-e411bcad2f1f)
)
(junction (at 219.71 49.53) (diameter 0) (color 0 0 0 0)
(uuid 45799ae5-e7dd-4274-9dd6-ae68d90fd509)
)
(junction (at 157.48 86.36) (diameter 0) (color 0 0 0 0)
(uuid 49d29c47-b447-47c5-b841-f5494d1c69a3)
)
(junction (at 105.41 49.53) (diameter 0) (color 0 0 0 0)
(uuid 4b52482e-afdd-4f4d-b20d-f1023fb1c862)
)
(junction (at 218.44 46.99) (diameter 0) (color 0 0 0 0)
(uuid 4c51a17a-fded-42be-b068-d801b82fd185)
)
(junction (at 212.09 86.36) (diameter 0) (color 0 0 0 0)
(uuid 4c85cda8-5cb6-465b-bed9-260a008afd5b)
)
(junction (at 220.98 49.53) (diameter 0) (color 0 0 0 0)
(uuid 5523a7b9-3b2e-49a9-acec-97211b689207)
)
(junction (at 101.6 46.99) (diameter 0) (color 0 0 0 0)
(uuid 5b2aeae1-9dbb-4384-a9d7-ea84b4282a2f)
)
(junction (at 113.03 46.99) (diameter 0) (color 0 0 0 0)
(uuid 5c630dff-ae8b-4587-b0a4-770275345e20)
)
(junction (at 105.41 82.55) (diameter 0) (color 0 0 0 0)
(uuid 5d1f3d5f-8629-4e21-84d4-3d6bc5f12374)
)
(junction (at 210.82 46.99) (diameter 0) (color 0 0 0 0)
(uuid 60870053-578f-4923-8c0f-e1cc4ce759b9)
)
(junction (at 208.28 49.53) (diameter 0) (color 0 0 0 0)
(uuid 636d3636-36f7-4ad2-9d43-07aa0b497924)
)
(junction (at 203.2 46.99) (diameter 0) (color 0 0 0 0)
(uuid 65ac873f-7606-43d4-b4dd-260775f19d3b)
)
(junction (at 121.92 46.99) (diameter 0) (color 0 0 0 0)
(uuid 68a00a39-ea42-43aa-b71e-ed388bab6a9e)
)
(junction (at 114.3 49.53) (diameter 0) (color 0 0 0 0)
(uuid 68af31b3-70bb-4911-bf64-5a7dabb33f09)
)
(junction (at 175.26 46.99) (diameter 0) (color 0 0 0 0)
(uuid 725ca9ee-e454-4d94-b7a6-5e5a3bcaa248)
)
(junction (at 120.65 46.99) (diameter 0) (color 0 0 0 0)
(uuid 73dc7850-6256-429b-98cc-081577e18bb0)
)
(junction (at 115.57 46.99) (diameter 0) (color 0 0 0 0)
(uuid 76bab69b-430e-40d7-b416-b2726d183a85)
)
(junction (at 116.84 49.53) (diameter 0) (color 0 0 0 0)
(uuid 77680147-2c18-4877-9ef8-66f82beaa125)
)
(junction (at 179.07 54.61) (diameter 0) (color 0 0 0 0)
(uuid 77bde703-aa9e-4af9-a7aa-a2a5c2acdd79)
)
(junction (at 160.02 49.53) (diameter 0) (color 0 0 0 0)
(uuid 7c91892a-371c-418b-ba09-bc169e36d640)
)
(junction (at 172.72 49.53) (diameter 0) (color 0 0 0 0)
(uuid 7d9153b1-634f-4df9-a73e-f07fd66ec39b)
)
(junction (at 149.86 46.99) (diameter 0) (color 0 0 0 0)
(uuid 85b14250-daa4-4e9f-b749-4d2c314bccaf)
)
(junction (at 157.48 78.74) (diameter 0) (color 0 0 0 0)
(uuid 887f6942-835b-4ebf-8927-5ff11bfa861e)
)
(junction (at 204.47 46.99) (diameter 0) (color 0 0 0 0)
(uuid 898146ea-b962-46a2-bee7-cb64848347a8)
)
(junction (at 97.79 49.53) (diameter 0) (color 0 0 0 0)
(uuid 8bc26440-3158-44c5-bf07-345d9b031d30)
)
(junction (at 167.64 46.99) (diameter 0) (color 0 0 0 0)
(uuid 8cb669e8-2122-4b6e-8d44-4c486bcc7aac)
)
(junction (at 208.28 46.99) (diameter 0) (color 0 0 0 0)
(uuid 8ce8e824-b805-410a-a69d-8b3c12d051ab)
)
(junction (at 105.41 78.74) (diameter 0) (color 0 0 0 0)
(uuid 8db26ab3-7800-40e2-9b25-b42180929c38)
)
(junction (at 100.33 46.99) (diameter 0) (color 0 0 0 0)
(uuid 8e540a44-8bf1-4600-a9fd-602d98300624)
)
(junction (at 106.68 49.53) (diameter 0) (color 0 0 0 0)
(uuid 8f9a75aa-cf43-495e-95c2-35a499ab13d4)
)
(junction (at 104.14 49.53) (diameter 0) (color 0 0 0 0)
(uuid 8fb4a9f3-ccdd-40bb-8f92-b420654d1bbe)
)
(junction (at 101.6 49.53) (diameter 0) (color 0 0 0 0)
(uuid 90a21a8a-427e-4612-aad4-74ac36c975fc)
)
(junction (at 156.21 46.99) (diameter 0) (color 0 0 0 0)
(uuid 96071b90-7af2-478b-898a-8b9825414a98)
)
(junction (at 172.72 46.99) (diameter 0) (color 0 0 0 0)
(uuid 972b77b6-3f06-4213-ad8c-f86c09b59a97)
)
(junction (at 105.41 46.99) (diameter 0) (color 0 0 0 0)
(uuid 9750b660-657b-4368-818c-da46c3e7d884)
)
(junction (at 212.09 82.55) (diameter 0) (color 0 0 0 0)
(uuid 9811634a-4048-45a3-8b69-ec1cc5bfb2fb)
)
(junction (at 166.37 49.53) (diameter 0) (color 0 0 0 0)
(uuid 98576e34-e5da-46d2-8b89-76a3e61ebe2d)
)
(junction (at 151.13 49.53) (diameter 0) (color 0 0 0 0)
(uuid 991910c4-e185-45d3-81f2-ff7241f5d128)
)
(junction (at 212.09 78.74) (diameter 0) (color 0 0 0 0)
(uuid 99d70ca6-e88c-457b-8854-9f751a986ffc)
)
(junction (at 160.02 46.99) (diameter 0) (color 0 0 0 0)
(uuid 9b3c6efb-2dcc-41a5-b217-59c63174f101)
)
(junction (at 121.92 49.53) (diameter 0) (color 0 0 0 0)
(uuid 9c23c0fa-4eeb-488c-91bc-180bdb1baed2)
)
(junction (at 157.48 46.99) (diameter 0) (color 0 0 0 0)
(uuid a3752388-d4e8-4217-8fe3-f99e5615dfc0)
)
(junction (at 96.52 49.53) (diameter 0) (color 0 0 0 0)
(uuid a4b67173-b44b-4f2f-a058-18531465e5af)
)
(junction (at 173.99 49.53) (diameter 0) (color 0 0 0 0)
(uuid a7678b7b-5a44-4a27-81af-816fbd4e80f9)
)
(junction (at 166.37 46.99) (diameter 0) (color 0 0 0 0)
(uuid a7b33136-50d6-4d79-b83c-4c26a762d98e)
)
(junction (at 173.99 46.99) (diameter 0) (color 0 0 0 0)
(uuid a7b5d4c3-3d5f-49f2-99a5-cf6e26711eff)
)
(junction (at 187.96 54.61) (diameter 0) (color 0 0 0 0)
(uuid a9da970d-db81-4e32-bade-6a265c2a15f7)
)
(junction (at 213.36 46.99) (diameter 0) (color 0 0 0 0)
(uuid ad6c7f82-1a36-4eb9-8de6-0818e999be31)
)
(junction (at 170.18 49.53) (diameter 0) (color 0 0 0 0)
(uuid ad75d37c-734b-4257-b737-f0c30914a167)
)
(junction (at 154.94 46.99) (diameter 0) (color 0 0 0 0)
(uuid b1508f2d-6277-4071-8082-068500373883)
)
(junction (at 220.98 46.99) (diameter 0) (color 0 0 0 0)
(uuid b6ae75ef-6e1f-455d-8fca-4b4f5f4fef23)
)
(junction (at 105.41 86.36) (diameter 0) (color 0 0 0 0)
(uuid bd155c0b-2bc4-4ddb-a1db-2dddaa3e242f)
)
(junction (at 102.87 49.53) (diameter 0) (color 0 0 0 0)
(uuid bd31e2fc-93f7-42e1-91da-2c930014b5c3)
)
(junction (at 218.44 49.53) (diameter 0) (color 0 0 0 0)
(uuid bfce540b-1d77-4172-9a6e-15d7fb2f1e22)
)
(junction (at 111.76 49.53) (diameter 0) (color 0 0 0 0)
(uuid c0d19e32-9a59-4c38-a6c5-8498a53bced0)
)
(junction (at 179.07 50.8) (diameter 0) (color 0 0 0 0)
(uuid c16b0334-6c4b-49ed-9098-0c22593aa3d9)
)
(junction (at 97.79 46.99) (diameter 0) (color 0 0 0 0)
(uuid c1f98bf6-b58c-4c45-ab0b-de01cad82102)
)
(junction (at 119.38 46.99) (diameter 0) (color 0 0 0 0)
(uuid c2180b68-19ce-4181-8cac-6f6751dcc46f)
)
(junction (at 153.67 49.53) (diameter 0) (color 0 0 0 0)
(uuid c5ce5679-9e85-4159-8d0c-2e47149cbf12)
)
(junction (at 171.45 49.53) (diameter 0) (color 0 0 0 0)
(uuid c5ea06b3-3583-4627-a0c7-101d1947c828)
)
(junction (at 102.87 46.99) (diameter 0) (color 0 0 0 0)
(uuid c6c03312-67c5-40a5-b5af-06c68f379678)
)
(junction (at 168.91 49.53) (diameter 0) (color 0 0 0 0)
(uuid c770d91c-bde2-4c2c-9832-692cac41c79e)
)
(junction (at 106.68 46.99) (diameter 0) (color 0 0 0 0)
(uuid c9526213-f57e-448e-ba5b-fda81c5fa786)
)
(junction (at 157.48 49.53) (diameter 0) (color 0 0 0 0)
(uuid c9d3125d-8d1b-49a7-beec-9b963050efcb)
)
(junction (at 158.75 49.53) (diameter 0) (color 0 0 0 0)
(uuid cac6b473-dd6a-4181-922d-146e16cf61de)
)
(junction (at 203.2 49.53) (diameter 0) (color 0 0 0 0)
(uuid cc574667-1f7a-4f84-8cdb-7b3e304d3865)
)
(junction (at 118.11 49.53) (diameter 0) (color 0 0 0 0)
(uuid cd5b6a91-eb4e-477a-8e53-8d977efcc8a2)
)
(junction (at 115.57 49.53) (diameter 0) (color 0 0 0 0)
(uuid d135b8d7-274d-45b9-a1f0-02aaa14c5ed4)
)
(junction (at 158.75 46.99) (diameter 0) (color 0 0 0 0)
(uuid d46a50d0-17dd-472e-977c-08cbbe0ff24d)
)
(junction (at 167.64 49.53) (diameter 0) (color 0 0 0 0)
(uuid db16875f-01a7-4699-88cf-7ad7bd85f3e3)
)
(junction (at 175.26 49.53) (diameter 0) (color 0 0 0 0)
(uuid db8d17b8-7a36-4bc9-9c73-5885f6418ef5)
)
(junction (at 99.06 46.99) (diameter 0) (color 0 0 0 0)
(uuid dc0a2564-2c92-4439-819d-66b45946b4fb)
)
(junction (at 168.91 46.99) (diameter 0) (color 0 0 0 0)
(uuid dd45b3d8-c8bf-4973-a624-eecd42a11cd3)
)
(junction (at 118.11 46.99) (diameter 0) (color 0 0 0 0)
(uuid dddd15ea-a638-489e-8781-0135745c553b)
)
(junction (at 213.36 49.53) (diameter 0) (color 0 0 0 0)
(uuid dff191a8-0388-49ba-a6b4-9ea003f68a77)
)
(junction (at 195.58 82.55) (diameter 0) (color 0 0 0 0)
(uuid e0b17553-66b3-449d-ac88-b1b0903184f0)
)
(junction (at 154.94 49.53) (diameter 0) (color 0 0 0 0)
(uuid e498c64d-cbb4-42ab-82ea-a14a1309aa40)
)
(junction (at 114.3 46.99) (diameter 0) (color 0 0 0 0)
(uuid e62a8b5c-9d18-4afa-b130-69db5ef1a002)
)
(junction (at 120.65 49.53) (diameter 0) (color 0 0 0 0)
(uuid e81a3ba4-85d2-4bbf-a220-40970844a220)
)
(junction (at 165.1 46.99) (diameter 0) (color 0 0 0 0)
(uuid eb58e863-9af2-443e-a7bd-30a3f0110e58)
)
(junction (at 119.38 49.53) (diameter 0) (color 0 0 0 0)
(uuid ef5be458-31fe-411f-8673-546335fdcc2b)
)
(junction (at 111.76 46.99) (diameter 0) (color 0 0 0 0)
(uuid f4057bc2-d9ed-4c17-a2f1-07e423348f11)
)
(junction (at 156.21 49.53) (diameter 0) (color 0 0 0 0)
(uuid f848a9c7-1438-4b74-9594-e9c359dad491)
)
(junction (at 219.71 46.99) (diameter 0) (color 0 0 0 0)
(uuid faebdcb5-ca3f-417e-9cbf-d6eb88d67039)
)
(junction (at 165.1 49.53) (diameter 0) (color 0 0 0 0)
(uuid fd83b07f-549f-4c5a-960a-6127d69da892)
)
(wire (pts (xy 203.2 41.91) (xy 209.55 41.91))
(stroke (width 0) (type default))
(uuid 00528991-e9c5-413c-8117-1ff1687498cd)
)
(wire (pts (xy 209.55 76.2) (xy 210.82 73.66))
(stroke (width 0) (type default))
(uuid 00783651-bd45-4a42-ac1a-300630bee179)
)
(wire (pts (xy 210.82 46.99) (xy 212.09 46.99))
(stroke (width 0) (type default))
(uuid 00a6bb08-9cc9-4529-93f6-4886754564e9)
)
(wire (pts (xy 125.73 73.66) (xy 119.38 73.66))
(stroke (width 0) (type default))
(uuid 00f3e0e0-e19a-436c-8111-526b9d73c9c8)
)
(wire (pts (xy 171.45 64.77) (xy 172.72 62.23))
(stroke (width 0) (type default))
(uuid 019c8b5a-d3ec-4566-8412-45fddbffaebc)
)
(wire (pts (xy 186.69 39.37) (xy 187.96 41.91))
(stroke (width 0) (type default))
(uuid 023f02df-1b4c-4cc6-aa4d-a87f5562c6e2)
)
(wire (pts (xy 217.17 41.91) (xy 218.44 39.37))
(stroke (width 0) (type default))
(uuid 0290234f-53ac-4397-a002-6176c581b473)
)
(wire (pts (xy 194.31 39.37) (xy 187.96 39.37))
(stroke (width 0) (type default))
(uuid 02e58a32-d663-457d-8ae4-5b5eb92ae031)
)
(wire (pts (xy 101.6 49.53) (xy 102.87 49.53))
(stroke (width 0) (type default))
(uuid 033716f3-03e2-4cea-9ad3-ddb1686be7f2)
)
(wire (pts (xy 125.73 64.77) (xy 127 62.23))
(stroke (width 0) (type default))
(uuid 04274cb4-c2e7-4e60-aea6-0337709a771c)
)
(wire (pts (xy 158.75 46.99) (xy 160.02 46.99))
(stroke (width 0) (type default))
(uuid 044ddf29-1c95-43ef-80d7-966a2801cb87)
)
(wire (pts (xy 167.64 87.63) (xy 168.91 85.09))
(stroke (width 0) (type default))
(uuid 04fbad20-c567-47a6-b3f8-eaf9231b18be)
)
(wire (pts (xy 203.2 49.53) (xy 204.47 49.53))
(stroke (width 0) (type default))
(uuid 05085cb4-a7a1-41a6-b803-7870403b26a1)
)
(wire (pts (xy 180.34 41.91) (xy 186.69 41.91))
(stroke (width 0) (type default))
(uuid 058e9951-cb1a-4409-8b2a-dbe972f4b6fd)
)
(wire (pts (xy 205.74 49.53) (xy 207.01 46.99))
(stroke (width 0) (type default))
(uuid 05a2f138-fec9-4df7-9754-5ce3471ae291)
)
(wire (pts (xy 217.17 62.23) (xy 218.44 64.77))
(stroke (width 0) (type default))
(uuid 05e6deea-dd21-4e96-9a67-e9da92806e2c)
)
(wire (pts (xy 163.83 85.09) (xy 165.1 87.63))
(stroke (width 0) (type default))
(uuid 067f625b-ddb2-4595-8890-5eeefcbb0330)
)
(wire (pts (xy 102.87 62.23) (xy 104.14 64.77))
(stroke (width 0) (type default))
(uuid 06bcf6a6-38a9-4144-b8c5-2d54e7ab1633)
)
(wire (pts (xy 165.1 85.09) (xy 166.37 87.63))
(stroke (width 0) (type default))
(uuid 0717f56b-124a-4ddb-98ab-b15bb4739446)
)
(wire (pts (xy 105.41 82.55) (xy 104.14 83.82))
(stroke (width 0) (type default))
(uuid 0731b9e6-ee9a-44fb-8f67-8d742a887cd3)
)
(wire (pts (xy 179.07 62.23) (xy 180.34 64.77))
(stroke (width 0) (type default))
(uuid 077ce8fe-16ab-4acd-96d0-d9c18e645a4a)
)
(wire (pts (xy 180.34 53.34) (xy 186.69 53.34))
(stroke (width 0) (type default))
(uuid 07f4d596-f95d-4932-8b82-159792850a1b)
)
(wire (pts (xy 119.38 64.77) (xy 125.73 64.77))
(stroke (width 0) (type default))
(uuid 094d1b3c-7a7e-4c6f-8acc-56c93e30e930)
)
(wire (pts (xy 173.99 46.99) (xy 175.26 46.99))
(stroke (width 0) (type default))
(uuid 09bf7eb2-1bf8-4723-8988-1b21d279f091)
)
(wire (pts (xy 157.48 86.36) (xy 158.75 87.63))
(stroke (width 0) (type default))
(uuid 09f88639-527e-4e59-93cd-77bac0da09b0)
)
(wire (pts (xy 133.35 73.66) (xy 127 73.66))
(stroke (width 0) (type default))
(uuid 0a95b1de-f5a7-46d4-9ea5-39be545e1d80)
)
(wire (pts (xy 179.07 73.66) (xy 180.34 76.2))
(stroke (width 0) (type default))
(uuid 0b23ecc0-c395-46cb-8950-2122eb1f3e39)
)
(wire (pts (xy 158.75 80.01) (xy 210.82 80.01))
(stroke (width 0) (type default))
(uuid 0b2ea7ff-3056-4188-b6a7-cca8647c834e)
)
(wire (pts (xy 104.14 41.91) (xy 110.49 41.91))
(stroke (width 0) (type default))
(uuid 0b62d68e-7088-4429-a109-20be2db6b8ee)
)
(wire (pts (xy 92.71 46.99) (xy 96.52 46.99))
(stroke (width 0) (type default))
(uuid 0b6bada8-4d57-4bec-9b43-434f5f13b0dc)
)
(wire (pts (xy 195.58 72.39) (xy 201.93 72.39))
(stroke (width 0) (type default))
(uuid 0b84b2a5-658a-460c-af9f-a45ea493c06a)
)
(wire (pts (xy 111.76 49.53) (xy 113.03 46.99))
(stroke (width 0) (type default))
(uuid 0bbdc12d-959f-41ac-9cef-9c8b6e1c4803)
)
(wire (pts (xy 209.55 46.99) (xy 210.82 49.53))
(stroke (width 0) (type default))
(uuid 0bd19beb-ed09-48fa-9d1b-67847b28507e)
)
(wire (pts (xy 157.48 72.39) (xy 163.83 72.39))
(stroke (width 0) (type default))
(uuid 0d72af56-c0ce-4019-9dca-ebe86f1780e9)
)
(wire (pts (xy 114.3 38.1) (xy 118.11 38.1))
(stroke (width 0) (type default))
(uuid 0df4a43a-316f-421b-b67b-0d85fc983cc6)
)
(wire (pts (xy 165.1 76.2) (xy 171.45 76.2))
(stroke (width 0) (type default))
(uuid 0e92bdb2-d3f2-45e6-92ab-40db9e80de7d)
)
(wire (pts (xy 149.86 49.53) (xy 151.13 49.53))
(stroke (width 0) (type default))
(uuid 0ec5af31-88a8-41a2-b829-e2ab4089118d)
)
(wire (pts (xy 217.17 69.85) (xy 210.82 69.85))
(stroke (width 0) (type default))
(uuid 0f009580-978d-42cb-b1e1-e66889209c7f)
)
(wire (pts (xy 212.09 86.36) (xy 222.25 86.36))
(stroke (width 0) (type default))
(uuid 0f1428f6-af79-4ad2-b247-3637481fae6f)
)
(wire (pts (xy 101.6 49.53) (xy 102.87 46.99))
(stroke (width 0) (type default))
(uuid 0f90b09b-a66a-4d7c-90b2-37972cef0621)
)
(wire (pts (xy 167.64 49.53) (xy 168.91 46.99))
(stroke (width 0) (type default))
(uuid 0f966bee-5106-4aa5-b9e1-7e122ca259ed)
)
(wire (pts (xy 217.17 35.56) (xy 220.98 35.56))
(stroke (width 0) (type default))
(uuid 104a9cf8-bbd8-4057-9ab9-03ddc6a1eb2a)
)
(wire (pts (xy 166.37 49.53) (xy 167.64 49.53))
(stroke (width 0) (type default))
(uuid 112083c0-ec8f-4176-b5f5-b143c867e30c)
)
(wire (pts (xy 212.09 78.74) (xy 210.82 80.01))
(stroke (width 0) (type default))
(uuid 11991129-4565-441a-84a1-a18635111da3)
)
(wire (pts (xy 149.86 46.99) (xy 151.13 46.99))
(stroke (width 0) (type default))
(uuid 11ee321f-ce50-49f6-a44b-b4cd1267fb99)
)
(wire (pts (xy 120.65 49.53) (xy 121.92 49.53))
(stroke (width 0) (type default))
(uuid 12618892-b64f-4a3d-b680-1f57dcb434c1)
)
(wire (pts (xy 180.34 57.15) (xy 186.69 57.15))
(stroke (width 0) (type default))
(uuid 12760d64-5cf2-4468-8e5a-047f1a25ee58)
)
(wire (pts (xy 144.78 35.56) (xy 144.78 38.1))
(stroke (width 0) (type default))
(uuid 128cd197-1f2c-434f-a89b-a38cf5014d2f)
)
(wire (pts (xy 209.55 39.37) (xy 203.2 39.37))
(stroke (width 0) (type default))
(uuid 12b82c33-9e0d-4fdd-ab29-52ae60f30e3d)
)
(wire (pts (xy 213.36 46.99) (xy 218.44 46.99))
(stroke (width 0) (type default))
(uuid 13971030-c473-4e10-aff9-a3be2a781b59)
)
(wire (pts (xy 104.14 81.28) (xy 105.41 82.55))
(stroke (width 0) (type default))
(uuid 13c372ca-8532-4bdd-a576-e5fc0bacfa32)
)
(wire (pts (xy 119.38 46.99) (xy 120.65 49.53))
(stroke (width 0) (type default))
(uuid 142060d0-8f82-488f-a853-10a5ff73800d)
)
(wire (pts (xy 111.76 46.99) (xy 113.03 46.99))
(stroke (width 0) (type default))
(uuid 143b5df6-8068-49d9-82bd-f482819a1b9a)
)
(wire (pts (xy 157.48 78.74) (xy 158.75 80.01))
(stroke (width 0) (type default))
(uuid 14acc71e-3746-433b-9551-2fa03183fc68)
)
(wire (pts (xy 118.11 62.23) (xy 111.76 62.23))
(stroke (width 0) (type default))
(uuid 1516dcce-5c4a-40af-9468-f71abbe952e6)
)
(wire (pts (xy 105.41 46.99) (xy 106.68 49.53))
(stroke (width 0) (type default))
(uuid 1569aee1-9e72-47c0-8a6a-3ee9f891e852)
)
(wire (pts (xy 167.64 35.56) (xy 167.64 38.1))
(stroke (width 0) (type default))
(uuid 1597fb46-821b-485a-822f-25875ac8d0fa)
)
(wire (pts (xy 99.06 35.56) (xy 95.25 35.56))
(stroke (width 0) (type default))
(uuid 1598320f-8294-4d30-a572-0f049553907a)
)
(wire (pts (xy 198.12 38.1) (xy 201.93 38.1))
(stroke (width 0) (type default))
(uuid 15dacd56-ffa0-4bba-b131-5036d0f75395)
)
(wire (pts (xy 213.36 49.53) (xy 218.44 49.53))
(stroke (width 0) (type default))
(uuid 163b5136-d517-4595-a880-35dfcb89c879)
)
(wire (pts (xy 148.59 72.39) (xy 149.86 69.85))
(stroke (width 0) (type default))
(uuid 16f2d1d4-142f-4283-aa5e-734237bee742)
)
(wire (pts (xy 115.57 46.99) (xy 116.84 46.99))
(stroke (width 0) (type default))
(uuid 170d98df-1efb-4fb6-a994-dc11ca522e4a)
)
(wire (pts (xy 104.14 49.53) (xy 105.41 49.53))
(stroke (width 0) (type default))
(uuid 174dfc8a-e802-4d5d-bddd-e54db5b89afa)
)
(wire (pts (xy 186.69 72.39) (xy 187.96 69.85))
(stroke (width 0) (type default))
(uuid 17560fde-9238-42c4-9870-187c6a704859)
)
(wire (pts (xy 199.39 83.82) (xy 200.66 81.28))
(stroke (width 0) (type default))
(uuid 176c7575-084d-4556-b049-4b6067634538)
)
(wire (pts (xy 133.35 39.37) (xy 127 39.37))
(stroke (width 0) (type default))
(uuid 1778b220-6565-4039-9c21-6f0af3759fcf)
)
(wire (pts (xy 111.76 49.53) (xy 113.03 49.53))
(stroke (width 0) (type default))
(uuid 17f7e264-620d-443e-8975-747c0da63ce2)
)
(wire (pts (xy 171.45 58.42) (xy 119.38 58.42))
(stroke (width 0) (type default))
(uuid 18dc8b87-24fd-4a4e-a668-11e3f37cbf59)
)
(wire (pts (xy 177.8 87.63) (xy 179.07 85.09))
(stroke (width 0) (type default))
(uuid 18f01161-29b6-4e93-b559-3e2590fd4a0b)
)
(wire (pts (xy 102.87 39.37) (xy 104.14 41.91))
(stroke (width 0) (type default))
(uuid 190c14a1-8cdc-43cb-baaf-eb21d085d4dd)
)
(wire (pts (xy 196.85 83.82) (xy 198.12 81.28))
(stroke (width 0) (type default))
(uuid 193d8ed9-4175-46e7-8c31-629c760928ce)
)
(wire (pts (xy 154.94 49.53) (xy 156.21 46.99))
(stroke (width 0) (type default))
(uuid 19b68b39-1a79-4a8d-a7a3-0fe57e4fa9d9)
)
(wire (pts (xy 175.26 46.99) (xy 203.2 46.99))
(stroke (width 0) (type default))
(uuid 19c7e5c4-bd7a-4f05-8979-ae484f312cb5)
)
(wire (pts (xy 205.74 46.99) (xy 207.01 49.53))
(stroke (width 0) (type default))
(uuid 19f79dfe-3ad6-4fd0-bbde-8981419edacb)
)
(wire (pts (xy 99.06 49.53) (xy 100.33 49.53))
(stroke (width 0) (type default))
(uuid 1a1cf9fe-3b20-4d39-bff6-e225625384f1)
)
(wire (pts (xy 209.55 73.66) (xy 203.2 73.66))
(stroke (width 0) (type default))
(uuid 1a7cbb44-7e2a-4210-84f9-ac08325d2c78)
)
(wire (pts (xy 172.72 49.53) (xy 173.99 46.99))
(stroke (width 0) (type default))
(uuid 1bd45990-baac-40c3-956b-66422fcf7307)
)
(wire (pts (xy 179.07 50.8) (xy 180.34 53.34))
(stroke (width 0) (type default))
(uuid 1c3d22d8-b69a-4a7f-8f81-c7705c7a611b)
)
(wire (pts (xy 162.56 87.63) (xy 163.83 85.09))
(stroke (width 0) (type default))
(uuid 1caf09e2-ef74-4470-b124-a35000bd7403)
)
(wire (pts (xy 157.48 41.91) (xy 163.83 41.91))
(stroke (width 0) (type default))
(uuid 1cbc015a-a62a-45b2-98e9-add971eff3e2)
)
(wire (pts (xy 157.48 86.36) (xy 158.75 85.09))
(stroke (width 0) (type default))
(uuid 1cc00ba7-6d6e-4374-b768-95a7aec4e3a3)
)
(wire (pts (xy 203.2 64.77) (xy 209.55 64.77))
(stroke (width 0) (type default))
(uuid 1d9e4746-d650-4ea9-abed-33a9804a1140)
)
(wire (pts (xy 186.69 53.34) (xy 187.96 50.8))
(stroke (width 0) (type default))
(uuid 1e53908a-20a4-4a8e-99e5-2defb68ecd95)
)
(wire (pts (xy 194.31 66.04) (xy 119.38 66.04))
(stroke (width 0) (type default))
(uuid 1e546aff-00ef-41f6-9f89-04d8aec36056)
)
(wire (pts (xy 110.49 73.66) (xy 111.76 76.2))
(stroke (width 0) (type default))
(uuid 1ea23615-f178-4f65-aa29-c7a9a8d1b5a2)
)
(wire (pts (xy 179.07 35.56) (xy 182.88 35.56))
(stroke (width 0) (type default))
(uuid 1f832d5a-3e2d-4366-90c8-e768db797e64)
)
(wire (pts (xy 171.45 46.99) (xy 172.72 46.99))
(stroke (width 0) (type default))
(uuid 1fa55a35-c9b7-4948-bd61-ddb4063297ef)
)
(wire (pts (xy 99.06 46.99) (xy 100.33 46.99))
(stroke (width 0) (type default))
(uuid 1fb7c7d4-c02a-40d7-82a9-0308b5947dd0)
)
(wire (pts (xy 212.09 82.55) (xy 210.82 83.82))
(stroke (width 0) (type default))
(uuid 1ff3ddfc-c786-46d2-8a72-d316495d26ca)
)
(wire (pts (xy 156.21 73.66) (xy 157.48 76.2))
(stroke (width 0) (type default))
(uuid 20e47adc-59c7-498a-8b72-edf44a34c532)
)
(wire (pts (xy 118.11 49.53) (xy 119.38 46.99))
(stroke (width 0) (type default))
(uuid 214285dc-9430-4773-a48d-b1d409853465)
)
(wire (pts (xy 95.25 35.56) (xy 95.25 38.1))
(stroke (width 0) (type default))
(uuid 22138781-dca2-468a-abd6-81afbd5d629a)
)
(wire (pts (xy 179.07 73.66) (xy 172.72 73.66))
(stroke (width 0) (type default))
(uuid 2236fe49-aff9-4dfd-90a6-6055abcdfb04)
)
(wire (pts (xy 152.4 35.56) (xy 152.4 38.1))
(stroke (width 0) (type default))
(uuid 22569656-8249-47cb-95bb-4efbfa8c9780)
)
(wire (pts (xy 156.21 46.99) (xy 157.48 46.99))
(stroke (width 0) (type default))
(uuid 22c738f1-2c33-47ef-91f9-5f2c453be157)
)
(wire (pts (xy 201.93 69.85) (xy 203.2 72.39))
(stroke (width 0) (type default))
(uuid 236e256d-dd2f-4cd4-b92f-f2a835d6c87e)
)
(wire (pts (xy 207.01 46.99) (xy 208.28 46.99))
(stroke (width 0) (type default))
(uuid 2461551b-3625-45ef-b1c8-fa7a348bd5d9)
)
(wire (pts (xy 172.72 60.96) (xy 222.25 60.96))
(stroke (width 0) (type default))
(uuid 24cf6889-cb29-43fe-9b56-481cc4b411b7)
)
(wire (pts (xy 92.71 54.61) (xy 179.07 54.61))
(stroke (width 0) (type default))
(uuid 26b1fade-f6e1-4732-b825-c3748a24510e)
)
(wire (pts (xy 133.35 69.85) (xy 134.62 72.39))
(stroke (width 0) (type default))
(uuid 26e77c1d-9606-4d3f-88af-801c3c36af59)
)
(wire (pts (xy 205.74 49.53) (xy 207.01 49.53))
(stroke (width 0) (type default))
(uuid 2785464c-2850-4371-b1b8-cdd4557dde85)
)
(wire (pts (xy 92.71 43.18) (xy 102.87 43.18))
(stroke (width 0) (type default))
(uuid 27b04215-5bbe-46e7-bc89-acc266e1cb1a)
)
(wire (pts (xy 179.07 54.61) (xy 187.96 54.61))
(stroke (width 0) (type default))
(uuid 28b4da62-bedd-464e-a24f-2d8788a58959)
)
(wire (pts (xy 157.48 76.2) (xy 163.83 76.2))
(stroke (width 0) (type default))
(uuid 2906ac18-e68a-4644-806d-075b7014a1d1)
)
(wire (pts (xy 217.17 73.66) (xy 210.82 73.66))
(stroke (width 0) (type default))
(uuid 29c316b3-d868-4626-b30d-5227f1a710a0)
)
(wire (pts (xy 194.31 72.39) (xy 195.58 69.85))
(stroke (width 0) (type default))
(uuid 2a0f2492-f713-4067-9f5e-f5815f1b2d3b)
)
(wire (pts (xy 180.34 76.2) (xy 186.69 76.2))
(stroke (width 0) (type default))
(uuid 2a59dca0-26da-4cf3-acdb-a423b5574400)
)
(wire (pts (xy 148.59 38.1) (xy 148.59 35.56))
(stroke (width 0) (type default))
(uuid 2ae64a55-d134-42f9-a192-b83eff656dca)
)
(wire (pts (xy 171.45 39.37) (xy 172.72 41.91))
(stroke (width 0) (type default))
(uuid 2b77427e-2f96-432e-a0c7-76bb34720687)
)
(wire (pts (xy 203.2 46.99) (xy 204.47 46.99))
(stroke (width 0) (type default))
(uuid 2c121ea8-1644-43b9-a867-9c7a7e77535f)
)
(wire (pts (xy 127 72.39) (xy 133.35 72.39))
(stroke (width 0) (type default))
(uuid 2c17539c-064e-4f87-9f0b-f45b550df4bc)
)
(wire (pts (xy 160.02 87.63) (xy 161.29 85.09))
(stroke (width 0) (type default))
(uuid 2c4552ed-3bf1-4e0c-adac-dcaf3a282401)
)
(wire (pts (xy 119.38 58.42) (xy 118.11 60.96))
(stroke (width 0) (type default))
(uuid 2cb276ba-6756-4ed7-8743-7951dd29b351)
)
(wire (pts (xy 186.69 76.2) (xy 187.96 73.66))
(stroke (width 0) (type default))
(uuid 2d0ff6bc-ac41-42ee-bbe2-71c5d8d2f3f3)
)
(wire (pts (xy 95.25 72.39) (xy 96.52 69.85))
(stroke (width 0) (type default))
(uuid 2d2f7718-c64e-4fac-8872-f903bb971e1b)
)
(wire (pts (xy 95.25 73.66) (xy 92.71 73.66))
(stroke (width 0) (type default))
(uuid 2d5999fa-aa33-44aa-9402-749c5561a792)
)
(wire (pts (xy 170.18 85.09) (xy 171.45 87.63))
(stroke (width 0) (type default))
(uuid 2d6d5536-3d38-4fd8-8ece-d5173cbce165)
)
(wire (pts (xy 104.14 64.77) (xy 110.49 64.77))
(stroke (width 0) (type default))
(uuid 2d871528-eb7a-4134-9a18-f8e7806266e2)
)
(wire (pts (xy 210.82 45.72) (xy 222.25 45.72))
(stroke (width 0) (type default))
(uuid 2d8f4cda-8431-4422-a548-d1757a4b3aa6)
)
(wire (pts (xy 152.4 49.53) (xy 153.67 46.99))
(stroke (width 0) (type default))
(uuid 2ddffa8a-72c9-4d3c-be2f-a1e14111b7fc)
)
(wire (pts (xy 156.21 69.85) (xy 157.48 72.39))
(stroke (width 0) (type default))
(uuid 2f2bb37a-4479-4bcc-9ba9-968054d42146)
)
(wire (pts (xy 166.37 46.99) (xy 167.64 46.99))
(stroke (width 0) (type default))
(uuid 2f94296a-776e-40b6-bff2-8120c1edd653)
)
(wire (pts (xy 140.97 39.37) (xy 134.62 39.37))
(stroke (width 0) (type default))
(uuid 2fa06ba5-7b36-44a8-a56b-4e647c09725f)
)
(wire (pts (xy 152.4 46.99) (xy 153.67 49.53))
(stroke (width 0) (type default))
(uuid 2fa99eb9-24c5-445e-b993-d93c094ebfe5)
)
(wire (pts (xy 165.1 87.63) (xy 166.37 85.09))
(stroke (width 0) (type default))
(uuid 2fb98436-7664-4353-ad17-72986fd866b0)
)
(wire (pts (xy 156.21 39.37) (xy 149.86 39.37))
(stroke (width 0) (type default))
(uuid 2fd28818-b03e-41c8-9768-eccb8fd0aefa)
)
(wire (pts (xy 102.87 69.85) (xy 104.14 72.39))
(stroke (width 0) (type default))
(uuid 2fe043dc-764b-4303-864a-a2f48d3bdfc0)
)
(wire (pts (xy 140.97 73.66) (xy 134.62 73.66))
(stroke (width 0) (type default))
(uuid 30f2de54-b4de-4d0c-8262-67433f487eaa)
)
(wire (pts (xy 156.21 73.66) (xy 149.86 73.66))
(stroke (width 0) (type default))
(uuid 30f76af0-86a2-4267-aaea-a43fbe1537ec)
)
(wire (pts (xy 152.4 49.53) (xy 153.67 49.53))
(stroke (width 0) (type default))
(uuid 31439ff9-9542-4e21-8811-c55b8dba1047)
)
(wire (pts (xy 160.02 85.09) (xy 161.29 87.63))
(stroke (width 0) (type default))
(uuid 3190106f-f99a-49bc-a9be-d84c5de04d10)
)
(wire (pts (xy 167.64 38.1) (xy 171.45 38.1))
(stroke (width 0) (type default))
(uuid 32324fcd-b25c-4926-8a23-2a15054ee546)
)
(wire (pts (xy 171.45 38.1) (xy 171.45 35.56))
(stroke (width 0) (type default))
(uuid 326378d8-7746-4f57-82c8-bfc9cec771ca)
)
(wire (pts (xy 106.68 46.99) (xy 111.76 46.99))
(stroke (width 0) (type default))
(uuid 3338a07f-5e7f-409d-82ca-6009f22162db)
)
(wire (pts (xy 222.25 39.37) (xy 218.44 39.37))
(stroke (width 0) (type default))
(uuid 33bc4ae7-495f-4c7e-bbff-ad065d5f0000)
)
(wire (pts (xy 92.71 50.8) (xy 148.59 50.8))
(stroke (width 0) (type default))
(uuid 33c084d2-5228-416d-b732-2d3eb650b077)
)
(wire (pts (xy 114.3 46.99) (xy 115.57 49.53))
(stroke (width 0) (type default))
(uuid 341d7801-25cf-46da-9bba-da98e5c980da)
)
(wire (pts (xy 217.17 73.66) (xy 218.44 76.2))
(stroke (width 0) (type default))
(uuid 34ccc26f-2b94-48d4-b734-0b7cc7db6e2e)
)
(wire (pts (xy 118.11 68.58) (xy 92.71 68.58))
(stroke (width 0) (type default))
(uuid 3612cf87-e5b8-4e17-8453-c6a105f39e39)
)
(wire (pts (xy 210.82 64.77) (xy 217.17 64.77))
(stroke (width 0) (type default))
(uuid 36f8eaf5-95ec-415f-9ec4-bfb9d0cc9c13)
)
(wire (pts (xy 163.83 35.56) (xy 167.64 35.56))
(stroke (width 0) (type default))
(uuid 37dd942b-7b7d-4193-a7f4-a5671159b371)
)
(wire (pts (xy 170.18 49.53) (xy 171.45 49.53))
(stroke (width 0) (type default))
(uuid 37ed2f8a-0e32-4068-95ad-ad7c5f59e0a4)
)
(wire (pts (xy 219.71 46.99) (xy 220.98 46.99))
(stroke (width 0) (type default))
(uuid 3915348b-cbcf-4e09-906f-8f00061e131f)
)
(wire (pts (xy 156.21 62.23) (xy 157.48 64.77))
(stroke (width 0) (type default))
(uuid 39ec25d1-471c-4d70-8b11-f78c2988b1ac)
)
(wire (pts (xy 99.06 49.53) (xy 100.33 46.99))
(stroke (width 0) (type default))
(uuid 39ef0fca-95f0-444c-a6e8-7117ae801473)
)
(wire (pts (xy 171.45 62.23) (xy 172.72 64.77))
(stroke (width 0) (type default))
(uuid 3a6999cc-5ab6-4698-bddc-fb3a3c0622f5)
)
(wire (pts (xy 96.52 46.99) (xy 97.79 49.53))
(stroke (width 0) (type default))
(uuid 3aa77a7a-a74b-47a5-bb25-b7c5e6d15bb8)
)
(wire (pts (xy 119.38 46.99) (xy 120.65 46.99))
(stroke (width 0) (type default))
(uuid 3b5c0a11-a815-4d00-aef8-6a42dd78d492)
)
(wire (pts (xy 125.73 39.37) (xy 127 41.91))
(stroke (width 0) (type default))
(uuid 3bd37c95-d4d6-455b-9608-82690689e1fa)
)
(wire (pts (xy 171.45 69.85) (xy 165.1 69.85))
(stroke (width 0) (type default))
(uuid 3c1633a9-3e59-479d-853e-e6bce649911d)
)
(wire (pts (xy 149.86 64.77) (xy 156.21 64.77))
(stroke (width 0) (type default))
(uuid 3c3e601e-c600-4d15-b3c2-7465b86143e3)
)
(wire (pts (xy 190.5 38.1) (xy 194.31 38.1))
(stroke (width 0) (type default))
(uuid 3e04e255-86cb-4850-af8f-e2a5abcc7f6e)
)
(wire (pts (xy 195.58 82.55) (xy 196.85 81.28))
(stroke (width 0) (type default))
(uuid 3e2cc701-b499-4631-a6e6-7c8a5a779e59)
)
(wire (pts (xy 207.01 49.53) (xy 208.28 49.53))
(stroke (width 0) (type default))
(uuid 3e4f407c-5419-4011-aa97-7b3154d6d1b7)
)
(wire (pts (xy 165.1 41.91) (xy 171.45 41.91))
(stroke (width 0) (type default))
(uuid 3fee7621-974a-437d-9be7-61af07cae2c8)
)
(wire (pts (xy 113.03 49.53) (xy 114.3 46.99))
(stroke (width 0) (type default))
(uuid 4002a5d9-e7cd-42f1-aea4-a7a9725e2347)
)
(wire (pts (xy 125.73 38.1) (xy 125.73 35.56))
(stroke (width 0) (type default))
(uuid 400716d7-0a8c-438e-b897-c7591858a22a)
)
(wire (pts (xy 179.07 87.63) (xy 180.34 85.09))
(stroke (width 0) (type default))
(uuid 40235ce4-02c2-43ec-9314-ac054056e93d)
)
(wire (pts (xy 186.69 69.85) (xy 187.96 72.39))
(stroke (width 0) (type default))
(uuid 40852b18-94e7-49ff-bdc5-155080430090)
)
(wire (pts (xy 116.84 46.99) (xy 118.11 46.99))
(stroke (width 0) (type default))
(uuid 40eaf3bc-17ba-4e9c-acb5-4a55e630dc25)
)
(wire (pts (xy 212.09 82.55) (xy 222.25 82.55))
(stroke (width 0) (type default))
(uuid 40f4a215-bf2e-42f2-930f-fae1f73e6659)
)
(wire (pts (xy 140.97 69.85) (xy 142.24 72.39))
(stroke (width 0) (type default))
(uuid 412f0f6a-4f48-4166-8334-6fac7473fc74)
)
(wire (pts (xy 142.24 76.2) (xy 148.59 76.2))
(stroke (width 0) (type default))
(uuid 41befd6e-a780-44b6-8e5f-817ece6d13c6)
)
(wire (pts (xy 149.86 46.99) (xy 151.13 49.53))
(stroke (width 0) (type default))
(uuid 41c05b4d-e303-4ed9-ad14-d99bc105405c)
)
(wire (pts (xy 104.14 46.99) (xy 105.41 49.53))
(stroke (width 0) (type default))
(uuid 421f5fd6-6d6d-4653-8fd3-e0e98d481e14)
)
(wire (pts (xy 149.86 49.53) (xy 151.13 46.99))
(stroke (width 0) (type default))
(uuid 42dd26bf-07ab-4cbc-b640-5954b8d7b15b)
)
(wire (pts (xy 209.55 39.37) (xy 210.82 41.91))
(stroke (width 0) (type default))
(uuid 43327572-13e0-4da5-9e50-b31cf8497656)
)
(wire (pts (xy 217.17 72.39) (xy 218.44 69.85))
(stroke (width 0) (type default))
(uuid 434697f9-75d9-400f-ab93-603adcd2ee8a)
)
(wire (pts (xy 201.93 62.23) (xy 195.58 62.23))
(stroke (width 0) (type default))
(uuid 447858d2-5643-4e23-a459-67057d77696d)
)
(wire (pts (xy 110.49 64.77) (xy 111.76 62.23))
(stroke (width 0) (type default))
(uuid 44f4a572-d679-42d8-b541-f21515f7e461)
)
(wire (pts (xy 186.69 62.23) (xy 180.34 62.23))
(stroke (width 0) (type default))
(uuid 452a4f12-c0bf-469c-bcdc-d6d483684ced)
)
(wire (pts (xy 166.37 87.63) (xy 167.64 85.09))
(stroke (width 0) (type default))
(uuid 45413583-d020-44dc-903a-fa9c1c61bf11)
)
(wire (pts (xy 133.35 69.85) (xy 127 69.85))
(stroke (width 0) (type default))
(uuid 4609ece6-911c-40a3-9e2c-eb30daec3bdf)
)
(wire (pts (xy 156.21 53.34) (xy 157.48 50.8))
(stroke (width 0) (type default))
(uuid 46414214-faca-4829-a6da-a72055e1ab15)
)
(wire (pts (xy 187.96 50.8) (xy 222.25 50.8))
(stroke (width 0) (type default))
(uuid 46b30463-9e28-4d5f-8064-b01c577244a7)
)
(wire (pts (xy 160.02 49.53) (xy 165.1 49.53))
(stroke (width 0) (type default))
(uuid 46e4ea51-b758-4db3-b0cd-8ba3cc23c583)
)
(wire (pts (xy 209.55 69.85) (xy 210.82 72.39))
(stroke (width 0) (type default))
(uuid 46f8d4ab-b3a9-48b8-becb-7d9236aeb59a)
)
(wire (pts (xy 194.31 38.1) (xy 194.31 35.56))
(stroke (width 0) (type default))
(uuid 47d562ea-df88-47d2-b76e-46b7b61cf05a)
)
(wire (pts (xy 97.79 49.53) (xy 99.06 49.53))
(stroke (width 0) (type default))
(uuid 482c174c-7031-4aab-9667-84f85bd8f36e)
)
(wire (pts (xy 198.12 83.82) (xy 199.39 81.28))
(stroke (width 0) (type default))
(uuid 4928811e-7328-40eb-bde2-f234b59f9356)
)
(wire (pts (xy 158.75 85.09) (xy 160.02 87.63))
(stroke (width 0) (type default))
(uuid 4929c191-d4d2-41e6-bcbc-2109a2187818)
)
(wire (pts (xy 125.73 69.85) (xy 127 72.39))
(stroke (width 0) (type default))
(uuid 492f6210-6daf-4aeb-9876-39d33565199e)
)
(wire (pts (xy 163.83 69.85) (xy 165.1 72.39))
(stroke (width 0) (type default))
(uuid 49669751-39fc-48f8-9843-76ed83a7ab71)
)
(wire (pts (xy 102.87 38.1) (xy 102.87 35.56))
(stroke (width 0) (type default))
(uuid 4a79397d-3a91-4cac-bfb2-da10971514ed)
)
(wire (pts (xy 212.09 49.53) (xy 213.36 49.53))
(stroke (width 0) (type default))
(uuid 4ab0c426-cd60-4916-b77a-fde02b331a33)
)
(wire (pts (xy 173.99 87.63) (xy 175.26 85.09))
(stroke (width 0) (type default))
(uuid 4ab710af-a1c6-49f4-9ddc-38a99bb00ba4)
)
(wire (pts (xy 172.72 87.63) (xy 173.99 85.09))
(stroke (width 0) (type default))
(uuid 4ad135d9-7eeb-42ba-90bb-b4590257ce5c)
)
(wire (pts (xy 201.93 69.85) (xy 195.58 69.85))
(stroke (width 0) (type default))
(uuid 4b7a0e80-f9d5-4a7e-a230-960f941e0a35)
)
(wire (pts (xy 149.86 72.39) (xy 156.21 72.39))
(stroke (width 0) (type default))
(uuid 4b9d299e-20f5-4d12-b9cf-621fe1f09f44)
)
(wire (pts (xy 203.2 49.53) (xy 204.47 46.99))
(stroke (width 0) (type default))
(uuid 4c4c8a63-b147-4757-bbd5-b1f8c7fe4ca6)
)
(wire (pts (xy 172.72 46.99) (xy 173.99 46.99))
(stroke (width 0) (type default))
(uuid 4c647175-c276-4f07-88b2-07b4951ed129)
)
(wire (pts (xy 114.3 46.99) (xy 115.57 46.99))
(stroke (width 0) (type default))
(uuid 4d37a364-b981-4db1-8efb-2798fe06704a)
)
(wire (pts (xy 179.07 62.23) (xy 172.72 62.23))
(stroke (width 0) (type default))
(uuid 4d9b8f4a-3629-4b35-aee1-a6b4bd67b76f)
)
(wire (pts (xy 194.31 64.77) (xy 195.58 62.23))
(stroke (width 0) (type default))
(uuid 4e29f822-e141-4bf0-812f-16eed19134d2)
)
(wire (pts (xy 140.97 62.23) (xy 134.62 62.23))
(stroke (width 0) (type default))
(uuid 4e3808bf-68d3-4cd7-bacd-d9abd2564581)
)
(wire (pts (xy 95.25 69.85) (xy 96.52 72.39))
(stroke (width 0) (type default))
(uuid 4e87c7b2-ced3-41cf-b00a-bac003426ace)
)
(wire (pts (xy 167.64 85.09) (xy 168.91 87.63))
(stroke (width 0) (type default))
(uuid 4eaf70d7-2e6a-4781-8572-4cda326ba7a9)
)
(wire (pts (xy 156.21 69.85) (xy 149.86 69.85))
(stroke (width 0) (type default))
(uuid 4f05e423-555a-4d8e-9b47-b41f264a7eb6)
)
(wire (pts (xy 102.87 49.53) (xy 104.14 46.99))
(stroke (width 0) (type default))
(uuid 4f37b3de-727d-4712-a156-faf36fd844b7)
)
(wire (pts (xy 156.21 49.53) (xy 157.48 49.53))
(stroke (width 0) (type default))
(uuid 4fa6b6f5-04f3-4ee5-8e35-7948ef8a3850)
)
(wire (pts (xy 218.44 41.91) (xy 222.25 41.91))
(stroke (width 0) (type default))
(uuid 4fba3b34-5f69-4f77-8771-49f15f8380b5)
)
(wire (pts (xy 156.21 64.77) (xy 157.48 62.23))
(stroke (width 0) (type default))
(uuid 4fe90fe9-253e-4c69-bd99-7a952d0b31c7)
)
(wire (pts (xy 165.1 64.77) (xy 171.45 64.77))
(stroke (width 0) (type default))
(uuid 50022eda-8686-4e47-9a25-3454e89692d3)
)
(wire (pts (xy 118.11 73.66) (xy 111.76 73.66))
(stroke (width 0) (type default))
(uuid 5012837b-2b66-4ccb-8038-39bd51b0c58e)
)
(wire (pts (xy 179.07 39.37) (xy 172.72 39.37))
(stroke (width 0) (type default))
(uuid 5028bf3b-262f-49aa-bcbd-a464a24b5e65)
)
(wire (pts (xy 111.76 76.2) (xy 118.11 76.2))
(stroke (width 0) (type default))
(uuid 510219ff-e7f3-4f77-a7b2-f62e60855ff8)
)
(wire (pts (xy 148.59 62.23) (xy 142.24 62.23))
(stroke (width 0) (type default))
(uuid 511a344b-a3dc-41a5-8ad8-3c5941ae5ab0)
)
(wire (pts (xy 217.17 38.1) (xy 217.17 35.56))
(stroke (width 0) (type default))
(uuid 52e4d1fc-ec70-4833-bef0-a9317add704f)
)
(wire (pts (xy 134.62 72.39) (xy 140.97 72.39))
(stroke (width 0) (type default))
(uuid 52e52422-77a0-42ee-896c-90ab23d0210e)
)
(wire (pts (xy 172.72 49.53) (xy 173.99 49.53))
(stroke (width 0) (type default))
(uuid 5397645e-e3f3-482b-b8e4-095b71d3d733)
)
(wire (pts (xy 95.25 73.66) (xy 96.52 76.2))
(stroke (width 0) (type default))
(uuid 53a1997b-1012-474c-8efe-af09710a0e1e)
)
(wire (pts (xy 186.69 73.66) (xy 187.96 76.2))
(stroke (width 0) (type default))
(uuid 53c95077-ec81-4cc6-ab47-7690d57d6170)
)
(wire (pts (xy 218.44 46.99) (xy 219.71 46.99))
(stroke (width 0) (type default))
(uuid 547f5b35-b8bb-4296-b416-52de56c118b0)
)
(wire (pts (xy 173.99 46.99) (xy 175.26 49.53))
(stroke (width 0) (type default))
(uuid 54ac5ea7-6856-49cc-afc7-0de74ff11c7c)
)
(wire (pts (xy 120.65 46.99) (xy 121.92 49.53))
(stroke (width 0) (type default))
(uuid 551d06c1-5071-43ef-b087-2306fde12d68)
)
(wire (pts (xy 110.49 38.1) (xy 110.49 35.56))
(stroke (width 0) (type default))
(uuid 555bd0c8-2f0e-4de2-87d3-f9c894433958)
)
(wire (pts (xy 134.62 41.91) (xy 140.97 41.91))
(stroke (width 0) (type default))
(uuid 558d7f2a-ac5c-4d5e-a0b0-f2a47b6a929f)
)
(wire (pts (xy 105.41 49.53) (xy 106.68 49.53))
(stroke (width 0) (type default))
(uuid 5606bc42-54e6-444c-b897-fe85847e0d31)
)
(wire (pts (xy 100.33 46.99) (xy 101.6 46.99))
(stroke (width 0) (type default))
(uuid 564604e5-bba3-49f6-a8c1-9343b7bc6ce3)
)
(wire (pts (xy 137.16 35.56) (xy 137.16 38.1))
(stroke (width 0) (type default))
(uuid 56f98d25-39f8-42d5-b2f7-d077f2ddc242)
)
(wire (pts (xy 180.34 87.63) (xy 210.82 87.63))
(stroke (width 0) (type default))
(uuid 570a49d2-c22f-4801-945a-9bea0bd6f35a)
)
(wire (pts (xy 95.25 41.91) (xy 92.71 41.91))
(stroke (width 0) (type default))
(uuid 5751f9c7-deb4-47bc-9c7a-0cd3574abf32)
)
(wire (pts (xy 201.93 81.28) (xy 210.82 81.28))
(stroke (width 0) (type default))
(uuid 57b20f12-93cd-4234-9f93-e414e8666a4c)
)
(wire (pts (xy 172.72 64.77) (xy 179.07 64.77))
(stroke (width 0) (type default))
(uuid 57d524fd-219a-4b03-bb3f-d391a0c94408)
)
(wire (pts (xy 217.17 62.23) (xy 210.82 62.23))
(stroke (width 0) (type default))
(uuid 5800955d-7ce7-4a0a-8dbf-126e04270b1a)
)
(wire (pts (xy 190.5 35.56) (xy 190.5 38.1))
(stroke (width 0) (type default))
(uuid 5848378e-d0dc-4560-a54a-92878c1e8770)
)
(wire (pts (xy 96.52 46.99) (xy 97.79 46.99))
(stroke (width 0) (type default))
(uuid 58acfad8-b148-4973-a4cd-e3b8f7e87325)
)
(wire (pts (xy 209.55 46.99) (xy 210.82 46.99))
(stroke (width 0) (type default))
(uuid 58beb2b0-f8e9-4725-87fc-ac2c441416c7)
)
(wire (pts (xy 118.11 62.23) (xy 119.38 64.77))
(stroke (width 0) (type default))
(uuid 590ad6bf-71e2-41be-a943-ac9700dfe68c)
)
(wire (pts (xy 96.52 49.53) (xy 97.79 46.99))
(stroke (width 0) (type default))
(uuid 59d121e8-379f-4539-bced-f8e5e810a96b)
)
(wire (pts (xy 120.65 46.99) (xy 121.92 46.99))
(stroke (width 0) (type default))
(uuid 5aed7ea3-afc3-4e5d-8a93-5a141b2eb10a)
)
(wire (pts (xy 194.31 69.85) (xy 195.58 72.39))
(stroke (width 0) (type default))
(uuid 5b2d0367-accd-49af-b7e4-d216ac0bf172)
)
(wire (pts (xy 116.84 49.53) (xy 118.11 49.53))
(stroke (width 0) (type default))
(uuid 5b803901-6e37-4cfc-b618-95bf151091ca)
)
(wire (pts (xy 179.07 85.09) (xy 180.34 87.63))
(stroke (width 0) (type default))
(uuid 5b9ab63c-85a7-4f7c-86cf-742bc6b0ad82)
)
(wire (pts (xy 210.82 81.28) (xy 212.09 82.55))
(stroke (width 0) (type default))
(uuid 5bc4be90-7015-43ba-b61e-d7d3afdc0ec7)
)
(wire (pts (xy 208.28 46.99) (xy 209.55 46.99))
(stroke (width 0) (type default))
(uuid 5bf597ae-7483-43e9-b6d9-8e29079187e4)
)
(wire (pts (xy 205.74 38.1) (xy 209.55 38.1))
(stroke (width 0) (type default))
(uuid 5c10f0e1-afbd-416c-a133-3471e434bac5)
)
(wire (pts (xy 208.28 49.53) (xy 209.55 49.53))
(stroke (width 0) (type default))
(uuid 5c3725f8-3e22-47b5-9f0f-f695788eb313)
)
(wire (pts (xy 175.26 87.63) (xy 176.53 85.09))
(stroke (width 0) (type default))
(uuid 5c6fe7bf-e953-4955-b5e5-ab2698312aca)
)
(wire (pts (xy 118.11 46.99) (xy 119.38 49.53))
(stroke (width 0) (type default))
(uuid 5cf1bcb5-001d-4211-8b09-5fd9fd0afdbc)
)
(wire (pts (xy 102.87 64.77) (xy 104.14 62.23))
(stroke (width 0) (type default))
(uuid 5d083043-446f-4e7b-8f32-96a36c3d5d5a)
)
(wire (pts (xy 111.76 41.91) (xy 118.11 41.91))
(stroke (width 0) (type default))
(uuid 5d0e11d5-dfb6-4854-a21b-8f4c9f30f74d)
)
(wire (pts (xy 196.85 81.28) (xy 198.12 83.82))
(stroke (width 0) (type default))
(uuid 5d1a7f8f-3dc7-4c1f-833b-ae8ebd86aacb)
)
(wire (pts (xy 194.31 41.91) (xy 195.58 39.37))
(stroke (width 0) (type default))
(uuid 5de42cbd-aa26-42da-a023-e7dc63fa5ff8)
)
(wire (pts (xy 118.11 72.39) (xy 119.38 69.85))
(stroke (width 0) (type default))
(uuid 5e5d38fd-5456-454e-9ecc-cfd5c78a714f)
)
(wire (pts (xy 171.45 58.42) (xy 172.72 60.96))
(stroke (width 0) (type default))
(uuid 5ec6651b-6517-4db7-b586-23e3e41845a4)
)
(wire (pts (xy 212.09 49.53) (xy 213.36 46.99))
(stroke (width 0) (type default))
(uuid 600c6c02-0d0f-4b1d-9096-1d9b3fb8c1e9)
)
(wire (pts (xy 113.03 46.99) (xy 114.3 46.99))
(stroke (width 0) (type default))
(uuid 607c0568-e12b-4533-92b6-caa3dd47ac0a)
)
(wire (pts (xy 180.34 64.77) (xy 186.69 64.77))
(stroke (width 0) (type default))
(uuid 60e028ad-d1b9-4f78-b372-3cc1b452ab0f)
)
(wire (pts (xy 194.31 35.56) (xy 198.12 35.56))
(stroke (width 0) (type default))
(uuid 61152463-a978-4c8e-b913-40c9a209916a)
)
(wire (pts (xy 110.49 35.56) (xy 114.3 35.56))
(stroke (width 0) (type default))
(uuid 6117e9ac-8f8e-4354-949d-5fd3ec536f66)
)
(wire (pts (xy 168.91 46.99) (xy 170.18 46.99))
(stroke (width 0) (type default))
(uuid 615c9a7f-0398-4fb9-ac27-ac0b1a556ec1)
)
(wire (pts (xy 102.87 69.85) (xy 96.52 69.85))
(stroke (width 0) (type default))
(uuid 618f1578-7f0e-43ec-911e-4923ea470535)
)
(wire (pts (xy 165.1 46.99) (xy 166.37 46.99))
(stroke (width 0) (type default))
(uuid 620d0b65-a367-419e-a1ad-6d5ac92ec43a)
)
(wire (pts (xy 125.73 41.91) (xy 127 39.37))
(stroke (width 0) (type default))
(uuid 62517038-3557-447a-8195-a81f834495c1)
)
(wire (pts (xy 201.93 64.77) (xy 203.2 62.23))
(stroke (width 0) (type default))
(uuid 626a8d06-1465-455e-99d2-0f80c15841d2)
)
(wire (pts (xy 110.49 72.39) (xy 111.76 69.85))
(stroke (width 0) (type default))
(uuid 62e7a129-e814-4e30-8fd7-2e9b88b25701)
)
(wire (pts (xy 104.14 85.09) (xy 105.41 86.36))
(stroke (width 0) (type default))
(uuid 632a0179-e6cc-45a7-89be-56ac91a4284a)
)
(wire (pts (xy 218.44 49.53) (xy 219.71 46.99))
(stroke (width 0) (type default))
(uuid 637cf93e-c404-412d-bd40-d6babb5dcec2)
)
(wire (pts (xy 142.24 41.91) (xy 148.59 41.91))
(stroke (width 0) (type default))
(uuid 638faaad-0ec5-436c-826d-c945af336fa1)
)
(wire (pts (xy 118.11 60.96) (xy 92.71 60.96))
(stroke (width 0) (type default))
(uuid 64c238ad-74e4-47c9-b260-86a7b63a598e)
)
(wire (pts (xy 119.38 49.53) (xy 120.65 46.99))
(stroke (width 0) (type default))
(uuid 652b1904-bc41-49fe-afbf-e2aaf95921a3)
)
(wire (pts (xy 118.11 73.66) (xy 119.38 76.2))
(stroke (width 0) (type default))
(uuid 6543d29d-9139-4789-9265-75f95aff818f)
)
(wire (pts (xy 102.87 39.37) (xy 96.52 39.37))
(stroke (width 0) (type default))
(uuid 657ebb35-0b2a-4493-a2ef-9b01e0f44177)
)
(wire (pts (xy 99.06 38.1) (xy 102.87 38.1))
(stroke (width 0) (type default))
(uuid 66926ca6-3b96-4531-8b58-85e1ac81f625)
)
(wire (pts (xy 182.88 35.56) (xy 182.88 38.1))
(stroke (width 0) (type default))
(uuid 66c63fe6-ed5b-4d32-9a10-d8177fb7b9f4)
)
(wire (pts (xy 156.21 62.23) (xy 149.86 62.23))
(stroke (width 0) (type default))
(uuid 6728dc81-0598-4138-b4ad-1794ad4040c4)
)
(wire (pts (xy 156.21 49.53) (xy 157.48 46.99))
(stroke (width 0) (type default))
(uuid 685fb9cd-012e-4f25-ad7f-338ae50e630e)
)
(wire (pts (xy 104.14 76.2) (xy 110.49 76.2))
(stroke (width 0) (type default))
(uuid 6885252c-c118-41a3-8b98-48891a40d2af)
)
(wire (pts (xy 163.83 62.23) (xy 165.1 64.77))
(stroke (width 0) (type default))
(uuid 68a8bcde-3336-443b-88de-bcbf7d454d97)
)
(wire (pts (xy 152.4 46.99) (xy 153.67 46.99))
(stroke (width 0) (type default))
(uuid 68c63c56-459e-4fa9-b8b2-0c964cae5263)
)
(wire (pts (xy 168.91 49.53) (xy 170.18 46.99))
(stroke (width 0) (type default))
(uuid 68d2f575-3000-4fb9-8ad4-3d3265777c63)
)
(wire (pts (xy 153.67 46.99) (xy 154.94 46.99))
(stroke (width 0) (type default))
(uuid 6941e147-c25c-417e-8e22-b81f49764326)
)
(wire (pts (xy 187.96 76.2) (xy 194.31 76.2))
(stroke (width 0) (type default))
(uuid 6a458c18-df4b-42de-be37-aa8183524310)
)
(wire (pts (xy 220.98 46.99) (xy 222.25 46.99))
(stroke (width 0) (type default))
(uuid 6aaebca5-cfeb-41b7-8598-8ddba6e998ae)
)
(wire (pts (xy 92.71 49.53) (xy 96.52 49.53))
(stroke (width 0) (type default))
(uuid 6b04ff45-94cc-4431-8d03-4a0ac8cf4431)
)
(wire (pts (xy 200.66 83.82) (xy 201.93 81.28))
(stroke (width 0) (type default))
(uuid 6bd083c3-2c8d-493f-80ae-06391977d852)
)
(wire (pts (xy 110.49 76.2) (xy 111.76 73.66))
(stroke (width 0) (type default))
(uuid 6c0e9069-184c-4e7b-bd17-6dc5a57a85ad)
)
(wire (pts (xy 201.93 83.82) (xy 210.82 83.82))
(stroke (width 0) (type default))
(uuid 6c978056-c920-4038-9f8e-771d4a254ec4)
)
(wire (pts (xy 222.25 62.23) (xy 218.44 62.23))
(stroke (width 0) (type default))
(uuid 6cc8bd40-2f2f-40d9-9afb-6c33ae61b4cd)
)
(wire (pts (xy 157.48 49.53) (xy 158.75 49.53))
(stroke (width 0) (type default))
(uuid 6ccfc6dd-be37-4d8c-8565-b064b61483c0)
)
(wire (pts (xy 212.09 46.99) (xy 213.36 49.53))
(stroke (width 0) (type default))
(uuid 6e3b2937-42a7-4047-8440-48a0a3c9c0b3)
)
(wire (pts (xy 205.74 46.99) (xy 207.01 46.99))
(stroke (width 0) (type default))
(uuid 6e9c3e45-f197-417e-9f60-aa923d09b211)
)
(wire (pts (xy 106.68 38.1) (xy 110.49 38.1))
(stroke (width 0) (type default))
(uuid 6ee6cccc-6214-442f-bab8-b53577c017c6)
)
(wire (pts (xy 133.35 39.37) (xy 134.62 41.91))
(stroke (width 0) (type default))
(uuid 6feafb29-3caf-4e78-bbb7-f4399ee7062e)
)
(wire (pts (xy 137.16 38.1) (xy 140.97 38.1))
(stroke (width 0) (type default))
(uuid 7041d45e-306f-4da1-b2dc-a1f64beb2fd5)
)
(wire (pts (xy 102.87 41.91) (xy 104.14 39.37))
(stroke (width 0) (type default))
(uuid 708e49d4-b32c-487a-81b2-efab37dc2a4f)
)
(wire (pts (xy 171.45 49.53) (xy 172.72 49.53))
(stroke (width 0) (type default))
(uuid 708f3c69-2629-4d8c-9164-a522cce8115c)
)
(wire (pts (xy 213.36 38.1) (xy 217.17 38.1))
(stroke (width 0) (type default))
(uuid 712e7b07-fb8c-4ddc-8813-dc5cdfd977e1)
)
(wire (pts (xy 127 76.2) (xy 133.35 76.2))
(stroke (width 0) (type default))
(uuid 71d2fa61-06ff-40dd-af66-df357942061c)
)
(wire (pts (xy 157.48 78.74) (xy 158.75 77.47))
(stroke (width 0) (type default))
(uuid 71ec6b6a-e0cc-42e1-a6e9-fc72b9dfa5d8)
)
(wire (pts (xy 95.25 76.2) (xy 92.71 76.2))
(stroke (width 0) (type default))
(uuid 728bf35a-26af-4eac-8a16-76662ae7491d)
)
(wire (pts (xy 201.93 73.66) (xy 203.2 76.2))
(stroke (width 0) (type default))
(uuid 72e9f1ac-1f52-4cd4-8199-8568baeec887)
)
(wire (pts (xy 218.44 64.77) (xy 222.25 64.77))
(stroke (width 0) (type default))
(uuid 730aa3ad-9180-430f-ba52-f5631056fe88)
)
(wire (pts (xy 105.41 86.36) (xy 104.14 87.63))
(stroke (width 0) (type default))
(uuid 73f2b45a-9cbe-4220-8927-b448c3592004)
)
(wire (pts (xy 104.14 77.47) (xy 105.41 78.74))
(stroke (width 0) (type default))
(uuid 748ffa39-c44d-47bd-bf8e-76c728990f5b)
)
(wire (pts (xy 140.97 64.77) (xy 142.24 62.23))
(stroke (width 0) (type default))
(uuid 74a9ae2b-898f-4052-8e4b-654f0b845b64)
)
(wire (pts (xy 210.82 49.53) (xy 212.09 46.99))
(stroke (width 0) (type default))
(uuid 74f314f8-773d-4d73-84d2-7f2c1ddfa489)
)
(wire (pts (xy 95.25 69.85) (xy 92.71 69.85))
(stroke (width 0) (type default))
(uuid 751d7fad-6967-4fb8-92da-7c3510944ebd)
)
(wire (pts (xy 163.83 38.1) (xy 163.83 35.56))
(stroke (width 0) (type default))
(uuid 75dee05d-0390-446e-b7a6-fbc819f1c87b)
)
(wire (pts (xy 217.17 39.37) (xy 218.44 41.91))
(stroke (width 0) (type default))
(uuid 765c2ea2-69bb-4de2-b9aa-6800cab34fc1)
)
(wire (pts (xy 114.3 35.56) (xy 114.3 38.1))
(stroke (width 0) (type default))
(uuid 7686def1-d10f-4c37-a0fc-a40353fceeae)
)
(wire (pts (xy 172.72 85.09) (xy 173.99 87.63))
(stroke (width 0) (type default))
(uuid 76f47282-1927-4aef-bf88-2297c2aecc42)
)
(wire (pts (xy 160.02 46.99) (xy 165.1 46.99))
(stroke (width 0) (type default))
(uuid 772f8a72-7320-4a89-9a80-b39bbe162a16)
)
(wire (pts (xy 133.35 73.66) (xy 134.62 76.2))
(stroke (width 0) (type default))
(uuid 776196de-2020-4f8c-8f03-d02e1a2f8649)
)
(wire (pts (xy 110.49 62.23) (xy 104.14 62.23))
(stroke (width 0) (type default))
(uuid 780e6aa5-7596-4f1f-8993-e6dd6ed1d588)
)
(wire (pts (xy 179.07 69.85) (xy 180.34 72.39))
(stroke (width 0) (type default))
(uuid 7814cd78-baf5-4f14-b66e-1d4449a0d3bb)
)
(wire (pts (xy 151.13 46.99) (xy 152.4 49.53))
(stroke (width 0) (type default))
(uuid 7931777c-a76d-487b-9c28-b9ba0ebd4e96)
)
(wire (pts (xy 95.25 62.23) (xy 92.71 62.23))
(stroke (width 0) (type default))
(uuid 79bdc3eb-c793-44ea-b341-367e6b905b07)
)
(wire (pts (xy 186.69 35.56) (xy 190.5 35.56))
(stroke (width 0) (type default))
(uuid 7a192436-e3c9-49df-aedc-ab0981913b57)
)
(wire (pts (xy 156.21 46.99) (xy 157.48 49.53))
(stroke (width 0) (type default))
(uuid 7a71cb44-b35f-4a81-b5f1-a358b17f3883)
)
(wire (pts (xy 144.78 38.1) (xy 148.59 38.1))
(stroke (width 0) (type default))
(uuid 7ac057d4-4dbb-47eb-be67-b5101a41d89f)
)
(wire (pts (xy 129.54 38.1) (xy 133.35 38.1))
(stroke (width 0) (type default))
(uuid 7bc8e4ba-3877-462b-a064-6d6a9beec350)
)
(wire (pts (xy 121.92 35.56) (xy 121.92 38.1))
(stroke (width 0) (type default))
(uuid 7cfe43f4-79ec-4135-8da2-f845df8f5b0b)
)
(wire (pts (xy 186.69 38.1) (xy 186.69 35.56))
(stroke (width 0) (type default))
(uuid 7d93fe1c-15fa-47a7-ac4e-8c8532c3e259)
)
(wire (pts (xy 116.84 46.99) (xy 118.11 49.53))
(stroke (width 0) (type default))
(uuid 7ec8d3d3-2323-4d42-81bd-99c26a296fae)
)
(wire (pts (xy 212.09 86.36) (xy 210.82 87.63))
(stroke (width 0) (type default))
(uuid 7ed7c44e-fee3-491d-a530-7041bc0113db)
)
(wire (pts (xy 115.57 49.53) (xy 116.84 49.53))
(stroke (width 0) (type default))
(uuid 7ef047ff-86b2-46eb-b647-cab215229e5c)
)
(wire (pts (xy 140.97 76.2) (xy 142.24 73.66))
(stroke (width 0) (type default))
(uuid 7f0729c0-e240-4047-96c0-244179ab3234)
)
(wire (pts (xy 99.06 35.56) (xy 99.06 38.1))
(stroke (width 0) (type default))
(uuid 7f59b976-47cc-47d2-8cc5-2bb459d69b6a)
)
(wire (pts (xy 151.13 49.53) (xy 152.4 49.53))
(stroke (width 0) (type default))
(uuid 80d1e368-601c-496e-9f8e-bfbbda56bd07)
)
(wire (pts (xy 171.45 62.23) (xy 165.1 62.23))
(stroke (width 0) (type default))
(uuid 80d3384e-47b9-4c8f-af5f-905aa6e7d763)
)
(wire (pts (xy 171.45 49.53) (xy 172.72 46.99))
(stroke (width 0) (type default))
(uuid 81db68ea-0808-491e-bdea-2b327739c866)
)
(wire (pts (xy 95.25 72.39) (xy 92.71 72.39))
(stroke (width 0) (type default))
(uuid 81f8dca8-e0e4-42e2-8d82-9c5d1cf16d38)
)
(wire (pts (xy 100.33 46.99) (xy 101.6 49.53))
(stroke (width 0) (type default))
(uuid 8237620d-cbe6-42b0-9566-94dabebb5e82)
)
(wire (pts (xy 140.97 39.37) (xy 142.24 41.91))
(stroke (width 0) (type default))
(uuid 823adc73-d009-413a-861d-a82279ae0e73)
)
(wire (pts (xy 110.49 69.85) (xy 104.14 69.85))
(stroke (width 0) (type default))
(uuid 82606ab2-bcd4-4e0a-a6ba-d142522680fc)
)
(wire (pts (xy 217.17 64.77) (xy 218.44 62.23))
(stroke (width 0) (type default))
(uuid 82b03a13-8f79-4180-905b-d3ddef4c0a80)
)
(wire (pts (xy 96.52 76.2) (xy 102.87 76.2))
(stroke (width 0) (type default))
(uuid 8322df33-b009-4b28-a074-788dafa22ae0)
)
(wire (pts (xy 113.03 49.53) (xy 114.3 49.53))
(stroke (width 0) (type default))
(uuid 84113c12-1c28-48fc-a63f-78f08f073f25)
)
(wire (pts (xy 118.11 41.91) (xy 119.38 39.37))
(stroke (width 0) (type default))
(uuid 8428472b-06e4-451a-b8c7-91fe9400b846)
)
(wire (pts (xy 179.07 41.91) (xy 180.34 39.37))
(stroke (width 0) (type default))
(uuid 8491bef4-0dac-474a-be4d-686d715839b7)
)
(wire (pts (xy 201.93 39.37) (xy 195.58 39.37))
(stroke (width 0) (type default))
(uuid 84d1ae00-f9d3-481d-91dc-b8fa3ac4b6f8)
)
(wire (pts (xy 97.79 49.53) (xy 99.06 46.99))
(stroke (width 0) (type default))
(uuid 84d39e5c-d00f-443a-81f5-28e4dc58b614)
)
(wire (pts (xy 125.73 35.56) (xy 129.54 35.56))
(stroke (width 0) (type default))
(uuid 8501b8d2-7bb2-435b-b3db-9a0aa4f12129)
)
(wire (pts (xy 210.82 72.39) (xy 217.17 72.39))
(stroke (width 0) (type default))
(uuid 852f576e-fbc0-4f4f-aad5-d69f27bef526)
)
(wire (pts (xy 204.47 46.99) (xy 205.74 49.53))
(stroke (width 0) (type default))
(uuid 864b2c58-1431-4db1-86a2-fa0707ab0c28)
)
(wire (pts (xy 125.73 62.23) (xy 119.38 62.23))
(stroke (width 0) (type default))
(uuid 86d0d145-68c0-4c2e-8b37-dac16ca955c8)
)
(wire (pts (xy 171.45 87.63) (xy 172.72 85.09))
(stroke (width 0) (type default))
(uuid 872aa85b-8e1f-44d0-a573-74550a5bd43e)
)
(wire (pts (xy 210.82 76.2) (xy 217.17 76.2))
(stroke (width 0) (type default))
(uuid 8765563a-6b7e-4c8b-b608-4089c2ab0af5)
)
(wire (pts (xy 186.69 39.37) (xy 180.34 39.37))
(stroke (width 0) (type default))
(uuid 87a06cc0-4078-4a7f-be18-eccd1f93a3b4)
)
(wire (pts (xy 198.12 81.28) (xy 199.39 83.82))
(stroke (width 0) (type default))
(uuid 87a223bb-76c0-4926-a045-603f735b4e36)
)
(wire (pts (xy 179.07 38.1) (xy 179.07 35.56))
(stroke (width 0) (type default))
(uuid 87ae4e3c-36a5-4977-949e-afe8dec5bf5c)
)
(wire (pts (xy 179.07 76.2) (xy 180.34 73.66))
(stroke (width 0) (type default))
(uuid 87fda937-49ab-4fee-adf4-39c4a48a479f)
)
(wire (pts (xy 212.09 78.74) (xy 222.25 78.74))
(stroke (width 0) (type default))
(uuid 886f73c2-f32f-4555-8d72-e566fd3ba8cb)
)
(wire (pts (xy 201.93 41.91) (xy 203.2 39.37))
(stroke (width 0) (type default))
(uuid 89c68339-b9c6-4421-b3b0-c22293812f04)
)
(wire (pts (xy 151.13 46.99) (xy 152.4 46.99))
(stroke (width 0) (type default))
(uuid 89ec1666-5415-49c1-9be1-22012198bc81)
)
(wire (pts (xy 118.11 39.37) (xy 119.38 41.91))
(stroke (width 0) (type default))
(uuid 8b1b9828-f3c6-4024-915e-73679f45b6be)
)
(wire (pts (xy 218.44 76.2) (xy 222.25 76.2))
(stroke (width 0) (type default))
(uuid 8b4aecb5-e48c-4c5e-b686-e8a4f7c339cc)
)
(wire (pts (xy 175.26 85.09) (xy 176.53 87.63))
(stroke (width 0) (type default))
(uuid 8b5c709a-b47e-44e0-8a79-8e71e4c7195f)
)
(wire (pts (xy 171.45 41.91) (xy 172.72 39.37))
(stroke (width 0) (type default))
(uuid 8b63b724-933f-43f1-aa22-9779463f3be1)
)
(wire (pts (xy 105.41 46.99) (xy 106.68 46.99))
(stroke (width 0) (type default))
(uuid 8c4d1028-5c2c-47f6-a55b-58d2cf99cd7c)
)
(wire (pts (xy 96.52 64.77) (xy 102.87 64.77))
(stroke (width 0) (type default))
(uuid 8c68030c-5884-4c62-af47-54ecea51e19c)
)
(wire (pts (xy 110.49 73.66) (xy 104.14 73.66))
(stroke (width 0) (type default))
(uuid 8cd81cda-4dd4-4685-866a-3fcc22c7f85b)
)
(wire (pts (xy 125.73 62.23) (xy 127 64.77))
(stroke (width 0) (type default))
(uuid 8cd849ec-7ad5-4879-b3ff-e719fd3b82f7)
)
(wire (pts (xy 156.21 41.91) (xy 157.48 39.37))
(stroke (width 0) (type default))
(uuid 8de85519-985e-4139-af57-32e53c2468a3)
)
(wire (pts (xy 156.21 72.39) (xy 157.48 69.85))
(stroke (width 0) (type default))
(uuid 8ec87249-260e-43bf-b165-46d9b2f0957d)
)
(wire (pts (xy 186.69 73.66) (xy 180.34 73.66))
(stroke (width 0) (type default))
(uuid 8ef01db0-d0bf-4787-bc6b-e4b58b29fb70)
)
(wire (pts (xy 156.21 45.72) (xy 157.48 43.18))
(stroke (width 0) (type default))
(uuid 8f2d9315-664a-4fcb-8499-4e6ca088ae8f)
)
(wire (pts (xy 95.25 76.2) (xy 96.52 73.66))
(stroke (width 0) (type default))
(uuid 8f58f6d3-bdcb-4084-9b82-1353b25526ee)
)
(wire (pts (xy 170.18 49.53) (xy 171.45 46.99))
(stroke (width 0) (type default))
(uuid 8f5d66f6-207e-4197-872c-dc7811f75990)
)
(wire (pts (xy 172.72 72.39) (xy 179.07 72.39))
(stroke (width 0) (type default))
(uuid 8fa70474-2839-40f0-938d-1e298dc08ee8)
)
(wire (pts (xy 173.99 49.53) (xy 175.26 49.53))
(stroke (width 0) (type default))
(uuid 8fb3fb3e-78fe-4031-8cd6-47a97de06281)
)
(wire (pts (xy 148.59 69.85) (xy 142.24 69.85))
(stroke (width 0) (type default))
(uuid 902208c7-e1ef-4bc6-a611-7ae68d12297b)
)
(wire (pts (xy 154.94 49.53) (xy 156.21 49.53))
(stroke (width 0) (type default))
(uuid 909c0120-75cf-4baf-8b6f-6e5f532160f5)
)
(wire (pts (xy 101.6 46.99) (xy 102.87 46.99))
(stroke (width 0) (type default))
(uuid 90b8177a-7d76-42c9-8068-cb696fb6ecd1)
)
(wire (pts (xy 114.3 49.53) (xy 115.57 49.53))
(stroke (width 0) (type default))
(uuid 90b96869-b366-414c-80c0-693fee5d48a3)
)
(wire (pts (xy 168.91 85.09) (xy 170.18 87.63))
(stroke (width 0) (type default))
(uuid 91e3c525-8d6c-4e6a-88f0-75d30456a045)
)
(wire (pts (xy 127 41.91) (xy 133.35 41.91))
(stroke (width 0) (type default))
(uuid 91e3e12b-0875-49d5-b5d0-81daa686b350)
)
(wire (pts (xy 209.55 49.53) (xy 210.82 46.99))
(stroke (width 0) (type default))
(uuid 928bbee4-79d5-4bba-b7c5-7980f970e2ab)
)
(wire (pts (xy 163.83 62.23) (xy 157.48 62.23))
(stroke (width 0) (type default))
(uuid 9394e966-5f98-4d20-ac1a-76e637629d06)
)
(wire (pts (xy 95.25 38.1) (xy 92.71 38.1))
(stroke (width 0) (type default))
(uuid 944a8f8c-afea-49a5-97eb-51181ce39be0)
)
(wire (pts (xy 157.48 49.53) (xy 158.75 46.99))
(stroke (width 0) (type default))
(uuid 94ca54da-89f7-473b-ab96-310da4f4b826)
)
(wire (pts (xy 180.34 72.39) (xy 186.69 72.39))
(stroke (width 0) (type default))
(uuid 953980a9-9e56-48d5-81ab-e8f4d28ce713)
)
(wire (pts (xy 186.69 69.85) (xy 180.34 69.85))
(stroke (width 0) (type default))
(uuid 9540676e-666b-4670-8964-7d18f1f6759d)
)
(wire (pts (xy 133.35 72.39) (xy 134.62 69.85))
(stroke (width 0) (type default))
(uuid 9573c190-6473-4cab-b278-344707677179)
)
(wire (pts (xy 157.48 50.8) (xy 179.07 50.8))
(stroke (width 0) (type default))
(uuid 95c77516-2595-4c93-832e-f7dd2bafb106)
)
(wire (pts (xy 106.68 49.53) (xy 111.76 49.53))
(stroke (width 0) (type default))
(uuid 95f178f6-e78e-4239-b584-1add24f19dd9)
)
(wire (pts (xy 148.59 50.8) (xy 149.86 53.34))
(stroke (width 0) (type default))
(uuid 960052cc-b37a-4174-94fe-5d19f0c3b48f)
)
(wire (pts (xy 175.26 38.1) (xy 179.07 38.1))
(stroke (width 0) (type default))
(uuid 96039337-5a0f-437f-9ab1-2fd6b243bf31)
)
(wire (pts (xy 110.49 39.37) (xy 104.14 39.37))
(stroke (width 0) (type default))
(uuid 960b2d44-475a-4a73-b740-a4f06ce6b7a3)
)
(wire (pts (xy 115.57 46.99) (xy 116.84 49.53))
(stroke (width 0) (type default))
(uuid 9657e7bd-e18e-42fe-8286-ed6567fa8fbe)
)
(wire (pts (xy 102.87 43.18) (xy 104.14 45.72))
(stroke (width 0) (type default))
(uuid 967a442b-cc39-43ce-8aae-de668b7c3750)
)
(wire (pts (xy 148.59 73.66) (xy 149.86 76.2))
(stroke (width 0) (type default))
(uuid 96c86901-e8d7-47d3-b5cc-83b54cff8113)
)
(wire (pts (xy 194.31 73.66) (xy 187.96 73.66))
(stroke (width 0) (type default))
(uuid 97198122-1702-4e90-824a-d28f8d91a010)
)
(wire (pts (xy 97.79 46.99) (xy 99.06 49.53))
(stroke (width 0) (type default))
(uuid 971e726e-c6df-47c6-a0a6-394f638c8081)
)
(wire (pts (xy 118.11 69.85) (xy 111.76 69.85))
(stroke (width 0) (type default))
(uuid 9743ebe5-bcbc-46ac-a862-bba7749d0dc8)
)
(wire (pts (xy 209.55 43.18) (xy 210.82 45.72))
(stroke (width 0) (type default))
(uuid 97cb8138-c685-4031-b3aa-b9299e2033ca)
)
(wire (pts (xy 166.37 46.99) (xy 167.64 49.53))
(stroke (width 0) (type default))
(uuid 97f3be47-a9dc-4205-9d71-2be932923024)
)
(wire (pts (xy 179.07 54.61) (xy 180.34 57.15))
(stroke (width 0) (type default))
(uuid 97fffc57-6177-4524-9ad5-0ae4b675cceb)
)
(wire (pts (xy 212.09 46.99) (xy 213.36 46.99))
(stroke (width 0) (type default))
(uuid 98017ac9-ab5f-415f-95c4-b81438e40e84)
)
(wire (pts (xy 209.55 72.39) (xy 210.82 69.85))
(stroke (width 0) (type default))
(uuid 981d77bb-e67f-4da0-a1a9-2872884b9924)
)
(wire (pts (xy 153.67 49.53) (xy 154.94 46.99))
(stroke (width 0) (type default))
(uuid 98c9c845-5495-44ca-a285-4481f983d219)
)
(wire (pts (xy 127 64.77) (xy 133.35 64.77))
(stroke (width 0) (type default))
(uuid 9905d96e-c0aa-4b52-904d-d0c3ad2e8372)
)
(wire (pts (xy 171.45 85.09) (xy 172.72 87.63))
(stroke (width 0) (type default))
(uuid 997b9563-74ff-4515-99ba-614218d8ae92)
)
(wire (pts (xy 186.69 41.91) (xy 187.96 39.37))
(stroke (width 0) (type default))
(uuid 99c5e23d-9a3c-46a4-b922-84e7a8a98ccd)
)
(wire (pts (xy 134.62 64.77) (xy 140.97 64.77))
(stroke (width 0) (type default))
(uuid 9a23cfbc-072d-4b67-af83-68fa63be83fe)
)
(wire (pts (xy 140.97 35.56) (xy 144.78 35.56))
(stroke (width 0) (type default))
(uuid 9a4a7e1d-8c37-459b-be91-7ff1f4c3790c)
)
(wire (pts (xy 201.93 62.23) (xy 203.2 64.77))
(stroke (width 0) (type default))
(uuid 9a9e9d3f-97ae-4a25-b8cc-bb0cfed12a8e)
)
(wire (pts (xy 204.47 49.53) (xy 205.74 46.99))
(stroke (width 0) (type default))
(uuid 9aa14174-f3ef-4b20-a37f-e4b95842706d)
)
(wire (pts (xy 204.47 49.53) (xy 205.74 49.53))
(stroke (width 0) (type default))
(uuid 9bb2d35b-7431-4e62-a6ce-4cc61ee8f53b)
)
(wire (pts (xy 102.87 46.99) (xy 104.14 46.99))
(stroke (width 0) (type default))
(uuid 9bd703d3-fbcf-4eec-9bbf-df643a337cf2)
)
(wire (pts (xy 199.39 81.28) (xy 200.66 83.82))
(stroke (width 0) (type default))
(uuid 9c41839e-381f-4aa8-82ba-db3aeb5f4e3e)
)
(wire (pts (xy 194.31 69.85) (xy 187.96 69.85))
(stroke (width 0) (type default))
(uuid 9d068029-f0ee-4f46-82b4-dba8b2c9ce98)
)
(wire (pts (xy 220.98 38.1) (xy 222.25 38.1))
(stroke (width 0) (type default))
(uuid 9d0978ca-bfb9-4653-bdca-dd9d6b4f1477)
)
(wire (pts (xy 148.59 41.91) (xy 149.86 39.37))
(stroke (width 0) (type default))
(uuid 9d1b7095-1c02-4411-af76-103af0f3d69b)
)
(wire (pts (xy 119.38 41.91) (xy 125.73 41.91))
(stroke (width 0) (type default))
(uuid 9d457f84-9d3a-475f-9d68-b683c04ccc79)
)
(wire (pts (xy 92.71 87.63) (xy 104.14 87.63))
(stroke (width 0) (type default))
(uuid 9d7ef768-4d29-40d9-8370-fe49218c94f2)
)
(wire (pts (xy 209.55 73.66) (xy 210.82 76.2))
(stroke (width 0) (type default))
(uuid 9d8f413c-6e8d-498e-b9be-5dd1b5193156)
)
(wire (pts (xy 148.59 39.37) (xy 142.24 39.37))
(stroke (width 0) (type default))
(uuid 9dd182aa-df16-4be5-b700-5aa69067311a)
)
(wire (pts (xy 187.96 54.61) (xy 222.25 54.61))
(stroke (width 0) (type default))
(uuid 9df22f0f-f5fa-4b37-883d-4ae8af0e2922)
)
(wire (pts (xy 121.92 49.53) (xy 149.86 49.53))
(stroke (width 0) (type default))
(uuid 9df6a5dc-4466-43f4-90b5-9f6cfba3bd72)
)
(wire (pts (xy 166.37 85.09) (xy 167.64 87.63))
(stroke (width 0) (type default))
(uuid 9e284634-5008-4d67-b857-a22745e9658a)
)
(wire (pts (xy 219.71 49.53) (xy 220.98 49.53))
(stroke (width 0) (type default))
(uuid 9e4c88ce-e1d2-403f-b5b7-61321ecab7c9)
)
(wire (pts (xy 175.26 35.56) (xy 175.26 38.1))
(stroke (width 0) (type default))
(uuid 9f146215-725c-4c45-86b8-dc7e12f5ef85)
)
(wire (pts (xy 133.35 35.56) (xy 137.16 35.56))
(stroke (width 0) (type default))
(uuid a03914fe-1cac-4543-a6eb-9dcce327cf1d)
)
(wire (pts (xy 170.18 46.99) (xy 171.45 46.99))
(stroke (width 0) (type default))
(uuid a0a1ac72-97d8-43e3-ab02-4fc04b4b4f89)
)
(wire (pts (xy 92.71 83.82) (xy 104.14 83.82))
(stroke (width 0) (type default))
(uuid a2755ef5-3c18-45fb-a457-f0a38481ede9)
)
(wire (pts (xy 162.56 85.09) (xy 163.83 87.63))
(stroke (width 0) (type default))
(uuid a27ead47-74ee-4cd7-89bf-e9971f1f4697)
)
(wire (pts (xy 95.25 39.37) (xy 96.52 41.91))
(stroke (width 0) (type default))
(uuid a2f778b7-cdf1-4ca9-83f5-ccb7b9111085)
)
(wire (pts (xy 167.64 46.99) (xy 168.91 46.99))
(stroke (width 0) (type default))
(uuid a3a333d3-b800-4f30-98fc-cd6ca8ab62e4)
)
(wire (pts (xy 187.96 72.39) (xy 194.31 72.39))
(stroke (width 0) (type default))
(uuid a503ffbe-17ab-42ca-b343-c9d7e5b89391)
)
(wire (pts (xy 201.93 39.37) (xy 203.2 41.91))
(stroke (width 0) (type default))
(uuid a51c65c1-8e2f-449e-b110-3ef2d713e42c)
)
(wire (pts (xy 133.35 64.77) (xy 134.62 62.23))
(stroke (width 0) (type default))
(uuid a5212092-0009-46ca-a655-7ad33db55f59)
)
(wire (pts (xy 186.69 64.77) (xy 187.96 62.23))
(stroke (width 0) (type default))
(uuid a613bb41-d936-4e10-b3d0-75f4273109d6)
)
(wire (pts (xy 105.41 78.74) (xy 157.48 78.74))
(stroke (width 0) (type default))
(uuid a763f946-09d0-4a8c-aad6-56f4517a20b1)
)
(wire (pts (xy 101.6 46.99) (xy 102.87 49.53))
(stroke (width 0) (type default))
(uuid a8511621-6e64-40a8-b979-34bd61d6801a)
)
(wire (pts (xy 119.38 76.2) (xy 125.73 76.2))
(stroke (width 0) (type default))
(uuid a8c213bf-7ed2-4a90-bedf-cf3f0ed7b630)
)
(wire (pts (xy 163.83 76.2) (xy 165.1 73.66))
(stroke (width 0) (type default))
(uuid a8c3d0aa-ac22-4256-bc7b-df4166650420)
)
(wire (pts (xy 163.83 41.91) (xy 165.1 39.37))
(stroke (width 0) (type default))
(uuid a909d596-fe09-4bb9-a3ff-e1a58826408a)
)
(wire (pts (xy 118.11 46.99) (xy 119.38 46.99))
(stroke (width 0) (type default))
(uuid a95d7650-55ed-4966-aee3-4ec0af2c94bf)
)
(wire (pts (xy 114.3 49.53) (xy 115.57 46.99))
(stroke (width 0) (type default))
(uuid a97d0c74-4e6d-4672-8920-d73e1da182b6)
)
(wire (pts (xy 179.07 72.39) (xy 180.34 69.85))
(stroke (width 0) (type default))
(uuid aaeff568-0d36-4c2d-b7bc-c9381c7e84e7)
)
(wire (pts (xy 209.55 62.23) (xy 203.2 62.23))
(stroke (width 0) (type default))
(uuid ab1854b0-da8d-4dad-beff-577da5eabdf1)
)
(wire (pts (xy 104.14 49.53) (xy 105.41 46.99))
(stroke (width 0) (type default))
(uuid ab2352d6-228e-494d-8b4b-c742cadfa768)
)
(wire (pts (xy 96.52 49.53) (xy 97.79 49.53))
(stroke (width 0) (type default))
(uuid ab749b4e-81a9-4ba7-a8ec-77c265491125)
)
(wire (pts (xy 102.87 49.53) (xy 104.14 49.53))
(stroke (width 0) (type default))
(uuid ab81d076-d901-4613-93a3-bedafede53bf)
)
(wire (pts (xy 207.01 49.53) (xy 208.28 46.99))
(stroke (width 0) (type default))
(uuid ab9008bc-d1dc-48b8-87f2-3ac40cefb14f)
)
(wire (pts (xy 170.18 46.99) (xy 171.45 49.53))
(stroke (width 0) (type default))
(uuid acfc3c23-e933-4d3a-9a48-766007e19dd0)
)
(wire (pts (xy 171.45 72.39) (xy 172.72 69.85))
(stroke (width 0) (type default))
(uuid ad31e93c-b5d4-48b6-841a-8b971b13e28f)
)
(wire (pts (xy 110.49 62.23) (xy 111.76 64.77))
(stroke (width 0) (type default))
(uuid ad934907-636e-4fa3-94f9-bce79fa6a063)
)
(wire (pts (xy 148.59 64.77) (xy 149.86 62.23))
(stroke (width 0) (type default))
(uuid adaa4043-35ae-437b-8a95-920396542c25)
)
(wire (pts (xy 113.03 46.99) (xy 114.3 49.53))
(stroke (width 0) (type default))
(uuid adb23d58-be29-4b16-806a-2ed60b096e23)
)
(wire (pts (xy 195.58 76.2) (xy 201.93 76.2))
(stroke (width 0) (type default))
(uuid ae4baa47-fef2-423f-aea1-2a522f03e789)
)
(wire (pts (xy 92.71 85.09) (xy 104.14 85.09))
(stroke (width 0) (type default))
(uuid aeb5dd2d-e0cb-4f85-9a2b-374e1dc205f8)
)
(wire (pts (xy 219.71 49.53) (xy 220.98 46.99))
(stroke (width 0) (type default))
(uuid af9917c0-13e9-423b-9ac6-aa487d05a524)
)
(wire (pts (xy 220.98 49.53) (xy 222.25 49.53))
(stroke (width 0) (type default))
(uuid afbc9a88-06e5-492f-a958-d5bd3968c4f0)
)
(wire (pts (xy 125.73 72.39) (xy 127 69.85))
(stroke (width 0) (type default))
(uuid b0053f3e-9a43-4145-b100-8b935993bad6)
)
(wire (pts (xy 157.48 86.36) (xy 105.41 86.36))
(stroke (width 0) (type default))
(uuid b0334548-2627-4c15-bd02-d3e8f402ead3)
)
(wire (pts (xy 167.64 49.53) (xy 168.91 49.53))
(stroke (width 0) (type default))
(uuid b1c197a1-abc0-4c46-9ba9-bf6608a40d06)
)
(wire (pts (xy 133.35 38.1) (xy 133.35 35.56))
(stroke (width 0) (type default))
(uuid b1c20f3c-0b23-4d09-a5ea-3b7c3162e95b)
)
(wire (pts (xy 218.44 72.39) (xy 222.25 72.39))
(stroke (width 0) (type default))
(uuid b20f00b5-f205-4ac6-9e2d-755b5d9193ec)
)
(wire (pts (xy 163.83 69.85) (xy 157.48 69.85))
(stroke (width 0) (type default))
(uuid b2502326-071a-4451-8a77-bc91741dd2f3)
)
(wire (pts (xy 154.94 46.99) (xy 156.21 46.99))
(stroke (width 0) (type default))
(uuid b279fc6f-4ae2-4d1b-93ad-efcd6d410ff2)
)
(wire (pts (xy 195.58 41.91) (xy 201.93 41.91))
(stroke (width 0) (type default))
(uuid b2ae8775-37c0-4618-9b0f-c953ed571c18)
)
(wire (pts (xy 171.45 46.99) (xy 172.72 49.53))
(stroke (width 0) (type default))
(uuid b2e7a21c-9af1-4279-94a2-1349f6cb296c)
)
(wire (pts (xy 176.53 87.63) (xy 177.8 85.09))
(stroke (width 0) (type default))
(uuid b42d06df-f495-4156-a822-0e7382f5f4f2)
)
(wire (pts (xy 195.58 68.58) (xy 222.25 68.58))
(stroke (width 0) (type default))
(uuid b4a5cc82-5b98-41e3-b52c-bae9fd69e8d4)
)
(wire (pts (xy 118.11 49.53) (xy 119.38 49.53))
(stroke (width 0) (type default))
(uuid b4da2f19-6605-43fa-b6d6-b1913da04e80)
)
(wire (pts (xy 194.31 76.2) (xy 195.58 73.66))
(stroke (width 0) (type default))
(uuid b55e25f8-ca51-49a1-b9bd-70b96d65928e)
)
(wire (pts (xy 148.59 35.56) (xy 152.4 35.56))
(stroke (width 0) (type default))
(uuid b5629989-dc0f-4226-b05d-ea29991d596f)
)
(wire (pts (xy 118.11 35.56) (xy 121.92 35.56))
(stroke (width 0) (type default))
(uuid b56dc5cf-ab92-4ed6-b5c5-c0cf4ec8b6a2)
)
(wire (pts (xy 115.57 49.53) (xy 116.84 46.99))
(stroke (width 0) (type default))
(uuid b5aad87e-9798-4518-90bf-4b1540388970)
)
(wire (pts (xy 99.06 46.99) (xy 100.33 49.53))
(stroke (width 0) (type default))
(uuid b6945b96-8a53-4525-b14c-d2340406cf39)
)
(wire (pts (xy 102.87 73.66) (xy 96.52 73.66))
(stroke (width 0) (type default))
(uuid b6c14284-eb8c-4df1-bf6c-f2900a4089b2)
)
(wire (pts (xy 153.67 49.53) (xy 154.94 49.53))
(stroke (width 0) (type default))
(uuid b81fc030-88e6-42c4-83aa-5dc030fa5331)
)
(wire (pts (xy 176.53 85.09) (xy 177.8 87.63))
(stroke (width 0) (type default))
(uuid b8d172b1-9823-4f9f-ad5b-fffb1d89782a)
)
(wire (pts (xy 105.41 78.74) (xy 104.14 80.01))
(stroke (width 0) (type default))
(uuid b8f9843e-5138-4d29-aad8-561d009a684d)
)
(wire (pts (xy 140.97 69.85) (xy 134.62 69.85))
(stroke (width 0) (type default))
(uuid ba23e9ae-dbaa-4d7d-9475-c70160deb764)
)
(wire (pts (xy 102.87 46.99) (xy 104.14 49.53))
(stroke (width 0) (type default))
(uuid ba7bfeea-734a-498b-84ff-294fc6708d1f)
)
(wire (pts (xy 104.14 45.72) (xy 156.21 45.72))
(stroke (width 0) (type default))
(uuid bb569f08-f225-4268-aab3-acb6659da78e)
)
(wire (pts (xy 207.01 46.99) (xy 208.28 49.53))
(stroke (width 0) (type default))
(uuid bb5ca918-ba1b-40cb-a82b-bb7901e8dc4a)
)
(wire (pts (xy 222.25 73.66) (xy 218.44 73.66))
(stroke (width 0) (type default))
(uuid bbba30d6-f456-4967-bfd6-37731dc44d54)
)
(wire (pts (xy 201.93 76.2) (xy 203.2 73.66))
(stroke (width 0) (type default))
(uuid bbc887f3-b358-458d-90ba-eb4f77cc056c)
)
(wire (pts (xy 110.49 41.91) (xy 111.76 39.37))
(stroke (width 0) (type default))
(uuid bbef1c71-c1f9-43ef-8df4-a08c2194c093)
)
(wire (pts (xy 156.21 38.1) (xy 156.21 35.56))
(stroke (width 0) (type default))
(uuid bcb85a55-0311-4a4d-bf54-84fdcf71ad7d)
)
(wire (pts (xy 148.59 73.66) (xy 142.24 73.66))
(stroke (width 0) (type default))
(uuid bd2d0dbe-6ec6-4b62-becc-bb5ad1474e0f)
)
(wire (pts (xy 104.14 46.99) (xy 105.41 46.99))
(stroke (width 0) (type default))
(uuid be104967-d49c-4948-b2ac-c383d91f02e6)
)
(wire (pts (xy 179.07 69.85) (xy 172.72 69.85))
(stroke (width 0) (type default))
(uuid be90a244-4225-4cf5-8c0b-df8e66321a63)
)
(wire (pts (xy 158.75 77.47) (xy 210.82 77.47))
(stroke (width 0) (type default))
(uuid beaef240-091d-4b34-88bc-9e7d3cf3162f)
)
(wire (pts (xy 96.52 72.39) (xy 102.87 72.39))
(stroke (width 0) (type default))
(uuid bec56bc8-2e15-429f-9fa3-345f89e53f05)
)
(wire (pts (xy 110.49 39.37) (xy 111.76 41.91))
(stroke (width 0) (type default))
(uuid bee1f5e4-d06e-415d-8152-27a7aacd416a)
)
(wire (pts (xy 209.55 62.23) (xy 210.82 64.77))
(stroke (width 0) (type default))
(uuid bf0518b0-549c-4cb8-95fd-bbf75cd62139)
)
(wire (pts (xy 222.25 69.85) (xy 218.44 69.85))
(stroke (width 0) (type default))
(uuid bf2d89b7-8b1f-4bfc-93cf-06f0026fcad3)
)
(wire (pts (xy 156.21 35.56) (xy 160.02 35.56))
(stroke (width 0) (type default))
(uuid bf61f05d-f1ae-478d-96e9-dcba6c182060)
)
(wire (pts (xy 210.82 41.91) (xy 217.17 41.91))
(stroke (width 0) (type default))
(uuid bf6861e3-9630-4e50-a7dc-8d5ec8c57120)
)
(wire (pts (xy 95.25 41.91) (xy 96.52 39.37))
(stroke (width 0) (type default))
(uuid bf9e8f2b-f3d1-400e-96cb-159b7f6c7038)
)
(wire (pts (xy 158.75 49.53) (xy 160.02 49.53))
(stroke (width 0) (type default))
(uuid bff58ca3-7ae6-4506-a365-9231a83e22e6)
)
(wire (pts (xy 179.07 50.8) (xy 187.96 50.8))
(stroke (width 0) (type default))
(uuid c07e128c-ed6a-48ff-ac17-c68aaeb2d888)
)
(wire (pts (xy 217.17 39.37) (xy 210.82 39.37))
(stroke (width 0) (type default))
(uuid c0880a8b-5589-40db-a248-c1c04039cb07)
)
(wire (pts (xy 175.26 49.53) (xy 203.2 49.53))
(stroke (width 0) (type default))
(uuid c092a15c-3bde-4930-9d85-1d37528cd286)
)
(wire (pts (xy 160.02 35.56) (xy 160.02 38.1))
(stroke (width 0) (type default))
(uuid c1065569-f83e-4026-ac05-97cc8945865d)
)
(wire (pts (xy 165.1 49.53) (xy 166.37 49.53))
(stroke (width 0) (type default))
(uuid c10a4124-6245-415c-ad69-6653f8173f76)
)
(wire (pts (xy 140.97 72.39) (xy 142.24 69.85))
(stroke (width 0) (type default))
(uuid c13a62e2-02ae-484a-9767-943d57712995)
)
(wire (pts (xy 133.35 76.2) (xy 134.62 73.66))
(stroke (width 0) (type default))
(uuid c166c7f8-84e8-4aa3-9aa0-945f0a3d85ea)
)
(wire (pts (xy 161.29 85.09) (xy 162.56 87.63))
(stroke (width 0) (type default))
(uuid c1c930f1-d2b3-4439-8ba7-8225168dd933)
)
(wire (pts (xy 111.76 72.39) (xy 118.11 72.39))
(stroke (width 0) (type default))
(uuid c2312b66-e841-4f60-99b5-a00f63fc2955)
)
(wire (pts (xy 102.87 62.23) (xy 96.52 62.23))
(stroke (width 0) (type default))
(uuid c23ed457-c442-424a-93c7-02d056574805)
)
(wire (pts (xy 116.84 49.53) (xy 118.11 46.99))
(stroke (width 0) (type default))
(uuid c23f33d9-f85b-4bbb-9662-26f60269732e)
)
(wire (pts (xy 110.49 69.85) (xy 111.76 72.39))
(stroke (width 0) (type default))
(uuid c2461e30-4a0b-415e-b148-c9a23183d289)
)
(wire (pts (xy 133.35 41.91) (xy 134.62 39.37))
(stroke (width 0) (type default))
(uuid c260c3f7-b08c-4805-a0b6-c98cd115d10c)
)
(wire (pts (xy 95.25 64.77) (xy 92.71 64.77))
(stroke (width 0) (type default))
(uuid c353832e-d5de-4aec-9919-90e9329a4c02)
)
(wire (pts (xy 111.76 46.99) (xy 113.03 49.53))
(stroke (width 0) (type default))
(uuid c38521a7-ff6d-4863-86f1-a47d37d2b7bb)
)
(wire (pts (xy 105.41 82.55) (xy 195.58 82.55))
(stroke (width 0) (type default))
(uuid c3b368a4-372d-4bfa-b39e-b75ac4ff050e)
)
(wire (pts (xy 167.64 46.99) (xy 168.91 49.53))
(stroke (width 0) (type default))
(uuid c3ecc6b7-60e0-438a-b5ab-9a098fbb2142)
)
(wire (pts (xy 171.45 73.66) (xy 172.72 76.2))
(stroke (width 0) (type default))
(uuid c4901999-bc38-495f-b92c-f23a6b331373)
)
(wire (pts (xy 179.07 64.77) (xy 180.34 62.23))
(stroke (width 0) (type default))
(uuid c50f5cca-f1b2-4ae2-bb26-c852d3b0e148)
)
(wire (pts (xy 220.98 35.56) (xy 220.98 38.1))
(stroke (width 0) (type default))
(uuid c5ad566e-a56f-4291-aadd-3514854076e0)
)
(wire (pts (xy 140.97 62.23) (xy 142.24 64.77))
(stroke (width 0) (type default))
(uuid c5b05b05-2bec-40de-9408-7430025b5374)
)
(wire (pts (xy 118.11 64.77) (xy 119.38 62.23))
(stroke (width 0) (type default))
(uuid c669c140-6ea1-4d35-83e5-15d544cf9905)
)
(wire (pts (xy 209.55 41.91) (xy 210.82 39.37))
(stroke (width 0) (type default))
(uuid c678d4a2-2ca5-45c1-8d19-171e9b191e1a)
)
(wire (pts (xy 208.28 46.99) (xy 209.55 49.53))
(stroke (width 0) (type default))
(uuid c6914b7d-3b5d-4d8e-87dc-340dc84c8bf5)
)
(wire (pts (xy 157.48 46.99) (xy 158.75 49.53))
(stroke (width 0) (type default))
(uuid c6f57b71-208a-48f9-9d53-aeccbbad70b9)
)
(wire (pts (xy 163.83 73.66) (xy 165.1 76.2))
(stroke (width 0) (type default))
(uuid c73ff18a-5ba5-4715-b8f1-03dad8f209a2)
)
(wire (pts (xy 201.93 35.56) (xy 205.74 35.56))
(stroke (width 0) (type default))
(uuid c916d590-47d8-4dd6-ae66-28ee7cabe7cf)
)
(wire (pts (xy 186.69 57.15) (xy 187.96 54.61))
(stroke (width 0) (type default))
(uuid c986a3f0-115f-4568-9267-5ee51f9a2c41)
)
(wire (pts (xy 125.73 76.2) (xy 127 73.66))
(stroke (width 0) (type default))
(uuid c9dbd0c0-283f-480c-8414-64c11c4bdb9d)
)
(wire (pts (xy 165.1 72.39) (xy 171.45 72.39))
(stroke (width 0) (type default))
(uuid ca011786-c6fa-433c-a9b5-8bc7cfa4e7b4)
)
(wire (pts (xy 148.59 76.2) (xy 149.86 73.66))
(stroke (width 0) (type default))
(uuid ca98e3c7-4c41-4ee0-b4bc-165563ff21ed)
)
(wire (pts (xy 118.11 76.2) (xy 119.38 73.66))
(stroke (width 0) (type default))
(uuid cb150c13-482d-40e0-b455-fed5433aa480)
)
(wire (pts (xy 179.07 39.37) (xy 180.34 41.91))
(stroke (width 0) (type default))
(uuid cb2162ac-b344-4505-b5f3-108abdba33fd)
)
(wire (pts (xy 165.1 49.53) (xy 166.37 46.99))
(stroke (width 0) (type default))
(uuid cb398e5b-45fa-4e7e-a348-1b9299dc9065)
)
(wire (pts (xy 209.55 35.56) (xy 213.36 35.56))
(stroke (width 0) (type default))
(uuid cc55b609-bc2a-4562-83e8-c7b0f3562498)
)
(wire (pts (xy 102.87 73.66) (xy 104.14 76.2))
(stroke (width 0) (type default))
(uuid cc6924bf-3e82-4c5a-b0a6-88c27c80ccfb)
)
(wire (pts (xy 163.83 72.39) (xy 165.1 69.85))
(stroke (width 0) (type default))
(uuid cc8b5bf5-f589-4aa1-ba0a-bf466a7ea976)
)
(wire (pts (xy 92.71 77.47) (xy 104.14 77.47))
(stroke (width 0) (type default))
(uuid cd234530-11b0-4218-8ac0-decc554bcfe7)
)
(wire (pts (xy 140.97 41.91) (xy 142.24 39.37))
(stroke (width 0) (type default))
(uuid ce40a9c3-7367-44df-bda1-24697f6baf32)
)
(wire (pts (xy 186.69 62.23) (xy 187.96 64.77))
(stroke (width 0) (type default))
(uuid ce4770a2-f740-494d-93a5-9b7e6546efc6)
)
(wire (pts (xy 194.31 66.04) (xy 195.58 68.58))
(stroke (width 0) (type default))
(uuid ce8dd09e-f8c4-4a95-abe7-1133cede2f8f)
)
(wire (pts (xy 125.73 73.66) (xy 127 76.2))
(stroke (width 0) (type default))
(uuid ceaed891-b451-497a-82e1-3dcae21c23e5)
)
(wire (pts (xy 217.17 69.85) (xy 218.44 72.39))
(stroke (width 0) (type default))
(uuid cef203c3-f1c3-49ee-98cb-ce8c1c1223ab)
)
(wire (pts (xy 168.91 49.53) (xy 170.18 49.53))
(stroke (width 0) (type default))
(uuid d0d81f9d-26b1-4e20-8ee9-07d651050cb5)
)
(wire (pts (xy 104.14 72.39) (xy 110.49 72.39))
(stroke (width 0) (type default))
(uuid d0f947cf-5ea6-4093-85c6-e3a1923456b7)
)
(wire (pts (xy 210.82 85.09) (xy 212.09 86.36))
(stroke (width 0) (type default))
(uuid d0fdb597-84fd-4c78-8871-11a868f3d683)
)
(wire (pts (xy 111.76 64.77) (xy 118.11 64.77))
(stroke (width 0) (type default))
(uuid d126ed6a-d80c-4853-88c6-8513e200d922)
)
(wire (pts (xy 102.87 76.2) (xy 104.14 73.66))
(stroke (width 0) (type default))
(uuid d14a484b-d05f-4e03-a40a-258fcf7452ae)
)
(wire (pts (xy 140.97 73.66) (xy 142.24 76.2))
(stroke (width 0) (type default))
(uuid d170d91c-9530-44bb-baf9-2653e7f12f44)
)
(wire (pts (xy 205.74 35.56) (xy 205.74 38.1))
(stroke (width 0) (type default))
(uuid d206cfb2-bdf5-4928-bea3-bb15eaa088c8)
)
(wire (pts (xy 134.62 76.2) (xy 140.97 76.2))
(stroke (width 0) (type default))
(uuid d22bf47e-70c2-41fa-9df5-dd985bcfe535)
)
(wire (pts (xy 148.59 69.85) (xy 149.86 72.39))
(stroke (width 0) (type default))
(uuid d36eb37f-51dd-4432-b5ed-86b5cfbc6733)
)
(wire (pts (xy 119.38 72.39) (xy 125.73 72.39))
(stroke (width 0) (type default))
(uuid d452a8f5-02a6-41e2-a8e1-988aab6ff392)
)
(wire (pts (xy 163.83 73.66) (xy 157.48 73.66))
(stroke (width 0) (type default))
(uuid d46289e2-b276-4b2c-9a6f-65ec9e5139ff)
)
(wire (pts (xy 95.25 39.37) (xy 92.71 39.37))
(stroke (width 0) (type default))
(uuid d4c24c93-5aa5-4471-8b62-58fd799373fc)
)
(wire (pts (xy 158.75 87.63) (xy 160.02 85.09))
(stroke (width 0) (type default))
(uuid d4c7c7ed-94fb-4aeb-908d-14443de0d3b3)
)
(wire (pts (xy 203.2 76.2) (xy 209.55 76.2))
(stroke (width 0) (type default))
(uuid d516aba3-c1db-47a8-a2fc-2abb543f80bd)
)
(wire (pts (xy 187.96 64.77) (xy 194.31 64.77))
(stroke (width 0) (type default))
(uuid d542a3b7-2be1-4ca3-aa1d-63cc15a0abea)
)
(wire (pts (xy 102.87 72.39) (xy 104.14 69.85))
(stroke (width 0) (type default))
(uuid d58dd542-812c-44a0-8dc9-6879acd900bd)
)
(wire (pts (xy 209.55 69.85) (xy 203.2 69.85))
(stroke (width 0) (type default))
(uuid d597f6b0-c5e8-43c9-956c-d5f3df686856)
)
(wire (pts (xy 92.71 80.01) (xy 104.14 80.01))
(stroke (width 0) (type default))
(uuid d59bc0a4-8153-45d6-bcaf-7df420327b21)
)
(wire (pts (xy 172.72 76.2) (xy 179.07 76.2))
(stroke (width 0) (type default))
(uuid d6665e6f-12b7-42f5-ad40-3aac59953089)
)
(wire (pts (xy 210.82 46.99) (xy 212.09 49.53))
(stroke (width 0) (type default))
(uuid d76c0b0a-9fa7-444d-99cb-8ce6b6c39f78)
)
(wire (pts (xy 171.45 73.66) (xy 165.1 73.66))
(stroke (width 0) (type default))
(uuid d784c9e5-43e8-47dc-b7ab-edcac149ccb7)
)
(wire (pts (xy 213.36 35.56) (xy 213.36 38.1))
(stroke (width 0) (type default))
(uuid d7f2a012-325c-4c67-87aa-41747da2bb82)
)
(wire (pts (xy 133.35 62.23) (xy 127 62.23))
(stroke (width 0) (type default))
(uuid d800c700-8484-42e4-a10a-314541ac2ec4)
)
(wire (pts (xy 194.31 73.66) (xy 195.58 76.2))
(stroke (width 0) (type default))
(uuid d8094d6b-67df-479f-8159-93866656bc88)
)
(wire (pts (xy 97.79 46.99) (xy 99.06 46.99))
(stroke (width 0) (type default))
(uuid d8b0aab3-6cbb-43f1-bc46-07ad162cd22b)
)
(wire (pts (xy 142.24 64.77) (xy 148.59 64.77))
(stroke (width 0) (type default))
(uuid d8ddd6ce-34b1-4f41-ba13-adb4b8f1c125)
)
(wire (pts (xy 198.12 35.56) (xy 198.12 38.1))
(stroke (width 0) (type default))
(uuid d91823b8-7137-4d56-a895-6c1816f6ef01)
)
(wire (pts (xy 219.71 46.99) (xy 220.98 49.53))
(stroke (width 0) (type default))
(uuid d995079e-dbf8-4067-a71d-fc864ae361ad)
)
(wire (pts (xy 168.91 87.63) (xy 170.18 85.09))
(stroke (width 0) (type default))
(uuid d9b44dab-5b7b-4dab-96d0-29d8309b1d67)
)
(wire (pts (xy 140.97 38.1) (xy 140.97 35.56))
(stroke (width 0) (type default))
(uuid da12b2fd-d94d-4bba-a678-830f166c1782)
)
(wire (pts (xy 201.93 72.39) (xy 203.2 69.85))
(stroke (width 0) (type default))
(uuid da57d765-2ed0-4429-869a-694210c7c866)
)
(wire (pts (xy 148.59 39.37) (xy 149.86 41.91))
(stroke (width 0) (type default))
(uuid dad187dc-f41f-4c55-aa27-4c0f7ab52280)
)
(wire (pts (xy 151.13 49.53) (xy 152.4 46.99))
(stroke (width 0) (type default))
(uuid db2c07ef-0c10-4a2f-b326-dd73da8097be)
)
(wire (pts (xy 118.11 39.37) (xy 111.76 39.37))
(stroke (width 0) (type default))
(uuid db5f6a5a-9e99-4039-8d6d-5a2ef2e074d6)
)
(wire (pts (xy 125.73 39.37) (xy 119.38 39.37))
(stroke (width 0) (type default))
(uuid db7dade5-4c2d-4cc3-af7f-6ae1f69ad197)
)
(wire (pts (xy 204.47 46.99) (xy 205.74 46.99))
(stroke (width 0) (type default))
(uuid dbd5114d-b86b-4c4a-9d61-f4b0af298bbc)
)
(wire (pts (xy 106.68 35.56) (xy 106.68 38.1))
(stroke (width 0) (type default))
(uuid dbe10385-5125-4a37-b4be-cc1a61948f68)
)
(wire (pts (xy 120.65 49.53) (xy 121.92 46.99))
(stroke (width 0) (type default))
(uuid dbeceda6-ec93-477b-aa5c-d6f98bf8721f)
)
(wire (pts (xy 158.75 49.53) (xy 160.02 46.99))
(stroke (width 0) (type default))
(uuid dc7739a6-f237-4f4d-af65-197e5deebd79)
)
(wire (pts (xy 173.99 85.09) (xy 175.26 87.63))
(stroke (width 0) (type default))
(uuid dcdb6ee3-63c2-4260-99fd-27dad6e47062)
)
(wire (pts (xy 163.83 39.37) (xy 165.1 41.91))
(stroke (width 0) (type default))
(uuid dd0d59de-c7b9-400a-8634-7ab9603666f8)
)
(wire (pts (xy 201.93 38.1) (xy 201.93 35.56))
(stroke (width 0) (type default))
(uuid dd72c000-d1c4-4afa-b092-c8c5b6946183)
)
(wire (pts (xy 195.58 82.55) (xy 196.85 83.82))
(stroke (width 0) (type default))
(uuid de3ae57d-6e09-4fb4-9557-5a3db7cebcc4)
)
(wire (pts (xy 105.41 49.53) (xy 106.68 46.99))
(stroke (width 0) (type default))
(uuid dfc43f8f-6563-4522-99c8-7608bf909c51)
)
(wire (pts (xy 172.72 41.91) (xy 179.07 41.91))
(stroke (width 0) (type default))
(uuid e0180c42-a15b-4309-962c-214ae92b42cc)
)
(wire (pts (xy 187.96 41.91) (xy 194.31 41.91))
(stroke (width 0) (type default))
(uuid e02cef12-2037-41c0-ab33-5a07cdcaabce)
)
(wire (pts (xy 148.59 62.23) (xy 149.86 64.77))
(stroke (width 0) (type default))
(uuid e02fc5de-a53d-4f0f-9f28-06e7d83f750d)
)
(wire (pts (xy 152.4 38.1) (xy 156.21 38.1))
(stroke (width 0) (type default))
(uuid e0579f06-281c-459c-9042-30018ae49112)
)
(wire (pts (xy 177.8 85.09) (xy 179.07 87.63))
(stroke (width 0) (type default))
(uuid e11e80a8-1b44-4b9c-a4a8-029ce8e3f0f6)
)
(wire (pts (xy 210.82 49.53) (xy 212.09 49.53))
(stroke (width 0) (type default))
(uuid e1648125-c15a-4a62-b1e6-77c1d14f6429)
)
(wire (pts (xy 171.45 35.56) (xy 175.26 35.56))
(stroke (width 0) (type default))
(uuid e16944c6-91e6-4db1-95a9-853839af8891)
)
(wire (pts (xy 154.94 46.99) (xy 156.21 49.53))
(stroke (width 0) (type default))
(uuid e17521bd-6561-4b2c-969b-e607bc9ff6e2)
)
(wire (pts (xy 170.18 87.63) (xy 171.45 85.09))
(stroke (width 0) (type default))
(uuid e18615f0-61b2-422f-8dba-3d2c568bcd1e)
)
(wire (pts (xy 172.72 46.99) (xy 173.99 49.53))
(stroke (width 0) (type default))
(uuid e1f32bd5-7c4f-4888-9bb0-e8602f271b6f)
)
(wire (pts (xy 156.21 39.37) (xy 157.48 41.91))
(stroke (width 0) (type default))
(uuid e2553600-bde3-4cf2-9012-6cd98dd667a8)
)
(wire (pts (xy 209.55 38.1) (xy 209.55 35.56))
(stroke (width 0) (type default))
(uuid e2f8dfe9-14f0-4d4e-ac45-694450701661)
)
(wire (pts (xy 194.31 39.37) (xy 195.58 41.91))
(stroke (width 0) (type default))
(uuid e30ff9f7-c555-4895-bd49-ea051f0061e4)
)
(wire (pts (xy 201.93 73.66) (xy 195.58 73.66))
(stroke (width 0) (type default))
(uuid e3937a97-b653-4705-bf56-9b7ac7f2fd9f)
)
(wire (pts (xy 182.88 38.1) (xy 186.69 38.1))
(stroke (width 0) (type default))
(uuid e504b60f-3da4-4912-b9cc-46ba195de82a)
)
(wire (pts (xy 118.11 38.1) (xy 118.11 35.56))
(stroke (width 0) (type default))
(uuid e5913d21-4d6e-43a9-b165-6c9bca640ddc)
)
(wire (pts (xy 156.21 76.2) (xy 157.48 73.66))
(stroke (width 0) (type default))
(uuid e5aaa0a1-09ba-47c3-994f-5caeb60e49ed)
)
(wire (pts (xy 118.11 69.85) (xy 119.38 72.39))
(stroke (width 0) (type default))
(uuid e65369f7-b103-4af1-a753-bc2b32122e9f)
)
(wire (pts (xy 163.83 64.77) (xy 165.1 62.23))
(stroke (width 0) (type default))
(uuid e67a38d5-2403-425f-9a5e-d7dc43c619b4)
)
(wire (pts (xy 96.52 41.91) (xy 102.87 41.91))
(stroke (width 0) (type default))
(uuid e68a5ee2-e716-4d8f-a78a-91a296a72e6e)
)
(wire (pts (xy 171.45 76.2) (xy 172.72 73.66))
(stroke (width 0) (type default))
(uuid e7577833-66b4-4ef6-b7b3-208d333689d9)
)
(wire (pts (xy 200.66 81.28) (xy 201.93 83.82))
(stroke (width 0) (type default))
(uuid e7a505b3-4e81-4c5f-9adb-c7afb5fdd5d9)
)
(wire (pts (xy 153.67 46.99) (xy 154.94 49.53))
(stroke (width 0) (type default))
(uuid e7ef2bda-d17c-49aa-8c9b-be0d4316997d)
)
(wire (pts (xy 195.58 64.77) (xy 201.93 64.77))
(stroke (width 0) (type default))
(uuid e8d8c09c-7439-40d2-a389-b0141a24fa8b)
)
(wire (pts (xy 218.44 46.99) (xy 219.71 49.53))
(stroke (width 0) (type default))
(uuid e98e77b2-bdfb-40dc-9efc-a2f3625a5841)
)
(wire (pts (xy 218.44 49.53) (xy 219.71 49.53))
(stroke (width 0) (type default))
(uuid ea8aa853-82d8-4ead-841e-8b47d56a7a9f)
)
(wire (pts (xy 194.31 62.23) (xy 195.58 64.77))
(stroke (width 0) (type default))
(uuid eb4cd472-1b71-47f2-aabc-43bbc342877e)
)
(wire (pts (xy 203.2 46.99) (xy 204.47 49.53))
(stroke (width 0) (type default))
(uuid ebcf5b4a-5e91-4c13-9739-4a77b6b4bac8)
)
(wire (pts (xy 157.48 43.18) (xy 209.55 43.18))
(stroke (width 0) (type default))
(uuid ebe2d156-3e60-4353-acef-6ecab8781109)
)
(wire (pts (xy 203.2 72.39) (xy 209.55 72.39))
(stroke (width 0) (type default))
(uuid ec7c2c89-7483-42c7-8555-1ba64ec6ed55)
)
(wire (pts (xy 208.28 49.53) (xy 209.55 46.99))
(stroke (width 0) (type default))
(uuid ec8fa376-0d15-46f7-9f18-c59f59b5bd4f)
)
(wire (pts (xy 166.37 49.53) (xy 167.64 46.99))
(stroke (width 0) (type default))
(uuid ecd772f4-cbfb-4312-b27a-2237e6291ae5)
)
(wire (pts (xy 121.92 46.99) (xy 149.86 46.99))
(stroke (width 0) (type default))
(uuid ed8ccc3d-e964-4d8a-979e-7f9595e41e08)
)
(wire (pts (xy 160.02 38.1) (xy 163.83 38.1))
(stroke (width 0) (type default))
(uuid ee29bc9f-7a31-4575-b31c-b7251b38dd32)
)
(wire (pts (xy 125.73 69.85) (xy 119.38 69.85))
(stroke (width 0) (type default))
(uuid ef9bef6f-b512-40b9-9339-620939e28656)
)
(wire (pts (xy 92.71 81.28) (xy 104.14 81.28))
(stroke (width 0) (type default))
(uuid f0978473-2eab-4887-9210-82417d94916d)
)
(wire (pts (xy 142.24 72.39) (xy 148.59 72.39))
(stroke (width 0) (type default))
(uuid f1a5490d-99d9-44e6-8c81-ac00bec67d7f)
)
(wire (pts (xy 95.25 62.23) (xy 96.52 64.77))
(stroke (width 0) (type default))
(uuid f1d80ecf-8767-45d5-98b3-cfa1a2bd8476)
)
(wire (pts (xy 163.83 39.37) (xy 157.48 39.37))
(stroke (width 0) (type default))
(uuid f1e6348f-b1de-4e06-883d-a24f2ad410f9)
)
(wire (pts (xy 119.38 49.53) (xy 120.65 49.53))
(stroke (width 0) (type default))
(uuid f36d045c-207d-4334-9d83-a50f574c75f6)
)
(wire (pts (xy 173.99 49.53) (xy 175.26 46.99))
(stroke (width 0) (type default))
(uuid f3766c9e-e98e-450c-80db-c155ac9ff040)
)
(wire (pts (xy 171.45 69.85) (xy 172.72 72.39))
(stroke (width 0) (type default))
(uuid f386a6a1-3207-4af8-8784-9ba3b876345a)
)
(wire (pts (xy 100.33 49.53) (xy 101.6 49.53))
(stroke (width 0) (type default))
(uuid f3f31bf3-fdc7-49e5-8200-856a36612c77)
)
(wire (pts (xy 168.91 46.99) (xy 170.18 49.53))
(stroke (width 0) (type default))
(uuid f44c0de2-b200-4057-94eb-1cfc63cef469)
)
(wire (pts (xy 171.45 39.37) (xy 165.1 39.37))
(stroke (width 0) (type default))
(uuid f45fd666-e59f-4c6d-b308-cab809f236bc)
)
(wire (pts (xy 100.33 49.53) (xy 101.6 46.99))
(stroke (width 0) (type default))
(uuid f480b7e4-c4f7-4dac-a0f4-a2c56cca4d77)
)
(wire (pts (xy 157.48 64.77) (xy 163.83 64.77))
(stroke (width 0) (type default))
(uuid f4fb57bd-cd31-48f4-b671-3b1e1b678d66)
)
(wire (pts (xy 149.86 41.91) (xy 156.21 41.91))
(stroke (width 0) (type default))
(uuid f53d52a4-9de1-4333-800a-c07800201c1d)
)
(wire (pts (xy 149.86 53.34) (xy 156.21 53.34))
(stroke (width 0) (type default))
(uuid f5a98ee5-a3d2-4525-b2fe-dde0456171a3)
)
(wire (pts (xy 129.54 35.56) (xy 129.54 38.1))
(stroke (width 0) (type default))
(uuid f5ae9999-66ac-4f08-b58a-4fc2cdfffef9)
)
(wire (pts (xy 217.17 76.2) (xy 218.44 73.66))
(stroke (width 0) (type default))
(uuid f5affccc-cc7e-4ec3-9f79-f7729920811a)
)
(wire (pts (xy 165.1 46.99) (xy 166.37 49.53))
(stroke (width 0) (type default))
(uuid f6682cf2-72a5-4ed7-93e7-7f9876b79e78)
)
(wire (pts (xy 180.34 85.09) (xy 210.82 85.09))
(stroke (width 0) (type default))
(uuid f6a86e3b-cfbd-4a0a-bafa-395a70839d82)
)
(wire (pts (xy 220.98 46.99) (xy 222.25 49.53))
(stroke (width 0) (type default))
(uuid f6e6da24-08ea-41b2-9686-ccb65b54fccc)
)
(wire (pts (xy 163.83 87.63) (xy 165.1 85.09))
(stroke (width 0) (type default))
(uuid f73a7814-08ff-4b7b-bac3-d57e298bce4a)
)
(wire (pts (xy 149.86 76.2) (xy 156.21 76.2))
(stroke (width 0) (type default))
(uuid f8f33228-13cf-44ff-a417-39ec7e9aaace)
)
(wire (pts (xy 102.87 35.56) (xy 106.68 35.56))
(stroke (width 0) (type default))
(uuid f91f07b7-7c74-4795-91fc-35e036268887)
)
(wire (pts (xy 209.55 49.53) (xy 210.82 49.53))
(stroke (width 0) (type default))
(uuid f9de4c91-f884-431c-ad44-0f4c94b45ce8)
)
(wire (pts (xy 209.55 64.77) (xy 210.82 62.23))
(stroke (width 0) (type default))
(uuid fa17d527-2ade-4749-b3a1-339c20aa1036)
)
(wire (pts (xy 121.92 38.1) (xy 125.73 38.1))
(stroke (width 0) (type default))
(uuid fb037e88-10b4-4e8b-9a8f-315eabb7c081)
)
(wire (pts (xy 157.48 46.99) (xy 158.75 46.99))
(stroke (width 0) (type default))
(uuid fb56b711-c5d4-42c9-b52d-f0f68df147e3)
)
(wire (pts (xy 119.38 66.04) (xy 118.11 68.58))
(stroke (width 0) (type default))
(uuid fb98dc75-eef1-4a9a-8af2-b72bb23ad3c0)
)
(wire (pts (xy 158.75 46.99) (xy 160.02 49.53))
(stroke (width 0) (type default))
(uuid fbddc0f5-83f9-4110-bd12-cd6a686ca3ce)
)
(wire (pts (xy 95.25 64.77) (xy 96.52 62.23))
(stroke (width 0) (type default))
(uuid fc451938-eaee-406b-a809-0d3b580f07ea)
)
(wire (pts (xy 194.31 62.23) (xy 187.96 62.23))
(stroke (width 0) (type default))
(uuid fd201649-89d6-48a8-8dad-b244300556c7)
)
(wire (pts (xy 133.35 62.23) (xy 134.62 64.77))
(stroke (width 0) (type default))
(uuid fe596e88-d78b-4453-8cf1-94fee001f94b)
)
(wire (pts (xy 210.82 77.47) (xy 212.09 78.74))
(stroke (width 0) (type default))
(uuid ff89c661-09b0-454a-9432-5c3bfa673fd2)
)
(wire (pts (xy 161.29 87.63) (xy 162.56 85.09))
(stroke (width 0) (type default))
(uuid ff8e22e1-9eea-4d79-9545-a8eaa45cb5d8)
)
(wire (pts (xy 220.98 49.53) (xy 222.25 46.99))
(stroke (width 0) (type default))
(uuid ffaabe06-eddc-49cf-b582-51f900157dfa)
)
(text "VD[7:0]" (at 91.44 80.01 0)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 01186ff6-cb2f-4740-bb72-545bec08846f)
)
(text "NOP" (at 189.23 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 04d7556a-2429-4685-907b-ade78b89ce5b)
)
(text "State" (at 91.44 41.91 0)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 05a0c8eb-1264-4c83-9ce1-8bdf7fecd746)
)
(text "CKE (read/write)" (at 91.44 68.58 0)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 05fc8abb-8c33-443a-8711-0fbb49bb4d9d)
)
(text "NOP" (at 105.41 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 0ec50beb-b829-4cca-96d0-b7da865cf3ea)
)
(text "AREF" (at 158.75 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 0f197551-0df6-4a7c-976d-8b5a283e8cc3)
)
(text "NOP" (at 113.03 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 0fa1cde4-4b8f-438d-b964-5cffd010504d)
)
(text "S1" (at 114.3 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 115877a0-7625-4c1c-b7d0-e74e8b3439f9)
)
(text "NOP" (at 212.09 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 11b3946d-3814-4c2c-a7b2-a1572e4d556d)
)
(text "NOP" (at 97.79 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 120c44f6-709b-40ac-bb98-d3fdf6b954d8)
)
(text "SD" (at 99.06 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 14da8585-c3a7-4405-865c-0ba47f6adbe1)
)
(text "CMD (read)" (at 91.44 72.39 0)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 17bb2e17-96e7-4dfc-88d6-cdce5ad840c3)
)
(text "NOP" (at 166.37 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 1886d9a2-1530-432a-b2b6-fdcac1e9eec7)
)
(text "6502 CPU Access" (at 167.64 30.48 0)
(effects (font (size 2.54 2.54)) (justify left bottom))
(uuid 245f278c-a2d9-4f19-a4f5-93ad49569b32)
)
(text "RD+AP" (at 180.34 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 25d38d8f-6a46-4fab-9c12-e3e34f3ad8e0)
)
(text "Information may be out of date. See ./cpld/RAM2E.v"
(at 105.41 93.98 0)
(effects (font (size 2.54 2.54)) (justify left bottom))
(uuid 2654c2c8-d8dd-4928-923c-a8d14b3fcf9b)
)
(text "S6" (at 152.4 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 29d49167-1bf7-488e-bfae-67d891096606)
)
(text "NOP" (at 105.41 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 31b43f2a-9b9d-4d85-8005-ff818068a5d6)
)
(text "NOP" (at 218.44 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 325f1c64-6555-4164-993f-d78c47fe1c9c)
)
(text "RD+AP" (at 134.62 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 3396863a-6c5a-4fe7-922c-48227ad41e52)
)
(text "NOP" (at 143.51 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 3451ffb4-8268-4fd8-ad06-1829bf8a68cd)
)
(text "S1" (at 219.71 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 35067423-8954-42a6-a454-4f081ee79047)
)
(text "ACT" (at 128.27 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 35f5f13e-0260-44a9-a029-1c7ae76b395c)
)
(text "NOP" (at 166.37 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 3811c971-ec1f-4f2a-9156-62780187560a)
)
(text "CMD (idle)" (at 91.44 64.77 0)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 3c831fd7-1476-498e-b0ed-04af7a3985a4)
)
(text "NOP" (at 212.09 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 3cb7ce25-9e1e-41a1-90f8-97e11e45a5ac)
)
(text "NOP" (at 218.44 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 41b7604a-b7b2-4a14-9d04-d2aea577954f)
)
(text "NOP" (at 189.23 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 43634c70-566c-48c7-810a-85d1b5e92532)
)
(text "PHI0" (at 91.44 45.72 0)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 4b59d98f-f381-4283-afdd-114027a1a910)
)
(text "C14M" (at 91.44 38.1 0)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 4cc214ad-c490-4b52-8775-1a9d5be88dc7)
)
(text "CMD (write)" (at 91.44 76.2 0)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 4ea18cf7-bcda-4b36-b667-bf672f198fb8)
)
(text "S2" (at 121.92 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 5149e12c-b1e9-4ecf-8e71-e74bf7ab197c)
)
(text "S7" (at 160.02 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 5365277c-9ab2-46ee-8b51-4bd61ceae129)
)
(text "RD+AP" (at 134.62 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 55248210-d36a-4a05-b43a-bcb7809fed7d)
)
(text "S4" (at 137.16 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 555b2f20-335e-4ba5-b1a6-d7ed0361021b)
)
(text "SA" (at 182.88 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 582c30db-1882-4c6c-a48a-224dea5f432d)
)
(text "Video Access" (at 116.84 30.48 0)
(effects (font (size 2.6416 2.6416)) (justify left bottom))
(uuid 585d51eb-b4bb-4816-a3c8-042451aeb678)
)
(text "RD+AP" (at 134.62 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 5c2d18f3-76ee-4632-85d1-94f96259da0d)
)
(text "NOP" (at 97.79 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 5c9ba8e0-88c3-4991-aac9-2b6e5fd14ac9)
)
(text "S9" (at 175.26 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 5df945b1-9e9b-4acb-b568-009c2eb968fd)
)
(text "NOP" (at 212.09 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 5f41df4c-dad2-4420-8d1a-d70307df5283)
)
(text "S5" (at 144.78 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 6857eb9a-18e5-4be5-9fac-795344d0074f)
)
(text "SB" (at 190.5 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 69241d22-7ce8-49ba-8165-7e19b9e2d171)
)
(text "NOP" (at 204.47 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 6aed12ae-0d35-44f2-9993-b97d2526d42e)
)
(text "NOP" (at 204.47 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 6c37292c-e32c-4a68-a911-ea99c539de6c)
)
(text "NOP" (at 97.79 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 75863c88-b355-457f-b1d2-9f83cc7190fa)
)
(text "NOP" (at 113.03 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 75dfa910-c764-4275-8b60-be33faf56102)
)
(text "ACT" (at 128.27 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 76c0e26a-73cf-47c9-9444-9790cd2aa4b4)
)
(text "NOP" (at 181.61 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 7b292f0a-f3bf-4889-8cc8-87fe6bc6b790)
)
(text "CKE (idle)" (at 91.44 60.96 0)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 7f775426-b729-4f76-b807-4ccd32dfb7d2)
)
(text "SD" (at 205.74 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 8398338a-47a7-44c4-b618-1366b393a3a6)
)
(text "NOP" (at 189.23 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 841196c5-2d93-474a-bc8d-216eb982b0fa)
)
(text "NOP" (at 105.41 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 8af241a8-e6e2-4bf7-9dcb-4ad6c91c9c96)
)
(text "NOP" (at 218.44 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 8c2d2651-574b-4e0f-9c1f-61a94a1127ec)
)
(text "NOP" (at 151.13 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 8f648bf4-771b-45b6-81b3-94a287f1b0e5)
)
(text "SC" (at 198.12 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 91314649-6c03-4c37-a38e-2f82fdbffc68)
)
(text "ACT" (at 173.99 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 9626fb50-a252-4038-9b9c-2a1241d47897)
)
(text "SE" (at 106.68 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 99ff5953-d59f-406f-b665-838dc632ec5c)
)
(text "NOP" (at 151.13 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid 9d39dff3-a926-4a62-92e8-e1dbf6d804e2)
)
(text "SE" (at 213.36 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid a97cd8af-5f93-4edc-b460-5cb012bee3a5)
)
(text "NOP" (at 196.85 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid aa0f3dd2-9908-447e-af8c-a7414e94794d)
)
(text "NOP" (at 120.65 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid aae3b7b6-7476-4daf-a5ed-4450443edcfd)
)
(text "DQMH" (at 91.44 57.15 0)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid b32ba571-f3d7-441b-8ea9-e7f8de9a476c)
)
(text "NOP" (at 113.03 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid b380a084-32d0-4de1-a1c6-ae4e521935e0)
)
(text "ACT" (at 173.99 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid b57b53fd-0287-49fb-a2d9-607b37747c26)
)
(text "80-col video data" (at 175.26 80.01 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid b5f35b51-7718-4cd9-9664-3342a85e58aa)
)
(text "S3" (at 129.54 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid b70c02fe-268d-459b-96e1-61b442ab6ebf)
)
(text "NOP" (at 166.37 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid b7319a50-71c8-4617-8edd-79b157a8f500)
)
(text "NOP" (at 196.85 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid b88ee49a-45b7-4a98-8277-7ff2c60045b7)
)
(text "MD[7:0] (read)" (at 91.44 83.82 0)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid b897b74c-d669-4171-878d-075ef3d61bb7)
)
(text "S8" (at 167.64 41.91 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid bb4f5892-3e8c-4192-8d15-d34530983967)
)
(text "write data" (at 187.96 87.63 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid d25f7baf-b94d-4e09-9509-4bf115f54177)
)
(text "AREF" (at 158.75 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid d2960829-7e8e-4b5e-bd0d-ec59259d9929)
)
(text "NOP" (at 151.13 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid d473f388-016f-4e6b-9f2a-4cfdcdf50473)
)
(text "AREF" (at 158.75 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid d61a1fd6-d1d6-40f9-b3dc-c015d6ec5cb0)
)
(text "NOP" (at 120.65 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid dc700fe7-d838-4a5b-9351-b0803cb1780a)
)
(text "NOP" (at 196.85 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid e0ad6f84-8d68-4e84-a573-3905133de025)
)
(text "NOP" (at 143.51 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid e7ba2b06-b14a-4fce-a421-f54987861121)
)
(text "MD[7:0] (write)" (at 91.44 87.63 0)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid e9546734-6ef7-49e8-848b-7dfe74932fbc)
)
(text "NOP" (at 173.99 64.77 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid eadf3f2f-38af-426e-9177-f28dde677125)
)
(text "NOP" (at 143.51 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid eb1e1ea2-2d12-43bb-a650-4d10c8315f76)
)
(text "ACT" (at 128.27 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid eb341db4-ee1f-4d82-be6a-55e60e6c43a3)
)
(text "RA[7:0]" (at 91.44 49.53 0)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid ec0cc91c-ef1f-4685-b090-3f1d6e2e7cbf)
)
(text "DQML" (at 91.44 53.34 0)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid edd4d330-0b4e-4596-b4ea-71acd1bd8550)
)
(text "NOP" (at 204.47 72.39 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid ee031c4a-c766-4432-b1cd-71b3237045f7)
)
(text "read data" (at 201.93 83.82 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f59b8cd2-9881-495b-8400-de0c68866fb2)
)
(text "WR+AP" (at 180.34 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid f7555a8b-aa47-45fd-9fcb-19f1d1bd3ddb)
)
(text "NOP" (at 120.65 76.2 0)
(effects (font (size 1.016 1.016)) (justify left bottom))
(uuid fd719450-07fc-47e6-b8cb-39281ced23ee)
)
)