RAM2E/cpld/simulation/modelsim/RAM2E.sft
2020-07-25 04:36:59 -04:00

2 lines
42 B
Plaintext
Executable File

set tool_name "ModelSim-Altera (Verilog)"