RAM2E/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.edi
Zane Kaminski dec33238f1 RC
2023-09-21 05:45:21 -04:00

4581 lines
212 KiB
Plaintext

(edif RAM2E
(edifVersion 2 0 0)
(edifLevel 0)
(keywordMap (keywordLevel 0))
(status
(written
(timeStamp 2023 9 21 5 34 43)
(author "Synopsys, Inc.")
(program "Synplify Pro" (version "R-2021.03L-SP1, mapper map202103lat, Build 070R"))
)
)
(library LUCENT
(edifLevel 0)
(technology (numberDefinition ))
(cell CCU2D (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port A0 (direction INPUT))
(port B0 (direction INPUT))
(port C0 (direction INPUT))
(port D0 (direction INPUT))
(port A1 (direction INPUT))
(port B1 (direction INPUT))
(port C1 (direction INPUT))
(port D1 (direction INPUT))
(port CIN (direction INPUT))
(port COUT (direction OUTPUT))
(port S0 (direction OUTPUT))
(port S1 (direction OUTPUT))
)
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0000"))
(property INIT0 (string "0000"))
)
)
(cell BB (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port B (direction INOUT))
(port I (direction INPUT))
(port T (direction INPUT))
(port O (direction OUTPUT))
)
)
)
(cell OB (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port I (direction INPUT))
(port O (direction OUTPUT))
)
)
)
(cell IB (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port I (direction INPUT))
(port O (direction OUTPUT))
)
)
)
(cell FD1S3IX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port CK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell FD1S3AX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port CK (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell FD1P3IX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port CK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell OFS1P3IX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port SCLK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell OFS1P3DX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port SCLK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell IFS1P3DX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port SCLK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell OFS1P3BX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port SCLK (direction INPUT))
(port PD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell FD1P3AX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port CK (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell ORCALUT4 (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port A (direction INPUT))
(port B (direction INPUT))
(port C (direction INPUT))
(port D (direction INPUT))
(port Z (direction OUTPUT))
)
)
)
(cell GSR (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port GSR (direction INPUT))
)
)
)
(cell INV (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port A (direction INPUT))
(port Z (direction OUTPUT))
)
)
)
(cell VHI (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port Z (direction OUTPUT))
)
)
)
(cell VLO (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port Z (direction OUTPUT))
)
)
)
)
(library work
(edifLevel 0)
(technology (numberDefinition ))
(cell EFB (cellType GENERIC)
(view verilog (viewType NETLIST)
(interface
(port WBCLKI (direction INPUT))
(port WBRSTI (direction INPUT))
(port WBCYCI (direction INPUT))
(port WBSTBI (direction INPUT))
(port WBWEI (direction INPUT))
(port WBADRI7 (direction INPUT))
(port WBADRI6 (direction INPUT))
(port WBADRI5 (direction INPUT))
(port WBADRI4 (direction INPUT))
(port WBADRI3 (direction INPUT))
(port WBADRI2 (direction INPUT))
(port WBADRI1 (direction INPUT))
(port WBADRI0 (direction INPUT))
(port WBDATI7 (direction INPUT))
(port WBDATI6 (direction INPUT))
(port WBDATI5 (direction INPUT))
(port WBDATI4 (direction INPUT))
(port WBDATI3 (direction INPUT))
(port WBDATI2 (direction INPUT))
(port WBDATI1 (direction INPUT))
(port WBDATI0 (direction INPUT))
(port PLL0DATI7 (direction INPUT))
(port PLL0DATI6 (direction INPUT))
(port PLL0DATI5 (direction INPUT))
(port PLL0DATI4 (direction INPUT))
(port PLL0DATI3 (direction INPUT))
(port PLL0DATI2 (direction INPUT))
(port PLL0DATI1 (direction INPUT))
(port PLL0DATI0 (direction INPUT))
(port PLL0ACKI (direction INPUT))
(port PLL1DATI7 (direction INPUT))
(port PLL1DATI6 (direction INPUT))
(port PLL1DATI5 (direction INPUT))
(port PLL1DATI4 (direction INPUT))
(port PLL1DATI3 (direction INPUT))
(port PLL1DATI2 (direction INPUT))
(port PLL1DATI1 (direction INPUT))
(port PLL1DATI0 (direction INPUT))
(port PLL1ACKI (direction INPUT))
(port I2C1SCLI (direction INPUT))
(port I2C1SDAI (direction INPUT))
(port I2C2SCLI (direction INPUT))
(port I2C2SDAI (direction INPUT))
(port SPISCKI (direction INPUT))
(port SPIMISOI (direction INPUT))
(port SPIMOSII (direction INPUT))
(port SPISCSN (direction INPUT))
(port TCCLKI (direction INPUT))
(port TCRSTN (direction INPUT))
(port TCIC (direction INPUT))
(port UFMSN (direction INPUT))
(port WBDATO7 (direction OUTPUT))
(port WBDATO6 (direction OUTPUT))
(port WBDATO5 (direction OUTPUT))
(port WBDATO4 (direction OUTPUT))
(port WBDATO3 (direction OUTPUT))
(port WBDATO2 (direction OUTPUT))
(port WBDATO1 (direction OUTPUT))
(port WBDATO0 (direction OUTPUT))
(port WBACKO (direction OUTPUT))
(port PLLCLKO (direction OUTPUT))
(port PLLRSTO (direction OUTPUT))
(port PLL0STBO (direction OUTPUT))
(port PLL1STBO (direction OUTPUT))
(port PLLWEO (direction OUTPUT))
(port PLLADRO4 (direction OUTPUT))
(port PLLADRO3 (direction OUTPUT))
(port PLLADRO2 (direction OUTPUT))
(port PLLADRO1 (direction OUTPUT))
(port PLLADRO0 (direction OUTPUT))
(port PLLDATO7 (direction OUTPUT))
(port PLLDATO6 (direction OUTPUT))
(port PLLDATO5 (direction OUTPUT))
(port PLLDATO4 (direction OUTPUT))
(port PLLDATO3 (direction OUTPUT))
(port PLLDATO2 (direction OUTPUT))
(port PLLDATO1 (direction OUTPUT))
(port PLLDATO0 (direction OUTPUT))
(port I2C1SCLO (direction OUTPUT))
(port I2C1SCLOEN (direction OUTPUT))
(port I2C1SDAO (direction OUTPUT))
(port I2C1SDAOEN (direction OUTPUT))
(port I2C2SCLO (direction OUTPUT))
(port I2C2SCLOEN (direction OUTPUT))
(port I2C2SDAO (direction OUTPUT))
(port I2C2SDAOEN (direction OUTPUT))
(port I2C1IRQO (direction OUTPUT))
(port I2C2IRQO (direction OUTPUT))
(port SPISCKO (direction OUTPUT))
(port SPISCKEN (direction OUTPUT))
(port SPIMISOO (direction OUTPUT))
(port SPIMISOEN (direction OUTPUT))
(port SPIMOSIO (direction OUTPUT))
(port SPIMOSIEN (direction OUTPUT))
(port SPIMCSN0 (direction OUTPUT))
(port SPIMCSN1 (direction OUTPUT))
(port SPIMCSN2 (direction OUTPUT))
(port SPIMCSN3 (direction OUTPUT))
(port SPIMCSN4 (direction OUTPUT))
(port SPIMCSN5 (direction OUTPUT))
(port SPIMCSN6 (direction OUTPUT))
(port SPIMCSN7 (direction OUTPUT))
(port SPICSNEN (direction OUTPUT))
(port SPIIRQO (direction OUTPUT))
(port TCINT (direction OUTPUT))
(port TCOC (direction OUTPUT))
(port WBCUFMIRQ (direction OUTPUT))
(port CFGWAKE (direction OUTPUT))
(port CFGSTDBY (direction OUTPUT))
)
(property TC_ICAPTURE (string "DISABLED"))
(property TC_OVERFLOW (string "DISABLED"))
(property TC_ICR_INT (string "OFF"))
(property TC_OCR_INT (string "OFF"))
(property TC_OV_INT (string "OFF"))
(property TC_TOP_SEL (string "OFF"))
(property TC_RESETN (string "ENABLED"))
(property TC_OC_MODE (string "TOGGLE"))
(property TC_OCR_SET (integer 32767))
(property TC_TOP_SET (integer 65535))
(property GSR (string "ENABLED"))
(property TC_CCLK_SEL (integer 1))
(property TC_SCLK_SEL (string "PCLOCK"))
(property TC_MODE (string "CTCM"))
(property SPI_WAKEUP (string "DISABLED"))
(property SPI_INTR_RXOVR (string "DISABLED"))
(property SPI_INTR_TXOVR (string "DISABLED"))
(property SPI_INTR_RXRDY (string "DISABLED"))
(property SPI_INTR_TXRDY (string "DISABLED"))
(property SPI_SLAVE_HANDSHAKE (string "DISABLED"))
(property SPI_PHASE_ADJ (string "DISABLED"))
(property SPI_CLK_INV (string "DISABLED"))
(property SPI_LSB_FIRST (string "DISABLED"))
(property SPI_CLK_DIVIDER (integer 1))
(property SPI_MODE (string "MASTER"))
(property I2C2_WAKEUP (string "DISABLED"))
(property I2C1_WAKEUP (string "DISABLED"))
(property I2C2_GEN_CALL (string "DISABLED"))
(property I2C1_GEN_CALL (string "DISABLED"))
(property I2C2_CLK_DIVIDER (integer 1))
(property I2C1_CLK_DIVIDER (integer 1))
(property I2C2_BUS_PERF (string "100kHz"))
(property I2C1_BUS_PERF (string "100kHz"))
(property I2C2_SLAVE_ADDR (string "0b1000010"))
(property I2C1_SLAVE_ADDR (string "0b1000001"))
(property I2C2_ADDRESSING (string "7BIT"))
(property I2C1_ADDRESSING (string "7BIT"))
(property UFM_INIT_FILE_FORMAT (string "HEX"))
(property UFM_INIT_FILE_NAME (string "../RAM2E-LCMXO2.mem"))
(property UFM_INIT_ALL_ZEROS (string "DISABLED"))
(property UFM_INIT_START_PAGE (integer 190))
(property UFM_INIT_PAGES (integer 1))
(property DEV_DENSITY (string "640L"))
(property EFB_WB_CLK_FREQ (string "14.4"))
(property EFB_UFM (string "ENABLED"))
(property EFB_TC_PORTMODE (string "WB"))
(property EFB_TC (string "DISABLED"))
(property EFB_SPI (string "DISABLED"))
(property EFB_I2C2 (string "DISABLED"))
(property EFB_I2C1 (string "DISABLED"))
(property orig_inst_of (string "EFB"))
)
)
(cell REFB (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port (array (rename wb_dato "wb_dato[7:0]") 8) (direction OUTPUT))
(port (array (rename wb_dati "wb_dati[7:0]") 8) (direction INPUT))
(port (array (rename wb_adr "wb_adr[7:0]") 8) (direction INPUT))
(port wb_ack (direction OUTPUT))
(port wb_we (direction INPUT))
(port wb_cyc_stb (direction INPUT))
(port wb_rst (direction INPUT))
(port C14M_c (direction INPUT))
)
(contents
(instance EFBInst_0 (viewRef verilog (cellRef EFB))
(property UFM_INIT_FILE_FORMAT (string "HEX"))
(property UFM_INIT_FILE_NAME (string "../RAM2E-LCMXO2.mem"))
(property UFM_INIT_ALL_ZEROS (string "DISABLED"))
(property UFM_INIT_START_PAGE (integer 190))
(property UFM_INIT_PAGES (integer 1))
(property DEV_DENSITY (string "640L"))
(property EFB_UFM (string "ENABLED"))
(property TC_ICAPTURE (string "DISABLED"))
(property TC_OVERFLOW (string "DISABLED"))
(property TC_ICR_INT (string "OFF"))
(property TC_OCR_INT (string "OFF"))
(property TC_OV_INT (string "OFF"))
(property TC_TOP_SEL (string "OFF"))
(property TC_RESETN (string "ENABLED"))
(property TC_OC_MODE (string "TOGGLE"))
(property TC_OCR_SET (integer 32767))
(property TC_TOP_SET (integer 65535))
(property GSR (string "ENABLED"))
(property TC_CCLK_SEL (integer 1))
(property TC_MODE (string "CTCM"))
(property TC_SCLK_SEL (string "PCLOCK"))
(property EFB_TC_PORTMODE (string "WB"))
(property EFB_TC (string "DISABLED"))
(property SPI_WAKEUP (string "DISABLED"))
(property SPI_INTR_RXOVR (string "DISABLED"))
(property SPI_INTR_TXOVR (string "DISABLED"))
(property SPI_INTR_RXRDY (string "DISABLED"))
(property SPI_INTR_TXRDY (string "DISABLED"))
(property SPI_SLAVE_HANDSHAKE (string "DISABLED"))
(property SPI_PHASE_ADJ (string "DISABLED"))
(property SPI_CLK_INV (string "DISABLED"))
(property SPI_LSB_FIRST (string "DISABLED"))
(property SPI_CLK_DIVIDER (integer 1))
(property SPI_MODE (string "MASTER"))
(property EFB_SPI (string "DISABLED"))
(property I2C2_WAKEUP (string "DISABLED"))
(property I2C2_GEN_CALL (string "DISABLED"))
(property I2C2_CLK_DIVIDER (integer 1))
(property I2C2_BUS_PERF (string "100kHz"))
(property I2C2_SLAVE_ADDR (string "0b1000010"))
(property I2C2_ADDRESSING (string "7BIT"))
(property EFB_I2C2 (string "DISABLED"))
(property I2C1_WAKEUP (string "DISABLED"))
(property I2C1_GEN_CALL (string "DISABLED"))
(property I2C1_CLK_DIVIDER (integer 1))
(property I2C1_BUS_PERF (string "100kHz"))
(property I2C1_SLAVE_ADDR (string "0b1000001"))
(property I2C1_ADDRESSING (string "7BIT"))
(property EFB_I2C1 (string "DISABLED"))
(property EFB_WB_CLK_FREQ (string "14.4"))
)
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(net C14M_c (joined
(portRef C14M_c)
(portRef WBCLKI (instanceRef EFBInst_0))
))
(net wb_rst (joined
(portRef wb_rst)
(portRef WBRSTI (instanceRef EFBInst_0))
))
(net wb_cyc_stb (joined
(portRef wb_cyc_stb)
(portRef WBSTBI (instanceRef EFBInst_0))
(portRef WBCYCI (instanceRef EFBInst_0))
))
(net wb_we (joined
(portRef wb_we)
(portRef WBWEI (instanceRef EFBInst_0))
))
(net (rename wb_adr_7 "wb_adr[7]") (joined
(portRef (member wb_adr 0))
(portRef WBADRI7 (instanceRef EFBInst_0))
))
(net (rename wb_adr_6 "wb_adr[6]") (joined
(portRef (member wb_adr 1))
(portRef WBADRI6 (instanceRef EFBInst_0))
))
(net (rename wb_adr_5 "wb_adr[5]") (joined
(portRef (member wb_adr 2))
(portRef WBADRI5 (instanceRef EFBInst_0))
))
(net (rename wb_adr_4 "wb_adr[4]") (joined
(portRef (member wb_adr 3))
(portRef WBADRI4 (instanceRef EFBInst_0))
))
(net (rename wb_adr_3 "wb_adr[3]") (joined
(portRef (member wb_adr 4))
(portRef WBADRI3 (instanceRef EFBInst_0))
))
(net (rename wb_adr_2 "wb_adr[2]") (joined
(portRef (member wb_adr 5))
(portRef WBADRI2 (instanceRef EFBInst_0))
))
(net (rename wb_adr_1 "wb_adr[1]") (joined
(portRef (member wb_adr 6))
(portRef WBADRI1 (instanceRef EFBInst_0))
))
(net (rename wb_adr_0 "wb_adr[0]") (joined
(portRef (member wb_adr 7))
(portRef WBADRI0 (instanceRef EFBInst_0))
))
(net (rename wb_dati_7 "wb_dati[7]") (joined
(portRef (member wb_dati 0))
(portRef WBDATI7 (instanceRef EFBInst_0))
))
(net (rename wb_dati_6 "wb_dati[6]") (joined
(portRef (member wb_dati 1))
(portRef WBDATI6 (instanceRef EFBInst_0))
))
(net (rename wb_dati_5 "wb_dati[5]") (joined
(portRef (member wb_dati 2))
(portRef WBDATI5 (instanceRef EFBInst_0))
))
(net (rename wb_dati_4 "wb_dati[4]") (joined
(portRef (member wb_dati 3))
(portRef WBDATI4 (instanceRef EFBInst_0))
))
(net (rename wb_dati_3 "wb_dati[3]") (joined
(portRef (member wb_dati 4))
(portRef WBDATI3 (instanceRef EFBInst_0))
))
(net (rename wb_dati_2 "wb_dati[2]") (joined
(portRef (member wb_dati 5))
(portRef WBDATI2 (instanceRef EFBInst_0))
))
(net (rename wb_dati_1 "wb_dati[1]") (joined
(portRef (member wb_dati 6))
(portRef WBDATI1 (instanceRef EFBInst_0))
))
(net (rename wb_dati_0 "wb_dati[0]") (joined
(portRef (member wb_dati 7))
(portRef WBDATI0 (instanceRef EFBInst_0))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef TCIC (instanceRef EFBInst_0))
(portRef TCRSTN (instanceRef EFBInst_0))
(portRef TCCLKI (instanceRef EFBInst_0))
(portRef SPISCSN (instanceRef EFBInst_0))
(portRef SPIMOSII (instanceRef EFBInst_0))
(portRef SPIMISOI (instanceRef EFBInst_0))
(portRef SPISCKI (instanceRef EFBInst_0))
(portRef I2C2SDAI (instanceRef EFBInst_0))
(portRef I2C2SCLI (instanceRef EFBInst_0))
(portRef I2C1SDAI (instanceRef EFBInst_0))
(portRef I2C1SCLI (instanceRef EFBInst_0))
(portRef PLL1ACKI (instanceRef EFBInst_0))
(portRef PLL1DATI0 (instanceRef EFBInst_0))
(portRef PLL1DATI1 (instanceRef EFBInst_0))
(portRef PLL1DATI2 (instanceRef EFBInst_0))
(portRef PLL1DATI3 (instanceRef EFBInst_0))
(portRef PLL1DATI4 (instanceRef EFBInst_0))
(portRef PLL1DATI5 (instanceRef EFBInst_0))
(portRef PLL1DATI6 (instanceRef EFBInst_0))
(portRef PLL1DATI7 (instanceRef EFBInst_0))
(portRef PLL0ACKI (instanceRef EFBInst_0))
(portRef PLL0DATI0 (instanceRef EFBInst_0))
(portRef PLL0DATI1 (instanceRef EFBInst_0))
(portRef PLL0DATI2 (instanceRef EFBInst_0))
(portRef PLL0DATI3 (instanceRef EFBInst_0))
(portRef PLL0DATI4 (instanceRef EFBInst_0))
(portRef PLL0DATI5 (instanceRef EFBInst_0))
(portRef PLL0DATI6 (instanceRef EFBInst_0))
(portRef PLL0DATI7 (instanceRef EFBInst_0))
))
(net VCC (joined
(portRef Z (instanceRef VCC))
(portRef UFMSN (instanceRef EFBInst_0))
))
(net (rename wb_dato_7 "wb_dato[7]") (joined
(portRef WBDATO7 (instanceRef EFBInst_0))
(portRef (member wb_dato 0))
))
(net (rename wb_dato_6 "wb_dato[6]") (joined
(portRef WBDATO6 (instanceRef EFBInst_0))
(portRef (member wb_dato 1))
))
(net (rename wb_dato_5 "wb_dato[5]") (joined
(portRef WBDATO5 (instanceRef EFBInst_0))
(portRef (member wb_dato 2))
))
(net (rename wb_dato_4 "wb_dato[4]") (joined
(portRef WBDATO4 (instanceRef EFBInst_0))
(portRef (member wb_dato 3))
))
(net (rename wb_dato_3 "wb_dato[3]") (joined
(portRef WBDATO3 (instanceRef EFBInst_0))
(portRef (member wb_dato 4))
))
(net (rename wb_dato_2 "wb_dato[2]") (joined
(portRef WBDATO2 (instanceRef EFBInst_0))
(portRef (member wb_dato 5))
))
(net (rename wb_dato_1 "wb_dato[1]") (joined
(portRef WBDATO1 (instanceRef EFBInst_0))
(portRef (member wb_dato 6))
))
(net (rename wb_dato_0 "wb_dato[0]") (joined
(portRef WBDATO0 (instanceRef EFBInst_0))
(portRef (member wb_dato 7))
))
(net wb_ack (joined
(portRef WBACKO (instanceRef EFBInst_0))
(portRef wb_ack)
))
(net PLLCLKO (joined
(portRef PLLCLKO (instanceRef EFBInst_0))
))
(net PLLRSTO (joined
(portRef PLLRSTO (instanceRef EFBInst_0))
))
(net PLL0STBO (joined
(portRef PLL0STBO (instanceRef EFBInst_0))
))
(net PLL1STBO (joined
(portRef PLL1STBO (instanceRef EFBInst_0))
))
(net PLLWEO (joined
(portRef PLLWEO (instanceRef EFBInst_0))
))
(net PLLADRO4 (joined
(portRef PLLADRO4 (instanceRef EFBInst_0))
))
(net PLLADRO3 (joined
(portRef PLLADRO3 (instanceRef EFBInst_0))
))
(net PLLADRO2 (joined
(portRef PLLADRO2 (instanceRef EFBInst_0))
))
(net PLLADRO1 (joined
(portRef PLLADRO1 (instanceRef EFBInst_0))
))
(net PLLADRO0 (joined
(portRef PLLADRO0 (instanceRef EFBInst_0))
))
(net PLLDATO7 (joined
(portRef PLLDATO7 (instanceRef EFBInst_0))
))
(net PLLDATO6 (joined
(portRef PLLDATO6 (instanceRef EFBInst_0))
))
(net PLLDATO5 (joined
(portRef PLLDATO5 (instanceRef EFBInst_0))
))
(net PLLDATO4 (joined
(portRef PLLDATO4 (instanceRef EFBInst_0))
))
(net PLLDATO3 (joined
(portRef PLLDATO3 (instanceRef EFBInst_0))
))
(net PLLDATO2 (joined
(portRef PLLDATO2 (instanceRef EFBInst_0))
))
(net PLLDATO1 (joined
(portRef PLLDATO1 (instanceRef EFBInst_0))
))
(net PLLDATO0 (joined
(portRef PLLDATO0 (instanceRef EFBInst_0))
))
(net I2C1SCLO (joined
(portRef I2C1SCLO (instanceRef EFBInst_0))
))
(net I2C1SCLOEN (joined
(portRef I2C1SCLOEN (instanceRef EFBInst_0))
))
(net I2C1SDAO (joined
(portRef I2C1SDAO (instanceRef EFBInst_0))
))
(net I2C1SDAOEN (joined
(portRef I2C1SDAOEN (instanceRef EFBInst_0))
))
(net I2C2SCLO (joined
(portRef I2C2SCLO (instanceRef EFBInst_0))
))
(net I2C2SCLOEN (joined
(portRef I2C2SCLOEN (instanceRef EFBInst_0))
))
(net I2C2SDAO (joined
(portRef I2C2SDAO (instanceRef EFBInst_0))
))
(net I2C2SDAOEN (joined
(portRef I2C2SDAOEN (instanceRef EFBInst_0))
))
(net I2C1IRQO (joined
(portRef I2C1IRQO (instanceRef EFBInst_0))
))
(net I2C2IRQO (joined
(portRef I2C2IRQO (instanceRef EFBInst_0))
))
(net SPISCKO (joined
(portRef SPISCKO (instanceRef EFBInst_0))
))
(net SPISCKEN (joined
(portRef SPISCKEN (instanceRef EFBInst_0))
))
(net SPIMISOO (joined
(portRef SPIMISOO (instanceRef EFBInst_0))
))
(net SPIMISOEN (joined
(portRef SPIMISOEN (instanceRef EFBInst_0))
))
(net SPIMOSIO (joined
(portRef SPIMOSIO (instanceRef EFBInst_0))
))
(net SPIMOSIEN (joined
(portRef SPIMOSIEN (instanceRef EFBInst_0))
))
(net SPIMCSN0 (joined
(portRef SPIMCSN0 (instanceRef EFBInst_0))
))
(net SPIMCSN1 (joined
(portRef SPIMCSN1 (instanceRef EFBInst_0))
))
(net SPIMCSN2 (joined
(portRef SPIMCSN2 (instanceRef EFBInst_0))
))
(net SPIMCSN3 (joined
(portRef SPIMCSN3 (instanceRef EFBInst_0))
))
(net SPIMCSN4 (joined
(portRef SPIMCSN4 (instanceRef EFBInst_0))
))
(net SPIMCSN5 (joined
(portRef SPIMCSN5 (instanceRef EFBInst_0))
))
(net SPIMCSN6 (joined
(portRef SPIMCSN6 (instanceRef EFBInst_0))
))
(net SPIMCSN7 (joined
(portRef SPIMCSN7 (instanceRef EFBInst_0))
))
(net SPICSNEN (joined
(portRef SPICSNEN (instanceRef EFBInst_0))
))
(net SPIIRQO (joined
(portRef SPIIRQO (instanceRef EFBInst_0))
))
(net TCINT (joined
(portRef TCINT (instanceRef EFBInst_0))
))
(net TCOC (joined
(portRef TCOC (instanceRef EFBInst_0))
))
(net wbc_ufm_irq (joined
(portRef WBCUFMIRQ (instanceRef EFBInst_0))
))
(net CFGWAKE (joined
(portRef CFGWAKE (instanceRef EFBInst_0))
))
(net CFGSTDBY (joined
(portRef CFGSTDBY (instanceRef EFBInst_0))
))
)
(property NGD_DRC_MASK (integer 1))
(property orig_inst_of (string "REFB"))
)
)
(cell RAM2E (cellType GENERIC)
(view verilog (viewType NETLIST)
(interface
(port C14M (direction INPUT))
(port PHI1 (direction INPUT))
(port LED (direction OUTPUT))
(port nWE (direction INPUT))
(port nWE80 (direction INPUT))
(port nEN80 (direction INPUT))
(port nC07X (direction INPUT))
(port (array (rename ain "Ain[7:0]") 8) (direction INPUT))
(port (array (rename din "Din[7:0]") 8) (direction INPUT))
(port (array (rename dout "Dout[7:0]") 8) (direction OUTPUT))
(port nDOE (direction OUTPUT))
(port (array (rename vout "Vout[7:0]") 8) (direction OUTPUT))
(port nVOE (direction OUTPUT))
(port CKE (direction OUTPUT))
(port nCS (direction OUTPUT))
(port nRAS (direction OUTPUT))
(port nCAS (direction OUTPUT))
(port nRWE (direction OUTPUT))
(port (array (rename ba "BA[1:0]") 2) (direction OUTPUT))
(port (array (rename ra "RA[11:0]") 12) (direction OUTPUT))
(port (array (rename rd "RD[7:0]") 8) (direction INOUT))
(port DQML (direction OUTPUT))
(port DQMH (direction OUTPUT))
)
(contents
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance GSR_INST (viewRef PRIM (cellRef GSR (libraryRef LUCENT)))
)
(instance (rename S_RNII9DO1_2_1 "S_RNII9DO1_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)))"))
)
(instance (rename S_RNII9DO1_0_1 "S_RNII9DO1_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(!B+!A)))"))
)
(instance (rename wb_dati_7_0_2_RNO_3 "wb_dati_7_0_2_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)))"))
)
(instance DQML_0io_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B !A)))"))
)
(instance (rename wb_adr_RNO_3_1 "wb_adr_RNO_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B !A))"))
)
(instance CKE_6_iv_i_0_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C !A+C (!B !A))"))
)
(instance (rename FS_RNIOD6E_1_8 "FS_RNIOD6E_1[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B !A)))"))
)
(instance (rename wb_adr_RNO_2_1 "wb_adr_RNO_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C !A+C (!B !A+B A))"))
)
(instance (rename FS_RNI9FGA_1 "FS_RNI9FGA[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(B+A))"))
)
(instance (rename S_RNII9DO1_1 "S_RNII9DO1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance (rename un1_RWMask_0_sqmuxa_1_i_0_RNO_0 "un1_RWMask_0_sqmuxa_1_i_0_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B A)))"))
)
(instance (rename wb_dati_7_0_0_RNO_7 "wb_dati_7_0_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance wb_we_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A))+D (!B A))"))
)
(instance wb_reqc_1_RNIRU4M1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B A)))"))
)
(instance (rename FS_RNIOD6E_0_8 "FS_RNIOD6E_0[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance (rename RA_42_0_RNO_10 "RA_42_0_RNO[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A))+D (!C (!B A)))"))
)
(instance (rename FS_RNIOD6E_8 "FS_RNIOD6E[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B A)+D (!C (B A)+C (B !A)))"))
)
(instance (rename S_RNII9DO1_3_1 "S_RNII9DO1_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)))"))
)
(instance (rename FS_RNI5OOF1_15 "FS_RNI5OOF1[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)))"))
)
(instance (rename BA_0io_RNO_0 "BA_0io_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)))"))
)
(instance (rename BA_0io_RNO_1 "BA_0io_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)))"))
)
(instance nCAS_0io_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!B A)+D (C+(!B A)))"))
)
(instance wb_we_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!B+A)+D (!C (!B+A)+C (!B A)))"))
)
(instance DOEEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B A)+D (!C (B A)+C A))"))
)
(instance wb_req_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance (rename FS_RNIK5632_15 "FS_RNIK5632[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B !A)))"))
)
(instance DQMH_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C+(!B+!A)))"))
)
(instance (rename CmdTout_RNO_2 "CmdTout_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C A+C (!B A+B !A)))"))
)
(instance wb_cyc_stb_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B+A)))"))
)
(instance (rename wb_dati_7_0_a2_5_RNIC22J_4 "wb_dati_7_0_a2_5_RNIC22J[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance nCS_6_u_i_a2_4_RNI3A062 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (!B A))"))
)
(instance nCS_6_u_i_a2_4_RNICJKD2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B !A)))"))
)
(instance wb_reqc_1_RNIEO5C1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B !A))"))
)
(instance Ready_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance (rename Vout_0__CN "Vout_0_.CN") (viewRef PRIM (cellRef INV (libraryRef LUCENT))) )
(instance PHI1reg_0io (viewRef PRIM (cellRef IFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance nRWE_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance nRAS_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance nCS_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance nCAS_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Vout_0io_0 "Vout_0io[0]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Vout_0io_1 "Vout_0io[1]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Vout_0io_2 "Vout_0io[2]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Vout_0io_3 "Vout_0io[3]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Vout_0io_4 "Vout_0io[4]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Vout_0io_5 "Vout_0io[5]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Vout_0io_6 "Vout_0io[6]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Vout_0io_7 "Vout_0io[7]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RA_0io_1 "RA_0io[1]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RA_0io_2 "RA_0io[2]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RA_0io_4 "RA_0io[4]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RA_0io_5 "RA_0io[5]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RA_0io_6 "RA_0io[6]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RA_0io_7 "RA_0io[7]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RA_0io_8 "RA_0io[8]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RA_0io_9 "RA_0io[9]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RA_0io_10 "RA_0io[10]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RA_0io_11 "RA_0io[11]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Dout_0io_0 "Dout_0io[0]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Dout_0io_1 "Dout_0io[1]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Dout_0io_2 "Dout_0io[2]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Dout_0io_3 "Dout_0io[3]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Dout_0io_4 "Dout_0io[4]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Dout_0io_5 "Dout_0io[5]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Dout_0io_6 "Dout_0io[6]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Dout_0io_7 "Dout_0io[7]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance DQML_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance DQMH_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance CKE_0io (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename BA_0io_0 "BA_0io[0]") (viewRef PRIM (cellRef OFS1P3IX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename BA_0io_1 "BA_0io[1]") (viewRef PRIM (cellRef OFS1P3IX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance wb_we (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance wb_rst (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance wb_req (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
)
(instance (rename wb_dati_0 "wb_dati[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_1 "wb_dati[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_2 "wb_dati[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_3 "wb_dati[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_4 "wb_dati[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_5 "wb_dati[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_6 "wb_dati[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_7 "wb_dati[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance wb_cyc_stb (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_0 "wb_adr[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_1 "wb_adr[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_2 "wb_adr[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_3 "wb_adr[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_4 "wb_adr[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_5 "wb_adr[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_6 "wb_adr[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_7 "wb_adr[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename S_0 "S[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename S_1 "S[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename S_2 "S[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename S_3 "S[3]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance Ready (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance RWSel (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWMask_0 "RWMask[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWMask_1 "RWMask[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWMask_2 "RWMask[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWMask_3 "RWMask[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWMask_4 "RWMask[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWMask_5 "RWMask[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWMask_6 "RWMask[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWMask_7 "RWMask[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWBank_0 "RWBank[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWBank_1 "RWBank[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWBank_2 "RWBank[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWBank_3 "RWBank[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWBank_4 "RWBank[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWBank_5 "RWBank[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWBank_6 "RWBank[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWBank_7 "RWBank[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RA_0 "RA[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RA_3 "RA[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance LEDEN (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename FS_0 "FS[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_1 "FS[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_2 "FS[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_3 "FS[3]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_4 "FS[4]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_5 "FS[5]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_6 "FS[6]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_7 "FS[7]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_8 "FS[8]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_9 "FS[9]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_10 "FS[10]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_11 "FS[11]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_12 "FS[12]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_13 "FS[13]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_14 "FS[14]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_15 "FS[15]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance DOEEN (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename CmdTout_0 "CmdTout[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename CmdTout_1 "CmdTout[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename CmdTout_2 "CmdTout[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdSetRWBankFFMXO2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdSetRWBankFFLED (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdRWMaskSet (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdLEDSet (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdLEDGet (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdExecMXO2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdBitbangMXO2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename CS_0 "CS[0]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename CS_1 "CS[1]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename CS_2 "CS[2]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance DQMH_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance DQML_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RD_pad_7 "RD_pad[7]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_6 "RD_pad[6]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_5 "RD_pad[5]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_4 "RD_pad[4]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_3 "RD_pad[3]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_2 "RD_pad[2]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_1 "RD_pad[1]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_0 "RD_pad[0]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RA_pad_11 "RA_pad[11]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_10 "RA_pad[10]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_9 "RA_pad[9]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_8 "RA_pad[8]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_7 "RA_pad[7]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_6 "RA_pad[6]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_5 "RA_pad[5]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_4 "RA_pad[4]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_3 "RA_pad[3]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_2 "RA_pad[2]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_1 "RA_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_0 "RA_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename BA_pad_1 "BA_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename BA_pad_0 "BA_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance nRWE_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance nCAS_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance nRAS_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance nCS_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance CKE_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance nVOE_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Vout_pad_7 "Vout_pad[7]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Vout_pad_6 "Vout_pad[6]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Vout_pad_5 "Vout_pad[5]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Vout_pad_4 "Vout_pad[4]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Vout_pad_3 "Vout_pad[3]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Vout_pad_2 "Vout_pad[2]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Vout_pad_1 "Vout_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Vout_pad_0 "Vout_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance nDOE_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_7 "Dout_pad[7]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_6 "Dout_pad[6]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_5 "Dout_pad[5]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_4 "Dout_pad[4]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_3 "Dout_pad[3]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_2 "Dout_pad[2]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_1 "Dout_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_0 "Dout_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Din_pad_7 "Din_pad[7]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Din_pad_6 "Din_pad[6]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Din_pad_5 "Din_pad[5]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Din_pad_4 "Din_pad[4]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Din_pad_3 "Din_pad[3]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Din_pad_2 "Din_pad[2]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Din_pad_1 "Din_pad[1]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Din_pad_0 "Din_pad[0]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Ain_pad_7 "Ain_pad[7]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Ain_pad_6 "Ain_pad[6]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Ain_pad_5 "Ain_pad[5]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Ain_pad_4 "Ain_pad[4]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Ain_pad_3 "Ain_pad[3]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Ain_pad_2 "Ain_pad[2]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Ain_pad_1 "Ain_pad[1]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Ain_pad_0 "Ain_pad[0]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance nC07X_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance nEN80_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance nWE80_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance nWE_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance LED_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance PHI1_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT)))
)
(instance C14M_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance un1_CS_0_sqmuxa_0_0_2_RNIQS7F (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C !B)+D (!C (!B !A)))"))
)
(instance nCAS_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C+(!B+A))+D A)"))
)
(instance nRWE_r_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C+(!B+A)))"))
)
(instance nCS_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A)"))
)
(instance nRAS_2_iv_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B !A)+C !A)"))
)
(instance (rename wb_dati_7_0_5 "wb_dati_7_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C A+C (B+A)))"))
)
(instance (rename wb_dati_7_0_2 "wb_dati_7_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C A+C (B+A)))"))
)
(instance (rename wb_dati_7_0_7 "wb_dati_7_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance (rename wb_adr_7_0_0 "wb_adr_7_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C B+C (B+A)))"))
)
(instance (rename wb_dati_7_0_6 "wb_dati_7_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(B A))"))
)
(instance (rename wb_dati_7_0_4 "wb_dati_7_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance (rename wb_dati_7_0_3 "wb_dati_7_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(B+A))"))
)
(instance (rename RA_42_0_10 "RA_42_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C B+C (B+!A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D C+D (C+(B A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance (rename CS_RNO_2 "CS_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C (!B A+B !A))"))
)
(instance nCS_6_u_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D A+D (!C A+C (B+A)))"))
)
(instance (rename wb_dati_7_0_1 "wb_dati_7_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C A+C (B+A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D C+D (C+(B A)))"))
)
(instance (rename wb_dati_7_0_1_6 "wb_dati_7_0_1[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B+A)+D (C+(B+A)))"))
)
(instance (rename wb_dati_7_0_2_3 "wb_dati_7_0_2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B !A))+D (B !A))"))
)
(instance (rename wb_adr_7_0_4_0 "wb_adr_7_0_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance (rename CS_RNO_0 "CS_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B !A+B A)+C A)"))
)
(instance CmdSetRWBankFFLED_4_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C B)"))
)
(instance CmdExecMXO2_4_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C A+C (B+A))+D (C B))"))
)
(instance CmdRWMaskSet_4_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C A+C (B+A))+D (C B))"))
)
(instance CmdBitbangMXO2_4_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C A+C (B+A))+D (C B))"))
)
(instance CmdLEDSet_4_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C B)"))
)
(instance (rename un1_LEDEN_0_sqmuxa_1_i_0_0 "un1_LEDEN_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B A)+D (C+(B A)))"))
)
(instance wb_cyc_stb_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C (B+A))"))
)
(instance (rename un1_RWMask_0_sqmuxa_1_i_0_0 "un1_RWMask_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B A)+D (C+(B A)))"))
)
(instance (rename wb_dati_7_0_0 "wb_dati_7_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
)
(instance (rename wb_adr_7_0_a2_0_0 "wb_adr_7_0_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B+A)+C B))"))
)
(instance (rename wb_dati_7_0_a2_4 "wb_dati_7_0_a2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B !A))+D (B !A))"))
)
(instance (rename wb_dati_7_0_RNO_7 "wb_dati_7_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B !A))+D C)"))
)
(instance (rename wb_dati_7_0_a2_3_4 "wb_dati_7_0_a2_3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B !A)))"))
)
(instance (rename wb_dati_7_0_a2_7 "wb_dati_7_0_a2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B A)+C (B A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (!B !A))"))
)
(instance (rename wb_dati_7_0_o2_0_2 "wb_dati_7_0_o2_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D A+D (!C A+C (B+A)))"))
)
(instance (rename wb_dati_7_0_0_3 "wb_dati_7_0_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B A)+D (C+(B A)))"))
)
(instance (rename wb_dati_7_0_0_4 "wb_dati_7_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B A)+D (C+(B A)))"))
)
(instance DQML_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!B+!A)+D (!C !B+C (!B+!A)))"))
)
(instance CmdSetRWBankFFMXO2_4_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C A+C (B+A))+D (C B))"))
)
(instance CmdSetRWBankFFLED_4_u_0_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance CmdLEDGet_4_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C A+C (B+A))+D (C B))"))
)
(instance (rename wb_dati_7_0_a2_5_4 "wb_dati_7_0_a2_5[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (!B A))"))
)
(instance nCS_6_u_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance CKE_6_iv_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C A+C (B+A))+D A)"))
)
(instance wb_we_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C (B !A)))"))
)
(instance (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C B+C (B+A)))"))
)
(instance (rename wb_adr_7_0_a2_2_0 "wb_adr_7_0_a2_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B !A)))"))
)
(instance (rename wb_dati_7_0_a2_6 "wb_dati_7_0_a2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B !A+B A)))"))
)
(instance (rename un1_LEDEN13_2_i_0_0 "un1_LEDEN13_2_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B+A)+D (C+(B+A)))"))
)
(instance (rename wb_dati_7_0_a2_1_7 "wb_dati_7_0_a2_1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B !A)))"))
)
(instance (rename wb_adr_7_0_a2_1_0 "wb_adr_7_0_a2_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B+!A)))"))
)
(instance (rename wb_dati_7_0_a2_1 "wb_dati_7_0_a2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance Ready_0_sqmuxa_0_a2_6_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance CmdLEDSet_4_u_0_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_4_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B A)))"))
)
(instance (rename wb_dati_7_0_0_1 "wb_dati_7_0_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
)
(instance (rename wb_dati_7_0_0_7 "wb_dati_7_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
)
(instance (rename wb_adr_7_0_0_0 "wb_adr_7_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
)
(instance (rename wb_adr_7_0_1_0 "wb_adr_7_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A))+D B)"))
)
(instance (rename S_RNO_2 "S_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)+C (B !A))+D (!C (!B !A)+C !A))"))
)
(instance (rename un1_LEDEN13_2_i_a2_0_0 "un1_LEDEN13_2_i_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B !A))+D (C !B))"))
)
(instance (rename S_RNII9DO1_1_1 "S_RNII9DO1_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A+B A)+C (B A))+D (!C (!B A)+C B))"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B !A)))"))
)
(instance (rename wb_adr_RNO_1_1 "wb_adr_RNO_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B A))+D (!C A+C (!B A)))"))
)
(instance wb_we_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+B)+D (C+(B+A)))"))
)
(instance wb_we_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B+A)))"))
)
(instance CmdBitbangMXO2_RNI8CSO1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B+A)))"))
)
(instance (rename RA_0io_RNO_8 "RA_0io_RNO[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B !A)+D (C !A))"))
)
(instance (rename RA_0io_RNO_9 "RA_0io_RNO[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C !B+C (!B A)))"))
)
(instance (rename S_RNO_1 "S_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B !A)+C !A))"))
)
(instance (rename S_RNO_3 "S_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B !A))+D !A)"))
)
(instance un1_CS_0_sqmuxa_0_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C (!B A)))"))
)
(instance CmdSetRWBankFFLED_4_u_0_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance wb_req_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)))"))
)
(instance CKE_6_iv_i_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B A)))"))
)
(instance (rename un1_LEDEN13_2_i_o2_2_0 "un1_LEDEN13_2_i_o2_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance (rename S_s_0_0 "S_s_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C A+C (!B+A)))"))
)
(instance (rename CS_RNO_0_2 "CS_RNO_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A))"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B !A)))"))
)
(instance CKE_6_iv_i_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C B+C (!B A))+D (!C+(!B A)))"))
)
(instance Ready_0_sqmuxa_0_a2_6_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance nCS_6_u_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)+C (!B !A))+D (!C (!B A)))"))
)
(instance (rename wb_adr_7_0_a2_0_0_0 "wb_adr_7_0_a2_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))"))
)
(instance (rename CmdTout_RNO_1 "CmdTout_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A+B !A))"))
)
(instance (rename RA_RNO_3 "RA_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C A)"))
)
(instance (rename RA_0io_RNO_4 "RA_0io_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C A)"))
)
(instance (rename RA_0io_RNO_6 "RA_0io_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C A)"))
)
(instance (rename RA_0io_RNO_7 "RA_0io_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C A)"))
)
(instance (rename RA_RNO_0 "RA_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C A)"))
)
(instance (rename RA_0io_RNO_1 "RA_0io_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C A)"))
)
(instance (rename RA_0io_RNO_2 "RA_0io_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C A)"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B !A))"))
)
(instance (rename RWBank_5_0_4 "RWBank_5_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C B)"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C+(!B+!A)))"))
)
(instance nCAS_s_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance (rename wb_dati_7_0_o2_1 "wb_dati_7_0_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B !A))+D (!C (B A)))"))
)
(instance (rename RWBank_5_0_2 "RWBank_5_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C B)"))
)
(instance (rename RWBank_5_0_3 "RWBank_5_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C B)"))
)
(instance (rename RWBank_5_0_5 "RWBank_5_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C B)"))
)
(instance (rename RWBank_5_0_6 "RWBank_5_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C B)"))
)
(instance (rename RWBank_5_0_0_7 "RWBank_5_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C (B+A))"))
)
(instance (rename RWBank_5_0_1 "RWBank_5_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C B)"))
)
(instance (rename RWBank_5_0_0 "RWBank_5_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C B)"))
)
(instance nRWE_r_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!B A)+D (!C (!B A)+C !B))"))
)
(instance nDOE_pad_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C+(B+!A))"))
)
(instance (rename RA_0io_RNO_11 "RA_0io_RNO[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B A)))"))
)
(instance RWSel_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)))"))
)
(instance wb_we_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B A)))"))
)
(instance nCS_6_u_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B !A)))"))
)
(instance (rename wb_adr_RNO_0_1 "wb_adr_RNO_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B !A)))"))
)
(instance (rename S_s_0_1_0 "S_s_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C !A)+D (!C !A+C (B+!A)))"))
)
(instance CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B !A))"))
)
(instance CmdLEDGet_4_u_0_0_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B A)))"))
)
(instance (rename wb_dati_7_0_a2_2_0_1 "wb_dati_7_0_a2_2_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance (rename un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0 "un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B !A)))"))
)
(instance (rename wb_dati_7_0_a2_1_0_0 "wb_dati_7_0_a2_1_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B A)+C (!B A)))"))
)
(instance (rename RWMask_RNO_6 "RWMask_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (!B+!A))"))
)
(instance (rename RWMask_RNO_5 "RWMask_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (!B+!A))"))
)
(instance (rename RWMask_RNO_4 "RWMask_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (!B+!A))"))
)
(instance (rename RWMask_RNO_3 "RWMask_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (!B+!A))"))
)
(instance (rename RWMask_RNO_2 "RWMask_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (!B+!A))"))
)
(instance (rename RWMask_RNO_1 "RWMask_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (!B+!A))"))
)
(instance (rename RWMask_RNO_0 "RWMask_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (!B+!A))"))
)
(instance (rename un1_wb_adr_0_sqmuxa_2_0_1_0 "un1_wb_adr_0_sqmuxa_2_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+!A)+D (!C+!B))"))
)
(instance (rename wb_adr_RNO_2 "wb_adr_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename wb_adr_RNO_3 "wb_adr_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename wb_adr_RNO_7 "wb_adr_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance wb_req_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance (rename RA_42_i_o2_8 "RA_42_i_o2[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C+(B+A))"))
)
(instance nRAS_2_iv_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A)+C (!B !A))"))
)
(instance Vout3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B !A)))"))
)
(instance (rename RA_42_3_0_5 "RA_42_3_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B+A)+C A)"))
)
(instance (rename wb_dati_7_0_a2_0_2_7 "wb_dati_7_0_a2_0_2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B+!A)))"))
)
(instance (rename wb_dati_7_0_a2_0_0_6 "wb_dati_7_0_a2_0_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A))"))
)
(instance LED_pad_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+!A)"))
)
(instance nWE80_pad_RNI3ICD (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance (rename RWBank_5_0_o2_0 "RWBank_5_0_o2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+B)+D (C+(B+A)))"))
)
(instance (rename SZ0Z_1 "S_1") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (!B A))"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)))"))
)
(instance (rename wb_adr_7_0_a2_5_0_0 "wb_adr_7_0_a2_5_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A))"))
)
(instance CmdBitbangMXO2_4_u_0_0_a2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B !A))"))
)
(instance Ready_0_sqmuxa_0_a2_6_a2_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance nCS_6_u_i_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)))"))
)
(instance (rename wb_adr_RNO_4 "wb_adr_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C !B+C A)"))
)
(instance (rename wb_adr_RNO_6 "wb_adr_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C !B+C A)"))
)
(instance (rename wb_adr_RNO_5 "wb_adr_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C !B+C A)"))
)
(instance LEDEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C (!B+A))"))
)
(instance (rename RWMask_RNO_7 "RWMask_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C (!B+A))"))
)
(instance (rename CmdTout_3_0_a2_0 "CmdTout_3_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A)"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A)"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A)"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance nCS_6_u_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance (rename un1_LEDEN13_2_i_o2_0 "un1_LEDEN13_2_i_o2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance (rename wb_adr_7_0_o2_0 "wb_adr_7_0_o2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance (rename S_RNINI6S_1 "S_RNINI6S[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+!A)"))
)
(instance (rename S_r_i_o2_1 "S_r_i_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+!A)"))
)
(instance (rename FS_RNI9Q57_13 "FS_RNI9Q57[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+A)"))
)
(instance (rename wb_adr_7_0_o2_2_0 "wb_adr_7_0_o2_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+!A)"))
)
(instance (rename FS_RNIJ9MH_14 "FS_RNIJ9MH[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A)"))
)
(instance (rename wb_dati_7_0_a2_1_0 "wb_dati_7_0_a2_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance wb_rst8_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A)"))
)
(instance wb_reqc_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance CmdBitbangMXO2_4_u_0_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A))"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B !A))"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance un1_nCS61_1_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)))"))
)
(instance (rename FS_RNI6JJA_8 "FS_RNI6JJA[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C+(!B+!A))"))
)
(instance un1_CS_0_sqmuxa_0_0_a2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance (rename CS_RNO_1 "CS_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D A+D (!C (!B !A+B A)+C A))"))
)
(instance (rename wb_dati_7_0_a2_5_1 "wb_dati_7_0_a2_5[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (!B !A))"))
)
(instance nCS_6_u_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(!B !A)))"))
)
(instance (rename un1_LEDEN13_2_i_a2_0 "un1_LEDEN13_2_i_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B+A)))"))
)
(instance (rename wb_dati_7_0_a2_2_0 "wb_dati_7_0_a2_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B !A)))"))
)
(instance (rename wb_dati_7_0_a2_6_1 "wb_dati_7_0_a2_6[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A))"))
)
(instance (rename FS_s_0_15 "FS_s_0[15]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x5002"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_13 "FS_cry_0[13]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_11 "FS_cry_0[11]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_9 "FS_cry_0[9]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_7 "FS_cry_0[7]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_5 "FS_cry_0[5]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_3 "FS_cry_0[3]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_1 "FS_cry_0[1]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_0 "FS_cry_0[0]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance ufmefb (viewRef netlist (cellRef REFB))
)
(net wb_rst (joined
(portRef Q (instanceRef wb_rst))
(portRef wb_rst (instanceRef ufmefb))
))
(net wb_cyc_stb (joined
(portRef Q (instanceRef wb_cyc_stb))
(portRef wb_cyc_stb (instanceRef ufmefb))
))
(net wb_we (joined
(portRef Q (instanceRef wb_we))
(portRef wb_we (instanceRef ufmefb))
))
(net (rename wb_adr_0 "wb_adr[0]") (joined
(portRef Q (instanceRef wb_adr_0))
(portRef (member wb_adr 7) (instanceRef ufmefb))
(portRef C (instanceRef wb_dati_7_0_0))
))
(net (rename wb_adr_1 "wb_adr[1]") (joined
(portRef Q (instanceRef wb_adr_1))
(portRef (member wb_adr 6) (instanceRef ufmefb))
(portRef C (instanceRef wb_dati_7_0_0_1))
))
(net (rename wb_adr_2 "wb_adr[2]") (joined
(portRef Q (instanceRef wb_adr_2))
(portRef (member wb_adr 5) (instanceRef ufmefb))
(portRef C (instanceRef wb_dati_7_0_2))
))
(net (rename wb_adr_3 "wb_adr[3]") (joined
(portRef Q (instanceRef wb_adr_3))
(portRef (member wb_adr 4) (instanceRef ufmefb))
(portRef D (instanceRef wb_dati_7_0_0_3))
))
(net (rename wb_adr_4 "wb_adr[4]") (joined
(portRef Q (instanceRef wb_adr_4))
(portRef (member wb_adr 3) (instanceRef ufmefb))
(portRef D (instanceRef wb_dati_7_0_0_4))
))
(net (rename wb_adr_5 "wb_adr[5]") (joined
(portRef Q (instanceRef wb_adr_5))
(portRef (member wb_adr 2) (instanceRef ufmefb))
(portRef C (instanceRef wb_dati_7_0_5))
))
(net (rename wb_adr_6 "wb_adr[6]") (joined
(portRef Q (instanceRef wb_adr_6))
(portRef (member wb_adr 1) (instanceRef ufmefb))
(portRef D (instanceRef wb_dati_7_0_1_6))
))
(net (rename wb_adr_7 "wb_adr[7]") (joined
(portRef Q (instanceRef wb_adr_7))
(portRef (member wb_adr 0) (instanceRef ufmefb))
(portRef C (instanceRef wb_dati_7_0_0_7))
))
(net (rename wb_dati_0 "wb_dati[0]") (joined
(portRef Q (instanceRef wb_dati_0))
(portRef (member wb_dati 7) (instanceRef ufmefb))
))
(net (rename wb_dati_1 "wb_dati[1]") (joined
(portRef Q (instanceRef wb_dati_1))
(portRef (member wb_dati 6) (instanceRef ufmefb))
))
(net (rename wb_dati_2 "wb_dati[2]") (joined
(portRef Q (instanceRef wb_dati_2))
(portRef (member wb_dati 5) (instanceRef ufmefb))
))
(net (rename wb_dati_3 "wb_dati[3]") (joined
(portRef Q (instanceRef wb_dati_3))
(portRef (member wb_dati 4) (instanceRef ufmefb))
))
(net (rename wb_dati_4 "wb_dati[4]") (joined
(portRef Q (instanceRef wb_dati_4))
(portRef (member wb_dati 3) (instanceRef ufmefb))
))
(net (rename wb_dati_5 "wb_dati[5]") (joined
(portRef Q (instanceRef wb_dati_5))
(portRef (member wb_dati 2) (instanceRef ufmefb))
))
(net (rename wb_dati_6 "wb_dati[6]") (joined
(portRef Q (instanceRef wb_dati_6))
(portRef (member wb_dati 1) (instanceRef ufmefb))
))
(net (rename wb_dati_7 "wb_dati[7]") (joined
(portRef Q (instanceRef wb_dati_7))
(portRef (member wb_dati 0) (instanceRef ufmefb))
))
(net (rename wb_dato_0 "wb_dato[0]") (joined
(portRef (member wb_dato 7) (instanceRef ufmefb))
(portRef C (instanceRef LEDEN_RNO))
(portRef C (instanceRef RWMask_RNO_0))
))
(net (rename wb_dato_1 "wb_dato[1]") (joined
(portRef (member wb_dato 6) (instanceRef ufmefb))
(portRef C (instanceRef RWMask_RNO_1))
))
(net (rename wb_dato_2 "wb_dato[2]") (joined
(portRef (member wb_dato 5) (instanceRef ufmefb))
(portRef C (instanceRef RWMask_RNO_2))
))
(net (rename wb_dato_3 "wb_dato[3]") (joined
(portRef (member wb_dato 4) (instanceRef ufmefb))
(portRef C (instanceRef RWMask_RNO_3))
))
(net (rename wb_dato_4 "wb_dato[4]") (joined
(portRef (member wb_dato 3) (instanceRef ufmefb))
(portRef C (instanceRef RWMask_RNO_4))
))
(net (rename wb_dato_5 "wb_dato[5]") (joined
(portRef (member wb_dato 2) (instanceRef ufmefb))
(portRef C (instanceRef RWMask_RNO_5))
))
(net (rename wb_dato_6 "wb_dato[6]") (joined
(portRef (member wb_dato 1) (instanceRef ufmefb))
(portRef C (instanceRef RWMask_RNO_6))
))
(net (rename wb_dato_7 "wb_dato[7]") (joined
(portRef (member wb_dato 0) (instanceRef ufmefb))
(portRef C (instanceRef RWMask_RNO_7))
))
(net wb_ack (joined
(portRef wb_ack (instanceRef ufmefb))
(portRef A (instanceRef un1_LEDEN13_2_i_a2_0))
(portRef B (instanceRef un1_LEDEN13_2_i_o2_0))
(portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0))
(portRef C (instanceRef wb_cyc_stb_RNO_0))
(portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0))
))
(net (rename S_2 "S[2]") (joined
(portRef Q (instanceRef S_2))
(portRef B (instanceRef FS_RNIJ9MH_14))
(portRef A (instanceRef S_r_i_o2_1))
(portRef C (instanceRef wb_adr_RNO_5))
(portRef C (instanceRef wb_adr_RNO_6))
(portRef C (instanceRef wb_adr_RNO_4))
(portRef C (instanceRef nCS_6_u_i_a2_1_0))
(portRef C (instanceRef Vout3_0_a2))
(portRef B (instanceRef nRAS_2_iv_0_a2_0))
(portRef B (instanceRef RA_42_i_o2_8))
(portRef B (instanceRef wb_adr_RNO_7))
(portRef B (instanceRef wb_adr_RNO_3))
(portRef B (instanceRef wb_adr_RNO_2))
(portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0))
(portRef C (instanceRef S_s_0_1_0))
(portRef B (instanceRef RA_0io_RNO_11))
(portRef B (instanceRef nCS_6_u_i_0))
(portRef B (instanceRef CKE_6_iv_i_0_1))
(portRef C (instanceRef S_RNO_3))
(portRef B (instanceRef RA_0io_RNO_9))
(portRef B (instanceRef CmdBitbangMXO2_RNI8CSO1))
(portRef B (instanceRef wb_we_RNO_0))
(portRef D (instanceRef wb_we_RNO_2))
(portRef C (instanceRef S_RNII9DO1_1_1))
(portRef C (instanceRef S_RNO_2))
(portRef C (instanceRef wb_adr_7_0_0_0))
(portRef B (instanceRef wb_dati_7_0_0_7))
(portRef B (instanceRef wb_dati_7_0_0_1))
(portRef C (instanceRef wb_adr_RNO_1))
(portRef C (instanceRef wb_dati_7_0_0_4))
(portRef C (instanceRef wb_dati_7_0_0_3))
(portRef B (instanceRef wb_dati_7_0_0))
(portRef C (instanceRef wb_dati_7_0_1_6))
(portRef B (instanceRef wb_dati_7_0_2))
(portRef B (instanceRef wb_dati_7_0_5))
(portRef CD (instanceRef wb_req))
(portRef C (instanceRef wb_reqc_1_RNIEO5C1))
(portRef D (instanceRef wb_req_RNO_0))
(portRef B (instanceRef DOEEN_RNO))
(portRef C (instanceRef BA_0io_RNO_1))
(portRef C (instanceRef BA_0io_RNO_0))
(portRef D (instanceRef FS_RNI5OOF1_15))
(portRef C (instanceRef S_RNII9DO1_3_1))
(portRef C (instanceRef RA_42_0_RNO_10))
(portRef B (instanceRef wb_reqc_1_RNIRU4M1))
(portRef B (instanceRef S_RNII9DO1_1))
(portRef B (instanceRef DQML_0io_RNO_0))
(portRef A (instanceRef S_RNII9DO1_0_1))
(portRef B (instanceRef S_RNII9DO1_2_1))
))
(net RWSel (joined
(portRef Q (instanceRef RWSel))
(portRef C (instanceRef un1_LEDEN13_2_i_a2_0))
(portRef B (instanceRef CmdTout_3_0_a2_0))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_7))
(portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_5))
(portRef C (instanceRef CmdTout_RNO_1))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_4))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_2))
(portRef D (instanceRef CmdLEDGet_4_u_0_0_0))
(portRef D (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_0))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_1))
(portRef C (instanceRef CmdLEDSet_4_u_0_0_0))
(portRef D (instanceRef CmdBitbangMXO2_4_u_0_0_0))
(portRef D (instanceRef CmdRWMaskSet_4_u_0_0_0))
(portRef D (instanceRef CmdExecMXO2_4_u_0_0_0))
(portRef C (instanceRef CmdSetRWBankFFLED_4_u_0_0_0))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_0))
(portRef D (instanceRef CmdTout_RNO_2))
(portRef A (instanceRef wb_reqc_1_RNIRU4M1))
))
(net (rename S_0 "S[0]") (joined
(portRef Q (instanceRef S_0))
(portRef A (instanceRef wb_reqc_1))
(portRef A (instanceRef S_RNINI6S_1))
(portRef B (instanceRef nCS_6_u_i_a2_1_0))
(portRef B (instanceRef RA_42_3_0_5))
(portRef A (instanceRef Vout3_0_a2))
(portRef A (instanceRef S_s_0_1_0))
(portRef B (instanceRef nCS_6_u_i_a2_0))
(portRef C (instanceRef nRWE_r_0))
(portRef B (instanceRef RA_0io_RNO_2))
(portRef B (instanceRef RA_0io_RNO_1))
(portRef B (instanceRef RA_RNO_0))
(portRef B (instanceRef RA_0io_RNO_7))
(portRef B (instanceRef RA_0io_RNO_6))
(portRef B (instanceRef RA_0io_RNO_4))
(portRef B (instanceRef RA_RNO_3))
(portRef B (instanceRef S_s_0_0))
(portRef D (instanceRef RA_0io_RNO_8))
(portRef A (instanceRef S_RNII9DO1_1_1))
(portRef C (instanceRef nRAS_2_iv_i))
(portRef C (instanceRef DQMH_0io_RNO))
(portRef D (instanceRef DOEEN_RNO))
(portRef C (instanceRef nCAS_0io_RNO_0))
(portRef A (instanceRef S_RNII9DO1_3_1))
(portRef A (instanceRef RA_42_0_RNO_10))
(portRef D (instanceRef S_RNII9DO1_1))
(portRef C (instanceRef CKE_6_iv_i_0_1_RNO))
(portRef D (instanceRef DQML_0io_RNO_0))
(portRef D (instanceRef S_RNII9DO1_0_1))
(portRef D (instanceRef S_RNII9DO1_2_1))
))
(net (rename S_1 "S[1]") (joined
(portRef Q (instanceRef S_1))
(portRef B (instanceRef wb_reqc_1))
(portRef B (instanceRef S_RNINI6S_1))
(portRef B (instanceRef Vout3_0_a2))
(portRef A (instanceRef nRAS_2_iv_0_a2_0))
(portRef A (instanceRef RA_42_i_o2_8))
(portRef B (instanceRef S_s_0_1_0))
(portRef C (instanceRef nCS_6_u_i_a2_0))
(portRef A (instanceRef nCS_6_u_i_0))
(portRef C (instanceRef S_s_0_0))
(portRef B (instanceRef S_RNII9DO1_1_1))
(portRef C (instanceRef DOEEN_RNO))
(portRef D (instanceRef BA_0io_RNO_1))
(portRef D (instanceRef BA_0io_RNO_0))
(portRef D (instanceRef S_RNII9DO1_3_1))
(portRef D (instanceRef RA_42_0_RNO_10))
(portRef C (instanceRef S_RNII9DO1_1))
(portRef B (instanceRef CKE_6_iv_i_0_1_RNO))
(portRef C (instanceRef DQML_0io_RNO_0))
(portRef C (instanceRef S_RNII9DO1_0_1))
(portRef C (instanceRef S_RNII9DO1_2_1))
))
(net (rename S_3 "S[3]") (joined
(portRef Q (instanceRef S_3))
(portRef B (instanceRef S_r_i_o2_1))
(portRef B (instanceRef RWMask_RNO_7))
(portRef B (instanceRef LEDEN_RNO))
(portRef D (instanceRef nCS_6_u_i_a2_1_0))
(portRef C (instanceRef RA_42_3_0_5))
(portRef D (instanceRef Vout3_0_a2))
(portRef C (instanceRef nRAS_2_iv_0_a2_0))
(portRef C (instanceRef RA_42_i_o2_8))
(portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0))
(portRef B (instanceRef RWMask_RNO_0))
(portRef B (instanceRef RWMask_RNO_1))
(portRef B (instanceRef RWMask_RNO_2))
(portRef B (instanceRef RWMask_RNO_3))
(portRef B (instanceRef RWMask_RNO_4))
(portRef B (instanceRef RWMask_RNO_5))
(portRef B (instanceRef RWMask_RNO_6))
(portRef D (instanceRef S_s_0_1_0))
(portRef D (instanceRef nCS_6_u_i_a2_0))
(portRef C (instanceRef RA_0io_RNO_11))
(portRef C (instanceRef RA_0io_RNO_2))
(portRef C (instanceRef RA_0io_RNO_1))
(portRef C (instanceRef RA_RNO_0))
(portRef C (instanceRef RA_0io_RNO_7))
(portRef C (instanceRef RA_0io_RNO_6))
(portRef C (instanceRef RA_0io_RNO_4))
(portRef C (instanceRef RA_RNO_3))
(portRef C (instanceRef nCS_6_u_i_0))
(portRef C (instanceRef CKE_6_iv_i_0_1))
(portRef C (instanceRef wb_req_RNO))
(portRef D (instanceRef S_RNO_3))
(portRef C (instanceRef RA_0io_RNO_9))
(portRef D (instanceRef S_RNII9DO1_1_1))
(portRef D (instanceRef S_RNO_2))
(portRef D (instanceRef CKE_6_iv_i_0))
(portRef C (instanceRef wb_cyc_stb_RNO))
(portRef B (instanceRef wb_reqc_1_RNIEO5C1))
(portRef C (instanceRef wb_req_RNO_0))
(portRef A (instanceRef DOEEN_RNO))
(portRef B (instanceRef BA_0io_RNO_1))
(portRef B (instanceRef BA_0io_RNO_0))
(portRef C (instanceRef FS_RNI5OOF1_15))
(portRef B (instanceRef S_RNII9DO1_3_1))
(portRef B (instanceRef RA_42_0_RNO_10))
(portRef C (instanceRef wb_reqc_1_RNIRU4M1))
(portRef A (instanceRef S_RNII9DO1_1))
(portRef A (instanceRef DQML_0io_RNO_0))
(portRef B (instanceRef S_RNII9DO1_0_1))
(portRef A (instanceRef S_RNII9DO1_2_1))
))
(net (rename FS_0 "FS[0]") (joined
(portRef Q (instanceRef FS_0))
(portRef A1 (instanceRef FS_cry_0_0))
(portRef A (instanceRef Ready_0_sqmuxa_0_a2_6_a2_2_0))
(portRef A (instanceRef un1_LEDEN13_2_i_a2_0_0))
(portRef A (instanceRef nCS_6_u_i_a2_4))
(portRef B (instanceRef wb_cyc_stb_RNO_0))
))
(net (rename FS_8 "FS[8]") (joined
(portRef Q (instanceRef FS_8))
(portRef A1 (instanceRef FS_cry_0_7))
(portRef A (instanceRef wb_dati_7_0_a2_2_0))
(portRef C (instanceRef FS_RNI6JJA_8))
(portRef A (instanceRef wb_adr_7_0_o2_2_0))
(portRef A (instanceRef wb_dati_7_0_a2_0_0_6))
(portRef A (instanceRef wb_dati_7_0_a2_0_2_7))
(portRef A (instanceRef wb_adr_7_0_1_0))
(portRef A (instanceRef wb_adr_7_0_a2_2_0))
(portRef A (instanceRef wb_dati_7_0_a2_3_4))
(portRef D (instanceRef wb_we_RNO_1))
(portRef D (instanceRef FS_RNIOD6E_8))
(portRef B (instanceRef FS_RNIOD6E_0_8))
(portRef C (instanceRef wb_adr_RNO_2_1))
(portRef B (instanceRef FS_RNIOD6E_1_8))
(portRef B (instanceRef wb_dati_7_0_2_RNO_3))
))
(net (rename FS_9 "FS[9]") (joined
(portRef Q (instanceRef FS_9))
(portRef A0 (instanceRef FS_cry_0_9))
(portRef B (instanceRef FS_RNI6JJA_8))
(portRef B (instanceRef wb_adr_7_0_o2_2_0))
(portRef A (instanceRef wb_adr_7_0_a2_5_0_0))
(portRef B (instanceRef wb_dati_7_0_a2_0_0_6))
(portRef B (instanceRef wb_dati_7_0_a2_0_2_7))
(portRef A (instanceRef wb_dati_7_0_a2_1_0_0))
(portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0))
(portRef A (instanceRef wb_dati_7_0_o2_1))
(portRef A (instanceRef wb_dati_7_0_a2_1_7))
(portRef B (instanceRef wb_adr_7_0_a2_2_0))
(portRef A (instanceRef wb_dati_7_0_a2_5_4))
(portRef B (instanceRef wb_dati_7_0_a2_3_4))
(portRef A (instanceRef wb_dati_7_0_RNO_7))
(portRef A (instanceRef wb_adr_7_0_a2_0_0))
(portRef C (instanceRef wb_we_RNO_1))
(portRef C (instanceRef FS_RNIOD6E_8))
(portRef C (instanceRef FS_RNIOD6E_0_8))
(portRef B (instanceRef wb_adr_RNO_2_1))
(portRef A (instanceRef FS_RNIOD6E_1_8))
(portRef A (instanceRef wb_dati_7_0_2_RNO_3))
))
(net (rename FS_10 "FS[10]") (joined
(portRef Q (instanceRef FS_10))
(portRef A1 (instanceRef FS_cry_0_9))
(portRef A (instanceRef wb_dati_7_0_a2_1_0))
(portRef A (instanceRef wb_adr_7_0_o2_0))
(portRef B (instanceRef wb_adr_7_0_a2_5_0_0))
(portRef C (instanceRef wb_dati_7_0_a2_0_2_7))
(portRef A (instanceRef wb_we_RNO_4))
(portRef B (instanceRef wb_dati_7_0_o2_1))
(portRef A (instanceRef wb_dati_7_0_a2_6))
(portRef A (instanceRef wb_dati_7_0_a2_7))
(portRef B (instanceRef wb_adr_7_0_a2_0_0))
(portRef D (instanceRef wb_dati_7_0_a2_5_RNIC22J_4))
(portRef B (instanceRef wb_we_RNO_1))
(portRef B (instanceRef FS_RNIOD6E_8))
(portRef A (instanceRef FS_RNIOD6E_0_8))
(portRef D (instanceRef wb_dati_7_0_0_RNO_7))
(portRef D (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0))
(portRef A (instanceRef wb_adr_RNO_2_1))
(portRef D (instanceRef FS_RNIOD6E_1_8))
(portRef C (instanceRef wb_adr_RNO_3_1))
(portRef D (instanceRef wb_dati_7_0_2_RNO_3))
))
(net (rename FS_11 "FS[11]") (joined
(portRef Q (instanceRef FS_11))
(portRef A0 (instanceRef FS_cry_0_11))
(portRef A (instanceRef FS_RNI6JJA_8))
(portRef B (instanceRef wb_adr_7_0_o2_0))
(portRef C (instanceRef wb_dati_7_0_a2_0_0_6))
(portRef D (instanceRef wb_dati_7_0_a2_0_2_7))
(portRef A (instanceRef wb_req_RNO_1))
(portRef B (instanceRef wb_dati_7_0_a2_1_0_0))
(portRef A (instanceRef wb_adr_RNO_0_1))
(portRef C (instanceRef wb_dati_7_0_o2_1))
(portRef A (instanceRef wb_adr_7_0_a2_0_0_0))
(portRef A (instanceRef wb_adr_7_0_a2_1_0))
(portRef B (instanceRef wb_dati_7_0_a2_5_4))
(portRef C (instanceRef wb_dati_7_0_a2_3_4))
(portRef C (instanceRef wb_adr_7_0_a2_0_0))
(portRef A (instanceRef FS_RNIOD6E_8))
(portRef D (instanceRef FS_RNIOD6E_0_8))
(portRef B (instanceRef wb_we_RNO_3))
(portRef C (instanceRef FS_RNIOD6E_1_8))
(portRef C (instanceRef wb_dati_7_0_2_RNO_3))
))
(net (rename FS_12 "FS[12]") (joined
(portRef Q (instanceRef FS_12))
(portRef A1 (instanceRef FS_cry_0_11))
(portRef A (instanceRef wb_dati_7_0_a2_6_1))
(portRef B (instanceRef wb_dati_7_0_a2_1_0))
(portRef A (instanceRef FS_RNI9Q57_13))
(portRef C (instanceRef wb_adr_7_0_a2_5_0_0))
(portRef B (instanceRef wb_req_RNO_1))
(portRef A (instanceRef wb_dati_7_0_a2_2_0_1))
(portRef B (instanceRef wb_adr_RNO_0_1))
(portRef B (instanceRef wb_we_RNO_4))
(portRef B (instanceRef wb_adr_7_0_a2_0_0_0))
(portRef A (instanceRef wb_dati_7_0_a2_1))
(portRef B (instanceRef wb_adr_7_0_a2_1_0))
(portRef B (instanceRef wb_dati_7_0_a2_6))
(portRef B (instanceRef wb_dati_7_0_a2_7))
(portRef A (instanceRef wb_dati_7_0_a2_4))
(portRef A (instanceRef wb_dati_7_0_2_3))
(portRef C (instanceRef wb_dati_7_0_a2_5_RNIC22J_4))
(portRef A (instanceRef wb_we_RNO_1))
(portRef D (instanceRef wb_we_RNO_3))
(portRef C (instanceRef wb_dati_7_0_0_RNO_7))
(portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0))
(portRef B (instanceRef wb_adr_RNO_3_1))
))
(net (rename FS_13 "FS[13]") (joined
(portRef Q (instanceRef FS_13))
(portRef A0 (instanceRef FS_cry_0_13))
(portRef C (instanceRef wb_dati_7_0_a2_6_1))
(portRef B (instanceRef FS_RNI9Q57_13))
(portRef C (instanceRef wb_req_RNO_1))
(portRef C (instanceRef wb_dati_7_0_a2_1_0_0))
(portRef B (instanceRef wb_dati_7_0_a2_2_0_1))
(portRef D (instanceRef wb_dati_7_0_o2_1))
(portRef C (instanceRef wb_adr_7_0_a2_0_0_0))
(portRef A (instanceRef CKE_6_iv_i_a2_3))
(portRef A (instanceRef wb_we_RNO))
(portRef C (instanceRef wb_dati_7_0_a2_7))
(portRef A (instanceRef wb_adr_7_0_0))
(portRef B (instanceRef wb_dati_7_0_a2_5_RNIC22J_4))
(portRef A (instanceRef FS_RNIK5632_15))
(portRef C (instanceRef wb_we_RNO_3))
(portRef B (instanceRef wb_dati_7_0_0_RNO_7))
(portRef A (instanceRef wb_adr_RNO_3_1))
))
(net (rename FS_15 "FS[15]") (joined
(portRef Q (instanceRef FS_15))
(portRef A0 (instanceRef FS_s_0_15))
(portRef B (instanceRef wb_dati_7_0_a2_2_0))
(portRef C (instanceRef wb_dati_7_0_a2_5_1))
(portRef B (instanceRef wb_rst8_0_a2))
(portRef A (instanceRef nCS_6_u_i_a2_1_0))
(portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0))
(portRef B (instanceRef CKE_6_iv_i_0))
(portRef C (instanceRef nCS_6_u_i_a2_4_RNI3A062))
(portRef B (instanceRef FS_RNIK5632_15))
(portRef A (instanceRef wb_req_RNO_0))
(portRef A (instanceRef FS_RNI5OOF1_15))
))
(net PHI1reg (joined
(portRef Q (instanceRef PHI1reg_0io))
(portRef B (instanceRef SZ0Z_1))
))
(net (rename FS_14 "FS[14]") (joined
(portRef Q (instanceRef FS_14))
(portRef A1 (instanceRef FS_cry_0_13))
(portRef D (instanceRef wb_dati_7_0_a2_2_0))
(portRef A (instanceRef wb_dati_7_0_a2_5_1))
(portRef A (instanceRef wb_rst8_0_a2))
(portRef A (instanceRef FS_RNIJ9MH_14))
(portRef A (instanceRef un1_LEDEN13_2_i_o2_0))
(portRef B (instanceRef wb_adr_RNO_5))
(portRef B (instanceRef wb_adr_RNO_6))
(portRef B (instanceRef wb_adr_RNO_4))
(portRef B (instanceRef CKE_6_iv_i_a2_3))
(portRef A (instanceRef wb_req_RNO))
(portRef D (instanceRef wb_cyc_stb_RNO_0))
(portRef D (instanceRef FS_RNIK5632_15))
))
(net (rename FS_6 "FS[6]") (joined
(portRef Q (instanceRef FS_6))
(portRef A1 (instanceRef FS_cry_0_5))
(portRef A (instanceRef un1_LEDEN13_2_i_o2_2_0))
(portRef A (instanceRef Ready_0_sqmuxa_0_a2_6_a2))
(portRef B (instanceRef nCS_6_u_i_a2_4))
))
(net (rename FS_2 "FS[2]") (joined
(portRef Q (instanceRef FS_2))
(portRef A1 (instanceRef FS_cry_0_1))
(portRef C (instanceRef un1_nCS61_1_0_a2_0))
(portRef C (instanceRef Ready_0_sqmuxa_0_a2_6_a2_2_0))
(portRef B (instanceRef FS_RNI9FGA_1))
))
(net (rename FS_1 "FS[1]") (joined
(portRef Q (instanceRef FS_1))
(portRef A0 (instanceRef FS_cry_0_1))
(portRef D (instanceRef un1_nCS61_1_0_a2_0))
(portRef B (instanceRef Ready_0_sqmuxa_0_a2_6_a2_2_0))
(portRef C (instanceRef FS_RNI9FGA_1))
))
(net (rename FS_3 "FS[3]") (joined
(portRef Q (instanceRef FS_3))
(portRef A0 (instanceRef FS_cry_0_3))
(portRef B (instanceRef un1_nCS61_1_0_a2_0))
(portRef A (instanceRef Ready_0_sqmuxa_0_a2_6_a2_4))
(portRef A (instanceRef FS_RNI9FGA_1))
))
(net (rename FS_7 "FS[7]") (joined
(portRef Q (instanceRef FS_7))
(portRef A0 (instanceRef FS_cry_0_7))
(portRef B (instanceRef un1_LEDEN13_2_i_o2_2_0))
(portRef B (instanceRef Ready_0_sqmuxa_0_a2_6_a2))
(portRef C (instanceRef nCS_6_u_i_a2_4))
))
(net (rename FS_5 "FS[5]") (joined
(portRef Q (instanceRef FS_5))
(portRef A0 (instanceRef FS_cry_0_5))
(portRef B (instanceRef nCS_6_u_i_o2))
(portRef A (instanceRef un1_nCS61_1_0_a2_0))
(portRef B (instanceRef nCS_6_u_i_o2_0))
(portRef B (instanceRef Ready_0_sqmuxa_0_a2_6_a2_4))
))
(net (rename FS_4 "FS[4]") (joined
(portRef Q (instanceRef FS_4))
(portRef A1 (instanceRef FS_cry_0_3))
(portRef D (instanceRef nCS_6_u_i_o2))
(portRef A (instanceRef nCS_6_u_i_o2_0))
(portRef D (instanceRef Ready_0_sqmuxa_0_a2_6_a2_2_0))
(portRef A (instanceRef nCAS_s_i_o2))
(portRef A (instanceRef nRWE_r_0_RNO))
))
(net (rename RWBank_6 "RWBank[6]") (joined
(portRef Q (instanceRef RWBank_6))
(portRef C (instanceRef DQML_0io_RNO))
(portRef A (instanceRef DQMH_0io_RNO))
))
(net (rename RWMask_0 "RWMask[0]") (joined
(portRef Q (instanceRef RWMask_0))
(portRef C (instanceRef RWBank_5_0_0))
))
(net (rename RWMask_1 "RWMask[1]") (joined
(portRef Q (instanceRef RWMask_1))
(portRef C (instanceRef RWBank_5_0_1))
))
(net (rename RWMask_2 "RWMask[2]") (joined
(portRef Q (instanceRef RWMask_2))
(portRef C (instanceRef RWBank_5_0_2))
))
(net (rename RWMask_3 "RWMask[3]") (joined
(portRef Q (instanceRef RWMask_3))
(portRef C (instanceRef RWBank_5_0_3))
))
(net (rename RWMask_4 "RWMask[4]") (joined
(portRef Q (instanceRef RWMask_4))
(portRef C (instanceRef RWBank_5_0_4))
))
(net (rename RWMask_5 "RWMask[5]") (joined
(portRef Q (instanceRef RWMask_5))
(portRef C (instanceRef RWBank_5_0_5))
))
(net (rename RWMask_6 "RWMask[6]") (joined
(portRef Q (instanceRef RWMask_6))
(portRef C (instanceRef RWBank_5_0_6))
))
(net (rename CS_0 "CS[0]") (joined
(portRef Q (instanceRef CS_0))
(portRef D (instanceRef CS_RNO_1))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_6))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_10))
(portRef A (instanceRef CS_RNO_0_2))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_4))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_4))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_1))
(portRef A (instanceRef CS_RNO_0))
))
(net (rename CS_1 "CS[1]") (joined
(portRef Q (instanceRef CS_1))
(portRef A (instanceRef CS_RNO_1))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_15))
(portRef A (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_1))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_2))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_4))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_4))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_0))
(portRef A (instanceRef CS_RNO_2))
))
(net (rename CS_2 "CS[2]") (joined
(portRef Q (instanceRef CS_2))
(portRef B (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_1))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_o2_0))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_2))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_4))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_4))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_0))
(portRef B (instanceRef CS_RNO_2))
))
(net CmdExecMXO2 (joined
(portRef Q (instanceRef CmdExecMXO2))
(portRef B (instanceRef un1_LEDEN13_2_i_a2_0))
(portRef A (instanceRef wb_we_RNO_0))
(portRef A (instanceRef wb_cyc_stb_RNO))
(portRef A (instanceRef CmdExecMXO2_4_u_0_0_0))
))
(net DOEEN (joined
(portRef Q (instanceRef DOEEN))
(portRef A (instanceRef nDOE_pad_RNO))
))
(net LEDEN (joined
(portRef Q (instanceRef LEDEN))
(portRef D (instanceRef RWBank_5_0_o2_0))
(portRef A (instanceRef LED_pad_RNO))
))
(net CmdLEDGet (joined
(portRef Q (instanceRef CmdLEDGet))
(portRef A (instanceRef RWBank_5_0_o2_0))
(portRef A (instanceRef CmdLEDGet_4_u_0_0_0))
))
(net CO0_1 (joined
(portRef Q (instanceRef CmdTout_0))
(portRef A (instanceRef CmdTout_3_0_a2_0))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_5))
(portRef A (instanceRef CmdTout_RNO_1))
(portRef C (instanceRef CmdTout_RNO_2))
))
(net (rename CmdTout_1 "CmdTout[1]") (joined
(portRef Q (instanceRef CmdTout_1))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_5))
(portRef B (instanceRef CmdTout_RNO_1))
(portRef B (instanceRef CmdTout_RNO_2))
))
(net (rename CmdTout_2 "CmdTout[2]") (joined
(portRef Q (instanceRef CmdTout_2))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_5))
(portRef A (instanceRef CmdTout_RNO_2))
))
(net (rename SZ0Z_1 "S_1") (joined
(portRef Z (instanceRef SZ0Z_1))
(portRef A (instanceRef S_s_0_0))
(portRef A (instanceRef S_RNO_3))
(portRef A (instanceRef S_RNO_1))
(portRef A (instanceRef S_RNO_2))
))
(net Ready (joined
(portRef Q (instanceRef Ready))
(portRef C (instanceRef SZ0Z_1))
(portRef B (instanceRef Ready_RNO))
))
(net wb_rst8 (joined
(portRef Z (instanceRef wb_rst8_0_a2))
(portRef D (instanceRef wb_rst))
))
(net nCS61 (joined
(portRef Z (instanceRef S_RNII9DO1_3_1))
(portRef D (instanceRef DQML_0io_RNO))
(portRef D (instanceRef nRWE_r_0_RNO))
(portRef SP (instanceRef RWSel))
))
(net RWSel_2 (joined
(portRef Z (instanceRef RWSel_2))
(portRef D (instanceRef RWSel))
))
(net (rename RWMask_7 "RWMask[7]") (joined
(portRef Q (instanceRef RWMask_7))
(portRef C (instanceRef RWBank_5_0_0_7))
))
(net Vout3 (joined
(portRef Z (instanceRef Vout3_0_a2))
(portRef SP (instanceRef Vout_0io_7))
(portRef SP (instanceRef Vout_0io_6))
(portRef SP (instanceRef Vout_0io_5))
(portRef SP (instanceRef Vout_0io_4))
(portRef SP (instanceRef Vout_0io_3))
(portRef SP (instanceRef Vout_0io_2))
(portRef SP (instanceRef Vout_0io_1))
(portRef SP (instanceRef Vout_0io_0))
(portRef A (instanceRef nCAS_0io_RNO_0))
))
(net CmdSetRWBankFFLED (joined
(portRef Q (instanceRef CmdSetRWBankFFLED))
(portRef B (instanceRef RWBank_5_0_o2_0))
(portRef A (instanceRef CmdSetRWBankFFLED_4_u_0_0_0))
))
(net CmdSetRWBankFFMXO2 (joined
(portRef Q (instanceRef CmdSetRWBankFFMXO2))
(portRef C (instanceRef RWBank_5_0_o2_0))
(portRef A (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_0))
))
(net wb_req (joined
(portRef Q (instanceRef wb_req))
(portRef D (instanceRef un1_LEDEN13_2_i_a2_0_0))
))
(net LEDEN13 (joined
(portRef Z (instanceRef wb_reqc_1_RNIRU4M1))
(portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0))
(portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0))
(portRef SP (instanceRef RWBank_7))
(portRef SP (instanceRef RWBank_6))
(portRef SP (instanceRef RWBank_5))
(portRef SP (instanceRef RWBank_4))
(portRef SP (instanceRef RWBank_3))
(portRef SP (instanceRef RWBank_2))
(portRef SP (instanceRef RWBank_1))
(portRef SP (instanceRef RWBank_0))
))
(net (rename RWBank_5_0 "RWBank_5[0]") (joined
(portRef Z (instanceRef RWBank_5_0_0))
(portRef D (instanceRef RWBank_0))
))
(net (rename RWBank_5_1 "RWBank_5[1]") (joined
(portRef Z (instanceRef RWBank_5_0_1))
(portRef D (instanceRef RWBank_1))
))
(net (rename RWBank_5_2 "RWBank_5[2]") (joined
(portRef Z (instanceRef RWBank_5_0_2))
(portRef D (instanceRef RWBank_2))
))
(net (rename RWBank_5_3 "RWBank_5[3]") (joined
(portRef Z (instanceRef RWBank_5_0_3))
(portRef D (instanceRef RWBank_3))
))
(net (rename RWBank_5_5 "RWBank_5[5]") (joined
(portRef Z (instanceRef RWBank_5_0_5))
(portRef D (instanceRef RWBank_5))
))
(net (rename RWBank_5_6 "RWBank_5[6]") (joined
(portRef Z (instanceRef RWBank_5_0_6))
(portRef D (instanceRef RWBank_6))
))
(net (rename RWBank_5_7 "RWBank_5[7]") (joined
(portRef Z (instanceRef RWBank_5_0_0_7))
(portRef D (instanceRef RWBank_7))
))
(net (rename RWBank_0 "RWBank[0]") (joined
(portRef Q (instanceRef RWBank_0))
(portRef B (instanceRef RA_0io_RNO_8))
))
(net (rename RWBank_1 "RWBank[1]") (joined
(portRef Q (instanceRef RWBank_1))
(portRef A (instanceRef RA_0io_RNO_9))
))
(net (rename RWBank_2 "RWBank[2]") (joined
(portRef Q (instanceRef RWBank_2))
(portRef C (instanceRef RA_42_0_10))
))
(net (rename RWBank_3 "RWBank[3]") (joined
(portRef Q (instanceRef RWBank_3))
(portRef A (instanceRef RA_0io_RNO_11))
))
(net (rename RWBank_4 "RWBank[4]") (joined
(portRef Q (instanceRef RWBank_4))
(portRef A (instanceRef BA_0io_RNO_0))
))
(net (rename RWBank_5 "RWBank[5]") (joined
(portRef Q (instanceRef RWBank_5))
(portRef A (instanceRef BA_0io_RNO_1))
))
(net (rename RWBank_7 "RWBank[7]") (joined
(portRef Q (instanceRef RWBank_7))
(portRef C (instanceRef RA_0io_RNO_8))
))
(net CmdLEDSet (joined
(portRef Q (instanceRef CmdLEDSet))
(portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0))
(portRef A (instanceRef CmdLEDSet_4_u_0_0_0))
))
(net CmdRWMaskSet (joined
(portRef Q (instanceRef CmdRWMaskSet))
(portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0))
(portRef A (instanceRef CmdRWMaskSet_4_u_0_0_0))
))
(net CmdBitbangMXO2 (joined
(portRef Q (instanceRef CmdBitbangMXO2))
(portRef A (instanceRef CmdBitbangMXO2_RNI8CSO1))
(portRef A (instanceRef CmdBitbangMXO2_4_u_0_0_0))
))
(net nCAS_0_sqmuxa (joined
(portRef Z (instanceRef nCS_6_u_i_a2_4_RNICJKD2))
(portRef B (instanceRef nRWE_r_0))
(portRef D (instanceRef RA_42_0_10))
(portRef A (instanceRef nCAS_0io_RNO))
))
(net Ready_0_sqmuxa (joined
(portRef Z (instanceRef Ready_0_sqmuxa_0_a2_6_a2))
(portRef A (instanceRef Ready_RNO))
))
(net (rename BA_4_0 "BA_4[0]") (joined
(portRef Z (instanceRef BA_0io_RNO_0))
(portRef D (instanceRef BA_0io_0))
))
(net (rename BA_4_1 "BA_4[1]") (joined
(portRef Z (instanceRef BA_0io_RNO_1))
(portRef D (instanceRef BA_0io_1))
))
(net (rename RA_42_11 "RA_42[11]") (joined
(portRef Z (instanceRef RA_0io_RNO_11))
(portRef D (instanceRef RA_0io_11))
))
(net nRWE_r_0 (joined
(portRef Z (instanceRef nRWE_r_0))
(portRef D (instanceRef nRWE_0io))
))
(net (rename S_s_0_0 "S_s_0[0]") (joined
(portRef Z (instanceRef S_s_0_0))
(portRef D (instanceRef S_0))
))
(net (rename wb_dati_7_0 "wb_dati_7[0]") (joined
(portRef Z (instanceRef wb_dati_7_0_0))
(portRef D (instanceRef wb_dati_0))
))
(net (rename wb_dati_7_1 "wb_dati_7[1]") (joined
(portRef Z (instanceRef wb_dati_7_0_1))
(portRef D (instanceRef wb_dati_1))
))
(net (rename wb_dati_7_2 "wb_dati_7[2]") (joined
(portRef Z (instanceRef wb_dati_7_0_2))
(portRef D (instanceRef wb_dati_2))
))
(net (rename wb_dati_7_3 "wb_dati_7[3]") (joined
(portRef Z (instanceRef wb_dati_7_0_3))
(portRef D (instanceRef wb_dati_3))
))
(net (rename wb_dati_7_4 "wb_dati_7[4]") (joined
(portRef Z (instanceRef wb_dati_7_0_4))
(portRef D (instanceRef wb_dati_4))
))
(net (rename wb_dati_7_5 "wb_dati_7[5]") (joined
(portRef Z (instanceRef wb_dati_7_0_5))
(portRef D (instanceRef wb_dati_5))
))
(net (rename wb_dati_7_6 "wb_dati_7[6]") (joined
(portRef Z (instanceRef wb_dati_7_0_6))
(portRef D (instanceRef wb_dati_6))
))
(net (rename wb_dati_7_7 "wb_dati_7[7]") (joined
(portRef Z (instanceRef wb_dati_7_0_7))
(portRef D (instanceRef wb_dati_7))
))
(net (rename wb_adr_7_0 "wb_adr_7[0]") (joined
(portRef Z (instanceRef wb_adr_7_0_0))
(portRef D (instanceRef wb_adr_0))
))
(net (rename RA_42_3_0_5 "RA_42_3_0[5]") (joined
(portRef Z (instanceRef RA_42_3_0_5))
(portRef D (instanceRef RA_0io_5))
))
(net (rename RA_42_10 "RA_42[10]") (joined
(portRef Z (instanceRef RA_42_0_10))
(portRef D (instanceRef RA_0io_10))
))
(net CmdLEDGet_4 (joined
(portRef Z (instanceRef CmdLEDGet_4_u_0_0_0))
(portRef D (instanceRef CmdLEDGet))
))
(net (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (joined
(portRef Z (instanceRef wb_adr_RNO_1))
(portRef D (instanceRef wb_adr_1))
))
(net wb_we_RNO (joined
(portRef Z (instanceRef wb_we_RNO))
(portRef D (instanceRef wb_we))
))
(net wb_cyc_stb_RNO (joined
(portRef Z (instanceRef wb_cyc_stb_RNO))
(portRef D (instanceRef wb_cyc_stb))
))
(net (rename S_RNII9DO1_1_1 "S_RNII9DO1_1[1]") (joined
(portRef Z (instanceRef S_RNII9DO1_1_1))
(portRef SP (instanceRef RA_3))
(portRef SP (instanceRef RA_0))
(portRef SP (instanceRef RA_0io_7))
(portRef SP (instanceRef RA_0io_6))
(portRef SP (instanceRef RA_0io_5))
(portRef SP (instanceRef RA_0io_4))
(portRef SP (instanceRef RA_0io_2))
(portRef SP (instanceRef RA_0io_1))
))
(net N_88 (joined
(portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0))
(portRef SP (instanceRef RWMask_7))
(portRef SP (instanceRef RWMask_6))
(portRef SP (instanceRef RWMask_5))
(portRef SP (instanceRef RWMask_4))
(portRef SP (instanceRef RWMask_3))
(portRef SP (instanceRef RWMask_2))
(portRef SP (instanceRef RWMask_1))
(portRef SP (instanceRef RWMask_0))
))
(net N_104 (joined
(portRef Z (instanceRef un1_LEDEN13_2_i_0_0))
(portRef SP (instanceRef wb_cyc_stb))
))
(net (rename un1_LEDEN_0_sqmuxa_1_i_0_0 "un1_LEDEN_0_sqmuxa_1_i_0[0]") (joined
(portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0))
(portRef SP (instanceRef LEDEN))
))
(net CKE_6_iv_i_0 (joined
(portRef Z (instanceRef CKE_6_iv_i_0))
(portRef D (instanceRef CKE_0io))
))
(net (rename S_RNII9DO1_1 "S_RNII9DO1[1]") (joined
(portRef Z (instanceRef S_RNII9DO1_1))
(portRef C (instanceRef wb_dati_7_0_a2_2_0))
(portRef B (instanceRef wb_dati_7_0_a2_5_1))
(portRef B (instanceRef DQML_0io_RNO))
(portRef CD (instanceRef wb_rst))
(portRef B (instanceRef nCS_6_u_i_a2_4_RNI3A062))
(portRef B (instanceRef DQMH_0io_RNO))
(portRef C (instanceRef FS_RNIK5632_15))
))
(net (rename S_RNII9DO1_0_1 "S_RNII9DO1_0[1]") (joined
(portRef Z (instanceRef S_RNII9DO1_0_1))
(portRef D (instanceRef un1_LEDEN13_2_i_a2_0))
(portRef B (instanceRef CS_RNO_1))
(portRef C (instanceRef CS_RNO_0_2))
(portRef C (instanceRef CS_RNO_0))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_3))
))
(net N_285 (joined
(portRef Z (instanceRef RWMask_RNO_7))
(portRef D (instanceRef RWMask_7))
))
(net LEDEN_RNO (joined
(portRef Z (instanceRef LEDEN_RNO))
(portRef D (instanceRef LEDEN))
))
(net N_295 (joined
(portRef Z (instanceRef wb_adr_RNO_5))
(portRef D (instanceRef wb_adr_5))
))
(net N_296 (joined
(portRef Z (instanceRef wb_adr_RNO_6))
(portRef D (instanceRef wb_adr_6))
))
(net N_294 (joined
(portRef Z (instanceRef wb_adr_RNO_4))
(portRef D (instanceRef wb_adr_4))
))
(net CmdLEDSet_4 (joined
(portRef Z (instanceRef CmdLEDSet_4_u_0_0_0))
(portRef D (instanceRef CmdLEDSet))
))
(net CmdBitbangMXO2_4 (joined
(portRef Z (instanceRef CmdBitbangMXO2_4_u_0_0_0))
(portRef D (instanceRef CmdBitbangMXO2))
))
(net CmdRWMaskSet_4 (joined
(portRef Z (instanceRef CmdRWMaskSet_4_u_0_0_0))
(portRef D (instanceRef CmdRWMaskSet))
))
(net (rename RWBank_5_4 "RWBank_5[4]") (joined
(portRef Z (instanceRef RWBank_5_0_4))
(portRef D (instanceRef RWBank_4))
))
(net CmdExecMXO2_4 (joined
(portRef Z (instanceRef CmdExecMXO2_4_u_0_0_0))
(portRef D (instanceRef CmdExecMXO2))
))
(net CmdSetRWBankFFMXO2_4 (joined
(portRef Z (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_0))
(portRef D (instanceRef CmdSetRWBankFFMXO2))
))
(net CmdSetRWBankFFLED_4 (joined
(portRef Z (instanceRef CmdSetRWBankFFLED_4_u_0_0_0))
(portRef D (instanceRef CmdSetRWBankFFLED))
))
(net (rename CmdTout_3_0 "CmdTout_3[0]") (joined
(portRef Z (instanceRef CmdTout_3_0_a2_0))
(portRef D (instanceRef CmdTout_0))
))
(net N_637 (joined
(portRef Z (instanceRef CS_RNO_0_2))
(portRef C (instanceRef CS_RNO_2))
))
(net N_466 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_7))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_10))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_2))
))
(net N_474 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_10))
(portRef C (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_1))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_2_RNIQS7F))
))
(net N_477 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_11))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_2))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_2))
))
(net N_478 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_12))
(portRef D (instanceRef CmdLEDGet_4_u_0_0_a2_0_2))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_2))
))
(net N_616 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_13))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_2))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_o2_0))
))
(net N_629 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_17))
(portRef C (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0))
(portRef B (instanceRef CmdExecMXO2_4_u_0_0_0))
))
(net N_461 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_5))
(portRef C (instanceRef CS_RNO_1))
(portRef B (instanceRef CS_RNO_0_2))
(portRef B (instanceRef CS_RNO_0))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_3))
))
(net N_401 (joined
(portRef Z (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_0))
(portRef B (instanceRef CmdSetRWBankFFLED_4_u_0_0_0))
))
(net N_591 (joined
(portRef Z (instanceRef RWBank_5_0_o2_0))
(portRef B (instanceRef RWBank_5_0_0))
(portRef B (instanceRef RWBank_5_0_1))
(portRef B (instanceRef RWBank_5_0_0_7))
(portRef B (instanceRef RWBank_5_0_6))
(portRef B (instanceRef RWBank_5_0_5))
(portRef B (instanceRef RWBank_5_0_3))
(portRef B (instanceRef RWBank_5_0_2))
(portRef B (instanceRef RWBank_5_0_4))
))
(net N_279 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_o2_0))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_2))
))
(net N_623 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_15))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_o2_0))
))
(net N_327 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_1))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_0))
))
(net N_328 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_2))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_3))
))
(net N_330 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_4))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_3))
))
(net N_476 (joined
(portRef Z (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_1))
(portRef A (instanceRef CmdBitbangMXO2_4_u_0_0_a2_1))
(portRef C (instanceRef CmdLEDSet_4_u_0_0_a2_0))
(portRef C (instanceRef CmdLEDGet_4_u_0_0_0))
(portRef C (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_0))
(portRef C (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_0))
))
(net N_626 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_16))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_2))
(portRef D (instanceRef CmdLEDSet_4_u_0_0_a2_0))
(portRef D (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_0))
(portRef B (instanceRef CmdRWMaskSet_4_u_0_0_0))
))
(net N_643 (joined
(portRef Z (instanceRef CmdBitbangMXO2_4_u_0_0_a2_1))
(portRef C (instanceRef CmdBitbangMXO2_4_u_0_0_0))
(portRef C (instanceRef CmdRWMaskSet_4_u_0_0_0))
(portRef C (instanceRef CmdExecMXO2_4_u_0_0_0))
))
(net N_605 (joined
(portRef Z (instanceRef CmdLEDSet_4_u_0_0_a2_0))
(portRef B (instanceRef CmdLEDSet_4_u_0_0_0))
))
(net N_255 (joined
(portRef Z (instanceRef RA_42_i_o2_8))
(portRef A (instanceRef RA_0io_RNO_8))
(portRef A (instanceRef RA_42_0_10))
(portRef D (instanceRef DQMH_0io_RNO))
))
(net N_575 (joined
(portRef Z (instanceRef S_RNINI6S_1))
(portRef B (instanceRef S_RNO_3))
(portRef C (instanceRef S_RNO_1))
(portRef B (instanceRef S_RNO_2))
))
(net N_397 (joined
(portRef Z (instanceRef wb_req_RNO_1))
(portRef B (instanceRef wb_req_RNO))
))
(net N_635 (joined
(portRef Z (instanceRef nRAS_2_iv_0_a2_0))
(portRef B (instanceRef nRAS_2_iv_i))
(portRef D (instanceRef nCAS_0io_RNO_0))
))
(net N_449 (joined
(portRef Z (instanceRef FS_RNI5OOF1_15))
(portRef C (instanceRef Ready_0_sqmuxa_0_a2_6_a2_4))
(portRef C (instanceRef un1_LEDEN13_2_i_a2_0_0))
(portRef C (instanceRef un1_LEDEN13_2_i_0_0))
(portRef D (instanceRef nCS_6_u_i_a2_4_RNICJKD2))
))
(net N_633 (joined
(portRef Z (instanceRef un1_nCS61_1_0_a2_0))
(portRef C (instanceRef nCS_6_u_i_o2))
(portRef B (instanceRef nCAS_s_i_o2))
(portRef B (instanceRef nRWE_r_0_RNO))
))
(net N_264 (joined
(portRef Z (instanceRef FS_RNI9FGA_1))
(portRef A (instanceRef nCS_6_u_i_o2))
(portRef D (instanceRef un1_LEDEN13_2_i_o2_2_0))
(portRef A (instanceRef nCS_6_u_i_a2_4_RNICJKD2))
))
(net N_559_1 (joined
(portRef Z (instanceRef nCS_6_u_i_1))
(portRef A (instanceRef nRAS_2_iv_i))
(portRef A (instanceRef nCS_0io_RNO))
))
(net N_429 (joined
(portRef Z (instanceRef nCS_6_u_i_a2_0))
(portRef A (instanceRef nCS_6_u_i_1))
))
(net N_640 (joined
(portRef Z (instanceRef nCS_6_u_i_a2_4_RNI3A062))
(portRef C (instanceRef nRWE_r_0_RNO))
(portRef C (instanceRef nCAS_0io_RNO))
))
(net N_336 (joined
(portRef Z (instanceRef wb_dati_7_0_a2_1))
(portRef A (instanceRef wb_dati_7_0_o2_0_2))
(portRef A (instanceRef wb_dati_7_0_1))
(portRef A (instanceRef wb_dati_7_0_3))
))
(net N_565 (joined
(portRef Z (instanceRef wb_dati_7_0_o2_1))
(portRef C (instanceRef wb_dati_7_0_a2_1))
))
(net N_484 (joined
(portRef Z (instanceRef wb_dati_7_0_a2_2_0))
(portRef B (instanceRef wb_dati_7_0_a2_1))
(portRef B (instanceRef wb_dati_7_0_a2_1_7))
(portRef C (instanceRef wb_dati_7_0_a2_5_4))
(portRef A (instanceRef wb_dati_7_0_0))
(portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0))
))
(net N_634 (joined
(portRef Z (instanceRef FS_RNIOD6E_1_8))
(portRef C (instanceRef wb_dati_7_0_a2_2_0_1))
(portRef B (instanceRef wb_dati_7_0_0_4))
(portRef D (instanceRef wb_dati_7_0_o2_0_2))
))
(net N_452 (joined
(portRef Z (instanceRef wb_dati_7_0_a2_5_1))
(portRef B (instanceRef wb_dati_7_0_a2_6_1))
(portRef B (instanceRef wb_adr_7_0_1_0))
(portRef B (instanceRef wb_adr_7_0_0_0))
(portRef A (instanceRef wb_dati_7_0_0_7))
(portRef A (instanceRef wb_dati_7_0_0_1))
(portRef B (instanceRef wb_dati_7_0_o2_0_2))
))
(net N_365 (joined
(portRef Z (instanceRef un1_LEDEN13_2_i_a2_0_0))
(portRef B (instanceRef un1_LEDEN13_2_i_0_0))
))
(net N_300 (joined
(portRef Z (instanceRef un1_LEDEN13_2_i_o2_2_0))
(portRef B (instanceRef un1_LEDEN13_2_i_a2_0_0))
(portRef A (instanceRef wb_cyc_stb_RNO_0))
))
(net N_377 (joined
(portRef Z (instanceRef wb_adr_7_0_a2_1_0))
(portRef A (instanceRef wb_adr_7_0_4_0))
))
(net N_455 (joined
(portRef Z (instanceRef FS_RNIK5632_15))
(portRef C (instanceRef wb_adr_7_0_a2_1_0))
(portRef C (instanceRef wb_dati_7_0_a2_6))
(portRef C (instanceRef wb_adr_7_0_a2_2_0))
(portRef A (instanceRef wb_dati_7_0_0_4))
(portRef A (instanceRef wb_dati_7_0_0_3))
(portRef B (instanceRef wb_dati_7_0_a2_4))
(portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0))
(portRef B (instanceRef wb_dati_7_0_2_3))
))
(net N_562 (joined
(portRef Z (instanceRef wb_adr_7_0_o2_2_0))
(portRef D (instanceRef wb_adr_7_0_a2_0_0_0))
(portRef D (instanceRef wb_adr_7_0_a2_1_0))
))
(net N_579 (joined
(portRef Z (instanceRef wb_adr_7_0_o2_0))
(portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0))
(portRef C (instanceRef wb_adr_7_0_1_0))
(portRef D (instanceRef wb_dati_7_0_a2_1_7))
(portRef D (instanceRef wb_adr_7_0_a2_2_0))
(portRef B (instanceRef wb_dati_7_0_RNO_7))
))
(net N_388 (joined
(portRef Z (instanceRef wb_adr_RNO_0_1))
(portRef B (instanceRef wb_adr_RNO_1))
))
(net N_265_i (joined
(portRef Z (instanceRef wb_adr_RNO_2_1))
(portRef C (instanceRef wb_adr_RNO_0_1))
))
(net N_475 (joined
(portRef Z (instanceRef FS_RNIJ9MH_14))
(portRef D (instanceRef wb_adr_RNO_0_1))
(portRef C (instanceRef wb_we_RNO_4))
(portRef A (instanceRef wb_adr_RNO_1_1))
(portRef B (instanceRef wb_we_RNO))
(portRef A (instanceRef wb_we_RNO_3))
))
(net N_577 (joined
(portRef Z (instanceRef FS_RNI6JJA_8))
(portRef D (instanceRef wb_we_RNO_4))
(portRef D (instanceRef CKE_6_iv_i_a2_3))
(portRef C (instanceRef wb_adr_RNO_1_1))
(portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0))
))
(net N_569 (joined
(portRef Z (instanceRef FS_RNI9Q57_13))
(portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0))
(portRef B (instanceRef wb_adr_RNO_1_1))
(portRef C (instanceRef wb_dati_7_0_a2_1_7))
(portRef C (instanceRef wb_dati_7_0_o2_0_2))
))
(net N_584 (joined
(portRef Z (instanceRef wb_we_RNO_1))
(portRef C (instanceRef wb_we_RNO))
))
(net N_393 (joined
(portRef Z (instanceRef wb_we_RNO_3))
(portRef B (instanceRef wb_we_RNO_2))
))
(net N_422 (joined
(portRef Z (instanceRef wb_dati_7_0_a2_7))
(portRef A (instanceRef wb_dati_7_0_7))
))
(net N_642 (joined
(portRef Z (instanceRef wb_dati_7_0_a2_5_4))
(portRef D (instanceRef wb_dati_7_0_a2_7))
(portRef C (instanceRef wb_adr_7_0_0))
(portRef A (instanceRef wb_dati_7_0_a2_5_RNIC22J_4))
))
(net N_424 (joined
(portRef Z (instanceRef wb_dati_7_0_a2_1_7))
(portRef B (instanceRef wb_dati_7_0_7))
))
(net N_254 (joined
(portRef Z (instanceRef nCS_6_u_i_o2_0))
(portRef A (instanceRef nCS_6_u_i_a2_0))
(portRef C (instanceRef un1_LEDEN13_2_i_o2_2_0))
(portRef B (instanceRef nCS_6_u_i_a2_4_RNICJKD2))
(portRef B (instanceRef nCAS_0io_RNO_0))
))
(net N_489 (joined
(portRef Z (instanceRef CKE_6_iv_i_a2_3))
(portRef C (instanceRef Ready_0_sqmuxa_0_a2_6_a2))
(portRef C (instanceRef CKE_6_iv_i_0))
(portRef D (instanceRef nCS_6_u_i_a2_4))
))
(net N_567 (joined
(portRef Z (instanceRef nCAS_s_i_o2))
(portRef B (instanceRef nCAS_0io_RNO))
))
(net N_639 (joined
(portRef Z (instanceRef RA_42_0_RNO_10))
(portRef B (instanceRef RA_42_0_10))
))
(net N_364 (joined
(portRef Z (instanceRef un1_LEDEN13_2_i_a2_0))
(portRef A (instanceRef un1_LEDEN13_2_i_0_0))
))
(net N_456 (joined
(portRef Z (instanceRef wb_dati_7_0_a2_1_0))
(portRef D (instanceRef wb_dati_7_0_a2_1_0_0))
(portRef C (instanceRef CKE_6_iv_i_a2_3))
))
(net N_349 (joined
(portRef Z (instanceRef wb_dati_7_0_a2_3_4))
(portRef C (instanceRef wb_dati_7_0_4))
))
(net N_621 (joined
(portRef Z (instanceRef wb_dati_7_0_a2_6_1))
(portRef D (instanceRef wb_dati_7_0_a2_3_4))
(portRef C (instanceRef wb_dati_7_0_RNO_7))
(portRef D (instanceRef wb_adr_7_0_a2_0_0))
(portRef B (instanceRef wb_dati_7_0_1))
(portRef A (instanceRef wb_dati_7_0_6))
))
(net N_351 (joined
(portRef Z (instanceRef wb_dati_7_0_a2_6))
(portRef B (instanceRef wb_dati_7_0_1_6))
))
(net N_378 (joined
(portRef Z (instanceRef wb_adr_7_0_a2_2_0))
(portRef B (instanceRef wb_adr_7_0_4_0))
))
(net N_394 (joined
(portRef Z (instanceRef wb_we_RNO_4))
(portRef C (instanceRef wb_we_RNO_2))
))
(net N_628 (joined
(portRef Z (instanceRef nCS_6_u_i_a2_4))
(portRef C (instanceRef nCS_6_u_i_1))
(portRef C (instanceRef nCS_6_u_i_a2_4_RNICJKD2))
(portRef A (instanceRef nCS_6_u_i_a2_4_RNI3A062))
))
(net N_570 (joined
(portRef Z (instanceRef nCS_6_u_i_o2))
(portRef B (instanceRef nCS_6_u_i_1))
))
(net N_345 (joined
(portRef Z (instanceRef wb_dati_7_0_a2_4))
(portRef A (instanceRef wb_dati_7_0_4))
(portRef A (instanceRef wb_dati_7_0_2))
(portRef A (instanceRef wb_dati_7_0_5))
))
(net N_346 (joined
(portRef Z (instanceRef wb_dati_7_0_a2_5_RNIC22J_4))
(portRef A (instanceRef wb_dati_7_0_1_6))
(portRef B (instanceRef wb_dati_7_0_4))
))
(net (rename un1_wb_adr_0_sqmuxa_2_1_0 "un1_wb_adr_0_sqmuxa_2_1[0]") (joined
(portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0))
(portRef C (instanceRef CmdBitbangMXO2_RNI8CSO1))
(portRef C (instanceRef wb_we_RNO_0))
))
(net N_376 (joined
(portRef Z (instanceRef wb_adr_7_0_a2_0_0))
(portRef B (instanceRef wb_adr_7_0_0))
))
(net N_586 (joined
(portRef Z (instanceRef un1_LEDEN13_2_i_o2_0))
(portRef D (instanceRef un1_LEDEN13_2_i_0_0))
))
(net N_627 (joined
(portRef Z (instanceRef FS_RNIOD6E_0_8))
(portRef B (instanceRef wb_dati_7_0_0_3))
(portRef C (instanceRef wb_dati_7_0_a2_4))
(portRef C (instanceRef wb_dati_7_0_1))
(portRef B (instanceRef wb_dati_7_0_6))
))
(net N_644 (joined
(portRef Z (instanceRef FS_RNIOD6E_8))
(portRef D (instanceRef wb_dati_7_0_a2_4))
(portRef C (instanceRef wb_dati_7_0_2_3))
))
(net N_572 (joined
(portRef Z (instanceRef S_r_i_o2_1))
(portRef B (instanceRef S_RNO_1))
))
(net N_395 (joined
(portRef Z (instanceRef wb_cyc_stb_RNO_0))
(portRef B (instanceRef wb_cyc_stb_RNO))
))
(net (rename wb_dati_7_0_RNO_7 "wb_dati_7_0_RNO[7]") (joined
(portRef Z (instanceRef wb_dati_7_0_RNO_7))
(portRef C (instanceRef wb_dati_7_0_7))
))
(net (rename wb_dati_7_0_a2_0_1_7 "wb_dati_7_0_a2_0_1[7]") (joined
(portRef Z (instanceRef wb_dati_7_0_a2_0_2_7))
(portRef D (instanceRef wb_dati_7_0_RNO_7))
))
(net (rename wb_dati_7_0_a2_0_6 "wb_dati_7_0_a2_0[6]") (joined
(portRef Z (instanceRef wb_dati_7_0_a2_0_0_6))
(portRef D (instanceRef wb_dati_7_0_a2_6))
(portRef A (instanceRef wb_dati_7_0_0_RNO_7))
))
(net N_576_i (joined
(portRef Z (instanceRef S_RNII9DO1_2_1))
(portRef SP (instanceRef CmdBitbangMXO2))
(portRef SP (instanceRef CmdExecMXO2))
(portRef SP (instanceRef CmdLEDGet))
(portRef SP (instanceRef CmdLEDSet))
(portRef SP (instanceRef CmdRWMaskSet))
(portRef SP (instanceRef CmdSetRWBankFFLED))
(portRef SP (instanceRef CmdSetRWBankFFMXO2))
(portRef SP (instanceRef CmdTout_2))
(portRef SP (instanceRef CmdTout_1))
(portRef SP (instanceRef CmdTout_0))
(portRef SP (instanceRef Dout_0io_7))
(portRef SP (instanceRef Dout_0io_6))
(portRef SP (instanceRef Dout_0io_5))
(portRef SP (instanceRef Dout_0io_4))
(portRef SP (instanceRef Dout_0io_3))
(portRef SP (instanceRef Dout_0io_2))
(portRef SP (instanceRef Dout_0io_1))
(portRef SP (instanceRef Dout_0io_0))
))
(net RDOE_i (joined
(portRef Z (instanceRef nWE80_pad_RNI3ICD))
(portRef T (instanceRef RD_pad_0))
(portRef T (instanceRef RD_pad_1))
(portRef T (instanceRef RD_pad_2))
(portRef T (instanceRef RD_pad_3))
(portRef T (instanceRef RD_pad_4))
(portRef T (instanceRef RD_pad_5))
(portRef T (instanceRef RD_pad_6))
(portRef T (instanceRef RD_pad_7))
))
(net N_6_i (joined
(portRef Z (instanceRef DOEEN_RNO))
(portRef D (instanceRef DOEEN))
))
(net N_358_i (joined
(portRef Z (instanceRef DQMH_0io_RNO))
(portRef D (instanceRef DQMH_0io))
))
(net N_28_i (joined
(portRef Z (instanceRef DQML_0io_RNO))
(portRef D (instanceRef DQML_0io))
))
(net N_129_i (joined
(portRef Z (instanceRef S_RNO_3))
(portRef D (instanceRef S_3))
))
(net N_131_i (joined
(portRef Z (instanceRef S_RNO_2))
(portRef D (instanceRef S_2))
))
(net N_133_i (joined
(portRef Z (instanceRef S_RNO_1))
(portRef D (instanceRef S_1))
))
(net N_561_i (joined
(portRef Z (instanceRef nCAS_0io_RNO))
(portRef D (instanceRef nCAS_0io))
))
(net nRAS_2_iv_i (joined
(portRef Z (instanceRef nRAS_2_iv_i))
(portRef D (instanceRef nRAS_0io))
))
(net N_559_i (joined
(portRef Z (instanceRef nCS_0io_RNO))
(portRef D (instanceRef nCS_0io))
))
(net N_553_i (joined
(portRef Z (instanceRef RA_0io_RNO_2))
(portRef D (instanceRef RA_0io_2))
))
(net N_558_i (joined
(portRef Z (instanceRef RA_0io_RNO_1))
(portRef D (instanceRef RA_0io_1))
))
(net N_127_i (joined
(portRef Z (instanceRef RA_RNO_0))
(portRef D (instanceRef RA_0))
))
(net N_59_i (joined
(portRef Z (instanceRef RA_0io_RNO_9))
(portRef D (instanceRef RA_0io_9))
))
(net N_49_i (joined
(portRef Z (instanceRef RA_0io_RNO_8))
(portRef D (instanceRef RA_0io_8))
))
(net N_549_i (joined
(portRef Z (instanceRef RA_0io_RNO_7))
(portRef D (instanceRef RA_0io_7))
))
(net N_550_i (joined
(portRef Z (instanceRef RA_0io_RNO_6))
(portRef D (instanceRef RA_0io_6))
))
(net N_551_i (joined
(portRef Z (instanceRef RA_0io_RNO_4))
(portRef D (instanceRef RA_0io_4))
))
(net N_552_i (joined
(portRef Z (instanceRef RA_RNO_3))
(portRef D (instanceRef RA_3))
))
(net N_510_i (joined
(portRef Z (instanceRef CS_RNO_2))
(portRef D (instanceRef CS_2))
))
(net un1_CS_0_sqmuxa_i (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_2_RNIQS7F))
(portRef CD (instanceRef CS_2))
(portRef CD (instanceRef CS_1))
(portRef CD (instanceRef CS_0))
))
(net N_511_i (joined
(portRef Z (instanceRef CS_RNO_1))
(portRef D (instanceRef CS_1))
))
(net N_504_i (joined
(portRef Z (instanceRef CS_RNO_0))
(portRef D (instanceRef CS_0))
))
(net N_556_i (joined
(portRef Z (instanceRef CmdTout_RNO_2))
(portRef D (instanceRef CmdTout_2))
))
(net N_555_i (joined
(portRef Z (instanceRef CmdTout_RNO_1))
(portRef D (instanceRef CmdTout_1))
))
(net wb_adr_0_sqmuxa_i (joined
(portRef Z (instanceRef wb_req_RNO_0))
(portRef SP (instanceRef wb_req))
))
(net (rename un1_wb_adr_0_sqmuxa_2_i_0 "un1_wb_adr_0_sqmuxa_2_i[0]") (joined
(portRef Z (instanceRef CmdBitbangMXO2_RNI8CSO1))
(portRef SP (instanceRef wb_adr_7))
(portRef SP (instanceRef wb_adr_6))
(portRef SP (instanceRef wb_adr_5))
(portRef SP (instanceRef wb_adr_4))
(portRef SP (instanceRef wb_adr_3))
(portRef SP (instanceRef wb_adr_2))
(portRef SP (instanceRef wb_adr_1))
(portRef SP (instanceRef wb_adr_0))
(portRef SP (instanceRef wb_dati_7))
(portRef SP (instanceRef wb_dati_6))
(portRef SP (instanceRef wb_dati_5))
(portRef SP (instanceRef wb_dati_4))
(portRef SP (instanceRef wb_dati_3))
(portRef SP (instanceRef wb_dati_2))
(portRef SP (instanceRef wb_dati_1))
(portRef SP (instanceRef wb_dati_0))
))
(net (rename un1_wb_cyc_stb_0_sqmuxa_1_i_0 "un1_wb_cyc_stb_0_sqmuxa_1_i[0]") (joined
(portRef Z (instanceRef wb_we_RNO_0))
(portRef SP (instanceRef wb_we))
))
(net N_39_i (joined
(portRef Z (instanceRef wb_adr_RNO_7))
(portRef D (instanceRef wb_adr_7))
))
(net N_41_i (joined
(portRef Z (instanceRef wb_adr_RNO_3))
(portRef D (instanceRef wb_adr_3))
))
(net N_43_i (joined
(portRef Z (instanceRef wb_adr_RNO_2))
(portRef D (instanceRef wb_adr_2))
))
(net N_292_i (joined
(portRef Z (instanceRef RWMask_RNO_0))
(portRef D (instanceRef RWMask_0))
))
(net N_291_i (joined
(portRef Z (instanceRef RWMask_RNO_1))
(portRef D (instanceRef RWMask_1))
))
(net N_290_i (joined
(portRef Z (instanceRef RWMask_RNO_2))
(portRef D (instanceRef RWMask_2))
))
(net N_289_i (joined
(portRef Z (instanceRef RWMask_RNO_3))
(portRef D (instanceRef RWMask_3))
))
(net N_288_i (joined
(portRef Z (instanceRef RWMask_RNO_4))
(portRef D (instanceRef RWMask_4))
))
(net N_287_i (joined
(portRef Z (instanceRef RWMask_RNO_5))
(portRef D (instanceRef RWMask_5))
))
(net N_286_i (joined
(portRef Z (instanceRef RWMask_RNO_6))
(portRef D (instanceRef RWMask_6))
))
(net un1_nCS61_1_i (joined
(portRef Z (instanceRef nRWE_r_0_RNO))
(portRef A (instanceRef nRWE_r_0))
))
(net (rename FS_cry_0 "FS_cry[0]") (joined
(portRef COUT (instanceRef FS_cry_0_0))
(portRef CIN (instanceRef FS_cry_0_1))
))
(net (rename FS_s_0 "FS_s[0]") (joined
(portRef S1 (instanceRef FS_cry_0_0))
(portRef D (instanceRef FS_0))
))
(net (rename FS_s_1 "FS_s[1]") (joined
(portRef S0 (instanceRef FS_cry_0_1))
(portRef D (instanceRef FS_1))
))
(net (rename FS_cry_2 "FS_cry[2]") (joined
(portRef COUT (instanceRef FS_cry_0_1))
(portRef CIN (instanceRef FS_cry_0_3))
))
(net (rename FS_s_2 "FS_s[2]") (joined
(portRef S1 (instanceRef FS_cry_0_1))
(portRef D (instanceRef FS_2))
))
(net (rename FS_s_3 "FS_s[3]") (joined
(portRef S0 (instanceRef FS_cry_0_3))
(portRef D (instanceRef FS_3))
))
(net (rename FS_cry_4 "FS_cry[4]") (joined
(portRef COUT (instanceRef FS_cry_0_3))
(portRef CIN (instanceRef FS_cry_0_5))
))
(net (rename FS_s_4 "FS_s[4]") (joined
(portRef S1 (instanceRef FS_cry_0_3))
(portRef D (instanceRef FS_4))
))
(net (rename FS_s_5 "FS_s[5]") (joined
(portRef S0 (instanceRef FS_cry_0_5))
(portRef D (instanceRef FS_5))
))
(net (rename FS_cry_6 "FS_cry[6]") (joined
(portRef COUT (instanceRef FS_cry_0_5))
(portRef CIN (instanceRef FS_cry_0_7))
))
(net (rename FS_s_6 "FS_s[6]") (joined
(portRef S1 (instanceRef FS_cry_0_5))
(portRef D (instanceRef FS_6))
))
(net (rename FS_s_7 "FS_s[7]") (joined
(portRef S0 (instanceRef FS_cry_0_7))
(portRef D (instanceRef FS_7))
))
(net (rename FS_cry_8 "FS_cry[8]") (joined
(portRef COUT (instanceRef FS_cry_0_7))
(portRef CIN (instanceRef FS_cry_0_9))
))
(net (rename FS_s_8 "FS_s[8]") (joined
(portRef S1 (instanceRef FS_cry_0_7))
(portRef D (instanceRef FS_8))
))
(net (rename FS_s_9 "FS_s[9]") (joined
(portRef S0 (instanceRef FS_cry_0_9))
(portRef D (instanceRef FS_9))
))
(net (rename FS_cry_10 "FS_cry[10]") (joined
(portRef COUT (instanceRef FS_cry_0_9))
(portRef CIN (instanceRef FS_cry_0_11))
))
(net (rename FS_s_10 "FS_s[10]") (joined
(portRef S1 (instanceRef FS_cry_0_9))
(portRef D (instanceRef FS_10))
))
(net (rename FS_s_11 "FS_s[11]") (joined
(portRef S0 (instanceRef FS_cry_0_11))
(portRef D (instanceRef FS_11))
))
(net (rename FS_cry_12 "FS_cry[12]") (joined
(portRef COUT (instanceRef FS_cry_0_11))
(portRef CIN (instanceRef FS_cry_0_13))
))
(net (rename FS_s_12 "FS_s[12]") (joined
(portRef S1 (instanceRef FS_cry_0_11))
(portRef D (instanceRef FS_12))
))
(net (rename FS_s_13 "FS_s[13]") (joined
(portRef S0 (instanceRef FS_cry_0_13))
(portRef D (instanceRef FS_13))
))
(net (rename FS_cry_14 "FS_cry[14]") (joined
(portRef COUT (instanceRef FS_cry_0_13))
(portRef CIN (instanceRef FS_s_0_15))
))
(net (rename FS_s_14 "FS_s[14]") (joined
(portRef S1 (instanceRef FS_cry_0_13))
(portRef D (instanceRef FS_14))
))
(net (rename FS_s_15 "FS_s[15]") (joined
(portRef S0 (instanceRef FS_s_0_15))
(portRef D (instanceRef FS_15))
))
(net wb_reqc_i (joined
(portRef Z (instanceRef wb_req_RNO))
(portRef D (instanceRef wb_req))
))
(net wb_reqc_1 (joined
(portRef Z (instanceRef wb_reqc_1))
(portRef D (instanceRef RA_0io_RNO_11))
(portRef D (instanceRef CKE_6_iv_i_0_1))
(portRef D (instanceRef wb_req_RNO))
(portRef D (instanceRef S_RNO_1))
(portRef D (instanceRef RA_0io_RNO_9))
(portRef D (instanceRef CmdBitbangMXO2_RNI8CSO1))
(portRef D (instanceRef wb_we_RNO_0))
(portRef A (instanceRef wb_reqc_1_RNIEO5C1))
(portRef B (instanceRef wb_req_RNO_0))
(portRef B (instanceRef FS_RNI5OOF1_15))
(portRef D (instanceRef wb_reqc_1_RNIRU4M1))
))
(net CKE_6_iv_i_a2_0 (joined
(portRef Z (instanceRef CKE_6_iv_i_0_1_RNO))
(portRef A (instanceRef CKE_6_iv_i_0_1))
))
(net wb_adr_7_5_214_a2_2_0 (joined
(portRef Z (instanceRef wb_adr_RNO_3_1))
(portRef D (instanceRef wb_adr_RNO_1_1))
))
(net DQML_s_i_a2_0 (joined
(portRef Z (instanceRef DQML_0io_RNO_0))
(portRef A (instanceRef DQML_0io_RNO))
))
(net un1_CS_0_sqmuxa_0_0_a2_2_2 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_2))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_4))
))
(net un1_CS_0_sqmuxa_0_0_a2_2_4 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_4))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_2))
))
(net un1_CS_0_sqmuxa_0_0_a2_1_2 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_2))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_4))
))
(net un1_CS_0_sqmuxa_0_0_a2_1_4 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_4))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_1))
))
(net (rename S_s_0_1_0 "S_s_0_1[0]") (joined
(portRef Z (instanceRef S_s_0_1_0))
(portRef D (instanceRef S_s_0_0))
))
(net un1_CS_0_sqmuxa_0_0_a2_1 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_6))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_2))
))
(net un1_CS_0_sqmuxa_0_0_a2_3_0 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_0))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_2))
))
(net un1_CS_0_sqmuxa_0_0_a2_3_2 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_2))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_2_RNIQS7F))
))
(net un1_CS_0_sqmuxa_0_0_a2_4_2 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_2))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_4))
))
(net un1_CS_0_sqmuxa_0_0_a2_4_4 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_4))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_4))
))
(net CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0 (joined
(portRef Z (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0))
(portRef B (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_0))
))
(net wb_adr_7_5_214_0_1 (joined
(portRef Z (instanceRef wb_adr_RNO_1_1))
(portRef D (instanceRef wb_adr_RNO_1))
))
(net CmdLEDGet_4_u_0_0_a2_0_2 (joined
(portRef Z (instanceRef CmdLEDGet_4_u_0_0_a2_0_2))
(portRef B (instanceRef CmdLEDGet_4_u_0_0_0))
))
(net wb_we_7_iv_0_0_0_1 (joined
(portRef Z (instanceRef wb_we_RNO_2))
(portRef D (instanceRef wb_we_RNO))
))
(net (rename wb_adr_7_0_a2_5_0_0 "wb_adr_7_0_a2_5_0[0]") (joined
(portRef Z (instanceRef wb_adr_7_0_a2_5_0_0))
(portRef D (instanceRef wb_adr_7_0_1_0))
))
(net (rename wb_adr_7_0_a2_0_0 "wb_adr_7_0_a2_0[0]") (joined
(portRef Z (instanceRef wb_adr_7_0_a2_0_0_0))
(portRef D (instanceRef wb_adr_7_0_0_0))
))
(net (rename wb_dati_7_0_a2_4_0_7 "wb_dati_7_0_a2_4_0[7]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_RNO_7))
(portRef D (instanceRef wb_dati_7_0_0_7))
))
(net (rename wb_dati_7_0_a2_2_0_1 "wb_dati_7_0_a2_2_0[1]") (joined
(portRef Z (instanceRef wb_dati_7_0_a2_2_0_1))
(portRef D (instanceRef wb_dati_7_0_0_1))
))
(net CmdBitbangMXO2_4_u_0_0_a2_0_1 (joined
(portRef Z (instanceRef CmdBitbangMXO2_4_u_0_0_a2_0_1))
(portRef B (instanceRef CmdBitbangMXO2_4_u_0_0_0))
))
(net CKE_6_iv_i_0_1 (joined
(portRef Z (instanceRef CKE_6_iv_i_0_1))
(portRef A (instanceRef CKE_6_iv_i_0))
))
(net (rename un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0 "un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0]") (joined
(portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0))
(portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0))
))
(net (rename wb_dati_7_0_a2_1_0 "wb_dati_7_0_a2_1[0]") (joined
(portRef Z (instanceRef wb_dati_7_0_a2_1_0_0))
(portRef D (instanceRef wb_dati_7_0_0))
))
(net (rename un1_RWMask_0_sqmuxa_1_i_a2_0_1_0 "un1_RWMask_0_sqmuxa_1_i_a2_0_1[0]") (joined
(portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0))
(portRef D (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0))
))
(net Ready_0_sqmuxa_0_a2_6_a2_2 (joined
(portRef Z (instanceRef Ready_0_sqmuxa_0_a2_6_a2_2_0))
(portRef D (instanceRef Ready_0_sqmuxa_0_a2_6_a2_4))
))
(net Ready_0_sqmuxa_0_a2_6_a2_4 (joined
(portRef Z (instanceRef Ready_0_sqmuxa_0_a2_6_a2_4))
(portRef D (instanceRef Ready_0_sqmuxa_0_a2_6_a2))
))
(net nCS_6_u_i_a2_1 (joined
(portRef Z (instanceRef nCS_6_u_i_a2_1_0))
(portRef D (instanceRef nCS_6_u_i_1))
))
(net (rename wb_dati_7_0_a2_2_1_3 "wb_dati_7_0_a2_2_1[3]") (joined
(portRef Z (instanceRef wb_dati_7_0_2_RNO_3))
(portRef D (instanceRef wb_dati_7_0_2_3))
))
(net un1_CS_0_sqmuxa_0_0_0 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_0))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_2))
))
(net un1_CS_0_sqmuxa_0_0_2 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_2))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_2_RNIQS7F))
))
(net un1_CS_0_sqmuxa_0_0_3 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_3))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_2_RNIQS7F))
))
(net (rename wb_dati_7_0_0_1 "wb_dati_7_0_0[1]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_1))
(portRef D (instanceRef wb_dati_7_0_1))
))
(net (rename wb_dati_7_0_o2_0_2 "wb_dati_7_0_o2_0[2]") (joined
(portRef Z (instanceRef wb_dati_7_0_o2_0_2))
(portRef D (instanceRef wb_dati_7_0_2))
(portRef D (instanceRef wb_dati_7_0_5))
))
(net nCAS_s_i_tz_0 (joined
(portRef Z (instanceRef nCAS_0io_RNO_0))
(portRef D (instanceRef nCAS_0io_RNO))
))
(net (rename wb_dati_7_0_1_6 "wb_dati_7_0_1[6]") (joined
(portRef Z (instanceRef wb_dati_7_0_1_6))
(portRef C (instanceRef wb_dati_7_0_6))
))
(net (rename wb_dati_7_0_0_7 "wb_dati_7_0_0[7]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_7))
(portRef D (instanceRef wb_dati_7_0_7))
))
(net (rename wb_dati_7_0_0_3 "wb_dati_7_0_0[3]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_3))
(portRef B (instanceRef wb_dati_7_0_3))
))
(net (rename wb_dati_7_0_2_3 "wb_dati_7_0_2[3]") (joined
(portRef Z (instanceRef wb_dati_7_0_2_3))
(portRef C (instanceRef wb_dati_7_0_3))
))
(net nCS_6_u_i_0 (joined
(portRef Z (instanceRef nCS_6_u_i_0))
(portRef B (instanceRef nCS_0io_RNO))
))
(net (rename wb_adr_7_0_0_0 "wb_adr_7_0_0[0]") (joined
(portRef Z (instanceRef wb_adr_7_0_0_0))
(portRef C (instanceRef wb_adr_7_0_4_0))
))
(net (rename wb_adr_7_0_1_0 "wb_adr_7_0_1[0]") (joined
(portRef Z (instanceRef wb_adr_7_0_1_0))
(portRef D (instanceRef wb_adr_7_0_4_0))
))
(net (rename wb_adr_7_0_4_0 "wb_adr_7_0_4[0]") (joined
(portRef Z (instanceRef wb_adr_7_0_4_0))
(portRef D (instanceRef wb_adr_7_0_0))
))
(net (rename wb_dati_7_0_0_4 "wb_dati_7_0_0[4]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_4))
(portRef D (instanceRef wb_dati_7_0_4))
))
(net (rename FS_cry_0_S0_0 "FS_cry_0_S0[0]") (joined
(portRef S0 (instanceRef FS_cry_0_0))
))
(net (rename FS_s_0_S1_15 "FS_s_0_S1[15]") (joined
(portRef S1 (instanceRef FS_s_0_15))
))
(net (rename FS_s_0_COUT_15 "FS_s_0_COUT[15]") (joined
(portRef COUT (instanceRef FS_s_0_15))
))
(net (rename Dout_0__CN "Dout_0_.CN") (joined
(portRef Z (instanceRef Vout_0__CN))
(portRef SCLK (instanceRef Dout_0io_7))
(portRef SCLK (instanceRef Dout_0io_6))
(portRef SCLK (instanceRef Dout_0io_5))
(portRef SCLK (instanceRef Dout_0io_4))
(portRef SCLK (instanceRef Dout_0io_3))
(portRef SCLK (instanceRef Dout_0io_2))
(portRef SCLK (instanceRef Dout_0io_1))
(portRef SCLK (instanceRef Dout_0io_0))
(portRef SCLK (instanceRef Vout_0io_7))
(portRef SCLK (instanceRef Vout_0io_6))
(portRef SCLK (instanceRef Vout_0io_5))
(portRef SCLK (instanceRef Vout_0io_4))
(portRef SCLK (instanceRef Vout_0io_3))
(portRef SCLK (instanceRef Vout_0io_2))
(portRef SCLK (instanceRef Vout_0io_1))
(portRef SCLK (instanceRef Vout_0io_0))
))
(net VCC (joined
(portRef Z (instanceRef VCC))
(portRef B0 (instanceRef FS_cry_0_0))
(portRef SP (instanceRef BA_0io_1))
(portRef SP (instanceRef BA_0io_0))
(portRef SP (instanceRef CKE_0io))
(portRef SP (instanceRef DQMH_0io))
(portRef SP (instanceRef DQML_0io))
(portRef SP (instanceRef RA_0io_11))
(portRef SP (instanceRef RA_0io_10))
(portRef SP (instanceRef RA_0io_9))
(portRef SP (instanceRef RA_0io_8))
(portRef SP (instanceRef nCAS_0io))
(portRef SP (instanceRef nCS_0io))
(portRef SP (instanceRef nRAS_0io))
(portRef SP (instanceRef nRWE_0io))
(portRef SP (instanceRef PHI1reg_0io))
(portRef GSR (instanceRef GSR_INST))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef D1 (instanceRef FS_cry_0_0))
(portRef C1 (instanceRef FS_cry_0_0))
(portRef B1 (instanceRef FS_cry_0_0))
(portRef D0 (instanceRef FS_cry_0_0))
(portRef C0 (instanceRef FS_cry_0_0))
(portRef A0 (instanceRef FS_cry_0_0))
(portRef D1 (instanceRef FS_cry_0_1))
(portRef C1 (instanceRef FS_cry_0_1))
(portRef B1 (instanceRef FS_cry_0_1))
(portRef D0 (instanceRef FS_cry_0_1))
(portRef C0 (instanceRef FS_cry_0_1))
(portRef B0 (instanceRef FS_cry_0_1))
(portRef D1 (instanceRef FS_cry_0_3))
(portRef C1 (instanceRef FS_cry_0_3))
(portRef B1 (instanceRef FS_cry_0_3))
(portRef D0 (instanceRef FS_cry_0_3))
(portRef C0 (instanceRef FS_cry_0_3))
(portRef B0 (instanceRef FS_cry_0_3))
(portRef D1 (instanceRef FS_cry_0_5))
(portRef C1 (instanceRef FS_cry_0_5))
(portRef B1 (instanceRef FS_cry_0_5))
(portRef D0 (instanceRef FS_cry_0_5))
(portRef C0 (instanceRef FS_cry_0_5))
(portRef B0 (instanceRef FS_cry_0_5))
(portRef D1 (instanceRef FS_cry_0_7))
(portRef C1 (instanceRef FS_cry_0_7))
(portRef B1 (instanceRef FS_cry_0_7))
(portRef D0 (instanceRef FS_cry_0_7))
(portRef C0 (instanceRef FS_cry_0_7))
(portRef B0 (instanceRef FS_cry_0_7))
(portRef D1 (instanceRef FS_cry_0_9))
(portRef C1 (instanceRef FS_cry_0_9))
(portRef B1 (instanceRef FS_cry_0_9))
(portRef D0 (instanceRef FS_cry_0_9))
(portRef C0 (instanceRef FS_cry_0_9))
(portRef B0 (instanceRef FS_cry_0_9))
(portRef D1 (instanceRef FS_cry_0_11))
(portRef C1 (instanceRef FS_cry_0_11))
(portRef B1 (instanceRef FS_cry_0_11))
(portRef D0 (instanceRef FS_cry_0_11))
(portRef C0 (instanceRef FS_cry_0_11))
(portRef B0 (instanceRef FS_cry_0_11))
(portRef D1 (instanceRef FS_cry_0_13))
(portRef C1 (instanceRef FS_cry_0_13))
(portRef B1 (instanceRef FS_cry_0_13))
(portRef D0 (instanceRef FS_cry_0_13))
(portRef C0 (instanceRef FS_cry_0_13))
(portRef B0 (instanceRef FS_cry_0_13))
(portRef D1 (instanceRef FS_s_0_15))
(portRef C1 (instanceRef FS_s_0_15))
(portRef B1 (instanceRef FS_s_0_15))
(portRef A1 (instanceRef FS_s_0_15))
(portRef D0 (instanceRef FS_s_0_15))
(portRef C0 (instanceRef FS_s_0_15))
(portRef B0 (instanceRef FS_s_0_15))
(portRef CD (instanceRef CKE_0io))
(portRef PD (instanceRef DQMH_0io))
(portRef PD (instanceRef DQML_0io))
(portRef CD (instanceRef Dout_0io_7))
(portRef CD (instanceRef Dout_0io_6))
(portRef CD (instanceRef Dout_0io_5))
(portRef CD (instanceRef Dout_0io_4))
(portRef CD (instanceRef Dout_0io_3))
(portRef CD (instanceRef Dout_0io_2))
(portRef CD (instanceRef Dout_0io_1))
(portRef CD (instanceRef Dout_0io_0))
(portRef CD (instanceRef RA_0io_11))
(portRef CD (instanceRef RA_0io_10))
(portRef CD (instanceRef RA_0io_9))
(portRef CD (instanceRef RA_0io_8))
(portRef CD (instanceRef RA_0io_7))
(portRef CD (instanceRef RA_0io_6))
(portRef CD (instanceRef RA_0io_5))
(portRef CD (instanceRef RA_0io_4))
(portRef CD (instanceRef RA_0io_2))
(portRef CD (instanceRef RA_0io_1))
(portRef CD (instanceRef Vout_0io_7))
(portRef CD (instanceRef Vout_0io_6))
(portRef CD (instanceRef Vout_0io_5))
(portRef CD (instanceRef Vout_0io_4))
(portRef CD (instanceRef Vout_0io_3))
(portRef CD (instanceRef Vout_0io_2))
(portRef CD (instanceRef Vout_0io_1))
(portRef CD (instanceRef Vout_0io_0))
(portRef PD (instanceRef nCAS_0io))
(portRef PD (instanceRef nCS_0io))
(portRef PD (instanceRef nRAS_0io))
(portRef PD (instanceRef nRWE_0io))
(portRef CD (instanceRef PHI1reg_0io))
))
(net C14M_c (joined
(portRef O (instanceRef C14M_pad))
(portRef C14M_c (instanceRef ufmefb))
(portRef CK (instanceRef CS_2))
(portRef CK (instanceRef CS_1))
(portRef CK (instanceRef CS_0))
(portRef CK (instanceRef CmdBitbangMXO2))
(portRef CK (instanceRef CmdExecMXO2))
(portRef CK (instanceRef CmdLEDGet))
(portRef CK (instanceRef CmdLEDSet))
(portRef CK (instanceRef CmdRWMaskSet))
(portRef CK (instanceRef CmdSetRWBankFFLED))
(portRef CK (instanceRef CmdSetRWBankFFMXO2))
(portRef CK (instanceRef CmdTout_2))
(portRef CK (instanceRef CmdTout_1))
(portRef CK (instanceRef CmdTout_0))
(portRef CK (instanceRef DOEEN))
(portRef CK (instanceRef FS_15))
(portRef CK (instanceRef FS_14))
(portRef CK (instanceRef FS_13))
(portRef CK (instanceRef FS_12))
(portRef CK (instanceRef FS_11))
(portRef CK (instanceRef FS_10))
(portRef CK (instanceRef FS_9))
(portRef CK (instanceRef FS_8))
(portRef CK (instanceRef FS_7))
(portRef CK (instanceRef FS_6))
(portRef CK (instanceRef FS_5))
(portRef CK (instanceRef FS_4))
(portRef CK (instanceRef FS_3))
(portRef CK (instanceRef FS_2))
(portRef CK (instanceRef FS_1))
(portRef CK (instanceRef FS_0))
(portRef CK (instanceRef LEDEN))
(portRef CK (instanceRef RA_3))
(portRef CK (instanceRef RA_0))
(portRef CK (instanceRef RWBank_7))
(portRef CK (instanceRef RWBank_6))
(portRef CK (instanceRef RWBank_5))
(portRef CK (instanceRef RWBank_4))
(portRef CK (instanceRef RWBank_3))
(portRef CK (instanceRef RWBank_2))
(portRef CK (instanceRef RWBank_1))
(portRef CK (instanceRef RWBank_0))
(portRef CK (instanceRef RWMask_7))
(portRef CK (instanceRef RWMask_6))
(portRef CK (instanceRef RWMask_5))
(portRef CK (instanceRef RWMask_4))
(portRef CK (instanceRef RWMask_3))
(portRef CK (instanceRef RWMask_2))
(portRef CK (instanceRef RWMask_1))
(portRef CK (instanceRef RWMask_0))
(portRef CK (instanceRef RWSel))
(portRef CK (instanceRef Ready))
(portRef CK (instanceRef S_3))
(portRef CK (instanceRef S_2))
(portRef CK (instanceRef S_1))
(portRef CK (instanceRef S_0))
(portRef CK (instanceRef wb_adr_7))
(portRef CK (instanceRef wb_adr_6))
(portRef CK (instanceRef wb_adr_5))
(portRef CK (instanceRef wb_adr_4))
(portRef CK (instanceRef wb_adr_3))
(portRef CK (instanceRef wb_adr_2))
(portRef CK (instanceRef wb_adr_1))
(portRef CK (instanceRef wb_adr_0))
(portRef CK (instanceRef wb_cyc_stb))
(portRef CK (instanceRef wb_dati_7))
(portRef CK (instanceRef wb_dati_6))
(portRef CK (instanceRef wb_dati_5))
(portRef CK (instanceRef wb_dati_4))
(portRef CK (instanceRef wb_dati_3))
(portRef CK (instanceRef wb_dati_2))
(portRef CK (instanceRef wb_dati_1))
(portRef CK (instanceRef wb_dati_0))
(portRef CK (instanceRef wb_req))
(portRef CK (instanceRef wb_rst))
(portRef CK (instanceRef wb_we))
(portRef SCLK (instanceRef BA_0io_1))
(portRef SCLK (instanceRef BA_0io_0))
(portRef SCLK (instanceRef CKE_0io))
(portRef SCLK (instanceRef DQMH_0io))
(portRef SCLK (instanceRef DQML_0io))
(portRef SCLK (instanceRef RA_0io_11))
(portRef SCLK (instanceRef RA_0io_10))
(portRef SCLK (instanceRef RA_0io_9))
(portRef SCLK (instanceRef RA_0io_8))
(portRef SCLK (instanceRef RA_0io_7))
(portRef SCLK (instanceRef RA_0io_6))
(portRef SCLK (instanceRef RA_0io_5))
(portRef SCLK (instanceRef RA_0io_4))
(portRef SCLK (instanceRef RA_0io_2))
(portRef SCLK (instanceRef RA_0io_1))
(portRef SCLK (instanceRef nCAS_0io))
(portRef SCLK (instanceRef nCS_0io))
(portRef SCLK (instanceRef nRAS_0io))
(portRef SCLK (instanceRef nRWE_0io))
(portRef SCLK (instanceRef PHI1reg_0io))
(portRef A (instanceRef Vout_0__CN))
))
(net C14M (joined
(portRef C14M)
(portRef I (instanceRef C14M_pad))
))
(net PHI1_c (joined
(portRef O (instanceRef PHI1_pad))
(portRef A (instanceRef SZ0Z_1))
(portRef I (instanceRef nVOE_pad))
(portRef D (instanceRef PHI1reg_0io))
))
(net PHI1 (joined
(portRef PHI1)
(portRef I (instanceRef PHI1_pad))
))
(net LED_c (joined
(portRef Z (instanceRef LED_pad_RNO))
(portRef I (instanceRef LED_pad))
))
(net LED (joined
(portRef O (instanceRef LED_pad))
(portRef LED)
))
(net nWE_c (joined
(portRef O (instanceRef nWE_pad))
(portRef D (instanceRef RWSel_2))
(portRef C (instanceRef nDOE_pad_RNO))
))
(net nWE (joined
(portRef nWE)
(portRef I (instanceRef nWE_pad))
))
(net nWE80_c (joined
(portRef O (instanceRef nWE80_pad))
(portRef B (instanceRef nWE80_pad_RNI3ICD))
(portRef D (instanceRef nRWE_r_0))
))
(net nWE80 (joined
(portRef nWE80)
(portRef I (instanceRef nWE80_pad))
))
(net nEN80_c (joined
(portRef O (instanceRef nEN80_pad))
(portRef A (instanceRef nWE80_pad_RNI3ICD))
(portRef B (instanceRef LED_pad_RNO))
(portRef B (instanceRef nDOE_pad_RNO))
(portRef D (instanceRef nCS_6_u_i_0))
(portRef A (instanceRef CKE_6_iv_i_0_1_RNO))
))
(net nEN80 (joined
(portRef nEN80)
(portRef I (instanceRef nEN80_pad))
))
(net nC07X_c (joined
(portRef O (instanceRef nC07X_pad))
(portRef C (instanceRef RWSel_2))
))
(net nC07X (joined
(portRef nC07X)
(portRef I (instanceRef nC07X_pad))
))
(net (rename Ain_c_0 "Ain_c[0]") (joined
(portRef O (instanceRef Ain_pad_0))
(portRef A (instanceRef RA_RNO_0))
))
(net (rename Ain_0 "Ain[0]") (joined
(portRef (member ain 7))
(portRef I (instanceRef Ain_pad_0))
))
(net (rename Ain_c_1 "Ain_c[1]") (joined
(portRef O (instanceRef Ain_pad_1))
(portRef A (instanceRef RA_0io_RNO_1))
))
(net (rename Ain_1 "Ain[1]") (joined
(portRef (member ain 6))
(portRef I (instanceRef Ain_pad_1))
))
(net (rename Ain_c_2 "Ain_c[2]") (joined
(portRef O (instanceRef Ain_pad_2))
(portRef A (instanceRef RA_0io_RNO_2))
))
(net (rename Ain_2 "Ain[2]") (joined
(portRef (member ain 5))
(portRef I (instanceRef Ain_pad_2))
))
(net (rename Ain_c_3 "Ain_c[3]") (joined
(portRef O (instanceRef Ain_pad_3))
(portRef A (instanceRef RA_RNO_3))
))
(net (rename Ain_3 "Ain[3]") (joined
(portRef (member ain 4))
(portRef I (instanceRef Ain_pad_3))
))
(net (rename Ain_c_4 "Ain_c[4]") (joined
(portRef O (instanceRef Ain_pad_4))
(portRef A (instanceRef RA_0io_RNO_4))
))
(net (rename Ain_4 "Ain[4]") (joined
(portRef (member ain 3))
(portRef I (instanceRef Ain_pad_4))
))
(net (rename Ain_c_5 "Ain_c[5]") (joined
(portRef O (instanceRef Ain_pad_5))
(portRef A (instanceRef RA_42_3_0_5))
))
(net (rename Ain_5 "Ain[5]") (joined
(portRef (member ain 2))
(portRef I (instanceRef Ain_pad_5))
))
(net (rename Ain_c_6 "Ain_c[6]") (joined
(portRef O (instanceRef Ain_pad_6))
(portRef A (instanceRef RA_0io_RNO_6))
))
(net (rename Ain_6 "Ain[6]") (joined
(portRef (member ain 1))
(portRef I (instanceRef Ain_pad_6))
))
(net (rename Ain_c_7 "Ain_c[7]") (joined
(portRef O (instanceRef Ain_pad_7))
(portRef A (instanceRef RA_0io_RNO_7))
))
(net (rename Ain_7 "Ain[7]") (joined
(portRef (member ain 0))
(portRef I (instanceRef Ain_pad_7))
))
(net (rename Din_c_0 "Din_c[0]") (joined
(portRef O (instanceRef Din_pad_0))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_0))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_17))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_16))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_13))
(portRef A (instanceRef LEDEN_RNO))
(portRef A (instanceRef CmdBitbangMXO2_4_u_0_0_a2_0_1))
(portRef A (instanceRef RWMask_RNO_0))
(portRef A (instanceRef CmdLEDGet_4_u_0_0_a2_0_2))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_2))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_15))
(portRef A (instanceRef RWBank_5_0_0))
(portRef A (instanceRef wb_we_RNO_2))
(portRef A (instanceRef wb_adr_7_0_0_0))
(portRef I (instanceRef RD_pad_0))
))
(net (rename Din_0 "Din[0]") (joined
(portRef (member din 7))
(portRef I (instanceRef Din_pad_0))
))
(net (rename Din_c_1 "Din_c[1]") (joined
(portRef O (instanceRef Din_pad_1))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_0))
(portRef C (instanceRef CmdBitbangMXO2_4_u_0_0_a2_1))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_11))
(portRef A (instanceRef RWMask_RNO_1))
(portRef B (instanceRef CmdLEDGet_4_u_0_0_a2_0_2))
(portRef A (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_2))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_15))
(portRef A (instanceRef RWBank_5_0_1))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_o2_0))
(portRef A (instanceRef CmdLEDSet_4_u_0_0_a2_0))
(portRef A (instanceRef wb_adr_RNO_1))
(portRef A (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_0))
(portRef I (instanceRef RD_pad_1))
))
(net (rename Din_1 "Din[1]") (joined
(portRef (member din 6))
(portRef I (instanceRef Din_pad_1))
))
(net (rename Din_c_2 "Din_c[2]") (joined
(portRef O (instanceRef Din_pad_2))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_0))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_17))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_16))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_13))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_12))
(portRef B (instanceRef CmdBitbangMXO2_4_u_0_0_a2_0_1))
(portRef A (instanceRef wb_adr_RNO_2))
(portRef A (instanceRef RWMask_RNO_2))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_15))
(portRef A (instanceRef RWBank_5_0_2))
(portRef I (instanceRef RD_pad_2))
))
(net (rename Din_2 "Din[2]") (joined
(portRef (member din 5))
(portRef I (instanceRef Din_pad_2))
))
(net (rename Din_c_3 "Din_c[3]") (joined
(portRef O (instanceRef Din_pad_3))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_0))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_17))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_16))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_12))
(portRef C (instanceRef CmdBitbangMXO2_4_u_0_0_a2_0_1))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_6))
(portRef A (instanceRef wb_adr_RNO_3))
(portRef A (instanceRef RWMask_RNO_3))
(portRef A (instanceRef RWBank_5_0_3))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_4))
(portRef I (instanceRef RD_pad_3))
))
(net (rename Din_3 "Din[3]") (joined
(portRef (member din 4))
(portRef I (instanceRef Din_pad_3))
))
(net (rename Din_c_4 "Din_c[4]") (joined
(portRef O (instanceRef Din_pad_4))
(portRef B (instanceRef CmdBitbangMXO2_4_u_0_0_a2_1))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_11))
(portRef A (instanceRef wb_adr_RNO_4))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_6))
(portRef A (instanceRef RWMask_RNO_4))
(portRef C (instanceRef CmdLEDGet_4_u_0_0_a2_0_2))
(portRef B (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_2))
(portRef A (instanceRef RWBank_5_0_4))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_2))
(portRef B (instanceRef CmdLEDSet_4_u_0_0_a2_0))
(portRef B (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_0))
(portRef I (instanceRef RD_pad_4))
))
(net (rename Din_4 "Din[4]") (joined
(portRef (member din 3))
(portRef I (instanceRef Din_pad_4))
))
(net (rename Din_c_5 "Din_c[5]") (joined
(portRef O (instanceRef Din_pad_5))
(portRef A (instanceRef wb_adr_RNO_5))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_7))
(portRef A (instanceRef RWMask_RNO_5))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_2))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_2))
(portRef A (instanceRef RWBank_5_0_5))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_2))
(portRef I (instanceRef RD_pad_5))
))
(net (rename Din_5 "Din[5]") (joined
(portRef (member din 2))
(portRef I (instanceRef Din_pad_5))
))
(net (rename Din_c_6 "Din_c[6]") (joined
(portRef O (instanceRef Din_pad_6))
(portRef A (instanceRef wb_adr_RNO_6))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_6))
(portRef A (instanceRef RWMask_RNO_6))
(portRef A (instanceRef RWBank_5_0_6))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_10))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_4))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_4))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_1))
(portRef I (instanceRef RD_pad_6))
))
(net (rename Din_6 "Din[6]") (joined
(portRef (member din 1))
(portRef I (instanceRef Din_pad_6))
))
(net (rename Din_c_7 "Din_c[7]") (joined
(portRef O (instanceRef Din_pad_7))
(portRef A (instanceRef RWMask_RNO_7))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_7))
(portRef A (instanceRef wb_adr_RNO_7))
(portRef A (instanceRef RWBank_5_0_0_7))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_2))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_4))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_2))
(portRef I (instanceRef RD_pad_7))
))
(net (rename Din_7 "Din[7]") (joined
(portRef (member din 0))
(portRef I (instanceRef Din_pad_7))
))
(net (rename Dout_c_0 "Dout_c[0]") (joined
(portRef Q (instanceRef Dout_0io_0))
(portRef I (instanceRef Dout_pad_0))
))
(net (rename Dout_0 "Dout[0]") (joined
(portRef O (instanceRef Dout_pad_0))
(portRef (member dout 7))
))
(net (rename Dout_c_1 "Dout_c[1]") (joined
(portRef Q (instanceRef Dout_0io_1))
(portRef I (instanceRef Dout_pad_1))
))
(net (rename Dout_1 "Dout[1]") (joined
(portRef O (instanceRef Dout_pad_1))
(portRef (member dout 6))
))
(net (rename Dout_c_2 "Dout_c[2]") (joined
(portRef Q (instanceRef Dout_0io_2))
(portRef I (instanceRef Dout_pad_2))
))
(net (rename Dout_2 "Dout[2]") (joined
(portRef O (instanceRef Dout_pad_2))
(portRef (member dout 5))
))
(net (rename Dout_c_3 "Dout_c[3]") (joined
(portRef Q (instanceRef Dout_0io_3))
(portRef I (instanceRef Dout_pad_3))
))
(net (rename Dout_3 "Dout[3]") (joined
(portRef O (instanceRef Dout_pad_3))
(portRef (member dout 4))
))
(net (rename Dout_c_4 "Dout_c[4]") (joined
(portRef Q (instanceRef Dout_0io_4))
(portRef I (instanceRef Dout_pad_4))
))
(net (rename Dout_4 "Dout[4]") (joined
(portRef O (instanceRef Dout_pad_4))
(portRef (member dout 3))
))
(net (rename Dout_c_5 "Dout_c[5]") (joined
(portRef Q (instanceRef Dout_0io_5))
(portRef I (instanceRef Dout_pad_5))
))
(net (rename Dout_5 "Dout[5]") (joined
(portRef O (instanceRef Dout_pad_5))
(portRef (member dout 2))
))
(net (rename Dout_c_6 "Dout_c[6]") (joined
(portRef Q (instanceRef Dout_0io_6))
(portRef I (instanceRef Dout_pad_6))
))
(net (rename Dout_6 "Dout[6]") (joined
(portRef O (instanceRef Dout_pad_6))
(portRef (member dout 1))
))
(net (rename Dout_c_7 "Dout_c[7]") (joined
(portRef Q (instanceRef Dout_0io_7))
(portRef I (instanceRef Dout_pad_7))
))
(net (rename Dout_7 "Dout[7]") (joined
(portRef O (instanceRef Dout_pad_7))
(portRef (member dout 0))
))
(net nDOE_c (joined
(portRef Z (instanceRef nDOE_pad_RNO))
(portRef I (instanceRef nDOE_pad))
))
(net nDOE (joined
(portRef O (instanceRef nDOE_pad))
(portRef nDOE)
))
(net (rename Vout_c_0 "Vout_c[0]") (joined
(portRef Q (instanceRef Vout_0io_0))
(portRef I (instanceRef Vout_pad_0))
))
(net (rename Vout_0 "Vout[0]") (joined
(portRef O (instanceRef Vout_pad_0))
(portRef (member vout 7))
))
(net (rename Vout_c_1 "Vout_c[1]") (joined
(portRef Q (instanceRef Vout_0io_1))
(portRef I (instanceRef Vout_pad_1))
))
(net (rename Vout_1 "Vout[1]") (joined
(portRef O (instanceRef Vout_pad_1))
(portRef (member vout 6))
))
(net (rename Vout_c_2 "Vout_c[2]") (joined
(portRef Q (instanceRef Vout_0io_2))
(portRef I (instanceRef Vout_pad_2))
))
(net (rename Vout_2 "Vout[2]") (joined
(portRef O (instanceRef Vout_pad_2))
(portRef (member vout 5))
))
(net (rename Vout_c_3 "Vout_c[3]") (joined
(portRef Q (instanceRef Vout_0io_3))
(portRef I (instanceRef Vout_pad_3))
))
(net (rename Vout_3 "Vout[3]") (joined
(portRef O (instanceRef Vout_pad_3))
(portRef (member vout 4))
))
(net (rename Vout_c_4 "Vout_c[4]") (joined
(portRef Q (instanceRef Vout_0io_4))
(portRef I (instanceRef Vout_pad_4))
))
(net (rename Vout_4 "Vout[4]") (joined
(portRef O (instanceRef Vout_pad_4))
(portRef (member vout 3))
))
(net (rename Vout_c_5 "Vout_c[5]") (joined
(portRef Q (instanceRef Vout_0io_5))
(portRef I (instanceRef Vout_pad_5))
))
(net (rename Vout_5 "Vout[5]") (joined
(portRef O (instanceRef Vout_pad_5))
(portRef (member vout 2))
))
(net (rename Vout_c_6 "Vout_c[6]") (joined
(portRef Q (instanceRef Vout_0io_6))
(portRef I (instanceRef Vout_pad_6))
))
(net (rename Vout_6 "Vout[6]") (joined
(portRef O (instanceRef Vout_pad_6))
(portRef (member vout 1))
))
(net (rename Vout_c_7 "Vout_c[7]") (joined
(portRef Q (instanceRef Vout_0io_7))
(portRef I (instanceRef Vout_pad_7))
))
(net (rename Vout_7 "Vout[7]") (joined
(portRef O (instanceRef Vout_pad_7))
(portRef (member vout 0))
))
(net nVOE (joined
(portRef O (instanceRef nVOE_pad))
(portRef nVOE)
))
(net CKE_c (joined
(portRef Q (instanceRef CKE_0io))
(portRef I (instanceRef CKE_pad))
))
(net CKE (joined
(portRef O (instanceRef CKE_pad))
(portRef CKE)
))
(net nCS_c (joined
(portRef Q (instanceRef nCS_0io))
(portRef I (instanceRef nCS_pad))
))
(net nCS (joined
(portRef O (instanceRef nCS_pad))
(portRef nCS)
))
(net nRAS_c (joined
(portRef Q (instanceRef nRAS_0io))
(portRef I (instanceRef nRAS_pad))
))
(net nRAS (joined
(portRef O (instanceRef nRAS_pad))
(portRef nRAS)
))
(net nCAS_c (joined
(portRef Q (instanceRef nCAS_0io))
(portRef I (instanceRef nCAS_pad))
))
(net nCAS (joined
(portRef O (instanceRef nCAS_pad))
(portRef nCAS)
))
(net nRWE_c (joined
(portRef Q (instanceRef nRWE_0io))
(portRef I (instanceRef nRWE_pad))
))
(net nRWE (joined
(portRef O (instanceRef nRWE_pad))
(portRef nRWE)
))
(net (rename BA_c_0 "BA_c[0]") (joined
(portRef Q (instanceRef BA_0io_0))
(portRef I (instanceRef BA_pad_0))
))
(net (rename BA_0 "BA[0]") (joined
(portRef O (instanceRef BA_pad_0))
(portRef (member ba 1))
))
(net (rename BA_c_1 "BA_c[1]") (joined
(portRef Q (instanceRef BA_0io_1))
(portRef I (instanceRef BA_pad_1))
))
(net (rename BA_1 "BA[1]") (joined
(portRef O (instanceRef BA_pad_1))
(portRef (member ba 0))
))
(net (rename RA_c_0 "RA_c[0]") (joined
(portRef Q (instanceRef RA_0))
(portRef A (instanceRef RWSel_2))
(portRef I (instanceRef RA_pad_0))
))
(net (rename RA_0 "RA[0]") (joined
(portRef O (instanceRef RA_pad_0))
(portRef (member ra 11))
))
(net (rename RA_c_1 "RA_c[1]") (joined
(portRef Q (instanceRef RA_0io_1))
(portRef I (instanceRef RA_pad_1))
))
(net (rename RA_1 "RA[1]") (joined
(portRef O (instanceRef RA_pad_1))
(portRef (member ra 10))
))
(net (rename RA_c_2 "RA_c[2]") (joined
(portRef Q (instanceRef RA_0io_2))
(portRef I (instanceRef RA_pad_2))
))
(net (rename RA_2 "RA[2]") (joined
(portRef O (instanceRef RA_pad_2))
(portRef (member ra 9))
))
(net (rename RA_c_3 "RA_c[3]") (joined
(portRef Q (instanceRef RA_3))
(portRef B (instanceRef RWSel_2))
(portRef I (instanceRef RA_pad_3))
))
(net (rename RA_3 "RA[3]") (joined
(portRef O (instanceRef RA_pad_3))
(portRef (member ra 8))
))
(net (rename RA_c_4 "RA_c[4]") (joined
(portRef Q (instanceRef RA_0io_4))
(portRef I (instanceRef RA_pad_4))
))
(net (rename RA_4 "RA[4]") (joined
(portRef O (instanceRef RA_pad_4))
(portRef (member ra 7))
))
(net (rename RA_c_5 "RA_c[5]") (joined
(portRef Q (instanceRef RA_0io_5))
(portRef I (instanceRef RA_pad_5))
))
(net (rename RA_5 "RA[5]") (joined
(portRef O (instanceRef RA_pad_5))
(portRef (member ra 6))
))
(net (rename RA_c_6 "RA_c[6]") (joined
(portRef Q (instanceRef RA_0io_6))
(portRef I (instanceRef RA_pad_6))
))
(net (rename RA_6 "RA[6]") (joined
(portRef O (instanceRef RA_pad_6))
(portRef (member ra 5))
))
(net (rename RA_c_7 "RA_c[7]") (joined
(portRef Q (instanceRef RA_0io_7))
(portRef I (instanceRef RA_pad_7))
))
(net (rename RA_7 "RA[7]") (joined
(portRef O (instanceRef RA_pad_7))
(portRef (member ra 4))
))
(net (rename RA_c_8 "RA_c[8]") (joined
(portRef Q (instanceRef RA_0io_8))
(portRef I (instanceRef RA_pad_8))
))
(net (rename RA_8 "RA[8]") (joined
(portRef O (instanceRef RA_pad_8))
(portRef (member ra 3))
))
(net (rename RA_c_9 "RA_c[9]") (joined
(portRef Q (instanceRef RA_0io_9))
(portRef I (instanceRef RA_pad_9))
))
(net (rename RA_9 "RA[9]") (joined
(portRef O (instanceRef RA_pad_9))
(portRef (member ra 2))
))
(net (rename RA_c_10 "RA_c[10]") (joined
(portRef Q (instanceRef RA_0io_10))
(portRef I (instanceRef RA_pad_10))
))
(net (rename RA_10 "RA[10]") (joined
(portRef O (instanceRef RA_pad_10))
(portRef (member ra 1))
))
(net (rename RA_c_11 "RA_c[11]") (joined
(portRef Q (instanceRef RA_0io_11))
(portRef I (instanceRef RA_pad_11))
))
(net (rename RA_11 "RA[11]") (joined
(portRef O (instanceRef RA_pad_11))
(portRef (member ra 0))
))
(net (rename RD_in_0 "RD_in[0]") (joined
(portRef O (instanceRef RD_pad_0))
(portRef D (instanceRef Dout_0io_0))
(portRef D (instanceRef Vout_0io_0))
))
(net (rename RD_0 "RD[0]") (joined
(portRef B (instanceRef RD_pad_0))
(portRef (member rd 7))
))
(net (rename RD_in_1 "RD_in[1]") (joined
(portRef O (instanceRef RD_pad_1))
(portRef D (instanceRef Dout_0io_1))
(portRef D (instanceRef Vout_0io_1))
))
(net (rename RD_1 "RD[1]") (joined
(portRef B (instanceRef RD_pad_1))
(portRef (member rd 6))
))
(net (rename RD_in_2 "RD_in[2]") (joined
(portRef O (instanceRef RD_pad_2))
(portRef D (instanceRef Dout_0io_2))
(portRef D (instanceRef Vout_0io_2))
))
(net (rename RD_2 "RD[2]") (joined
(portRef B (instanceRef RD_pad_2))
(portRef (member rd 5))
))
(net (rename RD_in_3 "RD_in[3]") (joined
(portRef O (instanceRef RD_pad_3))
(portRef D (instanceRef Dout_0io_3))
(portRef D (instanceRef Vout_0io_3))
))
(net (rename RD_3 "RD[3]") (joined
(portRef B (instanceRef RD_pad_3))
(portRef (member rd 4))
))
(net (rename RD_in_4 "RD_in[4]") (joined
(portRef O (instanceRef RD_pad_4))
(portRef D (instanceRef Dout_0io_4))
(portRef D (instanceRef Vout_0io_4))
))
(net (rename RD_4 "RD[4]") (joined
(portRef B (instanceRef RD_pad_4))
(portRef (member rd 3))
))
(net (rename RD_in_5 "RD_in[5]") (joined
(portRef O (instanceRef RD_pad_5))
(portRef D (instanceRef Dout_0io_5))
(portRef D (instanceRef Vout_0io_5))
))
(net (rename RD_5 "RD[5]") (joined
(portRef B (instanceRef RD_pad_5))
(portRef (member rd 2))
))
(net (rename RD_in_6 "RD_in[6]") (joined
(portRef O (instanceRef RD_pad_6))
(portRef D (instanceRef Dout_0io_6))
(portRef D (instanceRef Vout_0io_6))
))
(net (rename RD_6 "RD[6]") (joined
(portRef B (instanceRef RD_pad_6))
(portRef (member rd 1))
))
(net (rename RD_in_7 "RD_in[7]") (joined
(portRef O (instanceRef RD_pad_7))
(portRef D (instanceRef Dout_0io_7))
(portRef D (instanceRef Vout_0io_7))
))
(net (rename RD_7 "RD[7]") (joined
(portRef B (instanceRef RD_pad_7))
(portRef (member rd 0))
))
(net DQML_c (joined
(portRef Q (instanceRef DQML_0io))
(portRef I (instanceRef DQML_pad))
))
(net DQML (joined
(portRef O (instanceRef DQML_pad))
(portRef DQML)
))
(net DQMH_c (joined
(portRef Q (instanceRef DQMH_0io))
(portRef I (instanceRef DQMH_pad))
))
(net DQMH (joined
(portRef O (instanceRef DQMH_pad))
(portRef DQMH)
))
(net N_876_0 (joined
(portRef Z (instanceRef Ready_RNO))
(portRef D (instanceRef Ready))
))
(net N_566_i (joined
(portRef Z (instanceRef wb_reqc_1_RNIEO5C1))
(portRef CD (instanceRef BA_0io_1))
(portRef CD (instanceRef BA_0io_0))
))
(net N_1 (joined
(portRef CIN (instanceRef FS_cry_0_0))
))
)
(property orig_inst_of (string "RAM2E"))
)
)
)
(design RAM2E (cellRef RAM2E (libraryRef work))
(property PART (string "lcmxo2_640hc-4") ))
)